diff --git a/openlane/caravel/signoff.sdc b/openlane/caravel/signoff.sdc deleted file mode 100644 index 373b2321..00000000 --- a/openlane/caravel/signoff.sdc +++ /dev/null @@ -1,115 +0,0 @@ -### Caravel Signoff SDC -### Rev 1 -### Date: 5/10/2022 - -## MASTER CLOCKS -create_clock -name clk -period 25 [get_ports {clock}] - -create_clock -name hkspi_clk -period 100 [get_pins {housekeeping/mgmt_gpio_in[4]} ] -create_clock -name hk_serial_clk -period 1000 [get_pins {housekeeping/serial_clock}] -create_clock -name hk_serial_load -period 1000 [get_pins {housekeeping/serial_load}] - -set_clock_groups \ - -name clock_group \ - -logically_exclusive \ - -group [get_clocks {clk}]\ - -group [get_clocks {hk_serial_clk}]\ - -group [get_clocks {hk_serial_load}]\ - -group [get_clocks {hkspi_clk}] - -# clock <-> hk_serial_clk/load no paths -# future note: CDC stuff -# clock <-> hkspi_clk no paths with careful methods (clock is off) - -set_propagated_clock [get_clocks {clk}] -set_propagated_clock [get_clocks {hk_serial_clk}] -set_propagated_clock [get_clocks {hk_serial_load}] -set_propagated_clock [get_clocks {hkspi_clk}] - -## INPUT/OUTPUT DELAYS -set input_delay_value 4 -set output_delay_value 4 -puts "\[INFO\]: Setting output delay to: $output_delay_value" -puts "\[INFO\]: Setting input delay to: $input_delay_value" - -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {gpio}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[0]}] - -#set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[1]}] - -set_input_delay $input_delay_value -clock [get_clocks {hkspi_clk}] -add_delay [get_ports {mprj_io[2]}] -set_input_delay $input_delay_value -clock [get_clocks {hkspi_clk}] -add_delay [get_ports {mprj_io[3]}] - -#set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[4]}] - -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[5]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[6]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[7]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[8]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[9]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[10]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[11]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[12]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[13]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[14]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[15]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[16]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[17]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[18]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[19]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[20]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[21]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[22]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[23]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[24]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[25]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[26]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[27]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[28]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[29]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[30]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[31]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[32]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[33]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[34]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[35]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[36]}] -set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[37]}] - -set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {flash_csb}] -set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {flash_clk}] -set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {flash_io0}] -set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {flash_io1}] - -# set_output_delay $output_delay_value -clock [get_clocks {hkspi_clk}] -add_delay [get_ports {mprj_io[1]}] - -set_max_fanout 12 [current_design] -# synthesis max fanout should be less than 12 (7 maybe) - -## Set system monitoring mux select to zero so that the clock/user_clk monitoring is disabled -set_case_analysis 0 [get_pins housekeeping/_5201_/S] -set_case_analysis 0 [get_pins housekeeping/_5203_/S] - -# Add case analysis for pads DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 - -set_case_analysis 1 [get_pins padframe/*_pad/DM[2]] -set_case_analysis 1 [get_pins padframe/*_pad/DM[1]] -set_case_analysis 0 [get_pins padframe/*_pad/DM[0]] - -set_case_analysis 0 [get_pins padframe/clock_pad/DM[2]] -set_case_analysis 0 [get_pins padframe/clock_pad/DM[1]] -set_case_analysis 1 [get_pins padframe/clock_pad/DM[0]] - -## FALSE PATHS (ASYNCHRONOUS INPUTS) -set_false_path -from [get_ports {resetb}] -set_false_path -from [get_ports mprj_io[*]] -set_false_path -from [get_ports gpio] -#set_false_path -through [get_nets mprj_io_inp_dis[*]] -# set_timing_derate -early 1 -# set_timing_derate -late 1 - -# TODO set this as parameter -set cap_load 10 -puts "\[INFO\]: Setting load to: $cap_load" -set_load $cap_load [all_outputs] - diff --git a/openlane/gpio_control_block/signoff.sdc b/openlane/gpio_control_block/signoff.sdc deleted file mode 100644 index a175181e..00000000 --- a/openlane/gpio_control_block/signoff.sdc +++ /dev/null @@ -1,111 +0,0 @@ -### GPIO Control Block Signoff SDC -### Rev 1 -### Date: 5/10/2022 - -############################################################################### -# Timing Constraints -############################################################################### -create_clock -name serial_clock -period 50.0000 [get_ports {serial_clock}] -set_clock_transition 0.1500 [get_clocks {serial_clock}] -set_clock_uncertainty 0.1000 serial_clock -set_propagated_clock [get_clocks {serial_clock}] -create_clock -name serial_load -period 50.0000 [get_ports {serial_load}] -set_clock_transition 0.1500 [get_clocks {serial_load}] -set_clock_uncertainty 0.1000 serial_load -set_propagated_clock [get_clocks {serial_load}] - -set input_delay 5 -set output_delay 5 - -set_input_delay $input_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[0]}] -set_input_delay $input_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[10]}] -set_input_delay $input_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[11]}] -set_input_delay $input_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[12]}] -set_input_delay $input_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[1]}] -set_input_delay $input_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[2]}] -set_input_delay $input_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[3]}] -set_input_delay $input_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[4]}] -set_input_delay $input_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[5]}] -set_input_delay $input_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[6]}] -set_input_delay $input_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[7]}] -set_input_delay $input_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[8]}] -set_input_delay $input_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[9]}] -set_input_delay $input_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {mgmt_gpio_oeb}] -set_input_delay $input_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {mgmt_gpio_out}] -set_input_delay $input_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_in}] -set_input_delay $input_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {serial_data_in}] -set_input_delay $input_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {user_gpio_oeb}] -set_input_delay $input_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {user_gpio_out}] -set_output_delay $output_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {mgmt_gpio_in}] -set_output_delay $output_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {one}] -set_output_delay $output_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_ana_en}] -set_output_delay $output_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_ana_pol}] -set_output_delay $output_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_ana_sel}] -set_output_delay $output_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_dm[0]}] -set_output_delay $output_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_dm[1]}] -set_output_delay $output_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_dm[2]}] -set_output_delay $output_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_holdover}] -set_output_delay $output_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_ib_mode_sel}] -set_output_delay $output_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_inenb}] -set_output_delay $output_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_out}] -set_output_delay $output_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_outenb}] -set_output_delay $output_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_slow_sel}] -set_output_delay $output_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_vtrip_sel}] -set_output_delay $output_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {resetn_out}] -set_output_delay $output_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {serial_clock_out}] -set_output_delay $output_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {serial_data_out}] -set_output_delay $output_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {serial_load_out}] -set_output_delay $output_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {user_gpio_in}] -set_output_delay $output_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {zero}] -############################################################################### -# Environment -############################################################################### -set_load -pin_load 0.2100 [get_ports {mgmt_gpio_in}] -set_load -pin_load 0.2100 [get_ports {one}] -set_load -pin_load 0.2100 [get_ports {pad_gpio_ana_en}] -set_load -pin_load 0.2100 [get_ports {pad_gpio_ana_pol}] -set_load -pin_load 0.2100 [get_ports {pad_gpio_ana_sel}] -set_load -pin_load 0.2100 [get_ports {pad_gpio_holdover}] -set_load -pin_load 0.2100 [get_ports {pad_gpio_ib_mode_sel}] -set_load -pin_load 0.2100 [get_ports {pad_gpio_inenb}] -set_load -pin_load 0.2100 [get_ports {pad_gpio_out}] -set_load -pin_load 0.2100 [get_ports {pad_gpio_outenb}] -set_load -pin_load 0.2100 [get_ports {pad_gpio_slow_sel}] -set_load -pin_load 0.2100 [get_ports {pad_gpio_vtrip_sel}] -set_load -pin_load 0.2100 [get_ports {resetn_out}] -set_load -pin_load 0.2100 [get_ports {serial_clock_out}] -set_load -pin_load 0.2100 [get_ports {serial_data_out}] -set_load -pin_load 0.2100 [get_ports {serial_load_out}] -set_load -pin_load 0.2100 [get_ports {user_gpio_in}] -set_load -pin_load 0.2100 [get_ports {zero}] -set_load -pin_load 0.2100 [get_ports {pad_gpio_dm[2]}] -set_load -pin_load 0.2100 [get_ports {pad_gpio_dm[1]}] -set_load -pin_load 0.2100 [get_ports {pad_gpio_dm[0]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mgmt_gpio_oeb}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mgmt_gpio_out}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {pad_gpio_in}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {resetn}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {serial_clock}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {serial_data_in}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {serial_load}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {user_gpio_oeb}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {user_gpio_out}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {gpio_defaults[12]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {gpio_defaults[11]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {gpio_defaults[10]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {gpio_defaults[9]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {gpio_defaults[8]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {gpio_defaults[7]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {gpio_defaults[6]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {gpio_defaults[5]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {gpio_defaults[4]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {gpio_defaults[3]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {gpio_defaults[2]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {gpio_defaults[1]}] -set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {gpio_defaults[0]}] - -############################################################################### -# Design Rules -############################################################################### -set_max_transition 1 [current_design] -set_max_fanout 7.0000 [current_design] diff --git a/sdc/caravel.sdc b/sdc/caravel.sdc index 4470eb7d..39970705 100644 --- a/sdc/caravel.sdc +++ b/sdc/caravel.sdc @@ -1,87 +1,120 @@ -set ::env(IO_PCT) "0.2" -set ::env(SYNTH_MAX_FANOUT) "5" -set ::env(SYNTH_CAP_LOAD) "33" -set ::env(SYNTH_TIMING_DERATE) 0.05 -set ::env(SYNTH_CLOCK_UNCERTAINITY) 0.25 -set ::env(SYNTH_CLOCK_TRANSITION) 0.15 +### Caravel Signoff SDC +### Rev 1 +### Date: 5/10/2022 ## MASTER CLOCKS -create_clock [get_ports {"clock"} ] -name "clock" -period 25 -set_propagated_clock [get_clocks {"clock"}] +create_clock -name clk -period 25 [get_ports {clock}] + +create_clock -name hkspi_clk -period 100 [get_pins {housekeeping/mgmt_gpio_in[4]} ] +create_clock -name hk_serial_clk -period 50 [get_pins {housekeeping/serial_clock}] +create_clock -name hk_serial_load -period 1000 [get_pins {housekeeping/serial_load}] +# hk_serial_clk period is x2 core clock + +set_clock_groups \ + -name clock_group \ + -logically_exclusive \ + -group [get_clocks {clk}]\ + -group [get_clocks {hk_serial_clk}]\ + -group [get_clocks {hk_serial_load}]\ + -group [get_clocks {hkspi_clk}] + +# clock <-> hk_serial_clk/load no paths +# future note: CDC stuff +# clock <-> hkspi_clk no paths with careful methods (clock is off) + +set_propagated_clock [get_clocks {clk}] +set_propagated_clock [get_clocks {hk_serial_clk}] +set_propagated_clock [get_clocks {hk_serial_load}] +set_propagated_clock [get_clocks {hkspi_clk}] ## INPUT/OUTPUT DELAYS -set input_delay_value 1 -set output_delay_value [expr 25 * $::env(IO_PCT)] +set input_delay_value 4 +set output_delay_value 4 puts "\[INFO\]: Setting output delay to: $output_delay_value" puts "\[INFO\]: Setting input delay to: $input_delay_value" -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {gpio}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[0]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[1]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[2]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[3]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[4]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[5]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[6]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[7]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[8]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[9]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[10]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[11]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[12]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[13]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[14]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[15]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[16]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[17]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[18]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[19]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[20]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[21]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[22]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[23]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[24]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[25]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[26]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[27]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[28]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[29]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[30]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[31]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[32]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[33]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[34]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[35]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[36]}] -set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[37]}] +set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {gpio}] +set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[0]}] -set_output_delay $output_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {flash_csb}] -set_output_delay $output_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {flash_clk}] -set_output_delay $output_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {flash_io0}] -set_output_delay $output_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {flash_io1}] +#set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[1]}] -set_max_fanout $::env(SYNTH_MAX_FANOUT) [current_design] +set_input_delay $input_delay_value -clock [get_clocks {hkspi_clk}] -add_delay [get_ports {mprj_io[2]}] +set_input_delay $input_delay_value -clock [get_clocks {hkspi_clk}] -add_delay [get_ports {mprj_io[3]}] + +#set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[4]}] + +set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[5]}] +set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[6]}] +set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[7]}] +set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[8]}] +set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[9]}] +set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[10]}] +set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[11]}] +set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[12]}] +set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[13]}] +set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[14]}] +set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[15]}] +set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[16]}] +set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[17]}] +set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[18]}] +set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[19]}] +set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[20]}] +set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[21]}] +set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[22]}] +set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[23]}] +set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[24]}] +set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[25]}] +set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[26]}] +set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[27]}] +set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[28]}] +set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[29]}] +set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[30]}] +set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[31]}] +set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[32]}] +set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[33]}] +set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[34]}] +set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[35]}] +set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[36]}] +set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {mprj_io[37]}] + +set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {flash_csb}] +set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {flash_clk}] +set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {flash_io0}] +set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [get_ports {flash_io1}] + +# set_output_delay $output_delay_value -clock [get_clocks {hkspi_clk}] -add_delay [get_ports {mprj_io[1]}] + +set_max_fanout 12 [current_design] +# synthesis max fanout should be less than 12 (7 maybe) ## Set system monitoring mux select to zero so that the clock/user_clk monitoring is disabled -set_case_analysis 0 [get_pins housekeeping/_4449_/S] -set_case_analysis 0 [get_pins housekeeping/_4450_/S] +set_case_analysis 0 [get_pins housekeeping/_3948_/S] +set_case_analysis 0 [get_pins housekeeping/_3949_/S] + +# Add case analysis for pads DM[2]==1'b1 & DM[1]==1'b1 & DM[0]==1'b0 + +set_case_analysis 1 [get_pins padframe/*_pad/DM[2]] +set_case_analysis 1 [get_pins padframe/*_pad/DM[1]] +set_case_analysis 0 [get_pins padframe/*_pad/DM[0]] +set_case_analysis 0 [get_pins padframe/*_pad/SLOW] +set_case_analysis 0 [get_pins padframe/*_pad/ANALOG_EN] + +set_case_analysis 0 [get_pins padframe/clock_pad/DM[2]] +set_case_analysis 0 [get_pins padframe/clock_pad/DM[1]] +set_case_analysis 1 [get_pins padframe/clock_pad/DM[0]] ## FALSE PATHS (ASYNCHRONOUS INPUTS) set_false_path -from [get_ports {resetb}] set_false_path -from [get_ports mprj_io[*]] set_false_path -from [get_ports gpio] +#set_false_path -through [get_nets mprj_io_inp_dis[*]] +# set_timing_derate -early 1 +# set_timing_derate -late 1 # TODO set this as parameter -set cap_load [expr $::env(SYNTH_CAP_LOAD) / 1000.0] +set cap_load 10 puts "\[INFO\]: Setting load to: $cap_load" -set_load $cap_load [all_outputs] +set_load $cap_load [all_outputs] -puts "\[INFO\]: Setting timing derate to: [expr {$::env(SYNTH_TIMING_DERATE) * 10}] %" -set_timing_derate -early [expr {1-$::env(SYNTH_TIMING_DERATE)}] -set_timing_derate -late [expr {1+$::env(SYNTH_TIMING_DERATE)}] - -puts "\[INFO\]: Setting clock uncertainity to: $::env(SYNTH_CLOCK_UNCERTAINITY)" -set_clock_uncertainty $::env(SYNTH_CLOCK_UNCERTAINITY) [get_clocks {clock}] - -puts "\[INFO\]: Setting clock transition to: $::env(SYNTH_CLOCK_TRANSITION)" -set_clock_transition $::env(SYNTH_CLOCK_TRANSITION) [get_clocks {clock}] +#add input transition for the inputs pins +set_input_transition 2 [all_inputs] diff --git a/sdc/gpio_control_block.sdc b/sdc/gpio_control_block.sdc index fcd8f8e4..a8a517d4 100644 --- a/sdc/gpio_control_block.sdc +++ b/sdc/gpio_control_block.sdc @@ -1,109 +1,111 @@ -############################################################################### -# Created by write_sdc -# Sun Oct 9 23:50:57 2022 -############################################################################### -current_design gpio_control_block +### GPIO Control Block Signoff SDC +### Rev 1 +### Date: 5/10/2022 + ############################################################################### # Timing Constraints ############################################################################### create_clock -name serial_clock -period 50.0000 [get_ports {serial_clock}] set_clock_transition 0.1500 [get_clocks {serial_clock}] -set_clock_uncertainty 0.4000 serial_clock +set_clock_uncertainty 0.1000 serial_clock set_propagated_clock [get_clocks {serial_clock}] create_clock -name serial_load -period 50.0000 [get_ports {serial_load}] set_clock_transition 0.1500 [get_clocks {serial_load}] -set_clock_uncertainty 0.4000 serial_load +set_clock_uncertainty 0.1000 serial_load set_propagated_clock [get_clocks {serial_load}] -set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[0]}] -set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[10]}] -set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[11]}] -set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[12]}] -set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[1]}] -set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[2]}] -set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[3]}] -set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[4]}] -set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[5]}] -set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[6]}] -set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[7]}] -set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[8]}] -set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[9]}] -set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {mgmt_gpio_oeb}] -set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {mgmt_gpio_out}] -set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_in}] -set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {serial_data_in}] -set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {user_gpio_oeb}] -set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {user_gpio_out}] -set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {mgmt_gpio_in}] -set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {one}] -set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_ana_en}] -set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_ana_pol}] -set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_ana_sel}] -set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_dm[0]}] -set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_dm[1]}] -set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_dm[2]}] -set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_holdover}] -set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_ib_mode_sel}] -set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_inenb}] -set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_out}] -set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_outenb}] -set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_slow_sel}] -set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_vtrip_sel}] -set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {resetn_out}] -set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {serial_clock_out}] -set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {serial_data_out}] -set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {serial_load_out}] -set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {user_gpio_in}] -set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {zero}] + +set input_delay 5 +set output_delay 5 + +set_input_delay $input_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[0]}] +set_input_delay $input_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[10]}] +set_input_delay $input_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[11]}] +set_input_delay $input_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[12]}] +set_input_delay $input_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[1]}] +set_input_delay $input_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[2]}] +set_input_delay $input_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[3]}] +set_input_delay $input_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[4]}] +set_input_delay $input_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[5]}] +set_input_delay $input_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[6]}] +set_input_delay $input_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[7]}] +set_input_delay $input_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[8]}] +set_input_delay $input_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[9]}] +set_input_delay $input_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {mgmt_gpio_oeb}] +set_input_delay $input_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {mgmt_gpio_out}] +set_input_delay $input_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_in}] +set_input_delay $input_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {serial_data_in}] +set_input_delay $input_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {user_gpio_oeb}] +set_input_delay $input_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {user_gpio_out}] +set_output_delay $output_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {mgmt_gpio_in}] +set_output_delay $output_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {one}] +set_output_delay $output_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_ana_en}] +set_output_delay $output_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_ana_pol}] +set_output_delay $output_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_ana_sel}] +set_output_delay $output_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_dm[0]}] +set_output_delay $output_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_dm[1]}] +set_output_delay $output_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_dm[2]}] +set_output_delay $output_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_holdover}] +set_output_delay $output_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_ib_mode_sel}] +set_output_delay $output_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_inenb}] +set_output_delay $output_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_out}] +set_output_delay $output_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_outenb}] +set_output_delay $output_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_slow_sel}] +set_output_delay $output_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_vtrip_sel}] +set_output_delay $output_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {resetn_out}] +set_output_delay $output_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {serial_clock_out}] +set_output_delay $output_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {serial_data_out}] +set_output_delay $output_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {serial_load_out}] +set_output_delay $output_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {user_gpio_in}] +set_output_delay $output_delay -clock [get_clocks {serial_clock}] -add_delay [get_ports {zero}] ############################################################################### # Environment ############################################################################### -set_load -pin_load 0.2500 [get_ports {mgmt_gpio_in}] -set_load -pin_load 0.2500 [get_ports {one}] -set_load -pin_load 0.2500 [get_ports {pad_gpio_ana_en}] -set_load -pin_load 0.2500 [get_ports {pad_gpio_ana_pol}] -set_load -pin_load 0.2500 [get_ports {pad_gpio_ana_sel}] -set_load -pin_load 0.2500 [get_ports {pad_gpio_holdover}] -set_load -pin_load 0.2500 [get_ports {pad_gpio_ib_mode_sel}] -set_load -pin_load 0.2500 [get_ports {pad_gpio_inenb}] -set_load -pin_load 0.2500 [get_ports {pad_gpio_out}] -set_load -pin_load 0.2500 [get_ports {pad_gpio_outenb}] -set_load -pin_load 0.2500 [get_ports {pad_gpio_slow_sel}] -set_load -pin_load 0.2500 [get_ports {pad_gpio_vtrip_sel}] -set_load -pin_load 0.2500 [get_ports {resetn_out}] -set_load -pin_load 0.2500 [get_ports {serial_clock_out}] -set_load -pin_load 0.2500 [get_ports {serial_data_out}] -set_load -pin_load 0.2500 [get_ports {serial_load_out}] -set_load -pin_load 0.2500 [get_ports {user_gpio_in}] -set_load -pin_load 0.2500 [get_ports {zero}] -set_load -pin_load 0.2500 [get_ports {pad_gpio_dm[2]}] -set_load -pin_load 0.2500 [get_ports {pad_gpio_dm[1]}] -set_load -pin_load 0.2500 [get_ports {pad_gpio_dm[0]}] -set_input_transition 5.0000 [get_ports {mgmt_gpio_oeb}] -set_input_transition 5.0000 [get_ports {mgmt_gpio_out}] -set_input_transition 5.0000 [get_ports {pad_gpio_in}] -set_input_transition 5.0000 [get_ports {resetn}] -set_input_transition 5.0000 [get_ports {serial_clock}] -set_input_transition 5.0000 [get_ports {serial_data_in}] -set_input_transition 5.0000 [get_ports {serial_load}] -set_input_transition 5.0000 [get_ports {user_gpio_oeb}] -set_input_transition 5.0000 [get_ports {user_gpio_out}] -set_input_transition 5.0000 [get_ports {gpio_defaults[12]}] -set_input_transition 5.0000 [get_ports {gpio_defaults[11]}] -set_input_transition 5.0000 [get_ports {gpio_defaults[10]}] -set_input_transition 5.0000 [get_ports {gpio_defaults[9]}] -set_input_transition 5.0000 [get_ports {gpio_defaults[8]}] -set_input_transition 5.0000 [get_ports {gpio_defaults[7]}] -set_input_transition 5.0000 [get_ports {gpio_defaults[6]}] -set_input_transition 5.0000 [get_ports {gpio_defaults[5]}] -set_input_transition 5.0000 [get_ports {gpio_defaults[4]}] -set_input_transition 5.0000 [get_ports {gpio_defaults[3]}] -set_input_transition 5.0000 [get_ports {gpio_defaults[2]}] -set_input_transition 5.0000 [get_ports {gpio_defaults[1]}] -set_input_transition 5.0000 [get_ports {gpio_defaults[0]}] -set_timing_derate -early 0.9500 -set_timing_derate -late 1.0500 +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_in}] +set_load -pin_load 0.2100 [get_ports {one}] +set_load -pin_load 0.2100 [get_ports {pad_gpio_ana_en}] +set_load -pin_load 0.2100 [get_ports {pad_gpio_ana_pol}] +set_load -pin_load 0.2100 [get_ports {pad_gpio_ana_sel}] +set_load -pin_load 0.2100 [get_ports {pad_gpio_holdover}] +set_load -pin_load 0.2100 [get_ports {pad_gpio_ib_mode_sel}] +set_load -pin_load 0.2100 [get_ports {pad_gpio_inenb}] +set_load -pin_load 0.2100 [get_ports {pad_gpio_out}] +set_load -pin_load 0.2100 [get_ports {pad_gpio_outenb}] +set_load -pin_load 0.2100 [get_ports {pad_gpio_slow_sel}] +set_load -pin_load 0.2100 [get_ports {pad_gpio_vtrip_sel}] +set_load -pin_load 0.2100 [get_ports {resetn_out}] +set_load -pin_load 0.2100 [get_ports {serial_clock_out}] +set_load -pin_load 0.2100 [get_ports {serial_data_out}] +set_load -pin_load 0.2100 [get_ports {serial_load_out}] +set_load -pin_load 0.2100 [get_ports {user_gpio_in}] +set_load -pin_load 0.2100 [get_ports {zero}] +set_load -pin_load 0.2100 [get_ports {pad_gpio_dm[2]}] +set_load -pin_load 0.2100 [get_ports {pad_gpio_dm[1]}] +set_load -pin_load 0.2100 [get_ports {pad_gpio_dm[0]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mgmt_gpio_oeb}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {mgmt_gpio_out}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {pad_gpio_in}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {resetn}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {serial_clock}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {serial_data_in}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {serial_load}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {user_gpio_oeb}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {user_gpio_out}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {gpio_defaults[12]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {gpio_defaults[11]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {gpio_defaults[10]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {gpio_defaults[9]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {gpio_defaults[8]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {gpio_defaults[7]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {gpio_defaults[6]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {gpio_defaults[5]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {gpio_defaults[4]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {gpio_defaults[3]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {gpio_defaults[2]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {gpio_defaults[1]}] +set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {gpio_defaults[0]}] + ############################################################################### # Design Rules ############################################################################### -set_max_transition 1.2500 [current_design] +set_max_transition 0.75 [current_design] set_max_fanout 7.0000 [current_design] diff --git a/sdc/housekeeping.sdc b/sdc/housekeeping.sdc index 8dfce71b..06aac2bf 100644 --- a/sdc/housekeeping.sdc +++ b/sdc/housekeeping.sdc @@ -1,381 +1,382 @@ -############################################################################### -# Created by write_sdc -# Tue Oct 11 23:00:28 2022 -############################################################################### -current_design housekeeping +### Housekeeping Signoff SDC +### Rev 1 +### Date: 5/10/2022 + ############################################################################### # Timing Constraints ############################################################################### create_clock -name wb_clk_i -period 25.0000 [get_ports {wb_clk_i}] -set_clock_transition 0.1500 [get_clocks {wb_clk_i}] -set_clock_uncertainty 0.3000 wb_clk_i +set_clock_transition 0.0100 [get_clocks {wb_clk_i}] +set_clock_uncertainty 0.1000 wb_clk_i set_propagated_clock [get_clocks {wb_clk_i}] create_clock -name user_clock -period 25.0000 [get_ports {user_clock}] -set_clock_transition 0.1500 [get_clocks {user_clock}] -set_clock_uncertainty 0.3000 user_clock +set_clock_transition 0.0100 [get_clocks {user_clock}] +set_clock_uncertainty 0.1000 user_clock set_propagated_clock [get_clocks {user_clock}] create_clock -name sck -period 100.0000 [get_ports {mgmt_gpio_in[4]}] -set_clock_transition 0.1500 [get_clocks {sck}] -set_clock_uncertainty 0.3000 sck +set_clock_transition 0.0100 [get_clocks {sck}] +set_clock_uncertainty 0.1000 sck set_propagated_clock [get_clocks {sck}] create_generated_clock -name wbbd_sck -source [get_ports {wb_clk_i}] -divide_by 2 [get_pins {_7205_/Q}] set_propagated_clock [get_clocks {wbbd_sck}] set_clock_groups -name group1 -logically_exclusive \ -group [get_clocks {sck}]\ -group [get_clocks {wb_clk_i}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {debug_mode}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {debug_oeb}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {debug_out}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[0]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[10]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[11]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[12]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[13]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[14]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[15]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[16]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[17]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[18]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[19]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[1]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[20]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[21]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[22]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[23]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[24]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[25]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[26]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[27]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[28]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[29]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[2]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[30]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[31]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[3]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[4]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[5]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[6]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[7]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[8]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[9]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[0]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[10]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[11]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[12]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[13]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[14]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[15]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[16]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[17]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[18]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[19]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[1]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[20]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[21]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[22]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[23]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[24]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[25]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[26]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[27]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[28]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[29]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[2]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[30]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[31]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[32]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[33]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[34]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[35]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[36]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[37]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[3]}] -set_input_delay 0.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[4]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[5]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[6]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[7]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[8]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[9]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pad_flash_io0_di}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pad_flash_io1_di}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {porb}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {qspi_enabled}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {ser_tx}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spi_csb}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spi_enabled}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spi_sck}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spi_sdo}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spi_sdoenb}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spimemio_flash_clk}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spimemio_flash_csb}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spimemio_flash_io0_do}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spimemio_flash_io0_oeb}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spimemio_flash_io1_do}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spimemio_flash_io1_oeb}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spimemio_flash_io2_do}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spimemio_flash_io2_oeb}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spimemio_flash_io3_do}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spimemio_flash_io3_oeb}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {trap}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {uart_enabled}] -set_input_delay 0.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {user_clock}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {usr1_vcc_pwrgood}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {usr1_vdd_pwrgood}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {usr2_vcc_pwrgood}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {usr2_vdd_pwrgood}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[0]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[10]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[11]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[12]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[13]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[14]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[15]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[16]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[17]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[18]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[19]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[1]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[20]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[21]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[22]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[23]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[24]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[25]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[26]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[27]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[28]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[29]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[2]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[30]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[31]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[3]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[4]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[5]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[6]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[7]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[8]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[9]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_cyc_i}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[0]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[10]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[11]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[12]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[13]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[14]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[15]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[16]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[17]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[18]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[19]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[1]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[20]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[21]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[22]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[23]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[24]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[25]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[26]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[27]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[28]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[29]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[2]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[30]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[31]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[3]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[4]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[5]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[6]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[7]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[8]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[9]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_rstn_i}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_sel_i[0]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_sel_i[1]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_sel_i[2]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_sel_i[3]}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_stb_i}] -set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_we_i}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {debug_in}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {irq[0]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {irq[1]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {irq[2]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[0]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[10]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[11]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[12]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[13]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[14]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[15]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[16]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[17]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[18]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[19]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[1]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[20]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[21]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[22]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[23]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[24]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[25]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[26]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[27]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[28]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[29]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[2]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[30]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[31]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[32]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[33]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[34]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[35]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[36]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[37]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[3]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[4]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[5]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[6]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[7]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[8]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[9]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[0]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[10]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[11]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[12]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[13]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[14]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[15]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[16]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[17]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[18]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[19]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[1]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[20]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[21]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[22]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[23]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[24]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[25]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[26]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[27]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[28]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[29]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[2]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[30]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[31]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[32]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[33]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[34]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[35]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[36]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[37]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[3]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[4]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[5]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[6]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[7]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[8]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[9]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pad_flash_clk}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pad_flash_clk_oeb}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pad_flash_csb}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pad_flash_csb_oeb}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pad_flash_io0_do}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pad_flash_io0_ieb}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pad_flash_io0_oeb}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pad_flash_io1_do}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pad_flash_io1_ieb}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pad_flash_io1_oeb}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll90_sel[0]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll90_sel[1]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll90_sel[2]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_bypass}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_dco_ena}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_div[0]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_div[1]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_div[2]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_div[3]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_div[4]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_ena}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_sel[0]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_sel[1]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_sel[2]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[0]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[10]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[11]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[12]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[13]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[14]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[15]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[16]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[17]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[18]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[19]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[1]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[20]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[21]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[22]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[23]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[24]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[25]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[2]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[3]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[4]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[5]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[6]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[7]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[8]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[9]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pwr_ctrl_out[0]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pwr_ctrl_out[1]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pwr_ctrl_out[2]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pwr_ctrl_out[3]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {reset}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {ser_rx}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {serial_data_1}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {serial_data_2}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {serial_load}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {serial_resetn}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spi_sdi}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spimemio_flash_io0_di}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spimemio_flash_io1_di}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spimemio_flash_io2_di}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spimemio_flash_io3_di}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_ack_o}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[0]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[10]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[11]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[12]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[13]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[14]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[15]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[16]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[17]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[18]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[19]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[1]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[20]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[21]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[22]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[23]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[24]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[25]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[26]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[27]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[28]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[29]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[2]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[30]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[31]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[3]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[4]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[5]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[6]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[7]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[8]}] -set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[9]}] + +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {debug_mode}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {debug_oeb}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {debug_out}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[0]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[10]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[11]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[12]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[13]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[14]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[15]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[16]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[17]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[18]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[19]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[1]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[20]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[21]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[22]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[23]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[24]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[25]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[26]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[27]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[28]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[29]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[2]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[30]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[31]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[3]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[4]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[5]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[6]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[7]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[8]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[9]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[0]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[10]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[11]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[12]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[13]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[14]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[15]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[16]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[17]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[18]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[19]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[1]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[20]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[21]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[22]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[23]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[24]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[25]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[26]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[27]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[28]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[29]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[2]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[30]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[31]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[32]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[33]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[34]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[35]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[36]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[37]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[3]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[5]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[6]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[7]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[8]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[9]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pad_flash_io0_di}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pad_flash_io1_di}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {porb}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {qspi_enabled}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {ser_tx}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spi_csb}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spi_enabled}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spi_sck}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spi_sdo}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spi_sdoenb}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spimemio_flash_clk}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spimemio_flash_csb}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spimemio_flash_io0_do}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spimemio_flash_io0_oeb}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spimemio_flash_io1_do}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spimemio_flash_io1_oeb}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spimemio_flash_io2_do}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spimemio_flash_io2_oeb}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spimemio_flash_io3_do}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spimemio_flash_io3_oeb}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {trap}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {uart_enabled}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {usr1_vcc_pwrgood}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {usr1_vdd_pwrgood}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {usr2_vcc_pwrgood}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {usr2_vdd_pwrgood}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[0]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[10]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[11]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[12]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[13]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[14]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[15]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[16]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[17]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[18]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[19]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[1]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[20]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[21]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[22]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[23]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[24]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[25]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[26]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[27]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[28]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[29]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[2]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[30]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[31]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[3]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[4]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[5]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[6]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[7]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[8]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[9]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_cyc_i}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[0]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[10]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[11]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[12]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[13]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[14]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[15]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[16]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[17]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[18]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[19]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[1]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[20]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[21]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[22]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[23]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[24]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[25]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[26]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[27]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[28]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[29]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[2]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[30]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[31]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[3]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[4]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[5]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[6]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[7]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[8]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[9]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_rstn_i}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_sel_i[0]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_sel_i[1]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_sel_i[2]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_sel_i[3]}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_stb_i}] +set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_we_i}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {debug_in}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {irq[0]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {irq[1]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {irq[2]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[0]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[10]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[11]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[12]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[13]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[14]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[15]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[16]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[17]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[18]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[19]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[1]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[20]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[21]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[22]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[23]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[24]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[25]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[26]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[27]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[28]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[29]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[2]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[30]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[31]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[32]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[33]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[34]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[35]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[36]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[37]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[3]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[4]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[5]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[6]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[7]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[8]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[9]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[0]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[10]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[11]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[12]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[13]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[14]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[15]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[16]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[17]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[18]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[19]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[1]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[20]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[21]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[22]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[23]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[24]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[25]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[26]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[27]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[28]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[29]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[2]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[30]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[31]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[32]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[33]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[34]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[35]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[36]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[37]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[3]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[4]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[5]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[6]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[7]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[8]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[9]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pad_flash_clk}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pad_flash_clk_oeb}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pad_flash_csb}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pad_flash_csb_oeb}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pad_flash_io0_do}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pad_flash_io0_ieb}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pad_flash_io0_oeb}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pad_flash_io1_do}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pad_flash_io1_ieb}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pad_flash_io1_oeb}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll90_sel[0]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll90_sel[1]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll90_sel[2]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_bypass}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_dco_ena}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_div[0]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_div[1]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_div[2]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_div[3]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_div[4]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_ena}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_sel[0]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_sel[1]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_sel[2]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[0]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[10]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[11]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[12]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[13]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[14]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[15]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[16]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[17]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[18]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[19]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[1]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[20]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[21]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[22]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[23]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[24]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[25]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[2]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[3]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[4]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[5]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[6]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[7]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[8]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[9]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pwr_ctrl_out[0]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pwr_ctrl_out[1]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pwr_ctrl_out[2]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pwr_ctrl_out[3]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {reset}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {ser_rx}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {serial_data_1}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {serial_data_2}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {serial_load}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {serial_resetn}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spi_sdi}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spimemio_flash_io0_di}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spimemio_flash_io1_di}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spimemio_flash_io2_di}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spimemio_flash_io3_di}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_ack_o}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[0]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[10]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[11]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[12]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[13]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[14]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[15]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[16]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[17]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[18]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[19]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[1]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[20]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[21]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[22]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[23]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[24]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[25]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[26]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[27]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[28]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[29]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[2]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[30]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[31]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[3]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[4]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[5]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[6]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[7]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[8]}] +set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[9]}] + set_false_path\ -from [list [get_ports {porb}]\ [get_ports {wb_rstn_i}]] + + ############################################################################### # Environment ############################################################################### @@ -558,10 +559,9 @@ set_load -pin_load 0.2100 [get_ports {wb_dat_o[3]}] set_load -pin_load 0.2100 [get_ports {wb_dat_o[2]}] set_load -pin_load 0.2100 [get_ports {wb_dat_o[1]}] set_load -pin_load 0.2100 [get_ports {wb_dat_o[0]}] -set_timing_derate -early 0.9500 -set_timing_derate -late 1.0500 + ############################################################################### # Design Rules ############################################################################### -set_max_transition 0.7500 [current_design] -set_max_fanout 20.0000 [current_design] +set_max_transition 0.75 [current_design] +set_max_fanout 7.0000 [current_design] diff --git a/sdc/mgmt_protect.sdc b/sdc/mgmt_protect.sdc index cd2fa641..412121c0 100644 --- a/sdc/mgmt_protect.sdc +++ b/sdc/mgmt_protect.sdc @@ -1,12 +1,11 @@ -############################################################################### -# Created by write_sdc -# Sun Oct 9 23:58:50 2022 -############################################################################### -current_design mgmt_protect +### Management Protect Signoff SDC +### Rev 1 +### Date: 9/10/2022 + ############################################################################### # Timing Constraints ############################################################################### -create_clock -name v_clk -period 4.0000 +create_clock -name v_clk -period 10 set_input_delay 1.0000 -clock [get_clocks {v_clk}] -add_delay [get_ports {caravel_clk}] set_input_delay 1.0000 -clock [get_clocks {v_clk}] -add_delay [get_ports {caravel_clk2}] set_input_delay 1.0000 -clock [get_clocks {v_clk}] -add_delay [get_ports {caravel_rstn}] @@ -1635,4 +1634,4 @@ set_load -pin_load 0.2000 [get_ports {user_irq[0]}] ############################################################################### # Design Rules ############################################################################### -set_max_transition 0.7500 [current_design] +set_max_transition 0.75 [current_design] diff --git a/sdc/caravan.sdc b/signoff/caravan/openlane-signoff/caravan.sdc similarity index 100% rename from sdc/caravan.sdc rename to signoff/caravan/openlane-signoff/caravan.sdc diff --git a/signoff/caravel/openlane-signoff/caravel.sdc b/signoff/caravel/openlane-signoff/caravel.sdc new file mode 100644 index 00000000..4470eb7d --- /dev/null +++ b/signoff/caravel/openlane-signoff/caravel.sdc @@ -0,0 +1,87 @@ +set ::env(IO_PCT) "0.2" +set ::env(SYNTH_MAX_FANOUT) "5" +set ::env(SYNTH_CAP_LOAD) "33" +set ::env(SYNTH_TIMING_DERATE) 0.05 +set ::env(SYNTH_CLOCK_UNCERTAINITY) 0.25 +set ::env(SYNTH_CLOCK_TRANSITION) 0.15 + +## MASTER CLOCKS +create_clock [get_ports {"clock"} ] -name "clock" -period 25 +set_propagated_clock [get_clocks {"clock"}] + +## INPUT/OUTPUT DELAYS +set input_delay_value 1 +set output_delay_value [expr 25 * $::env(IO_PCT)] +puts "\[INFO\]: Setting output delay to: $output_delay_value" +puts "\[INFO\]: Setting input delay to: $input_delay_value" + +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {gpio}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[0]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[1]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[2]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[3]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[4]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[5]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[6]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[7]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[8]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[9]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[10]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[11]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[12]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[13]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[14]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[15]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[16]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[17]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[18]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[19]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[20]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[21]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[22]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[23]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[24]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[25]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[26]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[27]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[28]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[29]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[30]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[31]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[32]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[33]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[34]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[35]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[36]}] +set_input_delay $input_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {mprj_io[37]}] + +set_output_delay $output_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {flash_csb}] +set_output_delay $output_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {flash_clk}] +set_output_delay $output_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {flash_io0}] +set_output_delay $output_delay_value -clock [get_clocks {clock}] -add_delay [get_ports {flash_io1}] + +set_max_fanout $::env(SYNTH_MAX_FANOUT) [current_design] + +## Set system monitoring mux select to zero so that the clock/user_clk monitoring is disabled +set_case_analysis 0 [get_pins housekeeping/_4449_/S] +set_case_analysis 0 [get_pins housekeeping/_4450_/S] + +## FALSE PATHS (ASYNCHRONOUS INPUTS) +set_false_path -from [get_ports {resetb}] +set_false_path -from [get_ports mprj_io[*]] +set_false_path -from [get_ports gpio] + +# TODO set this as parameter +set cap_load [expr $::env(SYNTH_CAP_LOAD) / 1000.0] +puts "\[INFO\]: Setting load to: $cap_load" +set_load $cap_load [all_outputs] + +puts "\[INFO\]: Setting timing derate to: [expr {$::env(SYNTH_TIMING_DERATE) * 10}] %" +set_timing_derate -early [expr {1-$::env(SYNTH_TIMING_DERATE)}] +set_timing_derate -late [expr {1+$::env(SYNTH_TIMING_DERATE)}] + +puts "\[INFO\]: Setting clock uncertainity to: $::env(SYNTH_CLOCK_UNCERTAINITY)" +set_clock_uncertainty $::env(SYNTH_CLOCK_UNCERTAINITY) [get_clocks {clock}] + +puts "\[INFO\]: Setting clock transition to: $::env(SYNTH_CLOCK_TRANSITION)" +set_clock_transition $::env(SYNTH_CLOCK_TRANSITION) [get_clocks {clock}] diff --git a/sdc/caravel_clocking.sdc b/signoff/caravel_clocking/openlane-signoff/caravel_clocking.sdc similarity index 100% rename from sdc/caravel_clocking.sdc rename to signoff/caravel_clocking/openlane-signoff/caravel_clocking.sdc diff --git a/sdc/chip_io.sdc b/signoff/chip_io/openlane-signoff/chip_io.sdc similarity index 100% rename from sdc/chip_io.sdc rename to signoff/chip_io/openlane-signoff/chip_io.sdc diff --git a/sdc/constant_block.sdc b/signoff/constant_block/constant_block.sdc similarity index 100% rename from sdc/constant_block.sdc rename to signoff/constant_block/constant_block.sdc diff --git a/sdc/digital_pll.sdc b/signoff/digital_pll/openlane-signoff/digital_pll.sdc similarity index 100% rename from sdc/digital_pll.sdc rename to signoff/digital_pll/openlane-signoff/digital_pll.sdc diff --git a/signoff/gpio_control_block/openlane-signoff/gpio_control_block.sdc b/signoff/gpio_control_block/openlane-signoff/gpio_control_block.sdc new file mode 100644 index 00000000..fcd8f8e4 --- /dev/null +++ b/signoff/gpio_control_block/openlane-signoff/gpio_control_block.sdc @@ -0,0 +1,109 @@ +############################################################################### +# Created by write_sdc +# Sun Oct 9 23:50:57 2022 +############################################################################### +current_design gpio_control_block +############################################################################### +# Timing Constraints +############################################################################### +create_clock -name serial_clock -period 50.0000 [get_ports {serial_clock}] +set_clock_transition 0.1500 [get_clocks {serial_clock}] +set_clock_uncertainty 0.4000 serial_clock +set_propagated_clock [get_clocks {serial_clock}] +create_clock -name serial_load -period 50.0000 [get_ports {serial_load}] +set_clock_transition 0.1500 [get_clocks {serial_load}] +set_clock_uncertainty 0.4000 serial_load +set_propagated_clock [get_clocks {serial_load}] +set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[0]}] +set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[10]}] +set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[11]}] +set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[12]}] +set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[1]}] +set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[2]}] +set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[3]}] +set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[4]}] +set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[5]}] +set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[6]}] +set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[7]}] +set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[8]}] +set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {gpio_defaults[9]}] +set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {mgmt_gpio_oeb}] +set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {mgmt_gpio_out}] +set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_in}] +set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {serial_data_in}] +set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {user_gpio_oeb}] +set_input_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {user_gpio_out}] +set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {mgmt_gpio_in}] +set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {one}] +set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_ana_en}] +set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_ana_pol}] +set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_ana_sel}] +set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_dm[0]}] +set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_dm[1]}] +set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_dm[2]}] +set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_holdover}] +set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_ib_mode_sel}] +set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_inenb}] +set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_out}] +set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_outenb}] +set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_slow_sel}] +set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {pad_gpio_vtrip_sel}] +set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {resetn_out}] +set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {serial_clock_out}] +set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {serial_data_out}] +set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {serial_load_out}] +set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {user_gpio_in}] +set_output_delay 10.0000 -clock [get_clocks {serial_clock}] -add_delay [get_ports {zero}] +############################################################################### +# Environment +############################################################################### +set_load -pin_load 0.2500 [get_ports {mgmt_gpio_in}] +set_load -pin_load 0.2500 [get_ports {one}] +set_load -pin_load 0.2500 [get_ports {pad_gpio_ana_en}] +set_load -pin_load 0.2500 [get_ports {pad_gpio_ana_pol}] +set_load -pin_load 0.2500 [get_ports {pad_gpio_ana_sel}] +set_load -pin_load 0.2500 [get_ports {pad_gpio_holdover}] +set_load -pin_load 0.2500 [get_ports {pad_gpio_ib_mode_sel}] +set_load -pin_load 0.2500 [get_ports {pad_gpio_inenb}] +set_load -pin_load 0.2500 [get_ports {pad_gpio_out}] +set_load -pin_load 0.2500 [get_ports {pad_gpio_outenb}] +set_load -pin_load 0.2500 [get_ports {pad_gpio_slow_sel}] +set_load -pin_load 0.2500 [get_ports {pad_gpio_vtrip_sel}] +set_load -pin_load 0.2500 [get_ports {resetn_out}] +set_load -pin_load 0.2500 [get_ports {serial_clock_out}] +set_load -pin_load 0.2500 [get_ports {serial_data_out}] +set_load -pin_load 0.2500 [get_ports {serial_load_out}] +set_load -pin_load 0.2500 [get_ports {user_gpio_in}] +set_load -pin_load 0.2500 [get_ports {zero}] +set_load -pin_load 0.2500 [get_ports {pad_gpio_dm[2]}] +set_load -pin_load 0.2500 [get_ports {pad_gpio_dm[1]}] +set_load -pin_load 0.2500 [get_ports {pad_gpio_dm[0]}] +set_input_transition 5.0000 [get_ports {mgmt_gpio_oeb}] +set_input_transition 5.0000 [get_ports {mgmt_gpio_out}] +set_input_transition 5.0000 [get_ports {pad_gpio_in}] +set_input_transition 5.0000 [get_ports {resetn}] +set_input_transition 5.0000 [get_ports {serial_clock}] +set_input_transition 5.0000 [get_ports {serial_data_in}] +set_input_transition 5.0000 [get_ports {serial_load}] +set_input_transition 5.0000 [get_ports {user_gpio_oeb}] +set_input_transition 5.0000 [get_ports {user_gpio_out}] +set_input_transition 5.0000 [get_ports {gpio_defaults[12]}] +set_input_transition 5.0000 [get_ports {gpio_defaults[11]}] +set_input_transition 5.0000 [get_ports {gpio_defaults[10]}] +set_input_transition 5.0000 [get_ports {gpio_defaults[9]}] +set_input_transition 5.0000 [get_ports {gpio_defaults[8]}] +set_input_transition 5.0000 [get_ports {gpio_defaults[7]}] +set_input_transition 5.0000 [get_ports {gpio_defaults[6]}] +set_input_transition 5.0000 [get_ports {gpio_defaults[5]}] +set_input_transition 5.0000 [get_ports {gpio_defaults[4]}] +set_input_transition 5.0000 [get_ports {gpio_defaults[3]}] +set_input_transition 5.0000 [get_ports {gpio_defaults[2]}] +set_input_transition 5.0000 [get_ports {gpio_defaults[1]}] +set_input_transition 5.0000 [get_ports {gpio_defaults[0]}] +set_timing_derate -early 0.9500 +set_timing_derate -late 1.0500 +############################################################################### +# Design Rules +############################################################################### +set_max_transition 1.2500 [current_design] +set_max_fanout 7.0000 [current_design] diff --git a/sdc/gpio_defaults_block.sdc b/signoff/gpio_defaults_block/openlane-signoff/gpio_defaults_block.sdc similarity index 100% rename from sdc/gpio_defaults_block.sdc rename to signoff/gpio_defaults_block/openlane-signoff/gpio_defaults_block.sdc diff --git a/signoff/gpio_defaults_block_0403/OPENLANE_VERSION b/signoff/gpio_defaults_block_0403/OPENLANE_VERSION new file mode 100644 index 00000000..c63f3866 --- /dev/null +++ b/signoff/gpio_defaults_block_0403/OPENLANE_VERSION @@ -0,0 +1 @@ +openlane 2021.09.09_03.00.48-53-g97579eb diff --git a/signoff/gpio_defaults_block_0403/PDK_SOURCES b/signoff/gpio_defaults_block_0403/PDK_SOURCES new file mode 100644 index 00000000..a2247507 --- /dev/null +++ b/signoff/gpio_defaults_block_0403/PDK_SOURCES @@ -0,0 +1,6 @@ +-ne openlane +e6ba5d36a9b32a9f87626d49bf3c80cf3964ebeb +-ne skywater-pdk +c094b6e83a4f9298e47f696ec5a7fd53535ec5eb +-ne open_pdks +f90a86bdd133bd629251d59eebb1aee8452c0f5c diff --git a/signoff/gpio_defaults_block_0403/final_summary_report.csv b/signoff/gpio_defaults_block_0403/final_summary_report.csv new file mode 100644 index 00000000..a22adc5c --- /dev/null +++ b/signoff/gpio_defaults_block_0403/final_summary_report.csv @@ -0,0 +1,2 @@ +,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY +0,/project/openlane/gpio_defaults_block,gpio_defaults_block,gpio_defaults_block,flow_completed,0h0m57s,-1,78787.87878787878,0.00033,39393.93939393939,22.67,443.21,13,0,-1,-1,-1,-1,0,0,-1,0,0,-1,41,26,0.0,0.0,-1,0.0,-1,0.0,0.0,-1,0.0,-1,55260.0,0.0,2.33,0.0,0.0,0.0,-1,5,41,5,41,0,0,0,13,0,0,0,0,0,0,0,4,-1,-1,-1,6,5,0,11,90.9090909090909,11.0,10.0,AREA 0,5,50,1,7,7,0.92,0.0,sky130_fd_sc_hd,0,3 diff --git a/sdc/gpio_defaults_block_0403.sdc b/signoff/gpio_defaults_block_0403/openlane-signoff/gpio_defaults_block_0403.sdc similarity index 100% rename from sdc/gpio_defaults_block_0403.sdc rename to signoff/gpio_defaults_block_0403/openlane-signoff/gpio_defaults_block_0403.sdc diff --git a/signoff/gpio_defaults_block_1803/OPENLANE_VERSION b/signoff/gpio_defaults_block_1803/OPENLANE_VERSION new file mode 100644 index 00000000..c63f3866 --- /dev/null +++ b/signoff/gpio_defaults_block_1803/OPENLANE_VERSION @@ -0,0 +1 @@ +openlane 2021.09.09_03.00.48-53-g97579eb diff --git a/signoff/gpio_defaults_block_1803/PDK_SOURCES b/signoff/gpio_defaults_block_1803/PDK_SOURCES new file mode 100644 index 00000000..a2247507 --- /dev/null +++ b/signoff/gpio_defaults_block_1803/PDK_SOURCES @@ -0,0 +1,6 @@ +-ne openlane +e6ba5d36a9b32a9f87626d49bf3c80cf3964ebeb +-ne skywater-pdk +c094b6e83a4f9298e47f696ec5a7fd53535ec5eb +-ne open_pdks +f90a86bdd133bd629251d59eebb1aee8452c0f5c diff --git a/signoff/gpio_defaults_block_1803/final_summary_report.csv b/signoff/gpio_defaults_block_1803/final_summary_report.csv new file mode 100644 index 00000000..a22adc5c --- /dev/null +++ b/signoff/gpio_defaults_block_1803/final_summary_report.csv @@ -0,0 +1,2 @@ +,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY +0,/project/openlane/gpio_defaults_block,gpio_defaults_block,gpio_defaults_block,flow_completed,0h0m57s,-1,78787.87878787878,0.00033,39393.93939393939,22.67,443.21,13,0,-1,-1,-1,-1,0,0,-1,0,0,-1,41,26,0.0,0.0,-1,0.0,-1,0.0,0.0,-1,0.0,-1,55260.0,0.0,2.33,0.0,0.0,0.0,-1,5,41,5,41,0,0,0,13,0,0,0,0,0,0,0,4,-1,-1,-1,6,5,0,11,90.9090909090909,11.0,10.0,AREA 0,5,50,1,7,7,0.92,0.0,sky130_fd_sc_hd,0,3 diff --git a/sdc/gpio_defaults_block_1803.sdc b/signoff/gpio_defaults_block_1803/openlane-signoff/gpio_defaults_block_1803.sdc similarity index 100% rename from sdc/gpio_defaults_block_1803.sdc rename to signoff/gpio_defaults_block_1803/openlane-signoff/gpio_defaults_block_1803.sdc diff --git a/sdc/gpio_logic_high.sdc b/signoff/gpio_logic_high/openlane-signoff/gpio_logic_high.sdc similarity index 100% rename from sdc/gpio_logic_high.sdc rename to signoff/gpio_logic_high/openlane-signoff/gpio_logic_high.sdc diff --git a/signoff/housekeeping/metrics.csv b/signoff/housekeeping/final_summary_report.csv similarity index 100% rename from signoff/housekeeping/metrics.csv rename to signoff/housekeeping/final_summary_report.csv diff --git a/signoff/housekeeping/housekeeping.rpt.debug.gz b/signoff/housekeeping/housekeeping.rpt.debug.gz deleted file mode 100644 index 229151a5..00000000 Binary files a/signoff/housekeeping/housekeeping.rpt.debug.gz and /dev/null differ diff --git a/signoff/housekeeping/housekeeping.rpt.error.gz b/signoff/housekeeping/housekeeping.rpt.error.gz deleted file mode 100644 index 229151a5..00000000 Binary files a/signoff/housekeeping/housekeeping.rpt.error.gz and /dev/null differ diff --git a/signoff/housekeeping/26-rcx_sta.area.rpt b/signoff/housekeeping/openlane-signoff/26-rcx_sta.area.rpt similarity index 100% rename from signoff/housekeeping/26-rcx_sta.area.rpt rename to signoff/housekeeping/openlane-signoff/26-rcx_sta.area.rpt diff --git a/signoff/housekeeping/26-rcx_sta.clock_skew.rpt b/signoff/housekeeping/openlane-signoff/26-rcx_sta.clock_skew.rpt similarity index 100% rename from signoff/housekeeping/26-rcx_sta.clock_skew.rpt rename to signoff/housekeeping/openlane-signoff/26-rcx_sta.clock_skew.rpt diff --git a/signoff/housekeeping/26-rcx_sta.max.rpt b/signoff/housekeeping/openlane-signoff/26-rcx_sta.max.rpt similarity index 100% rename from signoff/housekeeping/26-rcx_sta.max.rpt rename to signoff/housekeeping/openlane-signoff/26-rcx_sta.max.rpt diff --git a/signoff/housekeeping/26-rcx_sta.min.rpt b/signoff/housekeeping/openlane-signoff/26-rcx_sta.min.rpt similarity index 100% rename from signoff/housekeeping/26-rcx_sta.min.rpt rename to signoff/housekeeping/openlane-signoff/26-rcx_sta.min.rpt diff --git a/signoff/housekeeping/26-rcx_sta.power.rpt b/signoff/housekeeping/openlane-signoff/26-rcx_sta.power.rpt similarity index 100% rename from signoff/housekeeping/26-rcx_sta.power.rpt rename to signoff/housekeeping/openlane-signoff/26-rcx_sta.power.rpt diff --git a/signoff/housekeeping/26-rcx_sta.rpt b/signoff/housekeeping/openlane-signoff/26-rcx_sta.rpt similarity index 100% rename from signoff/housekeeping/26-rcx_sta.rpt rename to signoff/housekeeping/openlane-signoff/26-rcx_sta.rpt diff --git a/signoff/housekeeping/26-rcx_sta.slew.rpt b/signoff/housekeeping/openlane-signoff/26-rcx_sta.slew.rpt similarity index 100% rename from signoff/housekeeping/26-rcx_sta.slew.rpt rename to signoff/housekeeping/openlane-signoff/26-rcx_sta.slew.rpt diff --git a/signoff/housekeeping/26-rcx_sta.tns.rpt b/signoff/housekeeping/openlane-signoff/26-rcx_sta.tns.rpt similarity index 100% rename from signoff/housekeeping/26-rcx_sta.tns.rpt rename to signoff/housekeeping/openlane-signoff/26-rcx_sta.tns.rpt diff --git a/signoff/housekeeping/26-rcx_sta.wns.rpt b/signoff/housekeeping/openlane-signoff/26-rcx_sta.wns.rpt similarity index 100% rename from signoff/housekeeping/26-rcx_sta.wns.rpt rename to signoff/housekeeping/openlane-signoff/26-rcx_sta.wns.rpt diff --git a/signoff/housekeeping/26-rcx_sta.worst_slack.rpt b/signoff/housekeeping/openlane-signoff/26-rcx_sta.worst_slack.rpt similarity index 100% rename from signoff/housekeeping/26-rcx_sta.worst_slack.rpt rename to signoff/housekeeping/openlane-signoff/26-rcx_sta.worst_slack.rpt diff --git a/signoff/housekeeping/27-irdrop.rpt b/signoff/housekeeping/openlane-signoff/27-irdrop.rpt similarity index 100% rename from signoff/housekeeping/27-irdrop.rpt rename to signoff/housekeeping/openlane-signoff/27-irdrop.rpt diff --git a/signoff/housekeeping/32-housekeeping.lef-count.log b/signoff/housekeeping/openlane-signoff/32-housekeeping.lef-count.log similarity index 100% rename from signoff/housekeeping/32-housekeeping.lef-count.log rename to signoff/housekeeping/openlane-signoff/32-housekeeping.lef-count.log diff --git a/signoff/housekeeping/32-lvs.lef.log b/signoff/housekeeping/openlane-signoff/32-lvs.lef.log similarity index 100% rename from signoff/housekeeping/32-lvs.lef.log rename to signoff/housekeeping/openlane-signoff/32-lvs.lef.log diff --git a/signoff/housekeeping/34-antenna.log b/signoff/housekeeping/openlane-signoff/34-antenna.log similarity index 100% rename from signoff/housekeeping/34-antenna.log rename to signoff/housekeeping/openlane-signoff/34-antenna.log diff --git a/signoff/housekeeping/34-antenna_violators.rpt b/signoff/housekeeping/openlane-signoff/34-antenna_violators.rpt similarity index 100% rename from signoff/housekeeping/34-antenna_violators.rpt rename to signoff/housekeeping/openlane-signoff/34-antenna_violators.rpt diff --git a/signoff/housekeeping/drc.klayout.xml b/signoff/housekeeping/openlane-signoff/drc.klayout.xml similarity index 100% rename from signoff/housekeeping/drc.klayout.xml rename to signoff/housekeeping/openlane-signoff/drc.klayout.xml diff --git a/signoff/housekeeping/drc.rdb b/signoff/housekeeping/openlane-signoff/drc.rdb similarity index 100% rename from signoff/housekeeping/drc.rdb rename to signoff/housekeeping/openlane-signoff/drc.rdb diff --git a/signoff/housekeeping/drc.rpt b/signoff/housekeeping/openlane-signoff/drc.rpt similarity index 100% rename from signoff/housekeeping/drc.rpt rename to signoff/housekeeping/openlane-signoff/drc.rpt diff --git a/signoff/housekeeping/drc.tcl b/signoff/housekeeping/openlane-signoff/drc.tcl similarity index 100% rename from signoff/housekeeping/drc.tcl rename to signoff/housekeeping/openlane-signoff/drc.tcl diff --git a/signoff/housekeeping/drc.tr b/signoff/housekeeping/openlane-signoff/drc.tr similarity index 100% rename from signoff/housekeeping/drc.tr rename to signoff/housekeeping/openlane-signoff/drc.tr diff --git a/signoff/housekeeping/housekeeping.rpt b/signoff/housekeeping/openlane-signoff/housekeeping.rpt similarity index 100% rename from signoff/housekeeping/housekeeping.rpt rename to signoff/housekeeping/openlane-signoff/housekeeping.rpt diff --git a/signoff/housekeeping/openlane-signoff/housekeeping.rpt.debug b/signoff/housekeeping/openlane-signoff/housekeeping.rpt.debug new file mode 100644 index 00000000..e69de29b diff --git a/signoff/housekeeping/openlane-signoff/housekeeping.rpt.error b/signoff/housekeeping/openlane-signoff/housekeeping.rpt.error new file mode 100644 index 00000000..e69de29b diff --git a/signoff/housekeeping/openlane-signoff/housekeeping.sdc b/signoff/housekeeping/openlane-signoff/housekeeping.sdc new file mode 100644 index 00000000..8dfce71b --- /dev/null +++ b/signoff/housekeeping/openlane-signoff/housekeeping.sdc @@ -0,0 +1,567 @@ +############################################################################### +# Created by write_sdc +# Tue Oct 11 23:00:28 2022 +############################################################################### +current_design housekeeping +############################################################################### +# Timing Constraints +############################################################################### +create_clock -name wb_clk_i -period 25.0000 [get_ports {wb_clk_i}] +set_clock_transition 0.1500 [get_clocks {wb_clk_i}] +set_clock_uncertainty 0.3000 wb_clk_i +set_propagated_clock [get_clocks {wb_clk_i}] +create_clock -name user_clock -period 25.0000 [get_ports {user_clock}] +set_clock_transition 0.1500 [get_clocks {user_clock}] +set_clock_uncertainty 0.3000 user_clock +set_propagated_clock [get_clocks {user_clock}] +create_clock -name sck -period 100.0000 [get_ports {mgmt_gpio_in[4]}] +set_clock_transition 0.1500 [get_clocks {sck}] +set_clock_uncertainty 0.3000 sck +set_propagated_clock [get_clocks {sck}] +create_generated_clock -name wbbd_sck -source [get_ports {wb_clk_i}] -divide_by 2 [get_pins {_7205_/Q}] +set_propagated_clock [get_clocks {wbbd_sck}] +set_clock_groups -name group1 -logically_exclusive \ + -group [get_clocks {sck}]\ + -group [get_clocks {wb_clk_i}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {debug_mode}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {debug_oeb}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {debug_out}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[0]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[10]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[11]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[12]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[13]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[14]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[15]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[16]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[17]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[18]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[19]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[1]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[20]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[21]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[22]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[23]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[24]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[25]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[26]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[27]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[28]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[29]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[2]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[30]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[31]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[3]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[4]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[5]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[6]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[7]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[8]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mask_rev_in[9]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[0]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[10]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[11]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[12]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[13]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[14]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[15]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[16]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[17]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[18]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[19]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[1]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[20]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[21]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[22]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[23]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[24]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[25]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[26]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[27]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[28]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[29]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[2]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[30]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[31]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[32]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[33]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[34]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[35]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[36]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[37]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[3]}] +set_input_delay 0.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[4]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[5]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[6]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[7]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[8]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_in[9]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pad_flash_io0_di}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pad_flash_io1_di}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {porb}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {qspi_enabled}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {ser_tx}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spi_csb}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spi_enabled}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spi_sck}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spi_sdo}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spi_sdoenb}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spimemio_flash_clk}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spimemio_flash_csb}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spimemio_flash_io0_do}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spimemio_flash_io0_oeb}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spimemio_flash_io1_do}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spimemio_flash_io1_oeb}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spimemio_flash_io2_do}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spimemio_flash_io2_oeb}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spimemio_flash_io3_do}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spimemio_flash_io3_oeb}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {trap}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {uart_enabled}] +set_input_delay 0.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {user_clock}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {usr1_vcc_pwrgood}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {usr1_vdd_pwrgood}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {usr2_vcc_pwrgood}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {usr2_vdd_pwrgood}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[0]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[10]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[11]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[12]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[13]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[14]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[15]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[16]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[17]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[18]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[19]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[1]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[20]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[21]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[22]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[23]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[24]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[25]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[26]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[27]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[28]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[29]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[2]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[30]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[31]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[3]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[4]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[5]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[6]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[7]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[8]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_adr_i[9]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_cyc_i}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[0]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[10]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[11]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[12]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[13]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[14]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[15]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[16]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[17]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[18]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[19]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[1]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[20]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[21]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[22]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[23]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[24]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[25]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[26]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[27]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[28]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[29]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[2]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[30]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[31]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[3]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[4]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[5]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[6]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[7]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[8]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_i[9]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_rstn_i}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_sel_i[0]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_sel_i[1]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_sel_i[2]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_sel_i[3]}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_stb_i}] +set_input_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_we_i}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {debug_in}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {irq[0]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {irq[1]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {irq[2]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[0]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[10]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[11]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[12]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[13]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[14]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[15]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[16]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[17]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[18]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[19]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[1]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[20]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[21]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[22]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[23]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[24]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[25]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[26]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[27]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[28]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[29]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[2]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[30]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[31]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[32]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[33]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[34]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[35]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[36]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[37]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[3]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[4]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[5]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[6]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[7]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[8]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_oeb[9]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[0]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[10]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[11]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[12]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[13]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[14]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[15]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[16]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[17]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[18]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[19]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[1]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[20]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[21]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[22]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[23]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[24]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[25]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[26]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[27]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[28]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[29]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[2]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[30]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[31]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[32]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[33]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[34]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[35]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[36]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[37]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[3]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[4]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[5]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[6]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[7]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[8]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {mgmt_gpio_out[9]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pad_flash_clk}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pad_flash_clk_oeb}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pad_flash_csb}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pad_flash_csb_oeb}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pad_flash_io0_do}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pad_flash_io0_ieb}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pad_flash_io0_oeb}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pad_flash_io1_do}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pad_flash_io1_ieb}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pad_flash_io1_oeb}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll90_sel[0]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll90_sel[1]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll90_sel[2]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_bypass}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_dco_ena}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_div[0]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_div[1]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_div[2]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_div[3]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_div[4]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_ena}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_sel[0]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_sel[1]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_sel[2]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[0]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[10]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[11]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[12]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[13]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[14]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[15]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[16]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[17]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[18]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[19]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[1]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[20]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[21]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[22]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[23]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[24]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[25]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[2]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[3]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[4]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[5]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[6]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[7]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[8]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pll_trim[9]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pwr_ctrl_out[0]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pwr_ctrl_out[1]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pwr_ctrl_out[2]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {pwr_ctrl_out[3]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {reset}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {ser_rx}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {serial_data_1}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {serial_data_2}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {serial_load}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {serial_resetn}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spi_sdi}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spimemio_flash_io0_di}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spimemio_flash_io1_di}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spimemio_flash_io2_di}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {spimemio_flash_io3_di}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_ack_o}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[0]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[10]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[11]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[12]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[13]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[14]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[15]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[16]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[17]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[18]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[19]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[1]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[20]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[21]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[22]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[23]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[24]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[25]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[26]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[27]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[28]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[29]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[2]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[30]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[31]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[3]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[4]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[5]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[6]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[7]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[8]}] +set_output_delay 10.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_dat_o[9]}] +set_false_path\ + -from [list [get_ports {porb}]\ + [get_ports {wb_rstn_i}]] +############################################################################### +# Environment +############################################################################### +set_load -pin_load 0.2100 [get_ports {debug_in}] +set_load -pin_load 0.2100 [get_ports {pad_flash_clk}] +set_load -pin_load 0.2100 [get_ports {pad_flash_clk_oeb}] +set_load -pin_load 0.2100 [get_ports {pad_flash_csb}] +set_load -pin_load 0.2100 [get_ports {pad_flash_csb_oeb}] +set_load -pin_load 0.2100 [get_ports {pad_flash_io0_do}] +set_load -pin_load 0.2100 [get_ports {pad_flash_io0_ieb}] +set_load -pin_load 0.2100 [get_ports {pad_flash_io0_oeb}] +set_load -pin_load 0.2100 [get_ports {pad_flash_io1_do}] +set_load -pin_load 0.2100 [get_ports {pad_flash_io1_ieb}] +set_load -pin_load 0.2100 [get_ports {pad_flash_io1_oeb}] +set_load -pin_load 0.2100 [get_ports {pll_bypass}] +set_load -pin_load 0.2100 [get_ports {pll_dco_ena}] +set_load -pin_load 0.2100 [get_ports {pll_ena}] +set_load -pin_load 0.2100 [get_ports {reset}] +set_load -pin_load 0.2100 [get_ports {ser_rx}] +set_load -pin_load 0.2100 [get_ports {serial_clock}] +set_load -pin_load 0.2100 [get_ports {serial_data_1}] +set_load -pin_load 0.2100 [get_ports {serial_data_2}] +set_load -pin_load 0.2100 [get_ports {serial_load}] +set_load -pin_load 0.2100 [get_ports {serial_resetn}] +set_load -pin_load 0.2100 [get_ports {spi_sdi}] +set_load -pin_load 0.2100 [get_ports {spimemio_flash_io0_di}] +set_load -pin_load 0.2100 [get_ports {spimemio_flash_io1_di}] +set_load -pin_load 0.2100 [get_ports {spimemio_flash_io2_di}] +set_load -pin_load 0.2100 [get_ports {spimemio_flash_io3_di}] +set_load -pin_load 0.2100 [get_ports {wb_ack_o}] +set_load -pin_load 0.2100 [get_ports {irq[2]}] +set_load -pin_load 0.2100 [get_ports {irq[1]}] +set_load -pin_load 0.2100 [get_ports {irq[0]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_oeb[37]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_oeb[36]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_oeb[35]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_oeb[34]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_oeb[33]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_oeb[32]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_oeb[31]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_oeb[30]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_oeb[29]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_oeb[28]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_oeb[27]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_oeb[26]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_oeb[25]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_oeb[24]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_oeb[23]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_oeb[22]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_oeb[21]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_oeb[20]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_oeb[19]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_oeb[18]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_oeb[17]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_oeb[16]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_oeb[15]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_oeb[14]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_oeb[13]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_oeb[12]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_oeb[11]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_oeb[10]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_oeb[9]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_oeb[8]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_oeb[7]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_oeb[6]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_oeb[5]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_oeb[4]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_oeb[3]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_oeb[2]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_oeb[1]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_oeb[0]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_out[37]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_out[36]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_out[35]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_out[34]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_out[33]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_out[32]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_out[31]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_out[30]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_out[29]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_out[28]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_out[27]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_out[26]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_out[25]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_out[24]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_out[23]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_out[22]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_out[21]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_out[20]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_out[19]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_out[18]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_out[17]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_out[16]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_out[15]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_out[14]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_out[13]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_out[12]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_out[11]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_out[10]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_out[9]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_out[8]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_out[7]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_out[6]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_out[5]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_out[4]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_out[3]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_out[2]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_out[1]}] +set_load -pin_load 0.2100 [get_ports {mgmt_gpio_out[0]}] +set_load -pin_load 0.2100 [get_ports {pll90_sel[2]}] +set_load -pin_load 0.2100 [get_ports {pll90_sel[1]}] +set_load -pin_load 0.2100 [get_ports {pll90_sel[0]}] +set_load -pin_load 0.2100 [get_ports {pll_div[4]}] +set_load -pin_load 0.2100 [get_ports {pll_div[3]}] +set_load -pin_load 0.2100 [get_ports {pll_div[2]}] +set_load -pin_load 0.2100 [get_ports {pll_div[1]}] +set_load -pin_load 0.2100 [get_ports {pll_div[0]}] +set_load -pin_load 0.2100 [get_ports {pll_sel[2]}] +set_load -pin_load 0.2100 [get_ports {pll_sel[1]}] +set_load -pin_load 0.2100 [get_ports {pll_sel[0]}] +set_load -pin_load 0.2100 [get_ports {pll_trim[25]}] +set_load -pin_load 0.2100 [get_ports {pll_trim[24]}] +set_load -pin_load 0.2100 [get_ports {pll_trim[23]}] +set_load -pin_load 0.2100 [get_ports {pll_trim[22]}] +set_load -pin_load 0.2100 [get_ports {pll_trim[21]}] +set_load -pin_load 0.2100 [get_ports {pll_trim[20]}] +set_load -pin_load 0.2100 [get_ports {pll_trim[19]}] +set_load -pin_load 0.2100 [get_ports {pll_trim[18]}] +set_load -pin_load 0.2100 [get_ports {pll_trim[17]}] +set_load -pin_load 0.2100 [get_ports {pll_trim[16]}] +set_load -pin_load 0.2100 [get_ports {pll_trim[15]}] +set_load -pin_load 0.2100 [get_ports {pll_trim[14]}] +set_load -pin_load 0.2100 [get_ports {pll_trim[13]}] +set_load -pin_load 0.2100 [get_ports {pll_trim[12]}] +set_load -pin_load 0.2100 [get_ports {pll_trim[11]}] +set_load -pin_load 0.2100 [get_ports {pll_trim[10]}] +set_load -pin_load 0.2100 [get_ports {pll_trim[9]}] +set_load -pin_load 0.2100 [get_ports {pll_trim[8]}] +set_load -pin_load 0.2100 [get_ports {pll_trim[7]}] +set_load -pin_load 0.2100 [get_ports {pll_trim[6]}] +set_load -pin_load 0.2100 [get_ports {pll_trim[5]}] +set_load -pin_load 0.2100 [get_ports {pll_trim[4]}] +set_load -pin_load 0.2100 [get_ports {pll_trim[3]}] +set_load -pin_load 0.2100 [get_ports {pll_trim[2]}] +set_load -pin_load 0.2100 [get_ports {pll_trim[1]}] +set_load -pin_load 0.2100 [get_ports {pll_trim[0]}] +set_load -pin_load 0.2100 [get_ports {pwr_ctrl_out[3]}] +set_load -pin_load 0.2100 [get_ports {pwr_ctrl_out[2]}] +set_load -pin_load 0.2100 [get_ports {pwr_ctrl_out[1]}] +set_load -pin_load 0.2100 [get_ports {pwr_ctrl_out[0]}] +set_load -pin_load 0.2100 [get_ports {wb_dat_o[31]}] +set_load -pin_load 0.2100 [get_ports {wb_dat_o[30]}] +set_load -pin_load 0.2100 [get_ports {wb_dat_o[29]}] +set_load -pin_load 0.2100 [get_ports {wb_dat_o[28]}] +set_load -pin_load 0.2100 [get_ports {wb_dat_o[27]}] +set_load -pin_load 0.2100 [get_ports {wb_dat_o[26]}] +set_load -pin_load 0.2100 [get_ports {wb_dat_o[25]}] +set_load -pin_load 0.2100 [get_ports {wb_dat_o[24]}] +set_load -pin_load 0.2100 [get_ports {wb_dat_o[23]}] +set_load -pin_load 0.2100 [get_ports {wb_dat_o[22]}] +set_load -pin_load 0.2100 [get_ports {wb_dat_o[21]}] +set_load -pin_load 0.2100 [get_ports {wb_dat_o[20]}] +set_load -pin_load 0.2100 [get_ports {wb_dat_o[19]}] +set_load -pin_load 0.2100 [get_ports {wb_dat_o[18]}] +set_load -pin_load 0.2100 [get_ports {wb_dat_o[17]}] +set_load -pin_load 0.2100 [get_ports {wb_dat_o[16]}] +set_load -pin_load 0.2100 [get_ports {wb_dat_o[15]}] +set_load -pin_load 0.2100 [get_ports {wb_dat_o[14]}] +set_load -pin_load 0.2100 [get_ports {wb_dat_o[13]}] +set_load -pin_load 0.2100 [get_ports {wb_dat_o[12]}] +set_load -pin_load 0.2100 [get_ports {wb_dat_o[11]}] +set_load -pin_load 0.2100 [get_ports {wb_dat_o[10]}] +set_load -pin_load 0.2100 [get_ports {wb_dat_o[9]}] +set_load -pin_load 0.2100 [get_ports {wb_dat_o[8]}] +set_load -pin_load 0.2100 [get_ports {wb_dat_o[7]}] +set_load -pin_load 0.2100 [get_ports {wb_dat_o[6]}] +set_load -pin_load 0.2100 [get_ports {wb_dat_o[5]}] +set_load -pin_load 0.2100 [get_ports {wb_dat_o[4]}] +set_load -pin_load 0.2100 [get_ports {wb_dat_o[3]}] +set_load -pin_load 0.2100 [get_ports {wb_dat_o[2]}] +set_load -pin_load 0.2100 [get_ports {wb_dat_o[1]}] +set_load -pin_load 0.2100 [get_ports {wb_dat_o[0]}] +set_timing_derate -early 0.9500 +set_timing_derate -late 1.0500 +############################################################################### +# Design Rules +############################################################################### +set_max_transition 0.7500 [current_design] +set_max_fanout 20.0000 [current_design] diff --git a/signoff/housekeeping/manufacturability.rpt b/signoff/housekeeping/openlane-signoff/manufacturability.rpt similarity index 100% rename from signoff/housekeeping/manufacturability.rpt rename to signoff/housekeeping/openlane-signoff/manufacturability.rpt diff --git a/signoff/housekeeping/spice.feedback.txt b/signoff/housekeeping/openlane-signoff/spice.feedback.txt similarity index 100% rename from signoff/housekeeping/spice.feedback.txt rename to signoff/housekeeping/openlane-signoff/spice.feedback.txt diff --git a/signoff/mgmt_protect/metrics.csv b/signoff/mgmt_protect/final_summary_report.csv similarity index 100% rename from signoff/mgmt_protect/metrics.csv rename to signoff/mgmt_protect/final_summary_report.csv diff --git a/signoff/mgmt_protect/25-rcx_sta.area.rpt b/signoff/mgmt_protect/openlane-signoff/25-rcx_sta.area.rpt similarity index 100% rename from signoff/mgmt_protect/25-rcx_sta.area.rpt rename to signoff/mgmt_protect/openlane-signoff/25-rcx_sta.area.rpt diff --git a/signoff/mgmt_protect/25-rcx_sta.clock_skew.rpt b/signoff/mgmt_protect/openlane-signoff/25-rcx_sta.clock_skew.rpt similarity index 100% rename from signoff/mgmt_protect/25-rcx_sta.clock_skew.rpt rename to signoff/mgmt_protect/openlane-signoff/25-rcx_sta.clock_skew.rpt diff --git a/signoff/mgmt_protect/25-rcx_sta.max.rpt b/signoff/mgmt_protect/openlane-signoff/25-rcx_sta.max.rpt similarity index 100% rename from signoff/mgmt_protect/25-rcx_sta.max.rpt rename to signoff/mgmt_protect/openlane-signoff/25-rcx_sta.max.rpt diff --git a/signoff/mgmt_protect/25-rcx_sta.min.rpt b/signoff/mgmt_protect/openlane-signoff/25-rcx_sta.min.rpt similarity index 100% rename from signoff/mgmt_protect/25-rcx_sta.min.rpt rename to signoff/mgmt_protect/openlane-signoff/25-rcx_sta.min.rpt diff --git a/signoff/mgmt_protect/25-rcx_sta.power.rpt b/signoff/mgmt_protect/openlane-signoff/25-rcx_sta.power.rpt similarity index 100% rename from signoff/mgmt_protect/25-rcx_sta.power.rpt rename to signoff/mgmt_protect/openlane-signoff/25-rcx_sta.power.rpt diff --git a/signoff/mgmt_protect/25-rcx_sta.rpt b/signoff/mgmt_protect/openlane-signoff/25-rcx_sta.rpt similarity index 100% rename from signoff/mgmt_protect/25-rcx_sta.rpt rename to signoff/mgmt_protect/openlane-signoff/25-rcx_sta.rpt diff --git a/signoff/mgmt_protect/25-rcx_sta.slew.rpt b/signoff/mgmt_protect/openlane-signoff/25-rcx_sta.slew.rpt similarity index 100% rename from signoff/mgmt_protect/25-rcx_sta.slew.rpt rename to signoff/mgmt_protect/openlane-signoff/25-rcx_sta.slew.rpt diff --git a/signoff/mgmt_protect/25-rcx_sta.tns.rpt b/signoff/mgmt_protect/openlane-signoff/25-rcx_sta.tns.rpt similarity index 100% rename from signoff/mgmt_protect/25-rcx_sta.tns.rpt rename to signoff/mgmt_protect/openlane-signoff/25-rcx_sta.tns.rpt diff --git a/signoff/mgmt_protect/25-rcx_sta.wns.rpt b/signoff/mgmt_protect/openlane-signoff/25-rcx_sta.wns.rpt similarity index 100% rename from signoff/mgmt_protect/25-rcx_sta.wns.rpt rename to signoff/mgmt_protect/openlane-signoff/25-rcx_sta.wns.rpt diff --git a/signoff/mgmt_protect/25-rcx_sta.worst_slack.rpt b/signoff/mgmt_protect/openlane-signoff/25-rcx_sta.worst_slack.rpt similarity index 100% rename from signoff/mgmt_protect/25-rcx_sta.worst_slack.rpt rename to signoff/mgmt_protect/openlane-signoff/25-rcx_sta.worst_slack.rpt diff --git a/signoff/mgmt_protect/26-irdrop.rpt b/signoff/mgmt_protect/openlane-signoff/26-irdrop.rpt similarity index 100% rename from signoff/mgmt_protect/26-irdrop.rpt rename to signoff/mgmt_protect/openlane-signoff/26-irdrop.rpt diff --git a/signoff/mgmt_protect/29-xor.rpt b/signoff/mgmt_protect/openlane-signoff/29-xor.rpt similarity index 100% rename from signoff/mgmt_protect/29-xor.rpt rename to signoff/mgmt_protect/openlane-signoff/29-xor.rpt diff --git a/signoff/mgmt_protect/35-antenna_violators.rpt b/signoff/mgmt_protect/openlane-signoff/35-antenna_violators.rpt similarity index 100% rename from signoff/mgmt_protect/35-antenna_violators.rpt rename to signoff/mgmt_protect/openlane-signoff/35-antenna_violators.rpt diff --git a/signoff/mgmt_protect/drc.klayout.xml b/signoff/mgmt_protect/openlane-signoff/drc.klayout.xml similarity index 100% rename from signoff/mgmt_protect/drc.klayout.xml rename to signoff/mgmt_protect/openlane-signoff/drc.klayout.xml diff --git a/signoff/mgmt_protect/drc.rdb b/signoff/mgmt_protect/openlane-signoff/drc.rdb similarity index 100% rename from signoff/mgmt_protect/drc.rdb rename to signoff/mgmt_protect/openlane-signoff/drc.rdb diff --git a/signoff/mgmt_protect/drc.rpt b/signoff/mgmt_protect/openlane-signoff/drc.rpt similarity index 100% rename from signoff/mgmt_protect/drc.rpt rename to signoff/mgmt_protect/openlane-signoff/drc.rpt diff --git a/signoff/mgmt_protect/drc.tcl b/signoff/mgmt_protect/openlane-signoff/drc.tcl similarity index 100% rename from signoff/mgmt_protect/drc.tcl rename to signoff/mgmt_protect/openlane-signoff/drc.tcl diff --git a/signoff/mgmt_protect/drc.tr b/signoff/mgmt_protect/openlane-signoff/drc.tr similarity index 100% rename from signoff/mgmt_protect/drc.tr rename to signoff/mgmt_protect/openlane-signoff/drc.tr diff --git a/signoff/mgmt_protect/manufacturability.rpt b/signoff/mgmt_protect/openlane-signoff/manufacturability.rpt similarity index 100% rename from signoff/mgmt_protect/manufacturability.rpt rename to signoff/mgmt_protect/openlane-signoff/manufacturability.rpt diff --git a/openlane/mgmt_protect/signoff.sdc b/signoff/mgmt_protect/openlane-signoff/mgmt_protect.sdc similarity index 99% rename from openlane/mgmt_protect/signoff.sdc rename to signoff/mgmt_protect/openlane-signoff/mgmt_protect.sdc index 16bc9405..cd2fa641 100644 --- a/openlane/mgmt_protect/signoff.sdc +++ b/signoff/mgmt_protect/openlane-signoff/mgmt_protect.sdc @@ -1,11 +1,12 @@ -### Management Protect Signoff SDC -### Rev 1 -### Date: 9/10/2022 - +############################################################################### +# Created by write_sdc +# Sun Oct 9 23:58:50 2022 +############################################################################### +current_design mgmt_protect ############################################################################### # Timing Constraints ############################################################################### -create_clock -name v_clk -period 25.00 +create_clock -name v_clk -period 4.0000 set_input_delay 1.0000 -clock [get_clocks {v_clk}] -add_delay [get_ports {caravel_clk}] set_input_delay 1.0000 -clock [get_clocks {v_clk}] -add_delay [get_ports {caravel_clk2}] set_input_delay 1.0000 -clock [get_clocks {v_clk}] -add_delay [get_ports {caravel_rstn}] @@ -1634,4 +1635,4 @@ set_load -pin_load 0.2000 [get_ports {user_irq[0]}] ############################################################################### # Design Rules ############################################################################### -set_max_transition 1 [current_design] +set_max_transition 0.7500 [current_design] diff --git a/signoff/mgmt_protect/mgmt_protect.xor.gds b/signoff/mgmt_protect/openlane-signoff/mgmt_protect.xor.gds similarity index 100% rename from signoff/mgmt_protect/mgmt_protect.xor.gds rename to signoff/mgmt_protect/openlane-signoff/mgmt_protect.xor.gds diff --git a/signoff/mgmt_protect/mgmt_protect.xor.xml b/signoff/mgmt_protect/openlane-signoff/mgmt_protect.xor.xml similarity index 100% rename from signoff/mgmt_protect/mgmt_protect.xor.xml rename to signoff/mgmt_protect/openlane-signoff/mgmt_protect.xor.xml diff --git a/signoff/mgmt_protect/spice.feedback.txt b/signoff/mgmt_protect/openlane-signoff/spice.feedback.txt similarity index 100% rename from signoff/mgmt_protect/spice.feedback.txt rename to signoff/mgmt_protect/openlane-signoff/spice.feedback.txt diff --git a/sdc/mgmt_protect_hv.sdc b/signoff/mgmt_protect_hv/openlane-signoff/mgmt_protect_hv.sdc similarity index 100% rename from sdc/mgmt_protect_hv.sdc rename to signoff/mgmt_protect_hv/openlane-signoff/mgmt_protect_hv.sdc diff --git a/sdc/mprj2_logic_high.sdc b/signoff/mprj2_logic_high/openlane-signoff/mprj2_logic_high.sdc similarity index 100% rename from sdc/mprj2_logic_high.sdc rename to signoff/mprj2_logic_high/openlane-signoff/mprj2_logic_high.sdc diff --git a/sdc/mprj_logic_high.sdc b/signoff/mprj_logic_high/openlane-signoff/mprj_logic_high.sdc similarity index 100% rename from sdc/mprj_logic_high.sdc rename to signoff/mprj_logic_high/openlane-signoff/mprj_logic_high.sdc diff --git a/sdc/simple_por.sdc b/signoff/simple_por/openlane-signoff/simple_por.sdc similarity index 100% rename from sdc/simple_por.sdc rename to signoff/simple_por/openlane-signoff/simple_por.sdc diff --git a/sdc/spare_logic_block.sdc b/signoff/spare_logic_block/openlane-signoff/spare_logic_block.sdc similarity index 100% rename from sdc/spare_logic_block.sdc rename to signoff/spare_logic_block/openlane-signoff/spare_logic_block.sdc diff --git a/sdc/xres_buf.sdc b/signoff/xres_buf/openlane-signoff/xres_buf.sdc similarity index 100% rename from sdc/xres_buf.sdc rename to signoff/xres_buf/openlane-signoff/xres_buf.sdc diff --git a/spef/caravan/caravan.nom.spef b/spef/caravan/caravan.nom.spef new file mode 100644 index 00000000..2ebe5742 --- /dev/null +++ b/spef/caravan/caravan.nom.spef @@ -0,0 +1,71420 @@ +*SPEF "ieee 1481-1999" +*DESIGN "caravan" +*DATE "11:11:11 Fri 11 11, 1111" +*VENDOR "OpenRCX" +*PROGRAM "Parallel Extraction" +*VERSION "1.0" +*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE" +*DIVIDER / +*DELIMITER : +*BUS_DELIMITER [] +*T_UNIT 1 NS +*C_UNIT 1 PF +*R_UNIT 1 OHM +*L_UNIT 1 HENRY + +*NAME_MAP +*1 clock +*2 flash_clk +*3 flash_csb +*4 flash_io0 +*5 flash_io1 +*6 gpio +*7 mprj_io[0] +*8 mprj_io[10] +*9 mprj_io[11] +*10 mprj_io[12] +*11 mprj_io[13] +*12 mprj_io[14] +*13 mprj_io[15] +*14 mprj_io[16] +*15 mprj_io[17] +*16 mprj_io[18] +*17 mprj_io[19] +*18 mprj_io[1] +*19 mprj_io[20] +*20 mprj_io[21] +*21 mprj_io[22] +*22 mprj_io[23] +*23 mprj_io[24] +*24 mprj_io[25] +*25 mprj_io[26] +*26 mprj_io[27] +*27 mprj_io[28] +*28 mprj_io[29] +*29 mprj_io[2] +*30 mprj_io[30] +*31 mprj_io[31] +*32 mprj_io[32] +*33 mprj_io[33] +*34 mprj_io[34] +*35 mprj_io[35] +*36 mprj_io[36] +*37 mprj_io[37] +*38 mprj_io[3] +*39 mprj_io[4] +*40 mprj_io[5] +*41 mprj_io[6] +*42 mprj_io[7] +*43 mprj_io[8] +*44 mprj_io[9] +*45 resetb +*46 vccd1 +*47 vccd2 +*48 vdda +*49 vdda1 +*50 vdda1_2 +*51 vdda2 +*52 vddio_2 +*53 vssa1 +*54 vssa1_2 +*55 vssa2 +*56 vssd1 +*57 vssd2 +*58 vssio_2 +*59 vddio +*60 vssio +*61 vssa +*62 vccd +*63 vssd +*64 caravel_clk +*65 caravel_clk2 +*66 caravel_rstn +*67 clock_core +*68 debug_in +*69 debug_mode +*70 debug_oeb +*71 debug_out +*72 ext_clk_sel +*73 ext_reset +*74 flash_clk_core +*75 flash_clk_frame +*76 flash_clk_oeb +*77 flash_csb_core +*78 flash_csb_frame +*79 flash_csb_oeb +*80 flash_io0_di +*81 flash_io0_di_core +*82 flash_io0_do +*83 flash_io0_do_core +*84 flash_io0_ieb +*85 flash_io0_oeb +*86 flash_io0_oeb_core +*87 flash_io1_di +*88 flash_io1_di_core +*89 flash_io1_do +*90 flash_io1_do_core +*91 flash_io1_ieb +*92 flash_io1_oeb +*93 flash_io1_oeb_core +*94 flash_io2_di_core +*95 flash_io2_do_core +*96 flash_io2_oeb_core +*97 flash_io3_di_core +*98 flash_io3_do_core +*99 flash_io3_oeb_core +*100 gpio_clock_1\[0\] +*101 gpio_clock_1\[10\] +*102 gpio_clock_1\[11\] +*103 gpio_clock_1\[12\] +*104 gpio_clock_1\[1\] +*105 gpio_clock_1\[2\] +*106 gpio_clock_1\[3\] +*107 gpio_clock_1\[4\] +*108 gpio_clock_1\[5\] +*109 gpio_clock_1\[6\] +*110 gpio_clock_1\[7\] +*111 gpio_clock_1\[8\] +*112 gpio_clock_1\[9\] +*113 gpio_clock_1_shifted\[0\] +*114 gpio_defaults\[0\] +*115 gpio_defaults\[100\] +*116 gpio_defaults\[101\] +*117 gpio_defaults\[102\] +*118 gpio_defaults\[103\] +*119 gpio_defaults\[104\] +*120 gpio_defaults\[105\] +*121 gpio_defaults\[106\] +*122 gpio_defaults\[107\] +*123 gpio_defaults\[108\] +*124 gpio_defaults\[109\] +*125 gpio_defaults\[10\] +*126 gpio_defaults\[110\] +*127 gpio_defaults\[111\] +*128 gpio_defaults\[112\] +*129 gpio_defaults\[113\] +*130 gpio_defaults\[114\] +*131 gpio_defaults\[115\] +*132 gpio_defaults\[116\] +*133 gpio_defaults\[117\] +*134 gpio_defaults\[118\] +*135 gpio_defaults\[119\] +*136 gpio_defaults\[11\] +*137 gpio_defaults\[120\] +*138 gpio_defaults\[121\] +*139 gpio_defaults\[122\] +*140 gpio_defaults\[123\] +*141 gpio_defaults\[124\] +*142 gpio_defaults\[125\] +*143 gpio_defaults\[126\] +*144 gpio_defaults\[127\] +*145 gpio_defaults\[128\] +*146 gpio_defaults\[129\] +*147 gpio_defaults\[12\] +*148 gpio_defaults\[130\] +*149 gpio_defaults\[131\] +*150 gpio_defaults\[132\] +*151 gpio_defaults\[133\] +*152 gpio_defaults\[134\] +*153 gpio_defaults\[135\] +*154 gpio_defaults\[136\] +*155 gpio_defaults\[137\] +*156 gpio_defaults\[138\] +*157 gpio_defaults\[139\] +*158 gpio_defaults\[13\] +*159 gpio_defaults\[140\] +*160 gpio_defaults\[141\] +*161 gpio_defaults\[142\] +*162 gpio_defaults\[143\] +*163 gpio_defaults\[144\] +*164 gpio_defaults\[145\] +*165 gpio_defaults\[146\] +*166 gpio_defaults\[147\] +*167 gpio_defaults\[148\] +*168 gpio_defaults\[149\] +*169 gpio_defaults\[14\] +*170 gpio_defaults\[150\] +*171 gpio_defaults\[151\] +*172 gpio_defaults\[152\] +*173 gpio_defaults\[153\] +*174 gpio_defaults\[154\] +*175 gpio_defaults\[155\] +*176 gpio_defaults\[156\] +*177 gpio_defaults\[157\] +*178 gpio_defaults\[158\] +*179 gpio_defaults\[159\] +*180 gpio_defaults\[15\] +*181 gpio_defaults\[160\] +*182 gpio_defaults\[161\] +*183 gpio_defaults\[162\] +*184 gpio_defaults\[163\] +*185 gpio_defaults\[164\] +*186 gpio_defaults\[165\] +*187 gpio_defaults\[166\] +*188 gpio_defaults\[167\] +*189 gpio_defaults\[168\] +*190 gpio_defaults\[169\] +*191 gpio_defaults\[16\] +*192 gpio_defaults\[170\] +*193 gpio_defaults\[171\] +*194 gpio_defaults\[172\] +*195 gpio_defaults\[173\] +*196 gpio_defaults\[174\] +*197 gpio_defaults\[175\] +*198 gpio_defaults\[176\] +*199 gpio_defaults\[177\] +*200 gpio_defaults\[178\] +*201 gpio_defaults\[179\] +*202 gpio_defaults\[17\] +*203 gpio_defaults\[180\] +*204 gpio_defaults\[181\] +*205 gpio_defaults\[182\] +*206 gpio_defaults\[183\] +*207 gpio_defaults\[184\] +*208 gpio_defaults\[185\] +*209 gpio_defaults\[186\] +*210 gpio_defaults\[187\] +*211 gpio_defaults\[188\] +*212 gpio_defaults\[189\] +*213 gpio_defaults\[18\] +*214 gpio_defaults\[190\] +*215 gpio_defaults\[191\] +*216 gpio_defaults\[192\] +*217 gpio_defaults\[193\] +*218 gpio_defaults\[194\] +*219 gpio_defaults\[195\] +*220 gpio_defaults\[196\] +*221 gpio_defaults\[197\] +*222 gpio_defaults\[198\] +*223 gpio_defaults\[199\] +*224 gpio_defaults\[19\] +*225 gpio_defaults\[1\] +*226 gpio_defaults\[200\] +*227 gpio_defaults\[201\] +*228 gpio_defaults\[202\] +*229 gpio_defaults\[203\] +*230 gpio_defaults\[204\] +*231 gpio_defaults\[205\] +*232 gpio_defaults\[206\] +*233 gpio_defaults\[207\] +*234 gpio_defaults\[208\] +*235 gpio_defaults\[209\] +*236 gpio_defaults\[20\] +*237 gpio_defaults\[210\] +*238 gpio_defaults\[211\] +*239 gpio_defaults\[212\] +*240 gpio_defaults\[213\] +*241 gpio_defaults\[214\] +*242 gpio_defaults\[215\] +*243 gpio_defaults\[216\] +*244 gpio_defaults\[217\] +*245 gpio_defaults\[218\] +*246 gpio_defaults\[219\] +*247 gpio_defaults\[21\] +*248 gpio_defaults\[220\] +*249 gpio_defaults\[221\] +*250 gpio_defaults\[222\] +*251 gpio_defaults\[223\] +*252 gpio_defaults\[224\] +*253 gpio_defaults\[225\] +*254 gpio_defaults\[226\] +*255 gpio_defaults\[227\] +*256 gpio_defaults\[228\] +*257 gpio_defaults\[229\] +*258 gpio_defaults\[22\] +*259 gpio_defaults\[230\] +*260 gpio_defaults\[231\] +*261 gpio_defaults\[232\] +*262 gpio_defaults\[233\] +*263 gpio_defaults\[234\] +*264 gpio_defaults\[235\] +*265 gpio_defaults\[236\] +*266 gpio_defaults\[237\] +*267 gpio_defaults\[238\] +*268 gpio_defaults\[239\] +*269 gpio_defaults\[23\] +*270 gpio_defaults\[240\] +*271 gpio_defaults\[241\] +*272 gpio_defaults\[242\] +*273 gpio_defaults\[243\] +*274 gpio_defaults\[244\] +*275 gpio_defaults\[245\] +*276 gpio_defaults\[246\] +*277 gpio_defaults\[247\] +*278 gpio_defaults\[248\] +*279 gpio_defaults\[249\] +*280 gpio_defaults\[24\] +*281 gpio_defaults\[250\] +*282 gpio_defaults\[251\] +*283 gpio_defaults\[252\] +*284 gpio_defaults\[253\] +*285 gpio_defaults\[254\] +*286 gpio_defaults\[255\] +*287 gpio_defaults\[256\] +*288 gpio_defaults\[257\] +*289 gpio_defaults\[258\] +*290 gpio_defaults\[259\] +*291 gpio_defaults\[25\] +*292 gpio_defaults\[260\] +*293 gpio_defaults\[261\] +*294 gpio_defaults\[262\] +*295 gpio_defaults\[263\] +*296 gpio_defaults\[264\] +*297 gpio_defaults\[265\] +*298 gpio_defaults\[266\] +*299 gpio_defaults\[267\] +*300 gpio_defaults\[268\] +*301 gpio_defaults\[269\] +*302 gpio_defaults\[26\] +*303 gpio_defaults\[270\] +*304 gpio_defaults\[271\] +*305 gpio_defaults\[272\] +*306 gpio_defaults\[273\] +*307 gpio_defaults\[274\] +*308 gpio_defaults\[275\] +*309 gpio_defaults\[276\] +*310 gpio_defaults\[277\] +*311 gpio_defaults\[278\] +*312 gpio_defaults\[279\] +*313 gpio_defaults\[27\] +*314 gpio_defaults\[280\] +*315 gpio_defaults\[281\] +*316 gpio_defaults\[282\] +*317 gpio_defaults\[283\] +*318 gpio_defaults\[284\] +*319 gpio_defaults\[285\] +*320 gpio_defaults\[286\] +*321 gpio_defaults\[287\] +*322 gpio_defaults\[288\] +*323 gpio_defaults\[289\] +*324 gpio_defaults\[28\] +*325 gpio_defaults\[290\] +*326 gpio_defaults\[291\] +*327 gpio_defaults\[292\] +*328 gpio_defaults\[293\] +*329 gpio_defaults\[294\] +*330 gpio_defaults\[295\] +*331 gpio_defaults\[296\] +*332 gpio_defaults\[297\] +*333 gpio_defaults\[298\] +*334 gpio_defaults\[299\] +*335 gpio_defaults\[29\] +*336 gpio_defaults\[2\] +*337 gpio_defaults\[300\] +*338 gpio_defaults\[301\] +*339 gpio_defaults\[302\] +*340 gpio_defaults\[303\] +*341 gpio_defaults\[304\] +*342 gpio_defaults\[305\] +*343 gpio_defaults\[306\] +*344 gpio_defaults\[307\] +*345 gpio_defaults\[308\] +*346 gpio_defaults\[309\] +*347 gpio_defaults\[30\] +*348 gpio_defaults\[310\] +*349 gpio_defaults\[311\] +*350 gpio_defaults\[312\] +*351 gpio_defaults\[313\] +*352 gpio_defaults\[314\] +*353 gpio_defaults\[315\] +*354 gpio_defaults\[316\] +*355 gpio_defaults\[317\] +*356 gpio_defaults\[318\] +*357 gpio_defaults\[319\] +*358 gpio_defaults\[31\] +*359 gpio_defaults\[320\] +*360 gpio_defaults\[321\] +*361 gpio_defaults\[322\] +*362 gpio_defaults\[323\] +*363 gpio_defaults\[324\] +*364 gpio_defaults\[325\] +*365 gpio_defaults\[326\] +*366 gpio_defaults\[327\] +*367 gpio_defaults\[328\] +*368 gpio_defaults\[329\] +*369 gpio_defaults\[32\] +*370 gpio_defaults\[330\] +*371 gpio_defaults\[331\] +*372 gpio_defaults\[332\] +*373 gpio_defaults\[333\] +*374 gpio_defaults\[334\] +*375 gpio_defaults\[335\] +*376 gpio_defaults\[336\] +*377 gpio_defaults\[337\] +*378 gpio_defaults\[338\] +*379 gpio_defaults\[339\] +*380 gpio_defaults\[33\] +*381 gpio_defaults\[340\] +*382 gpio_defaults\[341\] +*383 gpio_defaults\[342\] +*384 gpio_defaults\[343\] +*385 gpio_defaults\[344\] +*386 gpio_defaults\[345\] +*387 gpio_defaults\[346\] +*388 gpio_defaults\[347\] +*389 gpio_defaults\[348\] +*390 gpio_defaults\[349\] +*391 gpio_defaults\[34\] +*392 gpio_defaults\[350\] +*393 gpio_defaults\[35\] +*394 gpio_defaults\[36\] +*395 gpio_defaults\[37\] +*396 gpio_defaults\[38\] +*397 gpio_defaults\[39\] +*398 gpio_defaults\[3\] +*399 gpio_defaults\[40\] +*400 gpio_defaults\[41\] +*401 gpio_defaults\[42\] +*402 gpio_defaults\[43\] +*403 gpio_defaults\[44\] +*404 gpio_defaults\[45\] +*405 gpio_defaults\[46\] +*406 gpio_defaults\[47\] +*407 gpio_defaults\[48\] +*408 gpio_defaults\[49\] +*409 gpio_defaults\[4\] +*410 gpio_defaults\[50\] +*411 gpio_defaults\[51\] +*412 gpio_defaults\[52\] +*413 gpio_defaults\[53\] +*414 gpio_defaults\[54\] +*415 gpio_defaults\[55\] +*416 gpio_defaults\[56\] +*417 gpio_defaults\[57\] +*418 gpio_defaults\[58\] +*419 gpio_defaults\[59\] +*420 gpio_defaults\[5\] +*421 gpio_defaults\[60\] +*422 gpio_defaults\[61\] +*423 gpio_defaults\[62\] +*424 gpio_defaults\[63\] +*425 gpio_defaults\[64\] +*426 gpio_defaults\[65\] +*427 gpio_defaults\[66\] +*428 gpio_defaults\[67\] +*429 gpio_defaults\[68\] +*430 gpio_defaults\[69\] +*431 gpio_defaults\[6\] +*432 gpio_defaults\[70\] +*433 gpio_defaults\[71\] +*434 gpio_defaults\[72\] +*435 gpio_defaults\[73\] +*436 gpio_defaults\[74\] +*437 gpio_defaults\[75\] +*438 gpio_defaults\[76\] +*439 gpio_defaults\[77\] +*440 gpio_defaults\[78\] +*441 gpio_defaults\[79\] +*442 gpio_defaults\[7\] +*443 gpio_defaults\[80\] +*444 gpio_defaults\[81\] +*445 gpio_defaults\[82\] +*446 gpio_defaults\[83\] +*447 gpio_defaults\[84\] +*448 gpio_defaults\[85\] +*449 gpio_defaults\[86\] +*450 gpio_defaults\[87\] +*451 gpio_defaults\[88\] +*452 gpio_defaults\[89\] +*453 gpio_defaults\[8\] +*454 gpio_defaults\[90\] +*455 gpio_defaults\[91\] +*456 gpio_defaults\[92\] +*457 gpio_defaults\[93\] +*458 gpio_defaults\[94\] +*459 gpio_defaults\[95\] +*460 gpio_defaults\[96\] +*461 gpio_defaults\[97\] +*462 gpio_defaults\[98\] +*463 gpio_defaults\[99\] +*464 gpio_defaults\[9\] +*465 gpio_in_core +*466 gpio_inenb_core +*467 gpio_load_1\[0\] +*468 gpio_load_1\[10\] +*469 gpio_load_1\[11\] +*470 gpio_load_1\[12\] +*471 gpio_load_1\[1\] +*472 gpio_load_1\[2\] +*473 gpio_load_1\[3\] +*474 gpio_load_1\[4\] +*475 gpio_load_1\[5\] +*476 gpio_load_1\[6\] +*477 gpio_load_1\[7\] +*478 gpio_load_1\[8\] +*479 gpio_load_1\[9\] +*480 gpio_load_1_shifted\[0\] +*481 gpio_mode0_core +*482 gpio_mode1_core +*483 gpio_out_core +*484 gpio_outenb_core +*485 gpio_resetn_1\[0\] +*486 gpio_resetn_1\[10\] +*487 gpio_resetn_1\[11\] +*488 gpio_resetn_1\[12\] +*489 gpio_resetn_1\[1\] +*490 gpio_resetn_1\[2\] +*491 gpio_resetn_1\[3\] +*492 gpio_resetn_1\[4\] +*493 gpio_resetn_1\[5\] +*494 gpio_resetn_1\[6\] +*495 gpio_resetn_1\[7\] +*496 gpio_resetn_1\[8\] +*497 gpio_resetn_1\[9\] +*498 gpio_resetn_1_shifted\[0\] +*499 gpio_serial_link_1\[0\] +*500 gpio_serial_link_1\[10\] +*501 gpio_serial_link_1\[11\] +*502 gpio_serial_link_1\[12\] +*503 gpio_serial_link_1\[1\] +*504 gpio_serial_link_1\[2\] +*505 gpio_serial_link_1\[3\] +*506 gpio_serial_link_1\[4\] +*507 gpio_serial_link_1\[5\] +*508 gpio_serial_link_1\[6\] +*509 gpio_serial_link_1\[7\] +*510 gpio_serial_link_1\[8\] +*511 gpio_serial_link_1\[9\] +*512 gpio_serial_link_1_shifted\[0\] +*513 gpio_serial_link_2\[10\] +*514 gpio_serial_link_2\[11\] +*515 gpio_serial_link_2\[12\] +*516 gpio_serial_link_2\[1\] +*517 gpio_serial_link_2\[2\] +*518 gpio_serial_link_2\[3\] +*519 gpio_serial_link_2\[4\] +*520 gpio_serial_link_2\[5\] +*521 gpio_serial_link_2\[6\] +*522 gpio_serial_link_2\[7\] +*523 gpio_serial_link_2\[8\] +*524 gpio_serial_link_2\[9\] +*525 gpio_serial_link_2_shifted\[12\] +*526 hk_ack_i +*527 hk_dat_i\[0\] +*528 hk_dat_i\[10\] +*529 hk_dat_i\[11\] +*530 hk_dat_i\[12\] +*531 hk_dat_i\[13\] +*532 hk_dat_i\[14\] +*533 hk_dat_i\[15\] +*534 hk_dat_i\[16\] +*535 hk_dat_i\[17\] +*536 hk_dat_i\[18\] +*537 hk_dat_i\[19\] +*538 hk_dat_i\[1\] +*539 hk_dat_i\[20\] +*540 hk_dat_i\[21\] +*541 hk_dat_i\[22\] +*542 hk_dat_i\[23\] +*543 hk_dat_i\[24\] +*544 hk_dat_i\[25\] +*545 hk_dat_i\[26\] +*546 hk_dat_i\[27\] +*547 hk_dat_i\[28\] +*548 hk_dat_i\[29\] +*549 hk_dat_i\[2\] +*550 hk_dat_i\[30\] +*551 hk_dat_i\[31\] +*552 hk_dat_i\[3\] +*553 hk_dat_i\[4\] +*554 hk_dat_i\[5\] +*555 hk_dat_i\[6\] +*556 hk_dat_i\[7\] +*557 hk_dat_i\[8\] +*558 hk_dat_i\[9\] +*559 hk_stb_o +*560 hkspi_sram_addr\[0\] +*561 hkspi_sram_addr\[1\] +*562 hkspi_sram_addr\[2\] +*563 hkspi_sram_addr\[3\] +*564 hkspi_sram_addr\[4\] +*565 hkspi_sram_addr\[5\] +*566 hkspi_sram_addr\[6\] +*567 hkspi_sram_addr\[7\] +*568 hkspi_sram_clk +*569 hkspi_sram_csb +*570 hkspi_sram_data\[0\] +*571 hkspi_sram_data\[10\] +*572 hkspi_sram_data\[11\] +*573 hkspi_sram_data\[12\] +*574 hkspi_sram_data\[13\] +*575 hkspi_sram_data\[14\] +*576 hkspi_sram_data\[15\] +*577 hkspi_sram_data\[16\] +*578 hkspi_sram_data\[17\] +*579 hkspi_sram_data\[18\] +*580 hkspi_sram_data\[19\] +*581 hkspi_sram_data\[1\] +*582 hkspi_sram_data\[20\] +*583 hkspi_sram_data\[21\] +*584 hkspi_sram_data\[22\] +*585 hkspi_sram_data\[23\] +*586 hkspi_sram_data\[24\] +*587 hkspi_sram_data\[25\] +*588 hkspi_sram_data\[26\] +*589 hkspi_sram_data\[27\] +*590 hkspi_sram_data\[28\] +*591 hkspi_sram_data\[29\] +*592 hkspi_sram_data\[2\] +*593 hkspi_sram_data\[30\] +*594 hkspi_sram_data\[31\] +*595 hkspi_sram_data\[3\] +*596 hkspi_sram_data\[4\] +*597 hkspi_sram_data\[5\] +*598 hkspi_sram_data\[6\] +*599 hkspi_sram_data\[7\] +*600 hkspi_sram_data\[8\] +*601 hkspi_sram_data\[9\] +*602 irq_spi\[0\] +*603 irq_spi\[1\] +*604 irq_spi\[2\] +*605 la_data_in_mprj\[0\] +*606 la_data_in_mprj\[100\] +*607 la_data_in_mprj\[101\] +*608 la_data_in_mprj\[102\] +*609 la_data_in_mprj\[103\] +*610 la_data_in_mprj\[104\] +*611 la_data_in_mprj\[105\] +*612 la_data_in_mprj\[106\] +*613 la_data_in_mprj\[107\] +*614 la_data_in_mprj\[108\] +*615 la_data_in_mprj\[109\] +*616 la_data_in_mprj\[10\] +*617 la_data_in_mprj\[110\] +*618 la_data_in_mprj\[111\] +*619 la_data_in_mprj\[112\] +*620 la_data_in_mprj\[113\] +*621 la_data_in_mprj\[114\] +*622 la_data_in_mprj\[115\] +*623 la_data_in_mprj\[116\] +*624 la_data_in_mprj\[117\] +*625 la_data_in_mprj\[118\] +*626 la_data_in_mprj\[119\] +*627 la_data_in_mprj\[11\] +*628 la_data_in_mprj\[120\] +*629 la_data_in_mprj\[121\] +*630 la_data_in_mprj\[122\] +*631 la_data_in_mprj\[123\] +*632 la_data_in_mprj\[124\] +*633 la_data_in_mprj\[125\] +*634 la_data_in_mprj\[126\] +*635 la_data_in_mprj\[127\] +*636 la_data_in_mprj\[12\] +*637 la_data_in_mprj\[13\] +*638 la_data_in_mprj\[14\] +*639 la_data_in_mprj\[15\] +*640 la_data_in_mprj\[16\] +*641 la_data_in_mprj\[17\] +*642 la_data_in_mprj\[18\] +*643 la_data_in_mprj\[19\] +*644 la_data_in_mprj\[1\] +*645 la_data_in_mprj\[20\] +*646 la_data_in_mprj\[21\] +*647 la_data_in_mprj\[22\] +*648 la_data_in_mprj\[23\] +*649 la_data_in_mprj\[24\] +*650 la_data_in_mprj\[25\] +*651 la_data_in_mprj\[26\] +*652 la_data_in_mprj\[27\] +*653 la_data_in_mprj\[28\] +*654 la_data_in_mprj\[29\] +*655 la_data_in_mprj\[2\] +*656 la_data_in_mprj\[30\] +*657 la_data_in_mprj\[31\] +*658 la_data_in_mprj\[32\] +*659 la_data_in_mprj\[33\] +*660 la_data_in_mprj\[34\] +*661 la_data_in_mprj\[35\] +*662 la_data_in_mprj\[36\] +*663 la_data_in_mprj\[37\] +*664 la_data_in_mprj\[38\] +*665 la_data_in_mprj\[39\] +*666 la_data_in_mprj\[3\] +*667 la_data_in_mprj\[40\] +*668 la_data_in_mprj\[41\] +*669 la_data_in_mprj\[42\] +*670 la_data_in_mprj\[43\] +*671 la_data_in_mprj\[44\] +*672 la_data_in_mprj\[45\] +*673 la_data_in_mprj\[46\] +*674 la_data_in_mprj\[47\] +*675 la_data_in_mprj\[48\] +*676 la_data_in_mprj\[49\] +*677 la_data_in_mprj\[4\] +*678 la_data_in_mprj\[50\] +*679 la_data_in_mprj\[51\] +*680 la_data_in_mprj\[52\] +*681 la_data_in_mprj\[53\] +*682 la_data_in_mprj\[54\] +*683 la_data_in_mprj\[55\] +*684 la_data_in_mprj\[56\] +*685 la_data_in_mprj\[57\] +*686 la_data_in_mprj\[58\] +*687 la_data_in_mprj\[59\] +*688 la_data_in_mprj\[5\] +*689 la_data_in_mprj\[60\] +*690 la_data_in_mprj\[61\] +*691 la_data_in_mprj\[62\] +*692 la_data_in_mprj\[63\] +*693 la_data_in_mprj\[64\] +*694 la_data_in_mprj\[65\] +*695 la_data_in_mprj\[66\] +*696 la_data_in_mprj\[67\] +*697 la_data_in_mprj\[68\] +*698 la_data_in_mprj\[69\] +*699 la_data_in_mprj\[6\] +*700 la_data_in_mprj\[70\] +*701 la_data_in_mprj\[71\] +*702 la_data_in_mprj\[72\] +*703 la_data_in_mprj\[73\] +*704 la_data_in_mprj\[74\] +*705 la_data_in_mprj\[75\] +*706 la_data_in_mprj\[76\] +*707 la_data_in_mprj\[77\] +*708 la_data_in_mprj\[78\] +*709 la_data_in_mprj\[79\] +*710 la_data_in_mprj\[7\] +*711 la_data_in_mprj\[80\] +*712 la_data_in_mprj\[81\] +*713 la_data_in_mprj\[82\] +*714 la_data_in_mprj\[83\] +*715 la_data_in_mprj\[84\] +*716 la_data_in_mprj\[85\] +*717 la_data_in_mprj\[86\] +*718 la_data_in_mprj\[87\] +*719 la_data_in_mprj\[88\] +*720 la_data_in_mprj\[89\] +*721 la_data_in_mprj\[8\] +*722 la_data_in_mprj\[90\] +*723 la_data_in_mprj\[91\] +*724 la_data_in_mprj\[92\] +*725 la_data_in_mprj\[93\] +*726 la_data_in_mprj\[94\] +*727 la_data_in_mprj\[95\] +*728 la_data_in_mprj\[96\] +*729 la_data_in_mprj\[97\] +*730 la_data_in_mprj\[98\] +*731 la_data_in_mprj\[99\] +*732 la_data_in_mprj\[9\] +*733 la_data_in_user\[0\] +*734 la_data_in_user\[100\] +*735 la_data_in_user\[101\] +*736 la_data_in_user\[102\] +*737 la_data_in_user\[103\] +*738 la_data_in_user\[104\] +*739 la_data_in_user\[105\] +*740 la_data_in_user\[106\] +*741 la_data_in_user\[107\] +*742 la_data_in_user\[108\] +*743 la_data_in_user\[109\] +*744 la_data_in_user\[10\] +*745 la_data_in_user\[110\] +*746 la_data_in_user\[111\] +*747 la_data_in_user\[112\] +*748 la_data_in_user\[113\] +*749 la_data_in_user\[114\] +*750 la_data_in_user\[115\] +*751 la_data_in_user\[116\] +*752 la_data_in_user\[117\] +*753 la_data_in_user\[118\] +*754 la_data_in_user\[119\] +*755 la_data_in_user\[11\] +*756 la_data_in_user\[120\] +*757 la_data_in_user\[121\] +*758 la_data_in_user\[122\] +*759 la_data_in_user\[123\] +*760 la_data_in_user\[124\] +*761 la_data_in_user\[125\] +*762 la_data_in_user\[126\] +*763 la_data_in_user\[127\] +*764 la_data_in_user\[12\] +*765 la_data_in_user\[13\] +*766 la_data_in_user\[14\] +*767 la_data_in_user\[15\] +*768 la_data_in_user\[16\] +*769 la_data_in_user\[17\] +*770 la_data_in_user\[18\] +*771 la_data_in_user\[19\] +*772 la_data_in_user\[1\] +*773 la_data_in_user\[20\] +*774 la_data_in_user\[21\] +*775 la_data_in_user\[22\] +*776 la_data_in_user\[23\] +*777 la_data_in_user\[24\] +*778 la_data_in_user\[25\] +*779 la_data_in_user\[26\] +*780 la_data_in_user\[27\] +*781 la_data_in_user\[28\] +*782 la_data_in_user\[29\] +*783 la_data_in_user\[2\] +*784 la_data_in_user\[30\] +*785 la_data_in_user\[31\] +*786 la_data_in_user\[32\] +*787 la_data_in_user\[33\] +*788 la_data_in_user\[34\] +*789 la_data_in_user\[35\] +*790 la_data_in_user\[36\] +*791 la_data_in_user\[37\] +*792 la_data_in_user\[38\] +*793 la_data_in_user\[39\] +*794 la_data_in_user\[3\] +*795 la_data_in_user\[40\] +*796 la_data_in_user\[41\] +*797 la_data_in_user\[42\] +*798 la_data_in_user\[43\] +*799 la_data_in_user\[44\] +*800 la_data_in_user\[45\] +*801 la_data_in_user\[46\] +*802 la_data_in_user\[47\] +*803 la_data_in_user\[48\] +*804 la_data_in_user\[49\] +*805 la_data_in_user\[4\] +*806 la_data_in_user\[50\] +*807 la_data_in_user\[51\] +*808 la_data_in_user\[52\] +*809 la_data_in_user\[53\] +*810 la_data_in_user\[54\] +*811 la_data_in_user\[55\] +*812 la_data_in_user\[56\] +*813 la_data_in_user\[57\] +*814 la_data_in_user\[58\] +*815 la_data_in_user\[59\] +*816 la_data_in_user\[5\] +*817 la_data_in_user\[60\] +*818 la_data_in_user\[61\] +*819 la_data_in_user\[62\] +*820 la_data_in_user\[63\] +*821 la_data_in_user\[64\] +*822 la_data_in_user\[65\] +*823 la_data_in_user\[66\] +*824 la_data_in_user\[67\] +*825 la_data_in_user\[68\] +*826 la_data_in_user\[69\] +*827 la_data_in_user\[6\] +*828 la_data_in_user\[70\] +*829 la_data_in_user\[71\] +*830 la_data_in_user\[72\] +*831 la_data_in_user\[73\] +*832 la_data_in_user\[74\] +*833 la_data_in_user\[75\] +*834 la_data_in_user\[76\] +*835 la_data_in_user\[77\] +*836 la_data_in_user\[78\] +*837 la_data_in_user\[79\] +*838 la_data_in_user\[7\] +*839 la_data_in_user\[80\] +*840 la_data_in_user\[81\] +*841 la_data_in_user\[82\] +*842 la_data_in_user\[83\] +*843 la_data_in_user\[84\] +*844 la_data_in_user\[85\] +*845 la_data_in_user\[86\] +*846 la_data_in_user\[87\] +*847 la_data_in_user\[88\] +*848 la_data_in_user\[89\] +*849 la_data_in_user\[8\] +*850 la_data_in_user\[90\] +*851 la_data_in_user\[91\] +*852 la_data_in_user\[92\] +*853 la_data_in_user\[93\] +*854 la_data_in_user\[94\] +*855 la_data_in_user\[95\] +*856 la_data_in_user\[96\] +*857 la_data_in_user\[97\] +*858 la_data_in_user\[98\] +*859 la_data_in_user\[99\] +*860 la_data_in_user\[9\] +*861 la_data_out_mprj\[0\] +*862 la_data_out_mprj\[100\] +*863 la_data_out_mprj\[101\] +*864 la_data_out_mprj\[102\] +*865 la_data_out_mprj\[103\] +*866 la_data_out_mprj\[104\] +*867 la_data_out_mprj\[105\] +*868 la_data_out_mprj\[106\] +*869 la_data_out_mprj\[107\] +*870 la_data_out_mprj\[108\] +*871 la_data_out_mprj\[109\] +*872 la_data_out_mprj\[10\] +*873 la_data_out_mprj\[110\] +*874 la_data_out_mprj\[111\] +*875 la_data_out_mprj\[112\] +*876 la_data_out_mprj\[113\] +*877 la_data_out_mprj\[114\] +*878 la_data_out_mprj\[115\] +*879 la_data_out_mprj\[116\] +*880 la_data_out_mprj\[117\] +*881 la_data_out_mprj\[118\] +*882 la_data_out_mprj\[119\] +*883 la_data_out_mprj\[11\] +*884 la_data_out_mprj\[120\] +*885 la_data_out_mprj\[121\] +*886 la_data_out_mprj\[122\] +*887 la_data_out_mprj\[123\] +*888 la_data_out_mprj\[124\] +*889 la_data_out_mprj\[125\] +*890 la_data_out_mprj\[126\] +*891 la_data_out_mprj\[127\] +*892 la_data_out_mprj\[12\] +*893 la_data_out_mprj\[13\] +*894 la_data_out_mprj\[14\] +*895 la_data_out_mprj\[15\] +*896 la_data_out_mprj\[16\] +*897 la_data_out_mprj\[17\] +*898 la_data_out_mprj\[18\] +*899 la_data_out_mprj\[19\] +*900 la_data_out_mprj\[1\] +*901 la_data_out_mprj\[20\] +*902 la_data_out_mprj\[21\] +*903 la_data_out_mprj\[22\] +*904 la_data_out_mprj\[23\] +*905 la_data_out_mprj\[24\] +*906 la_data_out_mprj\[25\] +*907 la_data_out_mprj\[26\] +*908 la_data_out_mprj\[27\] +*909 la_data_out_mprj\[28\] +*910 la_data_out_mprj\[29\] +*911 la_data_out_mprj\[2\] +*912 la_data_out_mprj\[30\] +*913 la_data_out_mprj\[31\] +*914 la_data_out_mprj\[32\] +*915 la_data_out_mprj\[33\] +*916 la_data_out_mprj\[34\] +*917 la_data_out_mprj\[35\] +*918 la_data_out_mprj\[36\] +*919 la_data_out_mprj\[37\] +*920 la_data_out_mprj\[38\] +*921 la_data_out_mprj\[39\] +*922 la_data_out_mprj\[3\] +*923 la_data_out_mprj\[40\] +*924 la_data_out_mprj\[41\] +*925 la_data_out_mprj\[42\] +*926 la_data_out_mprj\[43\] +*927 la_data_out_mprj\[44\] +*928 la_data_out_mprj\[45\] +*929 la_data_out_mprj\[46\] +*930 la_data_out_mprj\[47\] +*931 la_data_out_mprj\[48\] +*932 la_data_out_mprj\[49\] +*933 la_data_out_mprj\[4\] +*934 la_data_out_mprj\[50\] +*935 la_data_out_mprj\[51\] +*936 la_data_out_mprj\[52\] +*937 la_data_out_mprj\[53\] +*938 la_data_out_mprj\[54\] +*939 la_data_out_mprj\[55\] +*940 la_data_out_mprj\[56\] +*941 la_data_out_mprj\[57\] +*942 la_data_out_mprj\[58\] +*943 la_data_out_mprj\[59\] +*944 la_data_out_mprj\[5\] +*945 la_data_out_mprj\[60\] +*946 la_data_out_mprj\[61\] +*947 la_data_out_mprj\[62\] +*948 la_data_out_mprj\[63\] +*949 la_data_out_mprj\[64\] +*950 la_data_out_mprj\[65\] +*951 la_data_out_mprj\[66\] +*952 la_data_out_mprj\[67\] +*953 la_data_out_mprj\[68\] +*954 la_data_out_mprj\[69\] +*955 la_data_out_mprj\[6\] +*956 la_data_out_mprj\[70\] +*957 la_data_out_mprj\[71\] +*958 la_data_out_mprj\[72\] +*959 la_data_out_mprj\[73\] +*960 la_data_out_mprj\[74\] +*961 la_data_out_mprj\[75\] +*962 la_data_out_mprj\[76\] +*963 la_data_out_mprj\[77\] +*964 la_data_out_mprj\[78\] +*965 la_data_out_mprj\[79\] +*966 la_data_out_mprj\[7\] +*967 la_data_out_mprj\[80\] +*968 la_data_out_mprj\[81\] +*969 la_data_out_mprj\[82\] +*970 la_data_out_mprj\[83\] +*971 la_data_out_mprj\[84\] +*972 la_data_out_mprj\[85\] +*973 la_data_out_mprj\[86\] +*974 la_data_out_mprj\[87\] +*975 la_data_out_mprj\[88\] +*976 la_data_out_mprj\[89\] +*977 la_data_out_mprj\[8\] +*978 la_data_out_mprj\[90\] +*979 la_data_out_mprj\[91\] +*980 la_data_out_mprj\[92\] +*981 la_data_out_mprj\[93\] +*982 la_data_out_mprj\[94\] +*983 la_data_out_mprj\[95\] +*984 la_data_out_mprj\[96\] +*985 la_data_out_mprj\[97\] +*986 la_data_out_mprj\[98\] +*987 la_data_out_mprj\[99\] +*988 la_data_out_mprj\[9\] +*989 la_data_out_user\[0\] +*990 la_data_out_user\[100\] +*991 la_data_out_user\[101\] +*992 la_data_out_user\[102\] +*993 la_data_out_user\[103\] +*994 la_data_out_user\[104\] +*995 la_data_out_user\[105\] +*996 la_data_out_user\[106\] +*997 la_data_out_user\[107\] +*998 la_data_out_user\[108\] +*999 la_data_out_user\[109\] +*1000 la_data_out_user\[10\] +*1001 la_data_out_user\[110\] +*1002 la_data_out_user\[111\] +*1003 la_data_out_user\[112\] +*1004 la_data_out_user\[113\] +*1005 la_data_out_user\[114\] +*1006 la_data_out_user\[115\] +*1007 la_data_out_user\[116\] +*1008 la_data_out_user\[117\] +*1009 la_data_out_user\[118\] +*1010 la_data_out_user\[119\] +*1011 la_data_out_user\[11\] +*1012 la_data_out_user\[120\] +*1013 la_data_out_user\[121\] +*1014 la_data_out_user\[122\] +*1015 la_data_out_user\[123\] +*1016 la_data_out_user\[124\] +*1017 la_data_out_user\[125\] +*1018 la_data_out_user\[126\] +*1019 la_data_out_user\[127\] +*1020 la_data_out_user\[12\] +*1021 la_data_out_user\[13\] +*1022 la_data_out_user\[14\] +*1023 la_data_out_user\[15\] +*1024 la_data_out_user\[16\] +*1025 la_data_out_user\[17\] +*1026 la_data_out_user\[18\] +*1027 la_data_out_user\[19\] +*1028 la_data_out_user\[1\] +*1029 la_data_out_user\[20\] +*1030 la_data_out_user\[21\] +*1031 la_data_out_user\[22\] +*1032 la_data_out_user\[23\] +*1033 la_data_out_user\[24\] +*1034 la_data_out_user\[25\] +*1035 la_data_out_user\[26\] +*1036 la_data_out_user\[27\] +*1037 la_data_out_user\[28\] +*1038 la_data_out_user\[29\] +*1039 la_data_out_user\[2\] +*1040 la_data_out_user\[30\] +*1041 la_data_out_user\[31\] +*1042 la_data_out_user\[32\] +*1043 la_data_out_user\[33\] +*1044 la_data_out_user\[34\] +*1045 la_data_out_user\[35\] +*1046 la_data_out_user\[36\] +*1047 la_data_out_user\[37\] +*1048 la_data_out_user\[38\] +*1049 la_data_out_user\[39\] +*1050 la_data_out_user\[3\] +*1051 la_data_out_user\[40\] +*1052 la_data_out_user\[41\] +*1053 la_data_out_user\[42\] +*1054 la_data_out_user\[43\] +*1055 la_data_out_user\[44\] +*1056 la_data_out_user\[45\] +*1057 la_data_out_user\[46\] +*1058 la_data_out_user\[47\] +*1059 la_data_out_user\[48\] +*1060 la_data_out_user\[49\] +*1061 la_data_out_user\[4\] +*1062 la_data_out_user\[50\] +*1063 la_data_out_user\[51\] +*1064 la_data_out_user\[52\] +*1065 la_data_out_user\[53\] +*1066 la_data_out_user\[54\] +*1067 la_data_out_user\[55\] +*1068 la_data_out_user\[56\] +*1069 la_data_out_user\[57\] +*1070 la_data_out_user\[58\] +*1071 la_data_out_user\[59\] +*1072 la_data_out_user\[5\] +*1073 la_data_out_user\[60\] +*1074 la_data_out_user\[61\] +*1075 la_data_out_user\[62\] +*1076 la_data_out_user\[63\] +*1077 la_data_out_user\[64\] +*1078 la_data_out_user\[65\] +*1079 la_data_out_user\[66\] +*1080 la_data_out_user\[67\] +*1081 la_data_out_user\[68\] +*1082 la_data_out_user\[69\] +*1083 la_data_out_user\[6\] +*1084 la_data_out_user\[70\] +*1085 la_data_out_user\[71\] +*1086 la_data_out_user\[72\] +*1087 la_data_out_user\[73\] +*1088 la_data_out_user\[74\] +*1089 la_data_out_user\[75\] +*1090 la_data_out_user\[76\] +*1091 la_data_out_user\[77\] +*1092 la_data_out_user\[78\] +*1093 la_data_out_user\[79\] +*1094 la_data_out_user\[7\] +*1095 la_data_out_user\[80\] +*1096 la_data_out_user\[81\] +*1097 la_data_out_user\[82\] +*1098 la_data_out_user\[83\] +*1099 la_data_out_user\[84\] +*1100 la_data_out_user\[85\] +*1101 la_data_out_user\[86\] +*1102 la_data_out_user\[87\] +*1103 la_data_out_user\[88\] +*1104 la_data_out_user\[89\] +*1105 la_data_out_user\[8\] +*1106 la_data_out_user\[90\] +*1107 la_data_out_user\[91\] +*1108 la_data_out_user\[92\] +*1109 la_data_out_user\[93\] +*1110 la_data_out_user\[94\] +*1111 la_data_out_user\[95\] +*1112 la_data_out_user\[96\] +*1113 la_data_out_user\[97\] +*1114 la_data_out_user\[98\] +*1115 la_data_out_user\[99\] +*1116 la_data_out_user\[9\] +*1117 la_iena_mprj\[0\] +*1118 la_iena_mprj\[100\] +*1119 la_iena_mprj\[101\] +*1120 la_iena_mprj\[102\] +*1121 la_iena_mprj\[103\] +*1122 la_iena_mprj\[104\] +*1123 la_iena_mprj\[105\] +*1124 la_iena_mprj\[106\] +*1125 la_iena_mprj\[107\] +*1126 la_iena_mprj\[108\] +*1127 la_iena_mprj\[109\] +*1128 la_iena_mprj\[10\] +*1129 la_iena_mprj\[110\] +*1130 la_iena_mprj\[111\] +*1131 la_iena_mprj\[112\] +*1132 la_iena_mprj\[113\] +*1133 la_iena_mprj\[114\] +*1134 la_iena_mprj\[115\] +*1135 la_iena_mprj\[116\] +*1136 la_iena_mprj\[117\] +*1137 la_iena_mprj\[118\] +*1138 la_iena_mprj\[119\] +*1139 la_iena_mprj\[11\] +*1140 la_iena_mprj\[120\] +*1141 la_iena_mprj\[121\] +*1142 la_iena_mprj\[122\] +*1143 la_iena_mprj\[123\] +*1144 la_iena_mprj\[124\] +*1145 la_iena_mprj\[125\] +*1146 la_iena_mprj\[126\] +*1147 la_iena_mprj\[127\] +*1148 la_iena_mprj\[12\] +*1149 la_iena_mprj\[13\] +*1150 la_iena_mprj\[14\] +*1151 la_iena_mprj\[15\] +*1152 la_iena_mprj\[16\] +*1153 la_iena_mprj\[17\] +*1154 la_iena_mprj\[18\] +*1155 la_iena_mprj\[19\] +*1156 la_iena_mprj\[1\] +*1157 la_iena_mprj\[20\] +*1158 la_iena_mprj\[21\] +*1159 la_iena_mprj\[22\] +*1160 la_iena_mprj\[23\] +*1161 la_iena_mprj\[24\] +*1162 la_iena_mprj\[25\] +*1163 la_iena_mprj\[26\] +*1164 la_iena_mprj\[27\] +*1165 la_iena_mprj\[28\] +*1166 la_iena_mprj\[29\] +*1167 la_iena_mprj\[2\] +*1168 la_iena_mprj\[30\] +*1169 la_iena_mprj\[31\] +*1170 la_iena_mprj\[32\] +*1171 la_iena_mprj\[33\] +*1172 la_iena_mprj\[34\] +*1173 la_iena_mprj\[35\] +*1174 la_iena_mprj\[36\] +*1175 la_iena_mprj\[37\] +*1176 la_iena_mprj\[38\] +*1177 la_iena_mprj\[39\] +*1178 la_iena_mprj\[3\] +*1179 la_iena_mprj\[40\] +*1180 la_iena_mprj\[41\] +*1181 la_iena_mprj\[42\] +*1182 la_iena_mprj\[43\] +*1183 la_iena_mprj\[44\] +*1184 la_iena_mprj\[45\] +*1185 la_iena_mprj\[46\] +*1186 la_iena_mprj\[47\] +*1187 la_iena_mprj\[48\] +*1188 la_iena_mprj\[49\] +*1189 la_iena_mprj\[4\] +*1190 la_iena_mprj\[50\] +*1191 la_iena_mprj\[51\] +*1192 la_iena_mprj\[52\] +*1193 la_iena_mprj\[53\] +*1194 la_iena_mprj\[54\] +*1195 la_iena_mprj\[55\] +*1196 la_iena_mprj\[56\] +*1197 la_iena_mprj\[57\] +*1198 la_iena_mprj\[58\] +*1199 la_iena_mprj\[59\] +*1200 la_iena_mprj\[5\] +*1201 la_iena_mprj\[60\] +*1202 la_iena_mprj\[61\] +*1203 la_iena_mprj\[62\] +*1204 la_iena_mprj\[63\] +*1205 la_iena_mprj\[64\] +*1206 la_iena_mprj\[65\] +*1207 la_iena_mprj\[66\] +*1208 la_iena_mprj\[67\] +*1209 la_iena_mprj\[68\] +*1210 la_iena_mprj\[69\] +*1211 la_iena_mprj\[6\] +*1212 la_iena_mprj\[70\] +*1213 la_iena_mprj\[71\] +*1214 la_iena_mprj\[72\] +*1215 la_iena_mprj\[73\] +*1216 la_iena_mprj\[74\] +*1217 la_iena_mprj\[75\] +*1218 la_iena_mprj\[76\] +*1219 la_iena_mprj\[77\] +*1220 la_iena_mprj\[78\] +*1221 la_iena_mprj\[79\] +*1222 la_iena_mprj\[7\] +*1223 la_iena_mprj\[80\] +*1224 la_iena_mprj\[81\] +*1225 la_iena_mprj\[82\] +*1226 la_iena_mprj\[83\] +*1227 la_iena_mprj\[84\] +*1228 la_iena_mprj\[85\] +*1229 la_iena_mprj\[86\] +*1230 la_iena_mprj\[87\] +*1231 la_iena_mprj\[88\] +*1232 la_iena_mprj\[89\] +*1233 la_iena_mprj\[8\] +*1234 la_iena_mprj\[90\] +*1235 la_iena_mprj\[91\] +*1236 la_iena_mprj\[92\] +*1237 la_iena_mprj\[93\] +*1238 la_iena_mprj\[94\] +*1239 la_iena_mprj\[95\] +*1240 la_iena_mprj\[96\] +*1241 la_iena_mprj\[97\] +*1242 la_iena_mprj\[98\] +*1243 la_iena_mprj\[99\] +*1244 la_iena_mprj\[9\] +*1245 la_oenb_mprj\[0\] +*1246 la_oenb_mprj\[100\] +*1247 la_oenb_mprj\[101\] +*1248 la_oenb_mprj\[102\] +*1249 la_oenb_mprj\[103\] +*1250 la_oenb_mprj\[104\] +*1251 la_oenb_mprj\[105\] +*1252 la_oenb_mprj\[106\] +*1253 la_oenb_mprj\[107\] +*1254 la_oenb_mprj\[108\] +*1255 la_oenb_mprj\[109\] +*1256 la_oenb_mprj\[10\] +*1257 la_oenb_mprj\[110\] +*1258 la_oenb_mprj\[111\] +*1259 la_oenb_mprj\[112\] +*1260 la_oenb_mprj\[113\] +*1261 la_oenb_mprj\[114\] +*1262 la_oenb_mprj\[115\] +*1263 la_oenb_mprj\[116\] +*1264 la_oenb_mprj\[117\] +*1265 la_oenb_mprj\[118\] +*1266 la_oenb_mprj\[119\] +*1267 la_oenb_mprj\[11\] +*1268 la_oenb_mprj\[120\] +*1269 la_oenb_mprj\[121\] +*1270 la_oenb_mprj\[122\] +*1271 la_oenb_mprj\[123\] +*1272 la_oenb_mprj\[124\] +*1273 la_oenb_mprj\[125\] +*1274 la_oenb_mprj\[126\] +*1275 la_oenb_mprj\[127\] +*1276 la_oenb_mprj\[12\] +*1277 la_oenb_mprj\[13\] +*1278 la_oenb_mprj\[14\] +*1279 la_oenb_mprj\[15\] +*1280 la_oenb_mprj\[16\] +*1281 la_oenb_mprj\[17\] +*1282 la_oenb_mprj\[18\] +*1283 la_oenb_mprj\[19\] +*1284 la_oenb_mprj\[1\] +*1285 la_oenb_mprj\[20\] +*1286 la_oenb_mprj\[21\] +*1287 la_oenb_mprj\[22\] +*1288 la_oenb_mprj\[23\] +*1289 la_oenb_mprj\[24\] +*1290 la_oenb_mprj\[25\] +*1291 la_oenb_mprj\[26\] +*1292 la_oenb_mprj\[27\] +*1293 la_oenb_mprj\[28\] +*1294 la_oenb_mprj\[29\] +*1295 la_oenb_mprj\[2\] +*1296 la_oenb_mprj\[30\] +*1297 la_oenb_mprj\[31\] +*1298 la_oenb_mprj\[32\] +*1299 la_oenb_mprj\[33\] +*1300 la_oenb_mprj\[34\] +*1301 la_oenb_mprj\[35\] +*1302 la_oenb_mprj\[36\] +*1303 la_oenb_mprj\[37\] +*1304 la_oenb_mprj\[38\] +*1305 la_oenb_mprj\[39\] +*1306 la_oenb_mprj\[3\] +*1307 la_oenb_mprj\[40\] +*1308 la_oenb_mprj\[41\] +*1309 la_oenb_mprj\[42\] +*1310 la_oenb_mprj\[43\] +*1311 la_oenb_mprj\[44\] +*1312 la_oenb_mprj\[45\] +*1313 la_oenb_mprj\[46\] +*1314 la_oenb_mprj\[47\] +*1315 la_oenb_mprj\[48\] +*1316 la_oenb_mprj\[49\] +*1317 la_oenb_mprj\[4\] +*1318 la_oenb_mprj\[50\] +*1319 la_oenb_mprj\[51\] +*1320 la_oenb_mprj\[52\] +*1321 la_oenb_mprj\[53\] +*1322 la_oenb_mprj\[54\] +*1323 la_oenb_mprj\[55\] +*1324 la_oenb_mprj\[56\] +*1325 la_oenb_mprj\[57\] +*1326 la_oenb_mprj\[58\] +*1327 la_oenb_mprj\[59\] +*1328 la_oenb_mprj\[5\] +*1329 la_oenb_mprj\[60\] +*1330 la_oenb_mprj\[61\] +*1331 la_oenb_mprj\[62\] +*1332 la_oenb_mprj\[63\] +*1333 la_oenb_mprj\[64\] +*1334 la_oenb_mprj\[65\] +*1335 la_oenb_mprj\[66\] +*1336 la_oenb_mprj\[67\] +*1337 la_oenb_mprj\[68\] +*1338 la_oenb_mprj\[69\] +*1339 la_oenb_mprj\[6\] +*1340 la_oenb_mprj\[70\] +*1341 la_oenb_mprj\[71\] +*1342 la_oenb_mprj\[72\] +*1343 la_oenb_mprj\[73\] +*1344 la_oenb_mprj\[74\] +*1345 la_oenb_mprj\[75\] +*1346 la_oenb_mprj\[76\] +*1347 la_oenb_mprj\[77\] +*1348 la_oenb_mprj\[78\] +*1349 la_oenb_mprj\[79\] +*1350 la_oenb_mprj\[7\] +*1351 la_oenb_mprj\[80\] +*1352 la_oenb_mprj\[81\] +*1353 la_oenb_mprj\[82\] +*1354 la_oenb_mprj\[83\] +*1355 la_oenb_mprj\[84\] +*1356 la_oenb_mprj\[85\] +*1357 la_oenb_mprj\[86\] +*1358 la_oenb_mprj\[87\] +*1359 la_oenb_mprj\[88\] +*1360 la_oenb_mprj\[89\] +*1361 la_oenb_mprj\[8\] +*1362 la_oenb_mprj\[90\] +*1363 la_oenb_mprj\[91\] +*1364 la_oenb_mprj\[92\] +*1365 la_oenb_mprj\[93\] +*1366 la_oenb_mprj\[94\] +*1367 la_oenb_mprj\[95\] +*1368 la_oenb_mprj\[96\] +*1369 la_oenb_mprj\[97\] +*1370 la_oenb_mprj\[98\] +*1371 la_oenb_mprj\[99\] +*1372 la_oenb_mprj\[9\] +*1373 la_oenb_user\[0\] +*1374 la_oenb_user\[100\] +*1375 la_oenb_user\[101\] +*1376 la_oenb_user\[102\] +*1377 la_oenb_user\[103\] +*1378 la_oenb_user\[104\] +*1379 la_oenb_user\[105\] +*1380 la_oenb_user\[106\] +*1381 la_oenb_user\[107\] +*1382 la_oenb_user\[108\] +*1383 la_oenb_user\[109\] +*1384 la_oenb_user\[10\] +*1385 la_oenb_user\[110\] +*1386 la_oenb_user\[111\] +*1387 la_oenb_user\[112\] +*1388 la_oenb_user\[113\] +*1389 la_oenb_user\[114\] +*1390 la_oenb_user\[115\] +*1391 la_oenb_user\[116\] +*1392 la_oenb_user\[117\] +*1393 la_oenb_user\[118\] +*1394 la_oenb_user\[119\] +*1395 la_oenb_user\[11\] +*1396 la_oenb_user\[120\] +*1397 la_oenb_user\[121\] +*1398 la_oenb_user\[122\] +*1399 la_oenb_user\[123\] +*1400 la_oenb_user\[124\] +*1401 la_oenb_user\[125\] +*1402 la_oenb_user\[126\] +*1403 la_oenb_user\[127\] +*1404 la_oenb_user\[12\] +*1405 la_oenb_user\[13\] +*1406 la_oenb_user\[14\] +*1407 la_oenb_user\[15\] +*1408 la_oenb_user\[16\] +*1409 la_oenb_user\[17\] +*1410 la_oenb_user\[18\] +*1411 la_oenb_user\[19\] +*1412 la_oenb_user\[1\] +*1413 la_oenb_user\[20\] +*1414 la_oenb_user\[21\] +*1415 la_oenb_user\[22\] +*1416 la_oenb_user\[23\] +*1417 la_oenb_user\[24\] +*1418 la_oenb_user\[25\] +*1419 la_oenb_user\[26\] +*1420 la_oenb_user\[27\] +*1421 la_oenb_user\[28\] +*1422 la_oenb_user\[29\] +*1423 la_oenb_user\[2\] +*1424 la_oenb_user\[30\] +*1425 la_oenb_user\[31\] +*1426 la_oenb_user\[32\] +*1427 la_oenb_user\[33\] +*1428 la_oenb_user\[34\] +*1429 la_oenb_user\[35\] +*1430 la_oenb_user\[36\] +*1431 la_oenb_user\[37\] +*1432 la_oenb_user\[38\] +*1433 la_oenb_user\[39\] +*1434 la_oenb_user\[3\] +*1435 la_oenb_user\[40\] +*1436 la_oenb_user\[41\] +*1437 la_oenb_user\[42\] +*1438 la_oenb_user\[43\] +*1439 la_oenb_user\[44\] +*1440 la_oenb_user\[45\] +*1441 la_oenb_user\[46\] +*1442 la_oenb_user\[47\] +*1443 la_oenb_user\[48\] +*1444 la_oenb_user\[49\] +*1445 la_oenb_user\[4\] +*1446 la_oenb_user\[50\] +*1447 la_oenb_user\[51\] +*1448 la_oenb_user\[52\] +*1449 la_oenb_user\[53\] +*1450 la_oenb_user\[54\] +*1451 la_oenb_user\[55\] +*1452 la_oenb_user\[56\] +*1453 la_oenb_user\[57\] +*1454 la_oenb_user\[58\] +*1455 la_oenb_user\[59\] +*1456 la_oenb_user\[5\] +*1457 la_oenb_user\[60\] +*1458 la_oenb_user\[61\] +*1459 la_oenb_user\[62\] +*1460 la_oenb_user\[63\] +*1461 la_oenb_user\[64\] +*1462 la_oenb_user\[65\] +*1463 la_oenb_user\[66\] +*1464 la_oenb_user\[67\] +*1465 la_oenb_user\[68\] +*1466 la_oenb_user\[69\] +*1467 la_oenb_user\[6\] +*1468 la_oenb_user\[70\] +*1469 la_oenb_user\[71\] +*1470 la_oenb_user\[72\] +*1471 la_oenb_user\[73\] +*1472 la_oenb_user\[74\] +*1473 la_oenb_user\[75\] +*1474 la_oenb_user\[76\] +*1475 la_oenb_user\[77\] +*1476 la_oenb_user\[78\] +*1477 la_oenb_user\[79\] +*1478 la_oenb_user\[7\] +*1479 la_oenb_user\[80\] +*1480 la_oenb_user\[81\] +*1481 la_oenb_user\[82\] +*1482 la_oenb_user\[83\] +*1483 la_oenb_user\[84\] +*1484 la_oenb_user\[85\] +*1485 la_oenb_user\[86\] +*1486 la_oenb_user\[87\] +*1487 la_oenb_user\[88\] +*1488 la_oenb_user\[89\] +*1489 la_oenb_user\[8\] +*1490 la_oenb_user\[90\] +*1491 la_oenb_user\[91\] +*1492 la_oenb_user\[92\] +*1493 la_oenb_user\[93\] +*1494 la_oenb_user\[94\] +*1495 la_oenb_user\[95\] +*1496 la_oenb_user\[96\] +*1497 la_oenb_user\[97\] +*1498 la_oenb_user\[98\] +*1499 la_oenb_user\[99\] +*1500 la_oenb_user\[9\] +*1501 mask_rev\[0\] +*1502 mask_rev\[10\] +*1503 mask_rev\[11\] +*1504 mask_rev\[12\] +*1505 mask_rev\[13\] +*1506 mask_rev\[14\] +*1507 mask_rev\[15\] +*1508 mask_rev\[16\] +*1509 mask_rev\[17\] +*1510 mask_rev\[18\] +*1511 mask_rev\[19\] +*1512 mask_rev\[1\] +*1513 mask_rev\[20\] +*1514 mask_rev\[21\] +*1515 mask_rev\[22\] +*1516 mask_rev\[23\] +*1517 mask_rev\[24\] +*1518 mask_rev\[25\] +*1519 mask_rev\[26\] +*1520 mask_rev\[27\] +*1521 mask_rev\[28\] +*1522 mask_rev\[29\] +*1523 mask_rev\[2\] +*1524 mask_rev\[30\] +*1525 mask_rev\[31\] +*1526 mask_rev\[3\] +*1527 mask_rev\[4\] +*1528 mask_rev\[5\] +*1529 mask_rev\[6\] +*1530 mask_rev\[7\] +*1531 mask_rev\[8\] +*1532 mask_rev\[9\] +*1533 mgmt_io_in\[0\] +*1534 mgmt_io_in\[10\] +*1535 mgmt_io_in\[11\] +*1536 mgmt_io_in\[12\] +*1537 mgmt_io_in\[13\] +*1538 mgmt_io_in\[14\] +*1539 mgmt_io_in\[15\] +*1540 mgmt_io_in\[16\] +*1541 mgmt_io_in\[17\] +*1542 mgmt_io_in\[18\] +*1543 mgmt_io_in\[19\] +*1544 mgmt_io_in\[1\] +*1545 mgmt_io_in\[20\] +*1546 mgmt_io_in\[21\] +*1547 mgmt_io_in\[22\] +*1548 mgmt_io_in\[23\] +*1549 mgmt_io_in\[24\] +*1550 mgmt_io_in\[25\] +*1551 mgmt_io_in\[26\] +*1552 mgmt_io_in\[27\] +*1553 mgmt_io_in\[28\] +*1554 mgmt_io_in\[29\] +*1555 mgmt_io_in\[2\] +*1556 mgmt_io_in\[30\] +*1557 mgmt_io_in\[31\] +*1558 mgmt_io_in\[32\] +*1559 mgmt_io_in\[33\] +*1560 mgmt_io_in\[34\] +*1561 mgmt_io_in\[35\] +*1562 mgmt_io_in\[36\] +*1563 mgmt_io_in\[37\] +*1564 mgmt_io_in\[3\] +*1565 mgmt_io_in\[4\] +*1566 mgmt_io_in\[5\] +*1567 mgmt_io_in\[6\] +*1568 mgmt_io_in\[7\] +*1569 mgmt_io_in\[8\] +*1570 mgmt_io_in\[9\] +*1571 mgmt_io_oeb\[2\] +*1572 mgmt_io_oeb\[3\] +*1573 mgmt_io_oeb\[4\] +*1574 mgmt_io_out\[2\] +*1575 mgmt_io_out\[3\] +*1576 mgmt_io_out\[4\] +*1577 mprj2_vcc_pwrgood +*1578 mprj2_vdd_pwrgood +*1579 mprj_ack_i_core +*1580 mprj_ack_i_user +*1581 mprj_adr_o_core\[0\] +*1582 mprj_adr_o_core\[10\] +*1583 mprj_adr_o_core\[11\] +*1584 mprj_adr_o_core\[12\] +*1585 mprj_adr_o_core\[13\] +*1586 mprj_adr_o_core\[14\] +*1587 mprj_adr_o_core\[15\] +*1588 mprj_adr_o_core\[16\] +*1589 mprj_adr_o_core\[17\] +*1590 mprj_adr_o_core\[18\] +*1591 mprj_adr_o_core\[19\] +*1592 mprj_adr_o_core\[1\] +*1593 mprj_adr_o_core\[20\] +*1594 mprj_adr_o_core\[21\] +*1595 mprj_adr_o_core\[22\] +*1596 mprj_adr_o_core\[23\] +*1597 mprj_adr_o_core\[24\] +*1598 mprj_adr_o_core\[25\] +*1599 mprj_adr_o_core\[26\] +*1600 mprj_adr_o_core\[27\] +*1601 mprj_adr_o_core\[28\] +*1602 mprj_adr_o_core\[29\] +*1603 mprj_adr_o_core\[2\] +*1604 mprj_adr_o_core\[30\] +*1605 mprj_adr_o_core\[31\] +*1606 mprj_adr_o_core\[3\] +*1607 mprj_adr_o_core\[4\] +*1608 mprj_adr_o_core\[5\] +*1609 mprj_adr_o_core\[6\] +*1610 mprj_adr_o_core\[7\] +*1611 mprj_adr_o_core\[8\] +*1612 mprj_adr_o_core\[9\] +*1613 mprj_adr_o_user\[0\] +*1614 mprj_adr_o_user\[10\] +*1615 mprj_adr_o_user\[11\] +*1616 mprj_adr_o_user\[12\] +*1617 mprj_adr_o_user\[13\] +*1618 mprj_adr_o_user\[14\] +*1619 mprj_adr_o_user\[15\] +*1620 mprj_adr_o_user\[16\] +*1621 mprj_adr_o_user\[17\] +*1622 mprj_adr_o_user\[18\] +*1623 mprj_adr_o_user\[19\] +*1624 mprj_adr_o_user\[1\] +*1625 mprj_adr_o_user\[20\] +*1626 mprj_adr_o_user\[21\] +*1627 mprj_adr_o_user\[22\] +*1628 mprj_adr_o_user\[23\] +*1629 mprj_adr_o_user\[24\] +*1630 mprj_adr_o_user\[25\] +*1631 mprj_adr_o_user\[26\] +*1632 mprj_adr_o_user\[27\] +*1633 mprj_adr_o_user\[28\] +*1634 mprj_adr_o_user\[29\] +*1635 mprj_adr_o_user\[2\] +*1636 mprj_adr_o_user\[30\] +*1637 mprj_adr_o_user\[31\] +*1638 mprj_adr_o_user\[3\] +*1639 mprj_adr_o_user\[4\] +*1640 mprj_adr_o_user\[5\] +*1641 mprj_adr_o_user\[6\] +*1642 mprj_adr_o_user\[7\] +*1643 mprj_adr_o_user\[8\] +*1644 mprj_adr_o_user\[9\] +*1645 mprj_clock +*1646 mprj_clock2 +*1647 mprj_cyc_o_core +*1648 mprj_cyc_o_user +*1649 mprj_dat_i_core\[0\] +*1650 mprj_dat_i_core\[10\] +*1651 mprj_dat_i_core\[11\] +*1652 mprj_dat_i_core\[12\] +*1653 mprj_dat_i_core\[13\] +*1654 mprj_dat_i_core\[14\] +*1655 mprj_dat_i_core\[15\] +*1656 mprj_dat_i_core\[16\] +*1657 mprj_dat_i_core\[17\] +*1658 mprj_dat_i_core\[18\] +*1659 mprj_dat_i_core\[19\] +*1660 mprj_dat_i_core\[1\] +*1661 mprj_dat_i_core\[20\] +*1662 mprj_dat_i_core\[21\] +*1663 mprj_dat_i_core\[22\] +*1664 mprj_dat_i_core\[23\] +*1665 mprj_dat_i_core\[24\] +*1666 mprj_dat_i_core\[25\] +*1667 mprj_dat_i_core\[26\] +*1668 mprj_dat_i_core\[27\] +*1669 mprj_dat_i_core\[28\] +*1670 mprj_dat_i_core\[29\] +*1671 mprj_dat_i_core\[2\] +*1672 mprj_dat_i_core\[30\] +*1673 mprj_dat_i_core\[31\] +*1674 mprj_dat_i_core\[3\] +*1675 mprj_dat_i_core\[4\] +*1676 mprj_dat_i_core\[5\] +*1677 mprj_dat_i_core\[6\] +*1678 mprj_dat_i_core\[7\] +*1679 mprj_dat_i_core\[8\] +*1680 mprj_dat_i_core\[9\] +*1681 mprj_dat_i_user\[0\] +*1682 mprj_dat_i_user\[10\] +*1683 mprj_dat_i_user\[11\] +*1684 mprj_dat_i_user\[12\] +*1685 mprj_dat_i_user\[13\] +*1686 mprj_dat_i_user\[14\] +*1687 mprj_dat_i_user\[15\] +*1688 mprj_dat_i_user\[16\] +*1689 mprj_dat_i_user\[17\] +*1690 mprj_dat_i_user\[18\] +*1691 mprj_dat_i_user\[19\] +*1692 mprj_dat_i_user\[1\] +*1693 mprj_dat_i_user\[20\] +*1694 mprj_dat_i_user\[21\] +*1695 mprj_dat_i_user\[22\] +*1696 mprj_dat_i_user\[23\] +*1697 mprj_dat_i_user\[24\] +*1698 mprj_dat_i_user\[25\] +*1699 mprj_dat_i_user\[26\] +*1700 mprj_dat_i_user\[27\] +*1701 mprj_dat_i_user\[28\] +*1702 mprj_dat_i_user\[29\] +*1703 mprj_dat_i_user\[2\] +*1704 mprj_dat_i_user\[30\] +*1705 mprj_dat_i_user\[31\] +*1706 mprj_dat_i_user\[3\] +*1707 mprj_dat_i_user\[4\] +*1708 mprj_dat_i_user\[5\] +*1709 mprj_dat_i_user\[6\] +*1710 mprj_dat_i_user\[7\] +*1711 mprj_dat_i_user\[8\] +*1712 mprj_dat_i_user\[9\] +*1713 mprj_dat_o_core\[0\] +*1714 mprj_dat_o_core\[10\] +*1715 mprj_dat_o_core\[11\] +*1716 mprj_dat_o_core\[12\] +*1717 mprj_dat_o_core\[13\] +*1718 mprj_dat_o_core\[14\] +*1719 mprj_dat_o_core\[15\] +*1720 mprj_dat_o_core\[16\] +*1721 mprj_dat_o_core\[17\] +*1722 mprj_dat_o_core\[18\] +*1723 mprj_dat_o_core\[19\] +*1724 mprj_dat_o_core\[1\] +*1725 mprj_dat_o_core\[20\] +*1726 mprj_dat_o_core\[21\] +*1727 mprj_dat_o_core\[22\] +*1728 mprj_dat_o_core\[23\] +*1729 mprj_dat_o_core\[24\] +*1730 mprj_dat_o_core\[25\] +*1731 mprj_dat_o_core\[26\] +*1732 mprj_dat_o_core\[27\] +*1733 mprj_dat_o_core\[28\] +*1734 mprj_dat_o_core\[29\] +*1735 mprj_dat_o_core\[2\] +*1736 mprj_dat_o_core\[30\] +*1737 mprj_dat_o_core\[31\] +*1738 mprj_dat_o_core\[3\] +*1739 mprj_dat_o_core\[4\] +*1740 mprj_dat_o_core\[5\] +*1741 mprj_dat_o_core\[6\] +*1742 mprj_dat_o_core\[7\] +*1743 mprj_dat_o_core\[8\] +*1744 mprj_dat_o_core\[9\] +*1745 mprj_dat_o_user\[0\] +*1746 mprj_dat_o_user\[10\] +*1747 mprj_dat_o_user\[11\] +*1748 mprj_dat_o_user\[12\] +*1749 mprj_dat_o_user\[13\] +*1750 mprj_dat_o_user\[14\] +*1751 mprj_dat_o_user\[15\] +*1752 mprj_dat_o_user\[16\] +*1753 mprj_dat_o_user\[17\] +*1754 mprj_dat_o_user\[18\] +*1755 mprj_dat_o_user\[19\] +*1756 mprj_dat_o_user\[1\] +*1757 mprj_dat_o_user\[20\] +*1758 mprj_dat_o_user\[21\] +*1759 mprj_dat_o_user\[22\] +*1760 mprj_dat_o_user\[23\] +*1761 mprj_dat_o_user\[24\] +*1762 mprj_dat_o_user\[25\] +*1763 mprj_dat_o_user\[26\] +*1764 mprj_dat_o_user\[27\] +*1765 mprj_dat_o_user\[28\] +*1766 mprj_dat_o_user\[29\] +*1767 mprj_dat_o_user\[2\] +*1768 mprj_dat_o_user\[30\] +*1769 mprj_dat_o_user\[31\] +*1770 mprj_dat_o_user\[3\] +*1771 mprj_dat_o_user\[4\] +*1772 mprj_dat_o_user\[5\] +*1773 mprj_dat_o_user\[6\] +*1774 mprj_dat_o_user\[7\] +*1775 mprj_dat_o_user\[8\] +*1776 mprj_dat_o_user\[9\] +*1777 mprj_io_analog_en\[0\] +*1778 mprj_io_analog_en\[10\] +*1779 mprj_io_analog_en\[11\] +*1780 mprj_io_analog_en\[12\] +*1781 mprj_io_analog_en\[13\] +*1782 mprj_io_analog_en\[14\] +*1783 mprj_io_analog_en\[15\] +*1784 mprj_io_analog_en\[16\] +*1785 mprj_io_analog_en\[17\] +*1786 mprj_io_analog_en\[18\] +*1787 mprj_io_analog_en\[19\] +*1788 mprj_io_analog_en\[1\] +*1789 mprj_io_analog_en\[20\] +*1790 mprj_io_analog_en\[21\] +*1791 mprj_io_analog_en\[22\] +*1792 mprj_io_analog_en\[23\] +*1793 mprj_io_analog_en\[24\] +*1794 mprj_io_analog_en\[25\] +*1795 mprj_io_analog_en\[26\] +*1796 mprj_io_analog_en\[2\] +*1797 mprj_io_analog_en\[3\] +*1798 mprj_io_analog_en\[4\] +*1799 mprj_io_analog_en\[5\] +*1800 mprj_io_analog_en\[6\] +*1801 mprj_io_analog_en\[7\] +*1802 mprj_io_analog_en\[8\] +*1803 mprj_io_analog_en\[9\] +*1804 mprj_io_analog_pol\[0\] +*1805 mprj_io_analog_pol\[10\] +*1806 mprj_io_analog_pol\[11\] +*1807 mprj_io_analog_pol\[12\] +*1808 mprj_io_analog_pol\[13\] +*1809 mprj_io_analog_pol\[14\] +*1810 mprj_io_analog_pol\[15\] +*1811 mprj_io_analog_pol\[16\] +*1812 mprj_io_analog_pol\[17\] +*1813 mprj_io_analog_pol\[18\] +*1814 mprj_io_analog_pol\[19\] +*1815 mprj_io_analog_pol\[1\] +*1816 mprj_io_analog_pol\[20\] +*1817 mprj_io_analog_pol\[21\] +*1818 mprj_io_analog_pol\[22\] +*1819 mprj_io_analog_pol\[23\] +*1820 mprj_io_analog_pol\[24\] +*1821 mprj_io_analog_pol\[25\] +*1822 mprj_io_analog_pol\[26\] +*1823 mprj_io_analog_pol\[2\] +*1824 mprj_io_analog_pol\[3\] +*1825 mprj_io_analog_pol\[4\] +*1826 mprj_io_analog_pol\[5\] +*1827 mprj_io_analog_pol\[6\] +*1828 mprj_io_analog_pol\[7\] +*1829 mprj_io_analog_pol\[8\] +*1830 mprj_io_analog_pol\[9\] +*1831 mprj_io_analog_sel\[0\] +*1832 mprj_io_analog_sel\[10\] +*1833 mprj_io_analog_sel\[11\] +*1834 mprj_io_analog_sel\[12\] +*1835 mprj_io_analog_sel\[13\] +*1836 mprj_io_analog_sel\[14\] +*1837 mprj_io_analog_sel\[15\] +*1838 mprj_io_analog_sel\[16\] +*1839 mprj_io_analog_sel\[17\] +*1840 mprj_io_analog_sel\[18\] +*1841 mprj_io_analog_sel\[19\] +*1842 mprj_io_analog_sel\[1\] +*1843 mprj_io_analog_sel\[20\] +*1844 mprj_io_analog_sel\[21\] +*1845 mprj_io_analog_sel\[22\] +*1846 mprj_io_analog_sel\[23\] +*1847 mprj_io_analog_sel\[24\] +*1848 mprj_io_analog_sel\[25\] +*1849 mprj_io_analog_sel\[26\] +*1850 mprj_io_analog_sel\[2\] +*1851 mprj_io_analog_sel\[3\] +*1852 mprj_io_analog_sel\[4\] +*1853 mprj_io_analog_sel\[5\] +*1854 mprj_io_analog_sel\[6\] +*1855 mprj_io_analog_sel\[7\] +*1856 mprj_io_analog_sel\[8\] +*1857 mprj_io_analog_sel\[9\] +*1858 mprj_io_dm\[0\] +*1859 mprj_io_dm\[10\] +*1860 mprj_io_dm\[11\] +*1861 mprj_io_dm\[12\] +*1862 mprj_io_dm\[13\] +*1863 mprj_io_dm\[14\] +*1864 mprj_io_dm\[15\] +*1865 mprj_io_dm\[16\] +*1866 mprj_io_dm\[17\] +*1867 mprj_io_dm\[18\] +*1868 mprj_io_dm\[19\] +*1869 mprj_io_dm\[1\] +*1870 mprj_io_dm\[20\] +*1871 mprj_io_dm\[21\] +*1872 mprj_io_dm\[22\] +*1873 mprj_io_dm\[23\] +*1874 mprj_io_dm\[24\] +*1875 mprj_io_dm\[25\] +*1876 mprj_io_dm\[26\] +*1877 mprj_io_dm\[27\] +*1878 mprj_io_dm\[28\] +*1879 mprj_io_dm\[29\] +*1880 mprj_io_dm\[2\] +*1881 mprj_io_dm\[30\] +*1882 mprj_io_dm\[31\] +*1883 mprj_io_dm\[32\] +*1884 mprj_io_dm\[33\] +*1885 mprj_io_dm\[34\] +*1886 mprj_io_dm\[35\] +*1887 mprj_io_dm\[36\] +*1888 mprj_io_dm\[37\] +*1889 mprj_io_dm\[38\] +*1890 mprj_io_dm\[39\] +*1891 mprj_io_dm\[3\] +*1892 mprj_io_dm\[40\] +*1893 mprj_io_dm\[41\] +*1894 mprj_io_dm\[42\] +*1895 mprj_io_dm\[43\] +*1896 mprj_io_dm\[44\] +*1897 mprj_io_dm\[45\] +*1898 mprj_io_dm\[46\] +*1899 mprj_io_dm\[47\] +*1900 mprj_io_dm\[48\] +*1901 mprj_io_dm\[49\] +*1902 mprj_io_dm\[4\] +*1903 mprj_io_dm\[50\] +*1904 mprj_io_dm\[51\] +*1905 mprj_io_dm\[52\] +*1906 mprj_io_dm\[53\] +*1907 mprj_io_dm\[54\] +*1908 mprj_io_dm\[55\] +*1909 mprj_io_dm\[56\] +*1910 mprj_io_dm\[57\] +*1911 mprj_io_dm\[58\] +*1912 mprj_io_dm\[59\] +*1913 mprj_io_dm\[5\] +*1914 mprj_io_dm\[60\] +*1915 mprj_io_dm\[61\] +*1916 mprj_io_dm\[62\] +*1917 mprj_io_dm\[63\] +*1918 mprj_io_dm\[64\] +*1919 mprj_io_dm\[65\] +*1920 mprj_io_dm\[66\] +*1921 mprj_io_dm\[67\] +*1922 mprj_io_dm\[68\] +*1923 mprj_io_dm\[69\] +*1924 mprj_io_dm\[6\] +*1925 mprj_io_dm\[70\] +*1926 mprj_io_dm\[71\] +*1927 mprj_io_dm\[72\] +*1928 mprj_io_dm\[73\] +*1929 mprj_io_dm\[74\] +*1930 mprj_io_dm\[75\] +*1931 mprj_io_dm\[76\] +*1932 mprj_io_dm\[77\] +*1933 mprj_io_dm\[78\] +*1934 mprj_io_dm\[79\] +*1935 mprj_io_dm\[7\] +*1936 mprj_io_dm\[80\] +*1937 mprj_io_dm\[8\] +*1938 mprj_io_dm\[9\] +*1939 mprj_io_holdover\[0\] +*1940 mprj_io_holdover\[10\] +*1941 mprj_io_holdover\[11\] +*1942 mprj_io_holdover\[12\] +*1943 mprj_io_holdover\[13\] +*1944 mprj_io_holdover\[14\] +*1945 mprj_io_holdover\[15\] +*1946 mprj_io_holdover\[16\] +*1947 mprj_io_holdover\[17\] +*1948 mprj_io_holdover\[18\] +*1949 mprj_io_holdover\[19\] +*1950 mprj_io_holdover\[1\] +*1951 mprj_io_holdover\[20\] +*1952 mprj_io_holdover\[21\] +*1953 mprj_io_holdover\[22\] +*1954 mprj_io_holdover\[23\] +*1955 mprj_io_holdover\[24\] +*1956 mprj_io_holdover\[25\] +*1957 mprj_io_holdover\[26\] +*1958 mprj_io_holdover\[2\] +*1959 mprj_io_holdover\[3\] +*1960 mprj_io_holdover\[4\] +*1961 mprj_io_holdover\[5\] +*1962 mprj_io_holdover\[6\] +*1963 mprj_io_holdover\[7\] +*1964 mprj_io_holdover\[8\] +*1965 mprj_io_holdover\[9\] +*1966 mprj_io_ib_mode_sel\[0\] +*1967 mprj_io_ib_mode_sel\[10\] +*1968 mprj_io_ib_mode_sel\[11\] +*1969 mprj_io_ib_mode_sel\[12\] +*1970 mprj_io_ib_mode_sel\[13\] +*1971 mprj_io_ib_mode_sel\[14\] +*1972 mprj_io_ib_mode_sel\[15\] +*1973 mprj_io_ib_mode_sel\[16\] +*1974 mprj_io_ib_mode_sel\[17\] +*1975 mprj_io_ib_mode_sel\[18\] +*1976 mprj_io_ib_mode_sel\[19\] +*1977 mprj_io_ib_mode_sel\[1\] +*1978 mprj_io_ib_mode_sel\[20\] +*1979 mprj_io_ib_mode_sel\[21\] +*1980 mprj_io_ib_mode_sel\[22\] +*1981 mprj_io_ib_mode_sel\[23\] +*1982 mprj_io_ib_mode_sel\[24\] +*1983 mprj_io_ib_mode_sel\[25\] +*1984 mprj_io_ib_mode_sel\[26\] +*1985 mprj_io_ib_mode_sel\[2\] +*1986 mprj_io_ib_mode_sel\[3\] +*1987 mprj_io_ib_mode_sel\[4\] +*1988 mprj_io_ib_mode_sel\[5\] +*1989 mprj_io_ib_mode_sel\[6\] +*1990 mprj_io_ib_mode_sel\[7\] +*1991 mprj_io_ib_mode_sel\[8\] +*1992 mprj_io_ib_mode_sel\[9\] +*1993 mprj_io_in\[0\] +*1994 mprj_io_in\[10\] +*1995 mprj_io_in\[11\] +*1996 mprj_io_in\[12\] +*1997 mprj_io_in\[13\] +*1998 mprj_io_in\[14\] +*1999 mprj_io_in\[15\] +*2000 mprj_io_in\[16\] +*2001 mprj_io_in\[17\] +*2002 mprj_io_in\[18\] +*2003 mprj_io_in\[19\] +*2004 mprj_io_in\[1\] +*2005 mprj_io_in\[20\] +*2006 mprj_io_in\[21\] +*2007 mprj_io_in\[22\] +*2008 mprj_io_in\[23\] +*2009 mprj_io_in\[24\] +*2010 mprj_io_in\[25\] +*2011 mprj_io_in\[26\] +*2012 mprj_io_in\[2\] +*2013 mprj_io_in\[3\] +*2014 mprj_io_in\[4\] +*2015 mprj_io_in\[5\] +*2016 mprj_io_in\[6\] +*2017 mprj_io_in\[7\] +*2018 mprj_io_in\[8\] +*2019 mprj_io_in\[9\] +*2020 mprj_io_in_3v3\[0\] +*2021 mprj_io_in_3v3\[10\] +*2022 mprj_io_in_3v3\[11\] +*2023 mprj_io_in_3v3\[12\] +*2024 mprj_io_in_3v3\[13\] +*2025 mprj_io_in_3v3\[14\] +*2026 mprj_io_in_3v3\[15\] +*2027 mprj_io_in_3v3\[16\] +*2028 mprj_io_in_3v3\[17\] +*2029 mprj_io_in_3v3\[18\] +*2030 mprj_io_in_3v3\[19\] +*2031 mprj_io_in_3v3\[1\] +*2032 mprj_io_in_3v3\[20\] +*2033 mprj_io_in_3v3\[21\] +*2034 mprj_io_in_3v3\[22\] +*2035 mprj_io_in_3v3\[23\] +*2036 mprj_io_in_3v3\[24\] +*2037 mprj_io_in_3v3\[25\] +*2038 mprj_io_in_3v3\[26\] +*2039 mprj_io_in_3v3\[2\] +*2040 mprj_io_in_3v3\[3\] +*2041 mprj_io_in_3v3\[4\] +*2042 mprj_io_in_3v3\[5\] +*2043 mprj_io_in_3v3\[6\] +*2044 mprj_io_in_3v3\[7\] +*2045 mprj_io_in_3v3\[8\] +*2046 mprj_io_in_3v3\[9\] +*2047 mprj_io_inp_dis\[0\] +*2048 mprj_io_inp_dis\[10\] +*2049 mprj_io_inp_dis\[11\] +*2050 mprj_io_inp_dis\[12\] +*2051 mprj_io_inp_dis\[13\] +*2052 mprj_io_inp_dis\[14\] +*2053 mprj_io_inp_dis\[15\] +*2054 mprj_io_inp_dis\[16\] +*2055 mprj_io_inp_dis\[17\] +*2056 mprj_io_inp_dis\[18\] +*2057 mprj_io_inp_dis\[19\] +*2058 mprj_io_inp_dis\[1\] +*2059 mprj_io_inp_dis\[20\] +*2060 mprj_io_inp_dis\[21\] +*2061 mprj_io_inp_dis\[22\] +*2062 mprj_io_inp_dis\[23\] +*2063 mprj_io_inp_dis\[24\] +*2064 mprj_io_inp_dis\[25\] +*2065 mprj_io_inp_dis\[26\] +*2066 mprj_io_inp_dis\[2\] +*2067 mprj_io_inp_dis\[3\] +*2068 mprj_io_inp_dis\[4\] +*2069 mprj_io_inp_dis\[5\] +*2070 mprj_io_inp_dis\[6\] +*2071 mprj_io_inp_dis\[7\] +*2072 mprj_io_inp_dis\[8\] +*2073 mprj_io_inp_dis\[9\] +*2074 mprj_io_oeb\[0\] +*2075 mprj_io_oeb\[10\] +*2076 mprj_io_oeb\[11\] +*2077 mprj_io_oeb\[12\] +*2078 mprj_io_oeb\[13\] +*2079 mprj_io_oeb\[14\] +*2080 mprj_io_oeb\[15\] +*2081 mprj_io_oeb\[16\] +*2082 mprj_io_oeb\[17\] +*2083 mprj_io_oeb\[18\] +*2084 mprj_io_oeb\[19\] +*2085 mprj_io_oeb\[1\] +*2086 mprj_io_oeb\[20\] +*2087 mprj_io_oeb\[21\] +*2088 mprj_io_oeb\[22\] +*2089 mprj_io_oeb\[23\] +*2090 mprj_io_oeb\[24\] +*2091 mprj_io_oeb\[25\] +*2092 mprj_io_oeb\[26\] +*2093 mprj_io_oeb\[2\] +*2094 mprj_io_oeb\[3\] +*2095 mprj_io_oeb\[4\] +*2096 mprj_io_oeb\[5\] +*2097 mprj_io_oeb\[6\] +*2098 mprj_io_oeb\[7\] +*2099 mprj_io_oeb\[8\] +*2100 mprj_io_oeb\[9\] +*2101 mprj_io_out\[0\] +*2102 mprj_io_out\[10\] +*2103 mprj_io_out\[11\] +*2104 mprj_io_out\[12\] +*2105 mprj_io_out\[13\] +*2106 mprj_io_out\[14\] +*2107 mprj_io_out\[15\] +*2108 mprj_io_out\[16\] +*2109 mprj_io_out\[17\] +*2110 mprj_io_out\[18\] +*2111 mprj_io_out\[19\] +*2112 mprj_io_out\[1\] +*2113 mprj_io_out\[20\] +*2114 mprj_io_out\[21\] +*2115 mprj_io_out\[22\] +*2116 mprj_io_out\[23\] +*2117 mprj_io_out\[24\] +*2118 mprj_io_out\[25\] +*2119 mprj_io_out\[26\] +*2120 mprj_io_out\[2\] +*2121 mprj_io_out\[3\] +*2122 mprj_io_out\[4\] +*2123 mprj_io_out\[5\] +*2124 mprj_io_out\[6\] +*2125 mprj_io_out\[7\] +*2126 mprj_io_out\[8\] +*2127 mprj_io_out\[9\] +*2128 mprj_io_slow_sel\[0\] +*2129 mprj_io_slow_sel\[10\] +*2130 mprj_io_slow_sel\[11\] +*2131 mprj_io_slow_sel\[12\] +*2132 mprj_io_slow_sel\[13\] +*2133 mprj_io_slow_sel\[14\] +*2134 mprj_io_slow_sel\[15\] +*2135 mprj_io_slow_sel\[16\] +*2136 mprj_io_slow_sel\[17\] +*2137 mprj_io_slow_sel\[18\] +*2138 mprj_io_slow_sel\[19\] +*2139 mprj_io_slow_sel\[1\] +*2140 mprj_io_slow_sel\[20\] +*2141 mprj_io_slow_sel\[21\] +*2142 mprj_io_slow_sel\[22\] +*2143 mprj_io_slow_sel\[23\] +*2144 mprj_io_slow_sel\[24\] +*2145 mprj_io_slow_sel\[25\] +*2146 mprj_io_slow_sel\[26\] +*2147 mprj_io_slow_sel\[2\] +*2148 mprj_io_slow_sel\[3\] +*2149 mprj_io_slow_sel\[4\] +*2150 mprj_io_slow_sel\[5\] +*2151 mprj_io_slow_sel\[6\] +*2152 mprj_io_slow_sel\[7\] +*2153 mprj_io_slow_sel\[8\] +*2154 mprj_io_slow_sel\[9\] +*2155 mprj_io_vtrip_sel\[0\] +*2156 mprj_io_vtrip_sel\[10\] +*2157 mprj_io_vtrip_sel\[11\] +*2158 mprj_io_vtrip_sel\[12\] +*2159 mprj_io_vtrip_sel\[13\] +*2160 mprj_io_vtrip_sel\[14\] +*2161 mprj_io_vtrip_sel\[15\] +*2162 mprj_io_vtrip_sel\[16\] +*2163 mprj_io_vtrip_sel\[17\] +*2164 mprj_io_vtrip_sel\[18\] +*2165 mprj_io_vtrip_sel\[19\] +*2166 mprj_io_vtrip_sel\[1\] +*2167 mprj_io_vtrip_sel\[20\] +*2168 mprj_io_vtrip_sel\[21\] +*2169 mprj_io_vtrip_sel\[22\] +*2170 mprj_io_vtrip_sel\[23\] +*2171 mprj_io_vtrip_sel\[24\] +*2172 mprj_io_vtrip_sel\[25\] +*2173 mprj_io_vtrip_sel\[26\] +*2174 mprj_io_vtrip_sel\[2\] +*2175 mprj_io_vtrip_sel\[3\] +*2176 mprj_io_vtrip_sel\[4\] +*2177 mprj_io_vtrip_sel\[5\] +*2178 mprj_io_vtrip_sel\[6\] +*2179 mprj_io_vtrip_sel\[7\] +*2180 mprj_io_vtrip_sel\[8\] +*2181 mprj_io_vtrip_sel\[9\] +*2182 mprj_reset +*2183 mprj_sel_o_core\[0\] +*2184 mprj_sel_o_core\[1\] +*2185 mprj_sel_o_core\[2\] +*2186 mprj_sel_o_core\[3\] +*2187 mprj_sel_o_user\[0\] +*2188 mprj_sel_o_user\[1\] +*2189 mprj_sel_o_user\[2\] +*2190 mprj_sel_o_user\[3\] +*2191 mprj_stb_o_core +*2192 mprj_stb_o_user +*2193 mprj_vcc_pwrgood +*2194 mprj_vdd_pwrgood +*2195 mprj_we_o_core +*2196 mprj_we_o_user +*2197 one_loop1\[0\] +*2198 one_loop1\[10\] +*2199 one_loop1\[11\] +*2200 one_loop1\[1\] +*2201 one_loop1\[2\] +*2202 one_loop1\[3\] +*2203 one_loop1\[4\] +*2204 one_loop1\[5\] +*2205 one_loop1\[6\] +*2206 one_loop1\[7\] +*2207 one_loop1\[8\] +*2208 one_loop1\[9\] +*2209 one_loop2\[0\] +*2210 one_loop2\[1\] +*2211 one_loop2\[2\] +*2212 one_loop2\[3\] +*2213 one_loop2\[4\] +*2214 one_loop2\[5\] +*2215 one_loop2\[6\] +*2216 one_loop2\[7\] +*2217 one_loop2\[8\] +*2218 one_loop2\[9\] +*2219 pll_clk +*2220 pll_clk90 +*2221 por_l +*2222 porb_h +*2223 porb_l +*2224 qspi_enabled +*2225 rstb_h +*2226 rstb_l +*2227 ser_rx +*2228 ser_tx +*2229 spi_csb +*2230 spi_enabled +*2231 spi_pll90_sel\[0\] +*2232 spi_pll90_sel\[1\] +*2233 spi_pll90_sel\[2\] +*2234 spi_pll_dco_ena +*2235 spi_pll_div\[0\] +*2236 spi_pll_div\[1\] +*2237 spi_pll_div\[2\] +*2238 spi_pll_div\[3\] +*2239 spi_pll_div\[4\] +*2240 spi_pll_ena +*2241 spi_pll_sel\[0\] +*2242 spi_pll_sel\[1\] +*2243 spi_pll_sel\[2\] +*2244 spi_pll_trim\[0\] +*2245 spi_pll_trim\[10\] +*2246 spi_pll_trim\[11\] +*2247 spi_pll_trim\[12\] +*2248 spi_pll_trim\[13\] +*2249 spi_pll_trim\[14\] +*2250 spi_pll_trim\[15\] +*2251 spi_pll_trim\[16\] +*2252 spi_pll_trim\[17\] +*2253 spi_pll_trim\[18\] +*2254 spi_pll_trim\[19\] +*2255 spi_pll_trim\[1\] +*2256 spi_pll_trim\[20\] +*2257 spi_pll_trim\[21\] +*2258 spi_pll_trim\[22\] +*2259 spi_pll_trim\[23\] +*2260 spi_pll_trim\[24\] +*2261 spi_pll_trim\[25\] +*2262 spi_pll_trim\[2\] +*2263 spi_pll_trim\[3\] +*2264 spi_pll_trim\[4\] +*2265 spi_pll_trim\[5\] +*2266 spi_pll_trim\[6\] +*2267 spi_pll_trim\[7\] +*2268 spi_pll_trim\[8\] +*2269 spi_pll_trim\[9\] +*2270 spi_sck +*2271 spi_sdi +*2272 spi_sdo +*2273 spi_sdoenb +*2274 trap +*2275 uart_enabled +*2276 user_analog\[0\] +*2277 user_analog\[10\] +*2278 user_analog\[1\] +*2279 user_analog\[2\] +*2280 user_analog\[3\] +*2281 user_analog\[4\] +*2282 user_analog\[5\] +*2283 user_analog\[6\] +*2284 user_analog\[7\] +*2285 user_analog\[8\] +*2286 user_analog\[9\] +*2287 user_clamp_high\[0\] +*2288 user_clamp_high\[1\] +*2289 user_clamp_high\[2\] +*2290 user_clamp_low\[0\] +*2291 user_clamp_low\[1\] +*2292 user_clamp_low\[2\] +*2293 user_gpio_analog\[0\] +*2294 user_gpio_analog\[10\] +*2295 user_gpio_analog\[11\] +*2296 user_gpio_analog\[12\] +*2297 user_gpio_analog\[13\] +*2298 user_gpio_analog\[14\] +*2299 user_gpio_analog\[15\] +*2300 user_gpio_analog\[16\] +*2301 user_gpio_analog\[17\] +*2302 user_gpio_analog\[1\] +*2303 user_gpio_analog\[2\] +*2304 user_gpio_analog\[3\] +*2305 user_gpio_analog\[4\] +*2306 user_gpio_analog\[5\] +*2307 user_gpio_analog\[6\] +*2308 user_gpio_analog\[7\] +*2309 user_gpio_analog\[8\] +*2310 user_gpio_analog\[9\] +*2311 user_gpio_noesd\[0\] +*2312 user_gpio_noesd\[10\] +*2313 user_gpio_noesd\[11\] +*2314 user_gpio_noesd\[12\] +*2315 user_gpio_noesd\[13\] +*2316 user_gpio_noesd\[14\] +*2317 user_gpio_noesd\[15\] +*2318 user_gpio_noesd\[16\] +*2319 user_gpio_noesd\[17\] +*2320 user_gpio_noesd\[1\] +*2321 user_gpio_noesd\[2\] +*2322 user_gpio_noesd\[3\] +*2323 user_gpio_noesd\[4\] +*2324 user_gpio_noesd\[5\] +*2325 user_gpio_noesd\[6\] +*2326 user_gpio_noesd\[7\] +*2327 user_gpio_noesd\[8\] +*2328 user_gpio_noesd\[9\] +*2329 user_io_in\[0\] +*2330 user_io_in\[10\] +*2331 user_io_in\[11\] +*2332 user_io_in\[12\] +*2333 user_io_in\[13\] +*2334 user_io_in\[14\] +*2335 user_io_in\[15\] +*2336 user_io_in\[16\] +*2337 user_io_in\[17\] +*2338 user_io_in\[18\] +*2339 user_io_in\[19\] +*2340 user_io_in\[1\] +*2341 user_io_in\[20\] +*2342 user_io_in\[21\] +*2343 user_io_in\[22\] +*2344 user_io_in\[23\] +*2345 user_io_in\[24\] +*2346 user_io_in\[25\] +*2347 user_io_in\[26\] +*2348 user_io_in\[2\] +*2349 user_io_in\[3\] +*2350 user_io_in\[4\] +*2351 user_io_in\[5\] +*2352 user_io_in\[6\] +*2353 user_io_in\[7\] +*2354 user_io_in\[8\] +*2355 user_io_in\[9\] +*2356 user_io_oeb\[0\] +*2357 user_io_oeb\[10\] +*2358 user_io_oeb\[11\] +*2359 user_io_oeb\[12\] +*2360 user_io_oeb\[13\] +*2361 user_io_oeb\[14\] +*2362 user_io_oeb\[15\] +*2363 user_io_oeb\[16\] +*2364 user_io_oeb\[17\] +*2365 user_io_oeb\[18\] +*2366 user_io_oeb\[19\] +*2367 user_io_oeb\[1\] +*2368 user_io_oeb\[20\] +*2369 user_io_oeb\[21\] +*2370 user_io_oeb\[22\] +*2371 user_io_oeb\[23\] +*2372 user_io_oeb\[24\] +*2373 user_io_oeb\[25\] +*2374 user_io_oeb\[26\] +*2375 user_io_oeb\[2\] +*2376 user_io_oeb\[3\] +*2377 user_io_oeb\[4\] +*2378 user_io_oeb\[5\] +*2379 user_io_oeb\[6\] +*2380 user_io_oeb\[7\] +*2381 user_io_oeb\[8\] +*2382 user_io_oeb\[9\] +*2383 user_io_out\[0\] +*2384 user_io_out\[10\] +*2385 user_io_out\[11\] +*2386 user_io_out\[12\] +*2387 user_io_out\[13\] +*2388 user_io_out\[14\] +*2389 user_io_out\[15\] +*2390 user_io_out\[16\] +*2391 user_io_out\[17\] +*2392 user_io_out\[18\] +*2393 user_io_out\[19\] +*2394 user_io_out\[1\] +*2395 user_io_out\[20\] +*2396 user_io_out\[21\] +*2397 user_io_out\[22\] +*2398 user_io_out\[23\] +*2399 user_io_out\[24\] +*2400 user_io_out\[25\] +*2401 user_io_out\[26\] +*2402 user_io_out\[2\] +*2403 user_io_out\[3\] +*2404 user_io_out\[4\] +*2405 user_io_out\[5\] +*2406 user_io_out\[6\] +*2407 user_io_out\[7\] +*2408 user_io_out\[8\] +*2409 user_io_out\[9\] +*2410 user_irq\[0\] +*2411 user_irq\[1\] +*2412 user_irq\[2\] +*2413 user_irq_core\[0\] +*2414 user_irq_core\[1\] +*2415 user_irq_core\[2\] +*2416 clocking +*2417 gpio_01_defaults\[0\] +*2418 gpio_01_defaults\[1\] +*2419 gpio_10_defaults +*2420 gpio_11_defaults +*2421 gpio_12_defaults +*2422 gpio_13_defaults +*2423 gpio_14_defaults +*2424 gpio_234_defaults\[0\] +*2425 gpio_234_defaults\[1\] +*2426 gpio_234_defaults\[2\] +*2427 gpio_26_defaults +*2428 gpio_27_defaults +*2429 gpio_28_defaults +*2430 gpio_29_defaults +*2431 gpio_30_defaults +*2432 gpio_31_defaults +*2433 gpio_32_defaults +*2434 gpio_33_defaults +*2435 gpio_34_defaults +*2436 gpio_35_defaults +*2437 gpio_36_defaults +*2438 gpio_37_defaults +*2439 gpio_5_defaults +*2440 gpio_6_defaults +*2441 gpio_7_defaults +*2442 gpio_8_defaults +*2443 gpio_9_defaults +*2444 gpio_control_bidir_1\[0\] +*2445 gpio_control_bidir_1\[1\] +*2446 gpio_control_bidir_2\[0\] +*2447 gpio_control_bidir_2\[1\] +*2448 gpio_control_bidir_2\[2\] +*2449 gpio_control_in_1\[0\] +*2450 gpio_control_in_1\[1\] +*2451 gpio_control_in_1\[2\] +*2452 gpio_control_in_1\[3\] +*2453 gpio_control_in_1\[4\] +*2454 gpio_control_in_1\[5\] +*2455 gpio_control_in_1a\[0\] +*2456 gpio_control_in_1a\[1\] +*2457 gpio_control_in_1a\[2\] +*2458 gpio_control_in_1a\[3\] +*2459 gpio_control_in_1a\[4\] +*2460 gpio_control_in_1a\[5\] +*2461 gpio_control_in_2\[0\] +*2462 gpio_control_in_2\[1\] +*2463 gpio_control_in_2\[2\] +*2464 gpio_control_in_2\[3\] +*2465 gpio_control_in_2\[4\] +*2466 gpio_control_in_2\[5\] +*2467 gpio_control_in_2\[6\] +*2468 gpio_control_in_2\[7\] +*2469 gpio_control_in_2\[8\] +*2470 gpio_control_in_2\[9\] +*2471 housekeeping +*2472 mgmt_buffers +*2473 mprj +*2474 padframe +*2475 pll +*2476 por +*2477 rstb_level +*2478 soc +*2479 user_id_value + +*PORTS +clock I +flash_clk O +flash_csb O +flash_io0 O +flash_io1 O +gpio I +mprj_io[0] I +mprj_io[10] I +mprj_io[11] I +mprj_io[12] I +mprj_io[13] I +mprj_io[14] I +mprj_io[15] I +mprj_io[16] I +mprj_io[17] I +mprj_io[18] I +mprj_io[19] I +mprj_io[1] I +mprj_io[20] I +mprj_io[21] I +mprj_io[22] I +mprj_io[23] I +mprj_io[24] I +mprj_io[25] I +mprj_io[26] I +mprj_io[27] I +mprj_io[28] I +mprj_io[29] I +mprj_io[2] I +mprj_io[30] I +mprj_io[31] I +mprj_io[32] I +mprj_io[33] I +mprj_io[34] I +mprj_io[35] I +mprj_io[36] I +mprj_io[37] I +mprj_io[3] I +mprj_io[4] I +mprj_io[5] I +mprj_io[6] I +mprj_io[7] I +mprj_io[8] I +mprj_io[9] I +resetb I +vccd1 I +vccd2 I +vdda I +vdda1 I +vdda1_2 I +vdda2 I +vddio_2 I +vssa1 I +vssa1_2 I +vssa2 I +vssd1 I +vssd2 I +vssio_2 I +vddio I +vssio I +vssa I +vccd I +vssd I + +*D_NET *64 0.541286 +*CONN +*I *2471:wb_clk_i I *D housekeeping +*I *2478:core_clk I *D mgmt_core_wrapper +*I *2472:caravel_clk I *D mgmt_protect +*I *2416:core_clk O *D caravel_clocking +*CAP +1 *2471:wb_clk_i 0.00111123 +2 *2478:core_clk 0.000477361 +3 *2472:caravel_clk 0.00152904 +4 *2416:core_clk 0.00165085 +5 *64:51 0.00679804 +6 *64:50 0.00568681 +7 *64:48 0.0639707 +8 *64:47 0.0640219 +9 *64:34 0.0169105 +10 *64:22 0.030332 +11 *64:21 0.0288029 +12 *64:19 0.0245901 +13 *64:18 0.0245901 +14 *64:16 0.0334715 +15 *64:15 0.0499558 +16 *64:13 0.00570652 +17 *64:12 0.0058659 +18 *64:7 0.00181023 +19 *2471:wb_clk_i *2475:ext_trim[19] 0.000269383 +20 *2471:wb_clk_i *66:17 0 +21 *2471:wb_clk_i *72:12 0.000315556 +22 *2471:wb_clk_i *2257:15 0.000266632 +23 *2471:wb_clk_i *2261:12 1.65872e-05 +24 *64:16 *2226:16 0.00484053 +25 *64:16 *2226:27 0.0039377 +26 *64:19 *65:13 0.0712627 +27 *64:34 *2226:27 0.0765658 +28 *64:51 *2471:mask_rev_in[0] 0 +29 *64:51 *72:13 0.0165299 +30 *64:51 *1526:11 0 +*RES +1 *2416:core_clk *64:7 46.8624 +2 *64:7 *64:12 13.051 +3 *64:12 *64:13 160.874 +4 *64:13 *64:15 4.5 +5 *64:15 *64:16 975.162 +6 *64:16 *64:18 4.5 +7 *64:18 *64:19 1137.96 +8 *64:19 *64:21 4.5 +9 *64:21 *64:22 801.016 +10 *64:22 *2472:caravel_clk 13.9709 +11 *64:15 *64:34 799.907 +12 *64:34 *2478:core_clk 16.4812 +13 *2478:core_clk *64:47 6.90602 +14 *64:47 *64:48 1784.88 +15 *64:48 *64:50 4.5 +16 *64:50 *64:51 263.856 +17 *64:51 *2471:wb_clk_i 42.4729 +*END + +*D_NET *65 0.314995 +*CONN +*I *2472:caravel_clk2 I *D mgmt_protect +*I *2416:user_clk O *D caravel_clocking +*CAP +1 *2472:caravel_clk2 0.00199685 +2 *2416:user_clk 9.19267e-05 +3 *65:16 0.0303285 +4 *65:15 0.0283316 +5 *65:13 0.0115887 +6 *65:12 0.0115887 +7 *65:10 0.0351353 +8 *65:9 0.0351353 +9 *65:7 0.00543666 +10 *65:5 0.00552859 +11 *65:13 *66:37 0.0759863 +12 *65:16 *1562:13 0.00258421 +13 *64:19 *65:13 0.0712627 +*RES +1 *2416:user_clk *65:5 2.61365 +2 *65:5 *65:7 154.437 +3 *65:7 *65:9 4.5 +4 *65:9 *65:10 983.481 +5 *65:10 *65:12 4.5 +6 *65:12 *65:13 1240.11 +7 *65:13 *65:15 4.5 +8 *65:15 *65:16 800.462 +9 *65:16 *2472:caravel_clk2 27.2589 +*END + +*D_NET *66 0.492225 +*CONN +*I *2478:core_rstn I *D mgmt_core_wrapper +*I *2472:caravel_rstn I *D mgmt_protect +*I *2471:wb_rstn_i I *D housekeeping +*I *2416:resetb_sync O *D caravel_clocking +*CAP +1 *2478:core_rstn 0.000979358 +2 *2472:caravel_rstn 0.00192382 +3 *2471:wb_rstn_i 1.28869e-05 +4 *2416:resetb_sync 9.19267e-05 +5 *66:40 0.0306413 +6 *66:39 0.0287175 +7 *66:37 0.0285967 +8 *66:36 0.0285967 +9 *66:34 0.0211102 +10 *66:33 0.0213887 +11 *66:30 0.00125789 +12 *66:28 0.0146081 +13 *66:27 0.0149394 +14 *66:17 0.00837873 +15 *66:16 0.00836584 +16 *66:14 0.0911453 +17 *66:13 0.0911453 +18 *66:11 0.00331698 +19 *66:9 0.00301139 +20 *66:7 0.00338883 +21 *66:5 0.00345498 +22 *66:14 *82:18 0.000876276 +23 *66:14 *89:22 0.000278113 +24 *66:17 *2471:mask_rev_in[0] 0 +25 *66:17 *2475:ext_trim[19] 8.39733e-05 +26 *66:17 *72:13 0.00855593 +27 *66:17 *2257:15 0.00108295 +28 *66:28 *466:10 0.000289628 +29 *66:37 *1117:7 0 +30 *2471:wb_clk_i *66:17 0 +31 *65:13 *66:37 0.0759863 +*RES +1 *2416:resetb_sync *66:5 2.61365 +2 *66:5 *66:7 95.7034 +3 *66:7 *66:9 0.732798 +4 *66:9 *66:11 84.2351 +5 *66:11 *66:13 4.5 +6 *66:13 *66:14 2559.66 +7 *66:14 *66:16 4.5 +8 *66:16 *66:17 324.691 +9 *66:17 *2471:wb_rstn_i 0.366399 +10 *66:11 *66:27 13.3913 +11 *66:27 *66:28 408.357 +12 *66:28 *66:30 4.5 +13 *66:30 *66:33 12.1455 +14 *66:33 *66:34 591.376 +15 *66:34 *66:36 4.5 +16 *66:36 *66:37 1280.39 +17 *66:37 *66:39 4.5 +18 *66:39 *66:40 799.907 +19 *66:40 *2472:caravel_rstn 25.1827 +20 *66:30 *2478:core_rstn 27.3212 +*END + +*D_NET *67 0.384619 +*CONN +*I *2475:osc I *D digital_pll +*I *2416:ext_clk I *D caravel_clocking +*I *2474:clock_core O *D chip_io_alt +*CAP +1 *2475:osc 1.28869e-05 +2 *2416:ext_clk 5.24068e-05 +3 *2474:clock_core 0.00506033 +4 *67:32 0.00766076 +5 *67:31 0.00764787 +6 *67:29 0.0539749 +7 *67:28 0.055531 +8 *67:15 0.00517568 +9 *67:14 0.00512327 +10 *67:12 0.00661645 +11 *67:29 *72:16 0.234318 +12 *67:29 *465:22 0.00273662 +13 *67:29 *2243:10 0.00070936 +*RES +1 *2474:clock_core *67:12 24.1758 +2 *67:12 *67:14 3.36879 +3 *67:14 *67:15 145.509 +4 *67:15 *2416:ext_clk 1.49002 +5 *67:12 *67:28 36.2611 +6 *67:28 *67:29 2573.53 +7 *67:29 *67:31 4.5 +8 *67:31 *67:32 216.725 +9 *67:32 *2475:osc 0.366399 +*END + +*D_NET *68 0.0486367 +*CONN +*I *2478:debug_in I *D mgmt_core_wrapper +*I *2471:debug_in O *D housekeeping +*CAP +1 *2478:debug_in 0.00117924 +2 *2471:debug_in 0.00187269 +3 *68:14 0.00267535 +4 *68:13 0.00149611 +5 *68:11 0.000864571 +6 *68:10 0.00273726 +7 *68:10 *2471:debug_mode 0 +8 *68:10 *2471:sram_ro_data[30] 7.77309e-06 +9 *68:10 *2471:sram_ro_data[31] 0.000476542 +10 *68:11 *593:11 0.0107111 +11 *68:11 *594:11 0.0105287 +12 *68:14 *70:8 5.66868e-06 +13 *68:14 *584:8 0.00566104 +14 *68:14 *589:8 0.00774116 +15 *68:14 *593:8 0.000486913 +16 *68:14 *2227:14 0.00135552 +17 *68:14 *2270:8 0.00083707 +*RES +1 *2471:debug_in *68:10 28.1987 +2 *68:10 *68:11 114.972 +3 *68:11 *68:13 4.5 +4 *68:13 *68:14 142.395 +5 *68:14 *2478:debug_in 7.25648 +*END + +*D_NET *69 0.048307 +*CONN +*I *2471:debug_mode I *D housekeeping +*I *2478:debug_mode O *D mgmt_core_wrapper +*CAP +1 *2471:debug_mode 0.00150361 +2 *2478:debug_mode 0.00140471 +3 *69:11 0.00219478 +4 *69:10 0.000691168 +5 *69:8 0.001585 +6 *69:7 0.00298971 +7 *2471:debug_mode *2471:debug_oeb 0.000223845 +8 *2471:debug_mode *2471:debug_out 0.000202487 +9 *69:8 *71:8 0.00123909 +10 *69:8 *575:8 0.00198572 +11 *69:8 *594:8 0.0082482 +12 *69:8 *604:14 0.000502815 +13 *69:8 *2272:8 0.00470526 +14 *69:11 *70:11 0.0104885 +15 *69:11 *71:11 0.0103421 +16 *68:10 *2471:debug_mode 0 +*RES +1 *2478:debug_mode *69:7 7.8684 +2 *69:7 *69:8 150.285 +3 *69:8 *69:10 4.5 +4 *69:10 *69:11 109.98 +5 *69:11 *2471:debug_mode 17.0634 +*END + +*D_NET *70 0.0402574 +*CONN +*I *2471:debug_oeb I *D housekeeping +*I *2478:debug_oeb O *D mgmt_core_wrapper +*CAP +1 *2471:debug_oeb 0.00170361 +2 *2478:debug_oeb 0.00127691 +3 *70:11 0.00420391 +4 *70:10 0.0025003 +5 *70:8 0.00175276 +6 *70:7 0.00302967 +7 *2471:debug_oeb *2471:debug_out 0.000203088 +8 *70:8 *593:8 0.00761 +9 *70:8 *594:8 0 +10 *70:8 *603:14 0.0063597 +11 *70:8 *2227:14 0 +12 *70:8 *2274:8 0.000562744 +13 *70:8 *2275:8 0.000336704 +14 *2471:debug_mode *2471:debug_oeb 0.000223845 +15 *68:14 *70:8 5.66868e-06 +16 *69:11 *70:11 0.0104885 +*RES +1 *2478:debug_oeb *70:7 7.48595 +2 *70:7 *70:8 140.734 +3 *70:8 *70:10 4.5 +4 *70:10 *70:11 113.308 +5 *70:11 *2471:debug_oeb 24.0462 +*END + +*D_NET *71 0.0382811 +*CONN +*I *2471:debug_out I *D housekeeping +*I *2478:debug_out O *D mgmt_core_wrapper +*CAP +1 *2471:debug_out 0.00192412 +2 *2478:debug_out 0.00147749 +3 *71:11 0.00420213 +4 *71:10 0.00227802 +5 *71:8 0.00194817 +6 *71:7 0.00342566 +7 *2471:debug_out *2471:trap 0 +8 *71:8 *575:8 0.000843849 +9 *71:8 *577:8 0 +10 *71:8 *602:14 0.00018896 +11 *71:8 *2272:8 0.00472983 +12 *71:8 *2273:8 0.00527619 +13 *2471:debug_mode *2471:debug_out 0.000202487 +14 *2471:debug_oeb *2471:debug_out 0.000203088 +15 *69:8 *71:8 0.00123909 +16 *69:11 *71:11 0.0103421 +*RES +1 *2478:debug_out *71:7 8.02138 +2 *71:7 *71:8 132.429 +3 *71:8 *71:10 4.5 +4 *71:10 *71:11 108.316 +5 *71:11 *2471:debug_out 29.1822 +*END + +*D_NET *72 0.548649 +*CONN +*I *2416:ext_clk_sel I *D caravel_clocking +*I *2471:pll_bypass O *D housekeeping +*CAP +1 *2416:ext_clk_sel 0.00172964 +2 *2471:pll_bypass 0.00114928 +3 *72:19 0.0102047 +4 *72:18 0.00847505 +5 *72:16 0.0160641 +6 *72:15 0.0160641 +7 *72:13 0.00409011 +8 *72:12 0.00523939 +9 *72:12 *2475:ext_trim[18] 0 +10 *72:12 *2257:15 0.000169038 +11 *72:12 *2261:9 0 +12 *72:12 *2261:12 0.000680863 +13 *72:13 *2257:15 0.00107828 +14 *72:16 *2243:10 0.223986 +15 *2471:wb_clk_i *72:12 0.000315556 +16 *64:51 *72:13 0.0165299 +17 *66:17 *72:13 0.00855593 +18 *67:29 *72:16 0.234318 +*RES +1 *2471:pll_bypass *72:12 45.3852 +2 *72:12 *72:13 305.797 +3 *72:13 *72:15 4.5 +4 *72:15 *72:16 2469.82 +5 *72:16 *72:18 4.5 +6 *72:18 *72:19 238.941 +7 *72:19 *2416:ext_clk_sel 40.4387 +*END + +*D_NET *73 0.439627 +*CONN +*I *2416:ext_reset I *D caravel_clocking +*I *2471:reset O *D housekeeping +*CAP +1 *2416:ext_reset 0.00123118 +2 *2471:reset 0.0010976 +3 *73:19 0.00534138 +4 *73:18 0.0041102 +5 *73:16 0.0222052 +6 *73:15 0.0222052 +7 *73:13 0.00947438 +8 *73:12 0.0098908 +9 *73:9 0.00151403 +10 *73:9 *2471:porb 0.00163502 +11 *73:13 *92:15 0.00252768 +12 *73:16 *79:14 0.170598 +13 *73:16 *87:10 0.000409745 +14 *73:16 *465:22 0.141201 +15 *73:16 *2243:10 0.0359745 +16 *73:19 *2231:19 0.0102051 +17 *73:19 *2232:19 5.66868e-06 +18 *73:19 *2241:19 0 +19 *73:19 *2243:13 0 +*RES +1 *2471:reset *73:9 45.5489 +2 *73:9 *73:12 15.7609 +3 *73:12 *73:13 292.509 +4 *73:13 *73:15 4.5 +5 *73:15 *73:16 2303.44 +6 *73:16 *73:18 4.5 +7 *73:18 *73:19 178.107 +8 *73:19 *2416:ext_reset 7.79191 +*END + +*D_NET *74 0.0206956 +*CONN +*I *2471:spimemio_flash_clk I *D housekeeping +*I *2478:flash_clk O *D mgmt_core_wrapper +*CAP +1 *2471:spimemio_flash_clk 0.00182054 +2 *2478:flash_clk 0.00144648 +3 *74:11 0.00395637 +4 *74:10 0.00358231 +5 *2471:spimemio_flash_clk *2471:spimemio_flash_csb 0 +6 *2471:spimemio_flash_clk *551:10 0 +7 *74:11 *77:11 0.00988993 +*RES +1 *2478:flash_clk *74:10 16.528 +2 *74:10 *74:11 103.325 +3 *74:11 *2471:spimemio_flash_clk 20.4291 +*END + +*D_NET *75 0.238734 +*CONN +*I *2474:flash_clk_core I *D chip_io_alt +*I *2471:pad_flash_clk O *D housekeeping +*CAP +1 *2474:flash_clk_core 0.000202261 +2 *2471:pad_flash_clk 0.00136931 +3 *75:46 0.0218511 +4 *75:45 0.0216671 +5 *75:40 0.00520396 +6 *75:39 0.00518573 +7 *75:37 0.00171496 +8 *75:34 0.00297904 +9 *75:33 0.0023303 +10 *75:30 0.00245467 +11 *75:25 0.00336117 +12 *75:24 0.00197273 +13 *75:22 0.0020888 +14 *75:21 0.0020888 +15 *75:19 0.00444001 +16 *75:18 0.00444001 +17 *75:16 0.00121366 +18 *75:13 0.00398775 +19 *75:12 0.00277409 +20 *75:10 0.00136931 +21 *2474:flash_clk_core *78:16 0 +22 *75:10 *76:9 0 +23 *75:10 *84:10 0.000296981 +24 *75:16 *91:16 0.000762248 +25 *75:19 *91:21 0 +26 *75:34 *92:30 0.0056751 +27 *75:40 *80:16 1.65872e-05 +28 *75:40 *85:20 0.0238996 +29 *75:40 *89:28 0.00303891 +30 *75:45 *85:25 1.66626e-05 +31 *75:46 *2474:flash_io0_oeb_core 0.000994562 +32 *75:46 *2474:flash_io1_do_core 0.000709959 +33 *75:46 *80:16 0.00721236 +34 *75:46 *85:26 0.0674974 +35 *75:46 *89:28 0.0348475 +36 *75:46 *482:16 0.00107171 +*RES +1 *2471:pad_flash_clk *75:10 45.7373 +2 *75:10 *75:12 4.5 +3 *75:12 *75:13 77.8232 +4 *75:13 *75:16 46.8187 +5 *75:16 *75:18 4.5 +6 *75:18 *75:19 125.577 +7 *75:19 *75:21 4.5 +8 *75:21 *75:22 57.8476 +9 *75:22 *75:24 4.5 +10 *75:24 *75:25 55.8148 +11 *75:25 *75:30 46.8818 +12 *75:30 *75:33 34.1539 +13 *75:33 *75:34 59.5114 +14 *75:34 *75:37 47.8572 +15 *75:37 *75:39 4.5 +16 *75:39 *75:40 250.295 +17 *75:40 *75:45 8.2474 +18 *75:45 *75:46 139.989 +19 *75:46 *2474:flash_clk_core 5.16821 +*END + +*D_NET *76 0.288149 +*CONN +*I *2474:flash_clk_oeb_core I *D chip_io_alt +*I *2471:pad_flash_clk_oeb O *D housekeeping +*CAP +1 *2474:flash_clk_oeb_core 6.22868e-05 +2 *2471:pad_flash_clk_oeb 6.28896e-05 +3 *76:21 0.00135184 +4 *76:12 0.0178718 +5 *76:11 0.0165822 +6 *76:9 0.00766888 +7 *76:7 0.00773177 +8 *76:7 *78:9 2.54668e-05 +9 *76:9 *78:9 0 +10 *76:9 *80:19 0.00921367 +11 *76:9 *91:13 0.00411881 +12 *76:12 *79:14 0.138587 +13 *76:12 *87:10 0.0845793 +14 *76:21 *2221:13 0.000292937 +15 *76:21 *2241:16 0 +16 *75:10 *76:9 0 +*RES +1 *2471:pad_flash_clk_oeb *76:7 2.19839 +2 *76:7 *76:9 328.843 +3 *76:9 *76:11 4.5 +4 *76:11 *76:12 1448.24 +5 *76:12 *76:21 49.1192 +6 *76:21 *2474:flash_clk_oeb_core 1.77093 +*END + +*D_NET *77 0.0203546 +*CONN +*I *2471:spimemio_flash_csb I *D housekeeping +*I *2478:flash_csb O *D mgmt_core_wrapper +*CAP +1 *2471:spimemio_flash_csb 0.00146141 +2 *2478:flash_csb 0.00116512 +3 *77:11 0.00406721 +4 *77:10 0.00377092 +5 *2471:spimemio_flash_csb *81:10 0 +6 *2471:spimemio_flash_clk *2471:spimemio_flash_csb 0 +7 *74:11 *77:11 0.00988993 +*RES +1 *2478:flash_csb *77:10 16.8887 +2 *77:10 *77:11 116.081 +3 *77:11 *2471:spimemio_flash_csb 13.7414 +*END + +*D_NET *78 0.376166 +*CONN +*I *2474:flash_csb_core I *D chip_io_alt +*I *2471:pad_flash_csb O *D housekeeping +*CAP +1 *2474:flash_csb_core 0.000333936 +2 *2471:pad_flash_csb 0.00110237 +3 *78:16 0.0443646 +4 *78:15 0.0440307 +5 *78:13 0.00859756 +6 *78:12 0.00859756 +7 *78:10 0.00556428 +8 *78:9 0.00666665 +9 *78:9 *79:7 0 +10 *78:9 *80:19 5.19205e-05 +11 *78:10 *89:10 0.0106049 +12 *78:10 *578:7 0.000241106 +13 *78:13 *89:19 0.0106136 +14 *78:13 *91:25 0.00131394 +15 *78:16 *2474:flash_io0_oeb_core 0 +16 *78:16 *2474:flash_io1_do_core 0 +17 *78:16 *2474:gpio_mode1_core 0 +18 *78:16 *84:22 0.105584 +19 *78:16 *2219:11 0.0407366 +20 *78:16 *2220:11 0.02277 +21 *78:16 *2231:16 0.00767111 +22 *78:16 *2232:16 0.00706908 +23 *78:16 *2233:16 0.0227635 +24 *78:16 *2241:16 0.00652931 +25 *78:16 *2242:10 0.0209337 +26 *2474:flash_clk_core *78:16 0 +27 *76:7 *78:9 2.54668e-05 +28 *76:9 *78:9 0 +*RES +1 *2471:pad_flash_csb *78:9 35.9981 +2 *78:9 *78:10 193.171 +3 *78:10 *78:12 4.5 +4 *78:12 *78:13 318.047 +5 *78:13 *78:15 3.36879 +6 *78:15 *78:16 223.172 +7 *78:16 *2474:flash_csb_core 12.2356 +*END + +*D_NET *79 0.375525 +*CONN +*I *2474:flash_csb_oeb_core I *D chip_io_alt +*I *2471:pad_flash_csb_oeb O *D housekeeping +*CAP +1 *2474:flash_csb_oeb_core 0.00125349 +2 *2471:pad_flash_csb_oeb 0.000111687 +3 *79:14 0.0162098 +4 *79:13 0.0149563 +5 *79:11 0.00598608 +6 *79:9 0.00611066 +7 *79:7 0.00208013 +8 *79:5 0.00206724 +9 *2474:flash_csb_oeb_core *2221:13 0.000156624 +10 *2474:flash_csb_oeb_core *2241:16 5.76883e-05 +11 *79:7 *2471:pad_flash_io0_di 0 +12 *79:7 *80:19 0 +13 *79:11 *80:19 0.0172898 +14 *79:14 *87:10 5.99527e-05 +15 *73:16 *79:14 0.170598 +16 *76:12 *79:14 0.138587 +17 *78:9 *79:7 0 +*RES +1 *2471:pad_flash_csb_oeb *79:5 3.17546 +2 *79:5 *79:7 54.8133 +3 *79:7 *79:9 3.54186 +4 *79:9 *79:11 276.277 +5 *79:11 *79:13 4.5 +6 *79:13 *79:14 1782.66 +7 *79:14 *2474:flash_csb_oeb_core 47.9828 +*END + +*D_NET *80 0.177826 +*CONN +*I *2471:pad_flash_io0_di I *D housekeeping +*I *2474:flash_io0_di_core O *D chip_io_alt +*CAP +1 *2471:pad_flash_io0_di 0.00132084 +2 *2474:flash_io0_di_core 8.04051e-05 +3 *80:19 0.00624581 +4 *80:18 0.00492496 +5 *80:16 0.0318511 +6 *80:15 0.031978 +7 *80:10 0.0045548 +8 *80:9 0.00450832 +9 *2471:pad_flash_io0_di *84:10 0.000213959 +10 *80:10 *2474:flash_io0_oeb_core 0.000996775 +11 *80:16 *2474:gpio_mode0_core 0 +12 *80:16 *85:20 0.0418966 +13 *80:16 *89:28 0.0114793 +14 *80:16 *465:15 0 +15 *80:16 *482:16 0.00399081 +16 *75:40 *80:16 1.65872e-05 +17 *75:46 *80:16 0.00721236 +18 *76:9 *80:19 0.00921367 +19 *78:9 *80:19 5.19205e-05 +20 *79:7 *2471:pad_flash_io0_di 0 +21 *79:7 *80:19 0 +22 *79:11 *80:19 0.0172898 +*RES +1 *2474:flash_io0_di_core *80:9 6.64954 +2 *80:9 *80:10 123.845 +3 *80:10 *80:15 12.0778 +4 *80:15 *80:16 1092.18 +5 *80:16 *80:18 4.5 +6 *80:18 *80:19 330.712 +7 *80:19 *2471:pad_flash_io0_di 47.4643 +*END + +*D_NET *81 0.013867 +*CONN +*I *2478:flash_io0_di I *D mgmt_core_wrapper +*I *2471:spimemio_flash_io0_di O *D housekeeping +*CAP +1 *2478:flash_io0_di 0.00157362 +2 *2471:spimemio_flash_io0_di 0.00154255 +3 *81:11 0.00539096 +4 *81:10 0.00535989 +5 *81:10 *2471:spimemio_flash_io0_do 0 +6 *2471:spimemio_flash_csb *81:10 0 +*RES +1 *2471:spimemio_flash_io0_di *81:10 15.8176 +2 *81:10 *81:11 106.653 +3 *81:11 *2478:flash_io0_di 18.189 +*END + +*D_NET *82 0.257234 +*CONN +*I *2474:flash_io0_do_core I *D chip_io_alt +*I *2471:pad_flash_io0_do O *D housekeeping +*CAP +1 *2474:flash_io0_do_core 0.000367808 +2 *2471:pad_flash_io0_do 5.24068e-05 +3 *82:22 0.0109218 +4 *82:21 0.0114228 +5 *82:18 0.00180947 +6 *82:13 0.00600178 +7 *82:12 0.00506115 +8 *82:10 0.00579952 +9 *82:9 0.00579952 +10 *82:7 0.00365826 +11 *82:5 0.00371067 +12 *82:7 *84:9 0.000831393 +13 *82:7 *87:13 0.00858197 +14 *82:10 *84:18 0 +15 *82:13 *567:14 0.00266023 +16 *82:22 *84:22 0.106317 +17 *82:22 *91:28 0.069641 +18 *82:22 *2241:16 0.013721 +19 *66:14 *82:18 0.000876276 +*RES +1 *2471:pad_flash_io0_do *82:5 1.49002 +2 *82:5 *82:7 164.403 +3 *82:7 *82:9 4.5 +4 *82:9 *82:10 159.895 +5 *82:10 *82:12 4.5 +6 *82:12 *82:13 159.213 +7 *82:13 *82:18 43.5542 +8 *82:18 *82:21 25.7558 +9 *82:21 *82:22 134.214 +10 *82:22 *2474:flash_io0_do_core 11.7593 +*END + +*D_NET *83 0.0139808 +*CONN +*I *2471:spimemio_flash_io0_do I *D housekeeping +*I *2478:flash_io0_do O *D mgmt_core_wrapper +*CAP +1 *2471:spimemio_flash_io0_do 0.00163018 +2 *2478:flash_io0_do 0.00128581 +3 *83:11 0.00570457 +4 *83:10 0.00536019 +5 *2471:spimemio_flash_io0_do *2471:spimemio_flash_io0_oeb 0 +6 *81:10 *2471:spimemio_flash_io0_do 0 +*RES +1 *2478:flash_io0_do *83:10 18.0251 +2 *83:10 *83:11 113.863 +3 *83:11 *2471:spimemio_flash_io0_do 18.3092 +*END + +*D_NET *84 0.30099 +*CONN +*I *2474:flash_io0_ieb_core I *D chip_io_alt +*I *2471:pad_flash_io0_ieb O *D housekeeping +*CAP +1 *2474:flash_io0_ieb_core 0.000196444 +2 *2471:pad_flash_io0_ieb 0.000773056 +3 *84:22 0.00796014 +4 *84:21 0.0077637 +5 *84:19 0.00643048 +6 *84:18 0.00763782 +7 *84:13 0.00618302 +8 *84:12 0.00497568 +9 *84:10 0.0022612 +10 *84:9 0.00303426 +11 *84:9 *85:7 0 +12 *84:9 *87:13 8.16827e-05 +13 *84:10 *2471:pad_flash_io1_di 1.03403e-05 +14 *84:10 *89:10 0.0105367 +15 *84:22 *2219:11 0.0014102 +16 *84:22 *2220:11 0.000765267 +17 *84:22 *2231:16 0.0144457 +18 *84:22 *2232:16 0.0132807 +19 *2471:pad_flash_io0_di *84:10 0.000213959 +20 *75:10 *84:10 0.000296981 +21 *78:16 *84:22 0.105584 +22 *82:7 *84:9 0.000831393 +23 *82:10 *84:18 0 +24 *82:22 *84:22 0.106317 +*RES +1 *2471:pad_flash_io0_ieb *84:9 34.6058 +2 *84:9 *84:10 111.09 +3 *84:10 *84:12 4.5 +4 *84:12 *84:13 138.865 +5 *84:13 *84:18 42.445 +6 *84:18 *84:19 179.352 +7 *84:19 *84:21 3.36879 +8 *84:21 *84:22 142.245 +9 *84:22 *2474:flash_io0_ieb_core 7.6068 +*END + +*D_NET *85 0.237367 +*CONN +*I *2474:flash_io0_oeb_core I *D chip_io_alt +*I *2471:pad_flash_io0_oeb O *D housekeeping +*CAP +1 *2474:flash_io0_oeb_core 0.00162895 +2 *2471:pad_flash_io0_oeb 0.000111687 +3 *85:26 0.00943886 +4 *85:25 0.00788582 +5 *85:20 0.00534446 +6 *85:19 0.00526855 +7 *85:17 0.00549078 +8 *85:16 0.00549078 +9 *85:14 0.00164868 +10 *85:11 0.00491763 +11 *85:9 0.003354 +12 *85:7 0.00203378 +13 *85:5 0.00206041 +14 *85:7 *2471:pad_flash_io1_di 0 +15 *85:7 *87:13 0 +16 *85:11 *87:13 0.00950654 +17 *85:20 *89:28 0.00165274 +18 *85:26 *89:28 1.98294e-05 +19 *85:26 *92:36 0.036212 +20 *75:40 *85:20 0.0238996 +21 *75:45 *85:25 1.66626e-05 +22 *75:46 *2474:flash_io0_oeb_core 0.000994562 +23 *75:46 *85:26 0.0674974 +24 *78:16 *2474:flash_io0_oeb_core 0 +25 *80:10 *2474:flash_io0_oeb_core 0.000996775 +26 *80:16 *85:20 0.0418966 +27 *84:9 *85:7 0 +*RES +1 *2471:pad_flash_io0_oeb *85:5 3.17546 +2 *85:5 *85:7 54.8133 +3 *85:7 *85:9 2.41823 +4 *85:9 *85:11 151.701 +5 *85:11 *85:14 49.0371 +6 *85:14 *85:16 4.5 +7 *85:16 *85:17 152.153 +8 *85:17 *85:19 4.5 +9 *85:19 *85:20 437.751 +10 *85:20 *85:25 9.49315 +11 *85:25 *85:26 85.2599 +12 *85:26 *2474:flash_io0_oeb_core 22.8288 +*END + +*D_NET *86 0.0212236 +*CONN +*I *2471:spimemio_flash_io0_oeb I *D housekeeping +*I *2478:flash_io0_oeb O *D mgmt_core_wrapper +*CAP +1 *2471:spimemio_flash_io0_oeb 0.00172165 +2 *2478:flash_io0_oeb 0.00128442 +3 *86:11 0.00417 +4 *86:10 0.00373277 +5 *2471:spimemio_flash_io0_oeb *88:10 0 +6 *86:10 *2478:flash_io1_di 0 +7 *86:11 *88:11 0.0103148 +8 *2471:spimemio_flash_io0_do *2471:spimemio_flash_io0_oeb 0 +*RES +1 *2478:flash_io0_oeb *86:10 18.0251 +2 *86:10 *86:11 113.863 +3 *86:11 *2471:spimemio_flash_io0_oeb 20.8007 +*END + +*D_NET *87 0.159202 +*CONN +*I *2471:pad_flash_io1_di I *D housekeeping +*I *2474:flash_io1_di_core O *D chip_io_alt +*CAP +1 *2471:pad_flash_io1_di 0.00129918 +2 *2474:flash_io1_di_core 0.00106014 +3 *87:13 0.0080405 +4 *87:12 0.00674132 +5 *87:10 0.0188229 +6 *87:9 0.019883 +7 *2471:pad_flash_io1_di *89:9 0 +8 *2471:pad_flash_io1_di *89:10 0.000125129 +9 *73:16 *87:10 0.000409745 +10 *76:12 *87:10 0.0845793 +11 *79:14 *87:10 5.99527e-05 +12 *82:7 *87:13 0.00858197 +13 *84:9 *87:13 8.16827e-05 +14 *84:10 *2471:pad_flash_io1_di 1.03403e-05 +15 *85:7 *2471:pad_flash_io1_di 0 +16 *85:7 *87:13 0 +17 *85:11 *87:13 0.00950654 +*RES +1 *2474:flash_io1_di_core *87:9 30.5998 +2 *87:9 *87:10 901.954 +3 *87:10 *87:12 4.5 +4 *87:12 *87:13 302.89 +5 *87:13 *2471:pad_flash_io1_di 46.3551 +*END + +*D_NET *88 0.021572 +*CONN +*I *2478:flash_io1_di I *D mgmt_core_wrapper +*I *2471:spimemio_flash_io1_di O *D housekeeping +*CAP +1 *2478:flash_io1_di 0.00153926 +2 *2471:spimemio_flash_io1_di 0.00177939 +3 *88:11 0.00384919 +4 *88:10 0.00408932 +5 *88:10 *2471:spimemio_flash_io1_do 0 +6 *2471:spimemio_flash_io0_oeb *88:10 0 +7 *86:10 *2478:flash_io1_di 0 +8 *86:11 *88:11 0.0103148 +*RES +1 *2471:spimemio_flash_io1_di *88:10 15.4133 +2 *88:10 *88:11 109.98 +3 *88:11 *2478:flash_io1_di 27.27 +*END + +*D_NET *89 0.158232 +*CONN +*I *2474:flash_io1_do_core I *D chip_io_alt +*I *2471:pad_flash_io1_do O *D housekeeping +*CAP +1 *2474:flash_io1_do_core 0.00117932 +2 *2471:pad_flash_io1_do 0.00110044 +3 *89:28 0.0149264 +4 *89:27 0.015175 +5 *89:22 0.00288665 +6 *89:19 0.00281326 +7 *89:18 0.00135449 +8 *89:16 0.00306846 +9 *89:15 0.00306846 +10 *89:13 0.00445086 +11 *89:12 0.00445086 +12 *89:10 0.00170283 +13 *89:9 0.00280328 +14 *89:9 *91:9 0 +15 *89:16 *596:7 0.000740377 +16 *89:19 *91:25 0.01061 +17 *89:28 *2474:gpio_inenb_core 8.63825e-06 +18 *89:28 *2474:gpio_mode1_core 0.000863521 +19 *89:28 *92:36 0.00312262 +20 *2471:pad_flash_io1_di *89:9 0 +21 *2471:pad_flash_io1_di *89:10 0.000125129 +22 *66:14 *89:22 0.000278113 +23 *75:40 *89:28 0.00303891 +24 *75:46 *2474:flash_io1_do_core 0.000709959 +25 *75:46 *89:28 0.0348475 +26 *78:10 *89:10 0.0106049 +27 *78:13 *89:19 0.0106136 +28 *78:16 *2474:flash_io1_do_core 0 +29 *80:16 *89:28 0.0114793 +30 *84:10 *89:10 0.0105367 +31 *85:20 *89:28 0.00165274 +32 *85:26 *89:28 1.98294e-05 +*RES +1 *2471:pad_flash_io1_do *89:9 35.5828 +2 *89:9 *89:10 138.82 +3 *89:10 *89:12 4.5 +4 *89:12 *89:13 124.331 +5 *89:13 *89:15 4.5 +6 *89:15 *89:16 81.1409 +7 *89:16 *89:18 4.5 +8 *89:18 *89:19 169.594 +9 *89:19 *89:22 47.9279 +10 *89:22 *89:27 43.5438 +11 *89:27 *89:28 75.8134 +12 *89:28 *2474:flash_io1_do_core 10.6402 +*END + +*D_NET *90 0.0145972 +*CONN +*I *2471:spimemio_flash_io1_do I *D housekeeping +*I *2478:flash_io1_do O *D mgmt_core_wrapper +*CAP +1 *2471:spimemio_flash_io1_do 0.00181905 +2 *2478:flash_io1_do 0.00159253 +3 *90:11 0.00564785 +4 *90:10 0.00542133 +5 *2471:spimemio_flash_io1_do *2471:spimemio_flash_io1_oeb 0.000116454 +6 *88:10 *2471:spimemio_flash_io1_do 0 +*RES +1 *2478:flash_io1_do *90:10 20.6805 +2 *90:10 *90:11 106.653 +3 *90:11 *2471:spimemio_flash_io1_do 24.9532 +*END + +*D_NET *91 0.153387 +*CONN +*I *2474:flash_io1_ieb_core I *D chip_io_alt +*I *2471:pad_flash_io1_ieb O *D housekeeping +*CAP +1 *2474:flash_io1_ieb_core 0.000308478 +2 *2471:pad_flash_io1_ieb 0.00132551 +3 *91:28 0.0136628 +4 *91:27 0.0133543 +5 *91:25 0.00487708 +6 *91:24 0.00487708 +7 *91:22 0.00475549 +8 *91:21 0.00573507 +9 *91:16 0.00292025 +10 *91:15 0.00194067 +11 *91:13 0.00142227 +12 *91:12 0.00273797 +13 *91:9 0.00264121 +14 *91:9 *92:13 0.000280625 +15 *91:28 *2221:13 0.00610192 +16 *75:16 *91:16 0.000762248 +17 *75:19 *91:21 0 +18 *76:9 *91:13 0.00411881 +19 *78:13 *91:25 0.00131394 +20 *82:22 *91:28 0.069641 +21 *89:9 *91:9 0 +22 *89:19 *91:25 0.01061 +*RES +1 *2471:pad_flash_io1_ieb *91:9 43.7413 +2 *91:9 *91:12 39.0542 +3 *91:12 *91:13 65.7808 +4 *91:13 *91:15 4.5 +5 *91:15 *91:16 62.2844 +6 *91:16 *91:21 36.5776 +7 *91:21 *91:22 127.173 +8 *91:22 *91:24 4.5 +9 *91:24 *91:25 214.233 +10 *91:25 *91:27 3.36879 +11 *91:27 *91:28 87.9371 +12 *91:28 *2474:flash_io1_ieb_core 9.63421 +*END + +*D_NET *92 0.119869 +*CONN +*I *2474:flash_io1_oeb_core I *D chip_io_alt +*I *2471:pad_flash_io1_oeb O *D housekeeping +*CAP +1 *2474:flash_io1_oeb_core 0.000487658 +2 *2471:pad_flash_io1_oeb 0.00153424 +3 *92:36 0.0104675 +4 *92:35 0.00997984 +5 *92:33 0.00177149 +6 *92:30 0.0107257 +7 *92:29 0.00895425 +8 *92:27 0.00389184 +9 *92:26 0.00511063 +10 *92:21 0.0042207 +11 *92:20 0.0030019 +12 *92:18 0.00279867 +13 *92:17 0.00279867 +14 *92:15 0.00209368 +15 *92:13 0.00362792 +16 *2474:flash_io1_oeb_core *465:19 0.000586138 +17 *2474:flash_io1_oeb_core *2219:11 0 +18 *73:13 *92:15 0.00252768 +19 *75:34 *92:30 0.0056751 +20 *85:26 *92:36 0.036212 +21 *89:28 *92:36 0.00312262 +22 *91:9 *92:13 0.000280625 +*RES +1 *2471:pad_flash_io1_oeb *92:13 45.4335 +2 *92:13 *92:15 83.4291 +3 *92:15 *92:17 4.5 +4 *92:17 *92:18 76.1495 +5 *92:18 *92:20 4.5 +6 *92:20 *92:21 84.8824 +7 *92:21 *92:26 42.445 +8 *92:26 *92:27 109.798 +9 *92:27 *92:29 4.5 +10 *92:29 *92:30 274.143 +11 *92:30 *92:33 49.3106 +12 *92:33 *92:35 3.36879 +13 *92:35 *92:36 61.395 +14 *92:36 *2474:flash_io1_oeb_core 23.9832 +*END + +*D_NET *93 0.0217923 +*CONN +*I *2471:spimemio_flash_io1_oeb I *D housekeeping +*I *2478:flash_io1_oeb O *D mgmt_core_wrapper +*CAP +1 *2471:spimemio_flash_io1_oeb 0.00228017 +2 *2478:flash_io1_oeb 0.00136305 +3 *93:11 0.00445023 +4 *93:10 0.00353311 +5 *2471:spimemio_flash_io1_oeb *94:10 0 +6 *93:11 *94:11 0.0100493 +7 *2471:spimemio_flash_io1_do *2471:spimemio_flash_io1_oeb 0.000116454 +*RES +1 *2478:flash_io1_oeb *93:10 13.206 +2 *93:10 *93:11 104.989 +3 *93:11 *2471:spimemio_flash_io1_oeb 36.3945 +*END + +*D_NET *94 0.0219853 +*CONN +*I *2478:flash_io2_di I *D mgmt_core_wrapper +*I *2471:spimemio_flash_io2_di O *D housekeeping +*CAP +1 *2478:flash_io2_di 0.00150883 +2 *2471:spimemio_flash_io2_di 0.00165782 +3 *94:11 0.00431018 +4 *94:10 0.00445918 +5 *94:10 *2471:spimemio_flash_io2_do 0 +6 *2471:spimemio_flash_io1_oeb *94:10 0 +7 *93:11 *94:11 0.0100493 +*RES +1 *2471:spimemio_flash_io2_di *94:10 27.7289 +2 *94:10 *94:11 121.627 +3 *94:11 *2478:flash_io2_di 22.4836 +*END + +*D_NET *95 0.0228355 +*CONN +*I *2471:spimemio_flash_io2_do I *D housekeeping +*I *2478:flash_io2_do O *D mgmt_core_wrapper +*CAP +1 *2471:spimemio_flash_io2_do 0.00220132 +2 *2478:flash_io2_do 0.0014006 +3 *95:11 0.00462074 +4 *95:10 0.00382002 +5 *2471:spimemio_flash_io2_do *2471:spimemio_flash_io2_oeb 0 +6 *95:10 *96:11 0.00012562 +7 *95:11 *96:11 0.0106672 +8 *94:10 *2471:spimemio_flash_io2_do 0 +*RES +1 *2478:flash_io2_do *95:10 14.867 +2 *95:10 *95:11 113.863 +3 *95:11 *2471:spimemio_flash_io2_do 39.7384 +*END + +*D_NET *96 0.0229902 +*CONN +*I *2471:spimemio_flash_io2_oeb I *D housekeeping +*I *2478:flash_io2_oeb O *D mgmt_core_wrapper +*CAP +1 *2471:spimemio_flash_io2_oeb 0.00203052 +2 *2478:flash_io2_oeb 0.0012533 +3 *96:11 0.00484538 +4 *96:10 0.00406816 +5 *2471:spimemio_flash_io2_oeb *2471:spimemio_flash_io3_do 0 +6 *2471:spimemio_flash_io2_oeb *2471:spimemio_flash_io3_oeb 0 +7 *2471:spimemio_flash_io2_oeb *97:10 0 +8 *2471:spimemio_flash_io2_do *2471:spimemio_flash_io2_oeb 0 +9 *95:10 *96:11 0.00012562 +10 *95:11 *96:11 0.0106672 +*RES +1 *2478:flash_io2_oeb *96:10 22.582 +2 *96:10 *96:11 124.955 +3 *96:11 *2471:spimemio_flash_io2_oeb 32.9851 +*END + +*D_NET *97 0.024133 +*CONN +*I *2478:flash_io3_di I *D mgmt_core_wrapper +*I *2471:spimemio_flash_io3_di O *D housekeeping +*CAP +1 *2478:flash_io3_di 0.00102137 +2 *2471:spimemio_flash_io3_di 0.00226535 +3 *97:11 0.00365298 +4 *97:10 0.00489696 +5 *2478:flash_io3_di *525:11 0.000620249 +6 *97:10 *2471:spimemio_flash_io3_do 0.00152064 +7 *97:11 *98:11 0.0101555 +8 *2471:spimemio_flash_io2_oeb *97:10 0 +*RES +1 *2471:spimemio_flash_io3_di *97:10 44.5465 +2 *97:10 *97:11 118.299 +3 *97:11 *2478:flash_io3_di 15.2604 +*END + +*D_NET *98 0.0244067 +*CONN +*I *2471:spimemio_flash_io3_do I *D housekeeping +*I *2478:flash_io3_do O *D mgmt_core_wrapper +*CAP +1 *2471:spimemio_flash_io3_do 0.00176963 +2 *2478:flash_io3_do 0.00178825 +3 *98:11 0.00398602 +4 *98:10 0.00400464 +5 *2471:spimemio_flash_io3_do *2471:spimemio_flash_io3_oeb 0.00118204 +6 *98:11 *525:11 0 +7 *2471:spimemio_flash_io2_oeb *2471:spimemio_flash_io3_do 0 +8 *97:10 *2471:spimemio_flash_io3_do 0.00152064 +9 *97:11 *98:11 0.0101555 +*RES +1 *2478:flash_io3_do *98:10 25.6635 +2 *98:10 *98:11 106.653 +3 *98:11 *2471:spimemio_flash_io3_do 38.2413 +*END + +*D_NET *99 0.0288371 +*CONN +*I *2471:spimemio_flash_io3_oeb I *D housekeeping +*I *2478:flash_io3_oeb O *D mgmt_core_wrapper +*CAP +1 *2471:spimemio_flash_io3_oeb 0.00246874 +2 *2478:flash_io3_oeb 0.00125747 +3 *99:11 0.00468713 +4 *99:10 0.00347585 +5 *2471:spimemio_flash_io3_oeb *103:56 0.000252156 +6 *99:10 *480:17 0.000984253 +7 *99:10 *1607:35 0.000510617 +8 *99:10 *1609:37 0.000424011 +9 *99:10 *1611:35 0.000390675 +10 *99:10 *1713:33 0.000344331 +11 *99:10 *1716:27 0.000469437 +12 *99:10 *1724:33 0.000361052 +13 *99:10 *1738:33 0.000385684 +14 *99:10 *1740:31 0.000418593 +15 *99:10 *1742:7 0.000463439 +16 *99:10 *1744:33 0.000504093 +17 *99:11 *1563:13 0.0102575 +18 *2471:spimemio_flash_io2_oeb *2471:spimemio_flash_io3_oeb 0 +19 *2471:spimemio_flash_io3_do *2471:spimemio_flash_io3_oeb 0.00118204 +*RES +1 *2478:flash_io3_oeb *99:10 18.189 +2 *99:10 *99:11 107.207 +3 *99:11 *2471:spimemio_flash_io3_oeb 48.9613 +*END + +*D_NET *100 1.28735 +*CONN +*I *2445:serial_clock I *D gpio_control_block +*I *2444:serial_clock_out O *D gpio_control_block +*I *2462:serial_clock I *D gpio_control_block +*I *2461:serial_clock_out O *D gpio_control_block +*CAP +1 *2445:serial_clock 0.000246859 +2 *2444:serial_clock_out 0.000246821 +3 *2462:serial_clock 6.94771e-05 +4 *2461:serial_clock_out 0.00323657 +5 *100:96 0.00284399 +6 *100:95 0.00264353 +7 *100:90 0.000814186 +8 *100:89 0.000861604 +9 *100:84 0.00134424 +10 *100:82 0.00157207 +11 *100:80 0.002996 +12 *100:79 0.00292125 +13 *100:77 0.0659933 +14 *100:76 0.0659933 +15 *100:74 0.0290979 +16 *100:73 0.0290979 +17 *100:71 0.00270407 +18 *100:70 0.00326233 +19 *100:54 0.00262767 +20 *100:53 0.00732817 +21 *100:48 0.00806511 +22 *100:47 0.00329513 +23 *100:45 0.00690318 +24 *100:44 0.00746144 +25 *100:42 0.00431793 +26 *100:27 0.0112013 +27 *100:26 0.0068834 +28 *100:24 0.00227276 +29 *100:23 0.00264871 +30 *100:13 0.00361252 +31 *2444:serial_clock_out *2444:resetn_out 0 +32 *2444:serial_clock_out *2444:serial_clock 3.84497e-05 +33 *2444:serial_clock_out *2444:serial_data_in 4.31122e-05 +34 *2445:serial_clock *2445:resetn 0 +35 *2445:serial_clock *2445:resetn_out 4.31122e-05 +36 *2445:serial_clock *2445:serial_clock_out 3.84497e-05 +37 *2445:serial_clock *2445:serial_data_in 0 +38 *2445:serial_clock *485:50 0 +39 *100:13 *485:7 0.00130834 +40 *100:13 *485:10 0.0143405 +41 *100:42 *2462:serial_load 7.50991e-05 +42 *100:42 *2474:mprj_io_analog_en[14] 0 +43 *100:42 *467:12 0.0127171 +44 *100:42 *467:29 5.76799e-05 +45 *100:70 *2462:user_gpio_oeb 1.1573e-05 +46 *100:70 *2335:15 1.1573e-05 +47 *100:71 *471:15 0.000179648 +48 *100:71 *485:26 0.000220183 +49 *100:71 *485:38 0.0110621 +50 *100:71 *2335:15 0.000150769 +51 *100:74 *104:34 0.194707 +52 *100:74 *467:33 0.00580022 +53 *100:74 *467:41 0 +54 *100:74 *480:25 0 +55 *100:74 *485:41 0 +56 *100:74 *498:22 0.212157 +57 *100:74 *1178:7 0 +58 *100:74 *1550:29 1.01451e-05 +59 *100:74 *1574:18 0 +60 *100:77 *480:17 0.0863597 +61 *100:77 *498:17 0.000180782 +62 *100:77 *1550:24 0.000164123 +63 *100:77 *1574:10 0.346032 +64 *100:77 *1607:31 0.00130552 +65 *100:77 *1609:33 0.00970483 +66 *100:77 *1609:37 7.02172e-06 +67 *100:77 *1611:33 0.00107703 +68 *100:77 *1611:35 8.75392e-05 +69 *100:77 *1718:25 0.0026997 +70 *100:77 *1722:25 0.0527978 +71 *100:77 *1724:27 0.00175037 +72 *100:77 *1738:27 0.000589703 +73 *100:77 *1738:31 2.79092e-05 +74 *100:77 *2066:13 2.42128e-05 +75 *100:77 *2120:13 0.000266832 +76 *100:77 *2183:37 0.00027253 +77 *100:77 *2222:29 0.000154145 +78 *100:80 *2445:resetn_out 0 +79 *100:80 *2445:serial_clock_out 0 +80 *100:80 *2445:serial_data_in 0 +81 *100:80 *2474:mprj_io_slow_sel[2] 9.71323e-06 +82 *100:80 *104:56 0.00764896 +83 *100:80 *467:53 0.000199624 +84 *100:80 *471:40 0.00187962 +85 *100:80 *471:46 8.74185e-05 +86 *100:80 *503:13 0 +87 *100:80 *503:14 0.00620413 +88 *100:80 *503:20 2.79471e-05 +89 *100:80 *1796:14 0.00365668 +90 *100:80 *1985:14 9.31501e-05 +91 *100:80 *2012:17 0.00159639 +92 *100:80 *2093:14 0 +93 *100:80 *2147:14 0.00481274 +94 *100:82 *467:53 2.9959e-05 +95 *100:84 *2445:resetn_out 0 +96 *100:84 *467:53 0 +97 *100:84 *467:55 0.0019163 +98 *100:84 *485:53 0 +99 *100:84 *2085:8 0.00157391 +100 *100:89 *1977:19 0 +101 *100:90 *467:55 0.00530294 +102 *100:90 *485:61 0.00363386 +103 *100:90 *1842:16 0.000153978 +104 *100:90 *1842:18 3.39725e-05 +105 *100:90 *1977:19 0.00145704 +106 *100:95 *2474:mprj_io_dm[4] 0.000111708 +107 *100:96 *2444:serial_data_in 0 +108 *100:96 *2444:serial_load 0 +109 *100:96 *2444:serial_load_out 0 +110 *100:96 *2474:mprj_io_dm[4] 0.000211282 +111 *100:96 *2474:mprj_io_slow_sel[1] 0.000223156 +112 *100:96 *467:55 0.00687522 +113 *100:96 *485:65 0.000347035 +114 *100:96 *499:15 0 +115 *100:96 *2004:10 0.000223156 +116 *100:96 *2356:22 0 +117 *100:96 *2383:22 0 +*RES +1 *2461:serial_clock_out *100:13 49.2812 +2 *100:13 *100:23 14.7988 +3 *100:23 *100:24 64.3275 +4 *100:24 *100:26 4.5 +5 *100:26 *100:27 192.616 +6 *100:27 *100:42 49.0325 +7 *100:42 *100:44 4.5 +8 *100:44 *100:45 193.171 +9 *100:45 *100:47 4.5 +10 *100:47 *100:48 93.3951 +11 *100:48 *100:53 24.9921 +12 *100:53 *100:54 72.4249 +13 *100:54 *2462:serial_clock 3.59493 +14 *100:44 *100:70 24.3001 +15 *100:70 *100:71 126.618 +16 *100:71 *100:73 4.5 +17 *100:73 *100:74 3398.59 +18 *100:74 *100:76 4.5 +19 *100:76 *100:77 3694.38 +20 *100:77 *100:79 4.5 +21 *100:79 *100:80 252.852 +22 *100:80 *100:82 1.85642 +23 *100:82 *100:84 65.9885 +24 *100:84 *100:89 10.8326 +25 *100:89 *100:90 85.7129 +26 *100:90 *100:95 10.278 +27 *100:95 *100:96 122.048 +28 *100:96 *2444:serial_clock_out 4.19688 +29 *100:82 *2445:serial_clock 4.12039 +*END + +*D_NET *101 1.00422 +*CONN +*I *2446:serial_clock_out O *D gpio_control_block +*I *2447:serial_clock I *D gpio_control_block +*I *2452:serial_clock I *D gpio_control_block +*I *2451:serial_clock_out O *D gpio_control_block +*CAP +1 *2446:serial_clock_out 0.000488368 +2 *2447:serial_clock 0.00183226 +3 *2452:serial_clock 0.000473904 +4 *2451:serial_clock_out 9.3786e-05 +5 *101:67 0.00542675 +6 *101:66 0.00493838 +7 *101:64 0.00214956 +8 *101:63 0.0092089 +9 *101:48 0.00901326 +10 *101:40 0.0817003 +11 *101:39 0.0815787 +12 *101:37 0.0219875 +13 *101:36 0.0234339 +14 *101:33 0.0017378 +15 *101:22 0.00321298 +16 *101:21 0.00289333 +17 *101:16 0.000708282 +18 *101:15 0.000918808 +19 *101:10 0.00258751 +20 *101:8 0.00228275 +21 *101:7 0.000445188 +22 *2446:serial_clock_out *2446:resetn_out 0.00140059 +23 *2447:serial_clock *2447:resetn 0.00186801 +24 *2447:serial_clock *486:44 1.83572e-05 +25 *2452:serial_clock *2452:resetn 0 +26 *2452:serial_clock *102:13 5.00967e-05 +27 *2452:serial_clock *501:13 0 +28 *101:7 *2451:serial_clock 1.05966e-05 +29 *101:7 *2451:serial_data_in 4.80459e-05 +30 *101:10 *2451:serial_data_in 1.48603e-05 +31 *101:10 *2451:user_gpio_oeb 0 +32 *101:10 *1879:32 0.00257857 +33 *101:10 *1965:8 0.000309229 +34 *101:10 *1967:20 0.000331297 +35 *101:10 *1994:50 0.000248812 +36 *101:10 *2129:13 2.79829e-05 +37 *101:10 *2330:10 0.000196723 +38 *101:15 *1877:19 5.04829e-06 +39 *101:15 *2019:10 4.35979e-05 +40 *101:15 *2073:49 0 +41 *101:16 *2474:mprj_io_dm[28] 0.00148821 +42 *101:16 *1882:14 0.00407454 +43 *101:16 *1994:20 0.00253423 +44 *101:16 *2073:52 2.01595e-05 +45 *101:16 *2102:10 0.000582729 +46 *101:21 *2474:mprj_io_out[9] 0 +47 *101:21 *1994:16 0.000263135 +48 *101:21 *2321:14 3.6695e-05 +49 *101:22 *2452:resetn 0 +50 *101:22 *2474:mprj_io_holdover[9] 0.00010487 +51 *101:22 *2474:mprj_io_ib_mode_sel[9] 4.84974e-05 +52 *101:22 *2474:mprj_io_oeb[9] 0.00010487 +53 *101:22 *2474:mprj_io_out[9] 0.000171079 +54 *101:22 *2474:mprj_io_vtrip_sel[9] 7.88241e-05 +55 *101:22 *1884:13 0 +56 *101:22 *1885:13 0 +57 *101:22 *1968:13 0 +58 *101:22 *1994:11 0.0034193 +59 *101:22 *2046:7 0.00123895 +60 *101:22 *2049:13 0 +61 *101:22 *2076:13 0 +62 *101:22 *2076:14 8.70609e-05 +63 *101:22 *2103:18 0.000106514 +64 *101:22 *2156:17 0.000481816 +65 *101:33 *2451:serial_clock 3.88655e-06 +66 *101:33 *1879:32 0 +67 *101:33 *2129:13 0.000778619 +68 *101:36 *112:47 0.000466287 +69 *101:36 *486:13 0 +70 *101:36 *486:17 0 +71 *101:36 *497:49 2.8182e-06 +72 *101:37 *468:18 7.87327e-05 +73 *101:37 *479:37 0.115362 +74 *101:37 *486:18 0.136092 +75 *101:37 *486:61 0 +76 *101:37 *487:18 1.27831e-06 +77 *101:37 *497:43 0 +78 *101:37 *2356:16 0 +79 *101:40 *468:21 0.0672116 +80 *101:40 *486:21 0.358501 +81 *101:40 *2036:8 0.00976513 +82 *101:48 *469:42 0.000581419 +83 *101:48 *486:29 0.000380888 +84 *101:48 *2036:7 7.86825e-06 +85 *101:63 *2474:mprj_io_vtrip_sel[24] 0.00653006 +86 *101:63 *486:44 0.0231092 +87 *101:64 *486:45 0.00624046 +*RES +1 *2451:serial_clock_out *101:7 3.66144 +2 *101:7 *101:8 1.29461 +3 *101:8 *101:10 90.0731 +4 *101:10 *101:15 16.3786 +5 *101:15 *101:16 65.3656 +6 *101:16 *101:21 13.6056 +7 *101:21 *101:22 117.48 +8 *101:22 *2452:serial_clock 4.19688 +9 *101:7 *101:33 17.3362 +10 *101:33 *101:36 42.9364 +11 *101:36 *101:37 2177.75 +12 *101:37 *101:39 4.5 +13 *101:39 *101:40 3781.46 +14 *101:40 *101:48 9.40057 +15 *101:48 *2447:serial_clock 8.90803 +16 *101:48 *101:63 49.2527 +17 *101:63 *101:64 99.6239 +18 *101:64 *101:66 4.5 +19 *101:66 *101:67 133.274 +20 *101:67 *2446:serial_clock_out 30.2558 +*END + +*D_NET *102 0.986589 +*CONN +*I *2453:serial_clock I *D gpio_control_block +*I *2447:serial_clock_out O *D gpio_control_block +*I *2448:serial_clock I *D gpio_control_block +*I *2452:serial_clock_out O *D gpio_control_block +*CAP +1 *2453:serial_clock 0.000105328 +2 *2447:serial_clock_out 0.000642678 +3 *2448:serial_clock 9.89961e-05 +4 *2452:serial_clock_out 0.000505984 +5 *102:60 0.000981711 +6 *102:54 0.00312315 +7 *102:53 0.00224677 +8 *102:44 0.00745899 +9 *102:43 0.00681632 +10 *102:32 0.00267038 +11 *102:31 0.00738134 +12 *102:26 0.0076261 +13 *102:25 0.00281614 +14 *102:23 0.00554175 +15 *102:21 0.0787319 +16 *102:20 0.0731901 +17 *102:18 0.0598548 +18 *102:17 0.0599461 +19 *102:13 0.000597346 +20 *2447:serial_clock_out *2447:resetn_out 0 +21 *2447:serial_clock_out *2447:serial_data_in 0.000106706 +22 *2447:serial_clock_out *514:7 0 +23 *2453:serial_clock *103:15 3.27908e-05 +24 *2453:serial_clock *488:11 4.2387e-05 +25 *2453:serial_clock *2158:10 0 +26 *102:13 *2452:resetn 0 +27 *102:13 *2452:serial_load 3.88358e-05 +28 *102:13 *469:12 2.01653e-05 +29 *102:13 *469:15 0.0031848 +30 *102:13 *2331:13 0.0032252 +31 *102:17 *469:15 0.00048346 +32 *102:17 *2331:13 0.000695917 +33 *102:18 *487:18 0.170906 +34 *102:21 *487:21 0.35549 +35 *102:21 *487:25 0.000751257 +36 *102:21 *1573:10 0 +37 *102:21 *1581:10 0.0683305 +38 *102:21 *1582:35 0.000598683 +39 *102:21 *1583:10 4.4379e-05 +40 *102:21 *1584:10 1.59204e-05 +41 *102:21 *1585:10 0 +42 *102:21 *1586:10 0 +43 *102:21 *1592:10 0.000113197 +44 *102:23 *1573:10 0 +45 *102:23 *1573:14 0.0143775 +46 *102:26 *1573:17 0.00593618 +47 *102:32 *2448:mgmt_gpio_oeb 0.000626464 +48 *102:44 *1932:8 0.00370754 +49 *102:44 *1956:14 5.78792e-05 +50 *102:44 *1983:8 0 +51 *102:44 *2145:8 0 +52 *102:54 *469:57 0.0164131 +53 *102:54 *487:46 0.0168493 +54 *102:60 *2453:resetn 0.00302181 +55 *102:60 *103:15 7.12582e-05 +56 *102:60 *488:11 0.000197406 +57 *102:60 *1942:11 0.00039558 +58 *102:60 *2050:13 0.000464113 +59 *102:60 *2104:13 0 +60 *102:60 *2131:10 4.3116e-06 +61 *2452:serial_clock *102:13 5.00967e-05 +*RES +1 *2452:serial_clock_out *102:13 44.1107 +2 *102:13 *102:17 11.8786 +3 *102:17 *102:18 2749.14 +4 *102:18 *102:20 4.5 +5 *102:20 *102:21 3768.15 +6 *102:21 *102:23 217.573 +7 *102:23 *102:25 4.5 +8 *102:25 *102:26 116.649 +9 *102:26 *102:31 25.1451 +10 *102:31 *102:32 76.5774 +11 *102:32 *2448:serial_clock 3.708 +12 *102:21 *102:43 4.5 +13 *102:43 *102:44 227.522 +14 *102:44 *2447:serial_clock_out 5.65019 +15 *102:13 *102:53 4.5 +16 *102:53 *102:54 269.254 +17 *102:54 *102:60 48.4978 +18 *102:60 *2453:serial_clock 3.73793 +*END + +*D_NET *103 1.01152 +*CONN +*I *2454:serial_clock I *D gpio_control_block +*I *2448:serial_clock_out O *D gpio_control_block +*I *2453:serial_clock_out O *D gpio_control_block +*CAP +1 *2454:serial_clock 0.00128897 +2 *2448:serial_clock_out 0.000530065 +3 *2453:serial_clock_out 0.00132521 +4 *103:72 0.0252293 +5 *103:71 0.0239403 +6 *103:59 0.0698346 +7 *103:58 0.0693046 +8 *103:56 0.00389319 +9 *103:55 0.00389319 +10 *103:53 0.00180052 +11 *103:52 0.00180052 +12 *103:50 0.00508856 +13 *103:49 0.00508856 +14 *103:47 0.00663653 +15 *103:46 0.00663653 +16 *103:44 0.00304476 +17 *103:43 0.00304476 +18 *103:41 0.00235733 +19 *103:40 0.00235733 +20 *103:38 0.0211002 +21 *103:37 0.0216748 +22 *103:34 0.00173847 +23 *103:31 0.0023329 +24 *103:26 0.00335987 +25 *103:25 0.00219083 +26 *103:23 0.00254357 +27 *103:22 0.00254357 +28 *103:20 0.003849 +29 *103:19 0.00411366 +30 *103:15 0.00158987 +31 *2448:serial_clock_out *2448:resetn 0 +32 *2448:serial_clock_out *2448:resetn_out 2.44479e-06 +33 *2448:serial_clock_out *2448:serial_data_in 9.52305e-06 +34 *2448:serial_clock_out *2448:serial_load_out 0 +35 *2448:serial_clock_out *515:7 0 +36 *2448:serial_clock_out *515:8 0.000388329 +37 *2454:serial_clock *2454:resetn 0 +38 *2454:serial_clock *2454:serial_data_in 0 +39 *2454:serial_clock *470:40 0 +40 *2454:serial_clock *2360:13 0 +41 *103:15 *2453:resetn 6.11359e-06 +42 *103:15 *2453:serial_data_in 3.27908e-05 +43 *103:15 *488:11 0.000845972 +44 *103:15 *1942:11 5.35541e-05 +45 *103:15 *2131:10 0.000416687 +46 *103:19 *488:11 0.00043038 +47 *103:20 *488:16 0 +48 *103:31 *2473:gpio_analog[3] 0.000231696 +49 *103:38 *469:22 0.153823 +50 *103:38 *488:20 0.157626 +51 *103:41 *469:25 0.00179582 +52 *103:59 *488:35 0.322046 +53 *103:72 *469:57 0.0009412 +54 *103:72 *470:34 0.0683009 +55 *103:72 *488:52 8.01542e-05 +56 *2453:serial_clock *103:15 3.27908e-05 +57 *2471:spimemio_flash_io3_oeb *103:56 0.000252156 +58 *102:60 *103:15 7.12582e-05 +*RES +1 *2453:serial_clock_out *103:15 49.1554 +2 *103:15 *103:19 12.9878 +3 *103:19 *103:20 108.967 +4 *103:20 *103:22 4.5 +5 *103:22 *103:23 70.6034 +6 *103:23 *103:25 4.5 +7 *103:25 *103:26 61.6283 +8 *103:26 *103:31 39.1174 +9 *103:31 *103:34 37.0607 +10 *103:34 *103:37 20.1977 +11 *103:37 *103:38 2521.17 +12 *103:38 *103:40 4.5 +13 *103:40 *103:41 65.0574 +14 *103:41 *103:43 4.5 +15 *103:43 *103:44 84.8824 +16 *103:44 *103:46 4.5 +17 *103:46 *103:47 185.406 +18 *103:47 *103:49 4.5 +19 *103:49 *103:50 143.433 +20 *103:50 *103:52 4.5 +21 *103:52 *103:53 50.0831 +22 *103:53 *103:55 4.5 +23 *103:55 *103:56 92.357 +24 *103:56 *103:58 4.5 +25 *103:58 *103:59 3363.84 +26 *103:59 *2448:serial_clock_out 20.1343 +27 *103:15 *103:71 4.5 +28 *103:71 *103:72 1108.06 +29 *103:72 *2454:serial_clock 45.854 +*END + +*D_NET *104 1.25185 +*CONN +*I *2455:serial_clock I *D gpio_control_block +*I *2445:serial_clock_out O *D gpio_control_block +*I *2463:serial_clock I *D gpio_control_block +*I *2462:serial_clock_out O *D gpio_control_block +*CAP +1 *2455:serial_clock 0.000272871 +2 *2445:serial_clock_out 0.000404266 +3 *2463:serial_clock 0.00131308 +4 *2462:serial_clock_out 0.00830566 +5 *104:56 0.00188562 +6 *104:55 0.0015828 +7 *104:50 0.000782148 +8 *104:49 0.00106883 +9 *104:40 0.00149314 +10 *104:39 0.00110501 +11 *104:37 0.0272819 +12 *104:36 0.027009 +13 *104:34 0.0253702 +14 *104:33 0.0253702 +15 *104:31 0.00466983 +16 *104:30 0.00546296 +17 *104:10 0.0104119 +18 *2445:serial_clock_out *2445:serial_data_in 9.35003e-05 +19 *2445:serial_clock_out *503:13 8.99353e-05 +20 *2445:serial_clock_out *2394:27 0 +21 *2455:serial_clock *2455:resetn 0.000196651 +22 *2455:serial_clock *2455:resetn_out 5.37048e-05 +23 *2455:serial_clock *2455:serial_clock_out 5.37048e-05 +24 *2455:serial_clock *503:26 0 +25 *104:30 *517:15 0.000423878 +26 *104:34 *467:33 0.000258189 +27 *104:34 *489:29 0.194165 +28 *104:37 *2455:resetn 4.66492e-05 +29 *104:37 *470:19 0.00100065 +30 *104:37 *489:32 0.349441 +31 *104:37 *1561:11 0.342146 +32 *104:37 *1571:10 1.92336e-05 +33 *104:40 *2455:mgmt_gpio_out 0.000100924 +34 *104:40 *2455:pad_gpio_in 0.000201392 +35 *104:40 *2455:resetn 0 +36 *104:40 *489:35 0.00501558 +37 *104:40 *1796:8 0.00284392 +38 *104:40 *1823:13 5.35541e-05 +39 *104:40 *1850:13 0 +40 *104:40 *1924:13 0 +41 *104:40 *1935:13 6.09999e-05 +42 *104:40 *1937:13 4.2993e-05 +43 *104:40 *1958:13 8.35615e-06 +44 *104:40 *2066:13 5.35541e-05 +45 *104:40 *2093:13 0 +46 *104:40 *2120:13 0 +47 *104:40 *2147:8 2.57465e-06 +48 *104:40 *2174:13 0 +49 *104:49 *2474:mprj_io_oeb[2] 0.000344954 +50 *104:49 *2147:13 0 +51 *104:50 *489:45 0.00320921 +52 *104:50 *503:20 1.3813e-05 +53 *104:50 *1935:24 0.000691052 +54 *104:50 *1958:14 0.000161551 +55 *104:50 *1985:14 0.000193047 +56 *104:50 *2120:14 0.0010439 +57 *104:55 *2474:mprj_io_analog_en[2] 5.04829e-06 +58 *104:56 *467:53 0.000196407 +59 *104:56 *489:45 1.90395e-05 +60 *104:56 *489:49 0.0020682 +61 *104:56 *503:20 0.00135285 +62 *2445:serial_clock *2445:serial_clock_out 3.84497e-05 +63 *100:74 *104:34 0.194707 +64 *100:80 *2445:serial_clock_out 0 +65 *100:80 *104:56 0.00764896 +*RES +1 *2462:serial_clock_out *104:10 33.7469 +2 *104:10 *2463:serial_clock 34.0393 +3 *104:10 *104:30 24.0167 +4 *104:30 *104:31 126.618 +5 *104:31 *104:33 4.5 +6 *104:33 *104:34 3117.47 +7 *104:34 *104:36 4.5 +8 *104:36 *104:37 3697.16 +9 *104:37 *104:39 4.5 +10 *104:39 *104:40 81.5604 +11 *104:40 *104:49 19.5616 +12 *104:49 *104:50 55.3995 +13 *104:50 *104:55 10.8326 +14 *104:55 *104:56 122.67 +15 *104:56 *2445:serial_clock_out 23.3855 +16 *104:37 *2455:serial_clock 14.5252 +*END + +*D_NET *105 0.94941 +*CONN +*I *2456:serial_clock I *D gpio_control_block +*I *2455:serial_clock_out O *D gpio_control_block +*I *2464:serial_clock I *D gpio_control_block +*I *2463:serial_clock_out O *D gpio_control_block +*CAP +1 *2456:serial_clock 0.000139872 +2 *2455:serial_clock_out 8.07371e-05 +3 *2464:serial_clock 0.00055001 +4 *2463:serial_clock_out 0.000562381 +5 *105:60 0.00201892 +6 *105:59 0.00207953 +7 *105:54 0.00121403 +8 *105:52 0.00150362 +9 *105:43 0.00138695 +10 *105:32 0.00224582 +11 *105:30 0.00300827 +12 *105:29 0.00157859 +13 *105:27 0.0839559 +14 *105:26 0.0839559 +15 *105:24 0.019968 +16 *105:23 0.0202751 +17 *105:14 0.000857038 +18 *105:12 0.00237639 +19 *105:10 0.00240217 +20 *105:8 0.00147607 +21 *105:7 0.00201267 +22 *2455:serial_clock_out *2455:resetn_out 0 +23 *2455:serial_clock_out *2455:serial_data_in 8.88774e-05 +24 *2456:serial_clock *2456:resetn 0 +25 *2456:serial_clock *2456:serial_data_in 0 +26 *2464:serial_clock *2464:resetn 0 +27 *2464:serial_clock *106:13 0.000378011 +28 *105:7 *2463:serial_data_in 0.000317363 +29 *105:7 *471:23 0.000279299 +30 *105:7 *490:7 0 +31 *105:8 *472:8 0.00188107 +32 *105:8 *490:8 0.00701861 +33 *105:8 *2162:16 0.00176959 +34 *105:12 *106:13 0 +35 *105:12 *472:8 0.00424781 +36 *105:12 *490:8 0.0045391 +37 *105:12 *518:8 0 +38 *105:23 *2464:resetn 0 +39 *105:24 *471:24 0.155636 +40 *105:24 *472:20 0.155584 +41 *105:24 *1557:27 2.19276e-05 +42 *105:27 *472:23 0.00283259 +43 *105:27 *475:34 0.00255922 +44 *105:27 *2222:33 0.353529 +45 *105:30 *2456:serial_data_in 8.52258e-05 +46 *105:30 *2456:user_gpio_out 0 +47 *105:30 *472:26 0.00393317 +48 *105:30 *472:30 0.000610253 +49 *105:30 *505:13 4.88112e-06 +50 *105:30 *2349:10 1.38068e-05 +51 *105:32 *2455:resetn_out 0.000315887 +52 *105:32 *472:30 0.00018079 +53 *105:32 *472:32 0 +54 *105:32 *1859:13 0.000119662 +55 *105:32 *1938:13 0.000145708 +56 *105:32 *2094:8 0.00249431 +57 *105:32 *2175:13 0.000107108 +58 *105:43 *2455:resetn_out 0.000272364 +59 *105:43 *2474:mprj_io_oeb[3] 0.00102781 +60 *105:43 *472:32 0 +61 *105:43 *472:37 1.21461e-06 +62 *105:43 *2094:8 0.000574919 +63 *105:52 *2455:resetn_out 0.00016984 +64 *105:52 *2474:mprj_io_ib_mode_sel[3] 5.6259e-05 +65 *105:52 *2474:mprj_io_oeb[3] 0 +66 *105:52 *2474:mprj_io_out[3] 5.481e-05 +67 *105:52 *472:38 9.71323e-06 +68 *105:52 *504:12 0.00109374 +69 *105:52 *2013:13 0.00105977 +70 *105:52 *2040:19 0.000444842 +71 *105:54 *2455:resetn_out 0.000629915 +72 *105:54 *472:38 0.00207446 +73 *105:54 *504:8 0.000686636 +74 *105:54 *504:12 0.00173287 +75 *105:59 *472:43 0.00042603 +76 *105:60 *2455:resetn_out 0.000614381 +77 *105:60 *2455:user_gpio_oeb 3.24019e-05 +78 *105:60 *2455:user_gpio_out 0.000922886 +79 *105:60 *471:30 0 +80 *105:60 *471:36 7.12702e-05 +81 *105:60 *471:40 0 +82 *105:60 *472:44 0.00505823 +83 *105:60 *503:26 0 +84 *2455:serial_clock *2455:serial_clock_out 5.37048e-05 +*RES +1 *2463:serial_clock_out *105:7 5.87966 +2 *105:7 *105:8 117.199 +3 *105:8 *105:10 0.732798 +4 *105:10 *105:12 149.735 +5 *105:12 *105:14 3.36879 +6 *105:14 *2464:serial_clock 2.43438 +7 *105:14 *105:23 4.41304 +8 *105:23 *105:24 2488.57 +9 *105:24 *105:26 4.5 +10 *105:26 *105:27 3799.2 +11 *105:27 *105:29 4.5 +12 *105:29 *105:30 73.0477 +13 *105:30 *105:32 53.4454 +14 *105:32 *105:43 47.3945 +15 *105:43 *105:52 36.6149 +16 *105:52 *105:54 69.3105 +17 *105:54 *105:59 14.1602 +18 *105:59 *105:60 91.3188 +19 *105:60 *2455:serial_clock_out 3.81442 +20 *105:30 *2456:serial_clock 3.81442 +*END + +*D_NET *106 1.21421 +*CONN +*I *2456:serial_clock_out O *D gpio_control_block +*I *2457:serial_clock I *D gpio_control_block +*I *2465:serial_clock I *D gpio_control_block +*I *2464:serial_clock_out O *D gpio_control_block +*CAP +1 *2456:serial_clock_out 0.000120058 +2 *2457:serial_clock 0.00128674 +3 *2465:serial_clock 0.00117781 +4 *2464:serial_clock_out 0.00125558 +5 *106:60 0.000881774 +6 *106:59 0.00139961 +7 *106:40 0.00291661 +8 *106:39 0.00162987 +9 *106:37 0.0210987 +10 *106:36 0.0204608 +11 *106:34 0.0181061 +12 *106:33 0.0181061 +13 *106:31 0.00435009 +14 *106:16 0.0055279 +15 *106:14 0.00211462 +16 *106:13 0.0033702 +17 *2457:serial_clock *2457:resetn_out 1.29141e-05 +18 *2457:serial_clock *2457:serial_clock_out 1.29141e-05 +19 *2457:serial_clock *492:31 0 +20 *2465:serial_clock *2465:serial_data_in 1.29018e-05 +21 *2465:serial_clock *2465:serial_load 0.000401123 +22 *2465:serial_clock *474:13 1.93695e-05 +23 *2465:serial_clock *492:9 8.63825e-06 +24 *2465:serial_clock *2338:11 0 +25 *2465:serial_clock *2365:19 0 +26 *2465:serial_clock *2392:19 0 +27 *106:13 *2464:serial_data_in 0.000261617 +28 *106:13 *2464:serial_load 0 +29 *106:13 *490:8 0 +30 *106:13 *490:12 0 +31 *106:13 *518:7 0 +32 *106:13 *519:22 0 +33 *106:13 *2082:10 0.000228329 +34 *106:13 *2163:8 0 +35 *106:14 *491:28 0.0098849 +36 *106:14 *519:10 0.00211279 +37 *106:14 *1905:8 1.5254e-05 +38 *106:14 *1947:8 0.00383441 +39 *106:14 *2082:12 0.00942927 +40 *106:31 *2338:11 0 +41 *106:31 *2392:19 0 +42 *106:34 *113:20 0.0161898 +43 *106:34 *473:28 0.139831 +44 *106:34 *485:41 8.6297e-06 +45 *106:34 *491:45 0.139358 +46 *106:37 *107:87 0.351104 +47 *106:37 *473:31 0.351145 +48 *106:37 *473:53 4.88955e-05 +49 *106:37 *474:57 0.0475258 +50 *106:37 *491:48 1.00981e-05 +51 *106:37 *497:34 1.00937e-05 +52 *106:40 *473:34 0.0121798 +53 *106:40 *491:51 0.0118845 +54 *106:40 *492:28 7.61581e-05 +55 *106:40 *1537:20 5.02971e-05 +56 *106:59 *107:87 0.000761577 +57 *106:59 *473:53 0.00408905 +58 *106:59 *491:70 0.00088455 +59 *106:60 *473:54 0.00404752 +60 *106:60 *491:71 0.0045918 +61 *2464:serial_clock *106:13 0.000378011 +62 *105:12 *106:13 0 +*RES +1 *2464:serial_clock_out *106:13 41.867 +2 *106:13 *106:14 221.085 +3 *106:14 *106:16 4.5 +4 *106:16 *2465:serial_clock 39.174 +5 *106:16 *106:31 115.526 +6 *106:31 *106:33 4.5 +7 *106:33 *106:34 2237.13 +8 *106:34 *106:36 4.5 +9 *106:36 *106:37 3669.98 +10 *106:37 *106:39 4.5 +11 *106:39 *106:40 195.34 +12 *106:40 *2457:serial_clock 40.3179 +13 *106:37 *106:59 49.0371 +14 *106:59 *106:60 74.7088 +15 *106:60 *2456:serial_clock_out 3.708 +*END + +*D_NET *107 1.25349 +*CONN +*I *2458:serial_clock I *D gpio_control_block +*I *2457:serial_clock_out O *D gpio_control_block +*I *2466:serial_clock I *D gpio_control_block +*I *2465:serial_clock_out O *D gpio_control_block +*CAP +1 *2458:serial_clock 0.00073291 +2 *2457:serial_clock_out 0.000812614 +3 *2466:serial_clock 0.000130443 +4 *2465:serial_clock_out 0.00225489 +5 *107:107 0.00293292 +6 *107:90 0.00535518 +7 *107:89 0.00234256 +8 *107:87 0.0205998 +9 *107:86 0.0205998 +10 *107:84 0.0197257 +11 *107:83 0.0197257 +12 *107:81 0.00466434 +13 *107:70 0.00132237 +14 *107:69 0.00596191 +15 *107:64 0.00771459 +16 *107:63 0.0029446 +17 *107:61 0.00743575 +18 *107:60 0.0121001 +19 *107:58 0.00302261 +20 *107:57 0.00350037 +21 *107:51 0.00130164 +22 *107:39 0.00154115 +23 *107:27 0.00613038 +24 *107:26 0.00541311 +25 *107:24 0.00267478 +26 *107:22 0.00694928 +27 *107:15 0.0065294 +28 *2457:serial_clock_out *2457:serial_data_in 1.29141e-05 +29 *2458:serial_clock *2458:resetn_out 4.31122e-05 +30 *2458:serial_clock *2458:serial_clock_out 3.84497e-05 +31 *107:22 *2465:gpio_defaults[0] 0 +32 *107:27 *1948:19 0.00993659 +33 *107:27 *1975:19 0.00108667 +34 *107:39 *2002:9 0.00042425 +35 *107:51 *2474:mprj_io_dm[55] 0.000127271 +36 *107:51 *2474:mprj_io_slow_sel[18] 0.00019711 +37 *107:51 *1786:18 0 +38 *107:51 *1840:27 0.000104535 +39 *107:51 *2002:7 0.000174661 +40 *107:51 *2137:10 0.000684338 +41 *107:51 *2313:15 0.000152131 +42 *107:57 *2474:mprj_io_holdover[18] 0 +43 *107:57 *1909:16 0.00198608 +44 *107:57 *1948:22 0.00157442 +45 *107:57 *2295:13 0 +46 *107:57 *2295:16 0.000142393 +47 *107:58 *2474:mprj_io_holdover[18] 0.000253457 +48 *107:58 *2474:mprj_io_oeb[18] 0 +49 *107:58 *474:14 0 +50 *107:58 *1909:16 0 +51 *107:58 *2029:9 0.000464416 +52 *107:64 *2466:gpio_defaults[0] 0 +53 *107:70 *108:10 0.00343943 +54 *107:84 *108:39 0.106718 +55 *107:84 *113:20 0.0156578 +56 *107:84 *475:31 4.3116e-06 +57 *107:84 *491:45 0.123933 +58 *107:87 *474:57 0.0518102 +59 *107:87 *491:48 4.03336e-05 +60 *107:87 *491:70 9.80747e-05 +61 *107:87 *496:41 0.35154 +62 *107:90 *474:60 0.0123599 +63 *107:90 *478:32 0.0016504 +64 *107:90 *492:34 0.000311015 +65 *107:90 *505:14 0.00584242 +66 *107:107 *474:60 0.000653242 +67 *107:107 *474:75 0.016458 +68 *107:107 *478:32 0.00232784 +69 *107:107 *492:34 0.0167972 +70 *107:107 *506:14 0.000148843 +71 *2457:serial_clock *2457:serial_clock_out 1.29141e-05 +72 *106:37 *107:87 0.351104 +73 *106:59 *107:87 0.000761577 +*RES +1 *2465:serial_clock_out *107:15 37.02 +2 *107:15 *107:22 35.5451 +3 *107:22 *107:24 75.9789 +4 *107:24 *107:26 4.5 +5 *107:26 *107:27 204.263 +6 *107:27 *107:39 37.8229 +7 *107:39 *107:51 47.6191 +8 *107:51 *107:57 44.6418 +9 *107:57 *107:58 93.1875 +10 *107:58 *107:60 4.5 +11 *107:60 *107:61 208.145 +12 *107:61 *107:63 4.5 +13 *107:63 *107:64 83.4291 +14 *107:64 *107:69 24.9921 +15 *107:69 *107:70 54.9843 +16 *107:70 *2466:serial_clock 3.708 +17 *107:60 *107:81 123.291 +18 *107:81 *107:83 4.5 +19 *107:83 *107:84 1982.58 +20 *107:84 *107:86 4.5 +21 *107:86 *107:87 3677.75 +22 *107:87 *107:89 4.5 +23 *107:89 *107:90 198.246 +24 *107:90 *2457:serial_clock_out 31.4443 +25 *107:90 *107:107 273.407 +26 *107:107 *2458:serial_clock 30.3251 +*END + +*D_NET *108 1.31132 +*CONN +*I *2459:serial_clock I *D gpio_control_block +*I *2458:serial_clock_out O *D gpio_control_block +*I *2467:serial_clock I *D gpio_control_block +*I *2466:serial_clock_out O *D gpio_control_block +*CAP +1 *2459:serial_clock 0.00250538 +2 *2458:serial_clock_out 0.000395487 +3 *2467:serial_clock 0.000590316 +4 *2466:serial_clock_out 9.89961e-05 +5 *108:49 0.00866469 +6 *108:47 0.0122248 +7 *108:42 0.0746875 +8 *108:41 0.0682265 +9 *108:39 0.0185278 +10 *108:38 0.0185278 +11 *108:36 0.00265194 +12 *108:35 0.00265194 +13 *108:22 0.001115 +14 *108:21 0.00860152 +15 *108:10 0.00994605 +16 *108:9 0.0019682 +17 *2458:serial_clock_out *2458:resetn_out 0 +18 *2458:serial_clock_out *2458:serial_data_in 4.31122e-05 +19 *2458:serial_clock_out *2458:serial_load 0 +20 *2458:serial_clock_out *507:10 0 +21 *2459:serial_clock *2459:resetn 0.0185843 +22 *2459:serial_clock *2459:resetn_out 3.84497e-05 +23 *2459:serial_clock *2459:serial_clock_out 3.74656e-05 +24 *2459:serial_clock *2459:serial_data_in 0 +25 *2459:serial_clock *2459:serial_load 0.0182494 +26 *2459:serial_clock *476:28 0 +27 *2459:serial_clock *494:26 0 +28 *2459:serial_clock *494:29 0.000148555 +29 *2459:serial_clock *507:12 0.000733756 +30 *2459:serial_clock *1854:14 0.000747342 +31 *2459:serial_clock *1962:16 0.000758727 +32 *2459:serial_clock *2016:13 0.000462724 +33 *2467:serial_clock *2341:12 1.1573e-05 +34 *108:22 *2467:user_gpio_oeb 6.23451e-05 +35 *108:22 *2467:user_gpio_out 1.1573e-05 +36 *108:36 *475:28 0.0116764 +37 *108:36 *2341:13 0.00138917 +38 *108:39 *113:20 0.0141324 +39 *108:39 *475:31 0.0401067 +40 *108:39 *485:41 0 +41 *108:39 *491:45 1.47875e-05 +42 *108:39 *496:38 0.0243437 +43 *108:42 *109:61 0.00129949 +44 *108:42 *475:34 0.399189 +45 *108:42 *478:29 0.398827 +46 *108:42 *479:34 0.00238228 +47 *108:42 *493:48 0.000239164 +48 *108:47 *110:96 0.000641599 +49 *108:47 *110:98 0.00168826 +50 *108:47 *475:37 4.22519e-05 +51 *108:47 *493:51 0.0190094 +52 *108:47 *495:56 0.00049479 +53 *108:47 *495:64 0.000723518 +54 *108:47 *1852:14 0.00072038 +55 *108:47 *1863:14 0.00066488 +56 *108:47 *1960:14 0.000539504 +57 *108:47 *2014:30 0.000224324 +58 *108:47 *2095:8 0.000562858 +59 *108:47 *2176:14 0.000988756 +60 *108:49 *2459:resetn 0.00036201 +61 *108:49 *2474:mprj_io_analog_pol[5] 0.000138177 +62 *108:49 *2474:mprj_io_analog_sel[5] 0.000211107 +63 *108:49 *2474:mprj_io_inp_dis[5] 0.000132081 +64 *108:49 *493:51 0.00405446 +65 *108:49 *493:55 0.000764356 +66 *108:49 *495:64 0.000760449 +67 *108:49 *1853:17 0.000428321 +68 *108:49 *1961:14 0.000597423 +69 *108:49 *1988:8 0.000546308 +70 *108:49 *2042:11 0.00030076 +71 *108:49 *2096:14 0.000567608 +72 *108:49 *2123:14 0.000789249 +73 *108:49 *2150:8 0.000299508 +74 *2458:serial_clock *2458:serial_clock_out 3.84497e-05 +75 *107:70 *108:10 0.00343943 +76 *107:84 *108:39 0.106718 +*RES +1 *2466:serial_clock_out *108:9 3.708 +2 *108:9 *108:10 74.0859 +3 *108:10 *108:21 38.957 +4 *108:21 *108:22 15.3277 +5 *108:22 *2467:serial_clock 17.7899 +6 *108:22 *108:35 4.5 +7 *108:35 *108:36 132.164 +8 *108:36 *108:38 4.5 +9 *108:38 *108:39 1709.97 +10 *108:39 *108:41 3.36879 +11 *108:41 *108:42 508.365 +12 *108:42 *108:47 38.7256 +13 *108:47 *108:49 27.7221 +14 *108:49 *2458:serial_clock_out 1.47244 +15 *108:49 *2459:serial_clock 39.1289 +*END + +*D_NET *109 0.876509 +*CONN +*I *2468:serial_clock I *D gpio_control_block +*I *2460:serial_clock I *D gpio_control_block +*I *2459:serial_clock_out O *D gpio_control_block +*I *2467:serial_clock_out O *D gpio_control_block +*CAP +1 *2468:serial_clock 2.68684e-05 +2 *2460:serial_clock 0 +3 *2459:serial_clock_out 4.9096e-05 +4 *2467:serial_clock_out 6.94498e-05 +5 *109:127 2.68684e-05 +6 *109:123 0.00195138 +7 *109:122 0.0064146 +8 *109:109 0.00300429 +9 *109:108 0.00383081 +10 *109:103 0.00565571 +11 *109:94 0.00600548 +12 *109:90 0.00168787 +13 *109:87 0.00208883 +14 *109:82 0.00331102 +15 *109:81 0.00178286 +16 *109:79 0.0015237 +17 *109:76 0.00570862 +18 *109:75 0.00473976 +19 *109:70 0.00415408 +20 *109:69 0.00484104 +21 *109:64 0.0112607 +22 *109:63 0.0100189 +23 *109:61 0.0473968 +24 *109:60 0.0483724 +25 *109:57 0.00218619 +26 *109:52 0.00226915 +27 *109:51 0.0010585 +28 *109:49 0.00271958 +29 *109:48 0.00271958 +30 *109:46 0.00248324 +31 *109:45 0.00275614 +32 *109:40 0.0126655 +33 *109:39 0.0142603 +34 *109:35 0.00249201 +35 *109:32 0.00152525 +36 *109:27 0.00687353 +37 *109:26 0.00597257 +38 *109:24 0.00508681 +39 *109:22 0.0139737 +40 *109:21 0.0113711 +41 *109:16 0.0247359 +42 *109:15 0.0182297 +43 *109:10 0.00241513 +44 *109:9 0.00204343 +45 *2459:serial_clock_out *2459:serial_data_in 3.27908e-05 +46 *109:10 *2467:resetn 0 +47 *109:16 *2474:mprj_io_holdover[20] 0 +48 *109:24 *110:31 0.000488419 +49 *109:24 *110:32 0 +50 *109:40 *479:16 0.00189414 +51 *109:40 *1558:29 0.00701027 +52 *109:40 *1559:31 0.0280684 +53 *109:40 *1560:29 0.011506 +54 *109:40 *2371:16 9.33066e-05 +55 *109:40 *2398:16 0 +56 *109:46 *2446:resetn 0 +57 *109:46 *112:26 0.000245425 +58 *109:46 *497:16 0 +59 *109:46 *1560:29 0 +60 *109:49 *2446:mgmt_gpio_out 0.00179582 +61 *109:52 *2473:io_in[25] 0 +62 *109:52 *2036:11 0.00282321 +63 *109:52 *2373:12 0 +64 *109:60 *475:31 0.00210751 +65 *109:61 *479:34 0.335471 +66 *109:61 *1551:26 0.0868108 +67 *109:61 *1554:24 0.000145086 +68 *109:64 *469:22 0.0278161 +69 *109:70 *2378:10 4.23937e-05 +70 *109:70 *2405:10 0.00193095 +71 *109:90 *1537:20 0.000443459 +72 *109:94 *494:36 6.75696e-05 +73 *109:94 *507:18 0 +74 *109:103 *476:34 0.0191414 +75 *109:103 *494:36 0.0260934 +76 *109:103 *507:18 0 +77 *109:109 *476:40 0.0036637 +78 *109:122 *110:31 0.000768038 +79 *109:123 *110:8 0.00495477 +80 *2459:serial_clock *2459:serial_clock_out 3.74656e-05 +81 *108:42 *109:61 0.00129949 +*RES +1 *2467:serial_clock_out *109:9 3.59493 +2 *109:9 *109:10 55.8148 +3 *109:10 *109:15 5.36335 +4 *109:15 *109:16 70.8046 +5 *109:16 *109:21 30.2227 +6 *109:21 *109:22 125.577 +7 *109:22 *109:24 144.056 +8 *109:24 *109:26 4.5 +9 *109:26 *109:27 167.104 +10 *109:27 *109:32 34.0861 +11 *109:32 *109:35 17.3856 +12 *109:35 *109:39 49.5917 +13 *109:39 *109:40 679.524 +14 *109:40 *109:45 15.2694 +15 *109:45 *109:46 70.7639 +16 *109:46 *109:48 4.5 +17 *109:48 *109:49 73.9311 +18 *109:49 *109:51 4.5 +19 *109:51 *109:52 45.8487 +20 *109:52 *109:57 34.126 +21 *109:57 *109:60 40.3827 +22 *109:60 *109:61 3536.88 +23 *109:61 *109:63 4.5 +24 *109:63 *109:64 448.228 +25 *109:64 *109:69 42.445 +26 *109:69 *109:70 113.95 +27 *109:70 *109:75 24.1431 +28 *109:75 *109:76 118.518 +29 *109:76 *109:79 46.8187 +30 *109:79 *109:81 4.5 +31 *109:81 *109:82 50.4165 +32 *109:82 *109:87 48.5456 +33 *109:87 *109:90 25.4336 +34 *109:90 *109:94 31.9443 +35 *109:94 *2459:serial_clock_out 3.58495 +36 *109:94 *109:103 416.877 +37 *109:103 *109:108 30.7983 +38 *109:108 *109:109 119.971 +39 *109:109 *2460:serial_clock 3.36879 +40 *109:22 *109:122 24.9156 +41 *109:122 *109:123 85.7129 +42 *109:123 *2468:serial_clock 3.36879 +43 *2468:serial_clock *109:127 0.0631875 +*END + +*D_NET *110 1.18852 +*CONN +*I *2449:serial_clock I *D gpio_control_block +*I *2460:serial_clock_out O *D gpio_control_block +*I *2469:serial_clock I *D gpio_control_block +*I *2468:serial_clock_out O *D gpio_control_block +*CAP +1 *2449:serial_clock 0.000122735 +2 *2460:serial_clock_out 0.000275308 +3 *2469:serial_clock 6.94771e-05 +4 *2468:serial_clock_out 1.65112e-05 +5 *110:123 0.00257203 +6 *110:121 0.00247507 +7 *110:119 0.00314787 +8 *110:118 0.00364206 +9 *110:104 0.0010557 +10 *110:98 0.0256857 +11 *110:96 0.0262349 +12 *110:91 0.0217599 +13 *110:90 0.0209503 +14 *110:88 0.0023514 +15 *110:87 0.00545692 +16 *110:82 0.0127091 +17 *110:81 0.00985323 +18 *110:68 0.00187063 +19 *110:67 0.00655115 +20 *110:62 0.00744857 +21 *110:61 0.00386346 +22 *110:53 0.00427055 +23 *110:52 0.00357469 +24 *110:46 0.00375034 +25 *110:43 0.0106589 +26 *110:38 0.0109156 +27 *110:37 0.0038219 +28 *110:32 0.00294917 +29 *110:31 0.0130347 +30 *110:8 0.012325 +31 *110:7 0.00172248 +32 *2449:serial_clock *2449:resetn_out 1.75358e-05 +33 *2449:serial_clock *2450:resetn 7.40436e-06 +34 *2449:serial_clock *2126:15 2.25102e-05 +35 *2460:serial_clock_out *2460:resetn_out 0 +36 *2460:serial_clock_out *2460:serial_data_in 3.74656e-05 +37 *110:46 *495:14 0.00907174 +38 *110:46 *495:44 0 +39 *110:52 *495:20 0.000145708 +40 *110:53 *495:21 0.0143999 +41 *110:61 *495:21 0.000211758 +42 *110:62 *2469:gpio_defaults[0] 1.78514e-05 +43 *110:62 *495:24 0.00640034 +44 *110:68 *495:30 0.00323575 +45 *110:82 *112:14 1.83756e-05 +46 *110:82 *495:44 0.032386 +47 *110:87 *2473:gpio_noesd[16] 1.7794e-05 +48 *110:87 *2473:io_in_3v3[23] 0 +49 *110:87 *2474:mprj_io_out[23] 6.88661e-06 +50 *110:87 *495:49 0.0130129 +51 *110:88 *111:42 0.014194 +52 *110:88 *479:31 0.00848641 +53 *110:88 *495:50 0.00276002 +54 *110:91 *111:45 0.352313 +55 *110:91 *473:53 0.000514643 +56 *110:91 *494:23 0.0517972 +57 *110:91 *495:53 0.353557 +58 *110:91 *497:34 0 +59 *110:96 *495:56 0.00219222 +60 *110:98 *2459:resetn 0.00186827 +61 *110:98 *493:51 0.00102273 +62 *110:98 *494:26 0.00364078 +63 *110:98 *495:56 0.00111783 +64 *110:98 *495:62 0.000292149 +65 *110:98 *495:64 0.0286027 +66 *110:98 *1801:14 0 +67 *110:98 *1825:16 0 +68 *110:98 *1852:14 0.00491881 +69 *110:98 *1870:14 0.00497089 +70 *110:98 *1872:14 0.000534875 +71 *110:98 *1987:14 3.46206e-05 +72 *110:98 *1990:8 0.000144223 +73 *110:98 *2014:21 0.00133189 +74 *110:98 *2017:13 0.00107501 +75 *110:98 *2043:13 0.00194722 +76 *110:98 *2070:14 1.69932e-05 +77 *110:98 *2122:14 0.000139567 +78 *110:98 *2123:14 0.00514303 +79 *110:98 *2124:14 0.0054535 +80 *110:98 *2150:14 0.00198036 +81 *110:98 *2176:14 0.005214 +82 *110:98 *2177:14 0.00529365 +83 *110:98 *2178:14 7.70741e-05 +84 *110:104 *2071:8 9.41515e-05 +85 *110:104 *2152:10 0.000275937 +86 *110:118 *2071:8 0.000372007 +87 *110:118 *2152:10 0.00114904 +88 *110:118 *2152:13 1.03403e-05 +89 *110:118 *2380:13 0 +90 *110:119 *495:87 0.00911021 +91 *110:123 *495:87 0.00236284 +92 *110:123 *1802:13 0.000298093 +93 *110:123 *1875:13 0.000725336 +94 *110:123 *2153:15 0.000436015 +95 *110:123 *2180:13 0.000369539 +96 *108:47 *110:96 0.000641599 +97 *108:47 *110:98 0.00168826 +98 *109:24 *110:31 0.000488419 +99 *109:24 *110:32 0 +100 *109:122 *110:31 0.000768038 +101 *109:123 *110:8 0.00495477 +*RES +1 *2468:serial_clock_out *110:7 3.43197 +2 *110:7 *110:8 79.0689 +3 *110:8 *110:31 19.6897 +4 *110:31 *110:32 68.2723 +5 *110:32 *110:37 8.69971 +6 *110:37 *110:38 93.1875 +7 *110:38 *110:43 32.6703 +8 *110:43 *110:46 19.6301 +9 *110:46 *110:52 12.0509 +10 *110:52 *110:53 150.466 +11 *110:53 *110:61 48.2224 +12 *110:61 *110:62 116.234 +13 *110:62 *110:67 24.9156 +14 *110:67 *110:68 70.7639 +15 *110:68 *2469:serial_clock 3.59493 +16 *110:46 *110:81 1.19142 +17 *110:81 *110:82 64.2892 +18 *110:82 *110:87 20.8144 +19 *110:87 *110:88 229.183 +20 *110:88 *110:90 4.5 +21 *110:90 *110:91 3697.16 +22 *110:91 *110:96 40.7369 +23 *110:96 *110:98 1247.55 +24 *110:98 *110:104 17.5822 +25 *110:104 *2460:serial_clock_out 4.12039 +26 *110:104 *110:118 32.116 +27 *110:118 *110:119 145.302 +28 *110:119 *110:121 0.732798 +29 *110:121 *110:123 101.077 +30 *110:123 *2449:serial_clock 3.72796 +*END + +*D_NET *111 1.13702 +*CONN +*I *2450:serial_clock I *D gpio_control_block +*I *2449:serial_clock_out O *D gpio_control_block +*I *2470:serial_clock I *D gpio_control_block +*I *2469:serial_clock_out O *D gpio_control_block +*CAP +1 *2450:serial_clock 0.00396593 +2 *2449:serial_clock_out 0.00509584 +3 *2470:serial_clock 0.00110079 +4 *2469:serial_clock_out 0.00156 +5 *111:48 0.0146968 +6 *111:47 0.0135669 +7 *111:45 0.020848 +8 *111:44 0.020848 +9 *111:42 0.00569205 +10 *111:41 0.00569205 +11 *111:39 0.00377489 +12 *111:24 0.00134786 +13 *111:19 0.00407136 +14 *111:16 0.00359089 +15 *111:14 0.00357179 +16 *111:12 0.000595406 +17 *111:10 0.00212511 +18 *2449:serial_clock_out *2449:resetn_out 0 +19 *2449:serial_clock_out *2099:15 0.000163509 +20 *2449:serial_clock_out *2126:15 0.00107825 +21 *2449:serial_clock_out *2180:13 1.7455e-05 +22 *2450:serial_clock *2449:serial_data_in 2.15141e-06 +23 *2450:serial_clock *2450:resetn 0.0183829 +24 *2450:serial_clock *2450:serial_load 0.00467644 +25 *2450:serial_clock *478:49 0.000207156 +26 *2450:serial_clock *1830:13 0.000109401 +27 *2450:serial_clock *1990:8 0 +28 *2470:serial_clock *2470:resetn 0.00175162 +29 *2470:serial_clock *2470:serial_data_in 0.000113456 +30 *2470:serial_clock *496:35 0.00137832 +31 *2470:serial_clock *524:15 0 +32 *111:10 *2469:serial_data_in 0.000312496 +33 *111:10 *474:54 0.000238504 +34 *111:10 *523:7 0 +35 *111:10 *524:22 0 +36 *111:10 *2088:10 0 +37 *111:12 *494:20 0.000527202 +38 *111:12 *1953:8 0.00347587 +39 *111:12 *1980:8 0.00358681 +40 *111:12 *2088:10 1.07248e-05 +41 *111:12 *2088:12 3.29976e-05 +42 *111:16 *494:20 0.00229192 +43 *111:16 *524:16 0 +44 *111:16 *1953:8 1.3813e-05 +45 *111:16 *1980:16 0.00560258 +46 *111:16 *2088:12 0.00561561 +47 *111:19 *2470:user_gpio_oeb 3.77925e-05 +48 *111:19 *2344:15 4.15559e-05 +49 *111:24 *524:16 0.000279887 +50 *111:39 *2470:user_gpio_oeb 0.000307782 +51 *111:39 *2470:user_gpio_out 0 +52 *111:39 *2344:15 0.000313296 +53 *111:42 *478:26 0.0247716 +54 *111:42 *479:31 8.6297e-06 +55 *111:42 *495:50 1.04352e-05 +56 *111:45 *473:31 0.351187 +57 *111:45 *473:53 0.000538037 +58 *111:45 *476:25 0.0475258 +59 *111:45 *497:34 0 +60 *111:48 *496:44 0.0970877 +61 *111:48 *1568:20 0.0773797 +62 *111:48 *1569:20 0.00814342 +63 *111:48 *1570:20 0.00114235 +64 *111:48 *2152:14 0 +65 *110:88 *111:42 0.014194 +66 *110:91 *111:45 0.352313 +*RES +1 *2469:serial_clock_out *111:10 31.6125 +2 *111:10 *111:12 59.2101 +3 *111:12 *111:14 0.732798 +4 *111:14 *111:16 168.556 +5 *111:16 *111:19 6.3326 +6 *111:19 *111:24 17.0608 +7 *111:24 *2470:serial_clock 49.5352 +8 *111:19 *111:39 106.653 +9 *111:39 *111:41 4.5 +10 *111:41 *111:42 398.398 +11 *111:42 *111:44 4.5 +12 *111:44 *111:45 3683.29 +13 *111:45 *111:47 4.5 +14 *111:47 *111:48 1555.91 +15 *111:48 *2449:serial_clock_out 6.82747 +16 *2449:serial_clock_out *2450:serial_clock 38.285 +*END + +*D_NET *112 1.11137 +*CONN +*I *2450:serial_clock_out O *D gpio_control_block +*I *2451:serial_clock I *D gpio_control_block +*I *2446:serial_clock I *D gpio_control_block +*I *2470:serial_clock_out O *D gpio_control_block +*CAP +1 *2450:serial_clock_out 0.00107609 +2 *2451:serial_clock 0.000319204 +3 *2446:serial_clock 9.56542e-05 +4 *2470:serial_clock_out 0.00574806 +5 *112:47 0.00102014 +6 *112:42 0.00665143 +7 *112:41 0.00595049 +8 *112:39 0.00121496 +9 *112:36 0.0146644 +10 *112:35 0.0145255 +11 *112:33 0.0245551 +12 *112:32 0.0245551 +13 *112:30 0.00138206 +14 *112:29 0.00138206 +15 *112:27 0.00319857 +16 *112:26 0.00435716 +17 *112:14 0.0070023 +18 *2446:serial_clock *2446:resetn 0 +19 *2446:serial_clock *2446:serial_data_in 0 +20 *2450:serial_clock_out *2450:resetn_out 0.00316997 +21 *2450:serial_clock_out *2450:serial_data_in 1.75765e-05 +22 *2450:serial_clock_out *511:13 0 +23 *2450:serial_clock_out *1879:8 0 +24 *2450:serial_clock_out *2127:13 0.000272655 +25 *2450:serial_clock_out *2154:13 0.000559658 +26 *2451:serial_clock *486:12 8.42618e-05 +27 *2451:serial_clock *497:49 0.000317191 +28 *2451:serial_clock *1879:32 0 +29 *2451:serial_clock *2129:13 0.000519205 +30 *112:14 *2474:mprj_io_oeb[23] 0.0111481 +31 *112:14 *477:26 0 +32 *112:14 *495:44 0.0107411 +33 *112:14 *1954:10 0.000166051 +34 *112:14 *2035:7 0.00053428 +35 *112:26 *2446:resetn 8.08111e-06 +36 *112:26 *2446:serial_data_in 0 +37 *112:26 *497:16 0.000165063 +38 *112:26 *2345:13 0.00269229 +39 *112:30 *479:31 8.02838e-05 +40 *112:30 *495:50 0.00787012 +41 *112:30 *497:31 0.00755556 +42 *112:30 *1553:33 0.00020097 +43 *112:33 *2473:la_data_in[57] 0.000101638 +44 *112:33 *472:23 0 +45 *112:33 *478:29 0.00279601 +46 *112:33 *479:34 0.347696 +47 *112:33 *497:34 0.347836 +48 *112:33 *1551:26 7.25324e-06 +49 *112:36 *479:37 0.114277 +50 *112:36 *497:37 0.114256 +51 *112:36 *2356:16 5.1493e-06 +52 *112:39 *2450:resetn_out 0.000102003 +53 *112:39 *497:40 0.00033061 +54 *112:42 *479:43 0.0158545 +55 *112:42 *497:43 0.000502815 +56 *112:47 *497:49 0.00306063 +57 *101:7 *2451:serial_clock 1.05966e-05 +58 *101:33 *2451:serial_clock 3.88655e-06 +59 *101:36 *112:47 0.000466287 +60 *109:46 *112:26 0.000245425 +61 *110:82 *112:14 1.83756e-05 +*RES +1 *2470:serial_clock_out *112:14 37.9279 +2 *112:14 *2446:serial_clock 0.330903 +3 *112:14 *112:26 20.8475 +4 *112:26 *112:27 86.687 +5 *112:27 *112:29 4.5 +6 *112:29 *112:30 131.391 +7 *112:30 *112:32 4.5 +8 *112:32 *112:33 3668.32 +9 *112:33 *112:35 4.5 +10 *112:35 *112:36 1825.62 +11 *112:36 *112:39 9.66022 +12 *112:39 *112:41 4.5 +13 *112:41 *112:42 270.5 +14 *112:42 *112:47 42.445 +15 *112:47 *2451:serial_clock 14.1292 +16 *112:39 *2450:serial_clock_out 49.0543 +*END + +*D_NET *113 1.3202 +*CONN +*I *2444:serial_clock I *D gpio_control_block +*I *2461:serial_clock I *D gpio_control_block +*I *2471:serial_clock O *D housekeeping +*CAP +1 *2444:serial_clock 0.000993397 +2 *2461:serial_clock 0.00305192 +3 *2471:serial_clock 0.00126826 +4 *113:20 0.137617 +5 *113:19 0.134565 +6 *113:17 0.0253528 +7 *113:16 0.0253528 +8 *113:14 0.012021 +9 *113:13 0.0120931 +10 *113:10 0.00106543 +11 *113:8 0.00277836 +12 *113:7 0.00404662 +13 *2444:serial_clock *2444:resetn 0 +14 *2444:serial_clock *2444:resetn_out 4.31122e-05 +15 *2444:serial_clock *485:65 0 +16 *2444:serial_clock *498:11 0 +17 *2461:serial_clock *2461:resetn 2.7413e-07 +18 *2461:serial_clock *2461:serial_data_in 0 +19 *2461:serial_clock *2461:serial_load 0.0131675 +20 *2461:serial_clock *2160:7 0 +21 *2461:serial_clock *2334:13 0.000103658 +22 *113:8 *480:8 0.00990286 +23 *113:8 *498:8 0.000206811 +24 *113:8 *1544:14 0.00601149 +25 *113:14 *1534:20 0 +26 *113:14 *1535:20 0.000653095 +27 *113:14 *1536:20 0.000941838 +28 *113:14 *1537:20 0.0146474 +29 *113:14 *1544:14 0.0141016 +30 *113:17 *485:44 0.400485 +31 *113:17 *1553:24 0.387953 +32 *113:17 *1611:25 0.000937295 +33 *113:17 *1726:25 0.00523011 +34 *113:17 *1728:31 0.00439555 +35 *113:20 *2478:la_input[3] 0.000431618 +36 *113:20 *473:28 0.0163917 +37 *113:20 *475:31 0.0152391 +38 *113:20 *480:25 0.000222955 +39 *113:20 *491:45 0.0167407 +40 *113:20 *496:38 0.00282599 +41 *113:20 *1553:27 0.00334581 +42 *2444:serial_clock_out *2444:serial_clock 3.84497e-05 +43 *106:34 *113:20 0.0161898 +44 *107:84 *113:20 0.0156578 +45 *108:39 *113:20 0.0141324 +*RES +1 *2471:serial_clock *113:7 8.17437 +2 *113:7 *113:8 178.522 +3 *113:8 *113:10 4.5 +4 *113:10 *113:13 5.778 +5 *113:13 *113:14 531.901 +6 *113:14 *113:16 3.36879 +7 *113:16 *113:17 505.994 +8 *113:17 *113:19 0.376635 +9 *113:19 *113:20 501.959 +10 *113:20 *2461:serial_clock 18.8191 +11 *113:10 *2444:serial_clock 32.4803 +*END + +*D_NET *114 5.84207e-05 +*CONN +*I *2444:gpio_defaults[0] I *D gpio_control_block +*I *2417:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2444:gpio_defaults[0] 2.92104e-05 +2 *2417:gpio_defaults[0] 2.92104e-05 +3 *2444:gpio_defaults[0] *2444:gpio_defaults[1] 0 +*RES +1 *2417:gpio_defaults[0] *2444:gpio_defaults[0] 0.830504 +*END + +*D_NET *115 5.84207e-05 +*CONN +*I *2460:gpio_defaults[9] I *D gpio_control_block +*I *2441:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2460:gpio_defaults[9] 2.92104e-05 +2 *2441:gpio_defaults[9] 2.92104e-05 +3 *2460:gpio_defaults[9] *2460:gpio_defaults[10] 0 +4 *2460:gpio_defaults[9] *2460:gpio_defaults[8] 0 +*RES +1 *2441:gpio_defaults[9] *2460:gpio_defaults[9] 0.830504 +*END + +*D_NET *116 5.84207e-05 +*CONN +*I *2460:gpio_defaults[10] I *D gpio_control_block +*I *2441:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2460:gpio_defaults[10] 2.92104e-05 +2 *2441:gpio_defaults[10] 2.92104e-05 +3 *2460:gpio_defaults[10] *2460:gpio_defaults[11] 0 +4 *2460:gpio_defaults[9] *2460:gpio_defaults[10] 0 +*RES +1 *2441:gpio_defaults[10] *2460:gpio_defaults[10] 0.830504 +*END + +*D_NET *117 5.84207e-05 +*CONN +*I *2460:gpio_defaults[11] I *D gpio_control_block +*I *2441:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2460:gpio_defaults[11] 2.92104e-05 +2 *2441:gpio_defaults[11] 2.92104e-05 +3 *2460:gpio_defaults[11] *2460:gpio_defaults[12] 0 +4 *2460:gpio_defaults[10] *2460:gpio_defaults[11] 0 +*RES +1 *2441:gpio_defaults[11] *2460:gpio_defaults[11] 0.830504 +*END + +*D_NET *118 5.84207e-05 +*CONN +*I *2460:gpio_defaults[12] I *D gpio_control_block +*I *2441:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2460:gpio_defaults[12] 2.92104e-05 +2 *2441:gpio_defaults[12] 2.92104e-05 +3 *2460:gpio_defaults[11] *2460:gpio_defaults[12] 0 +*RES +1 *2441:gpio_defaults[12] *2460:gpio_defaults[12] 0.830504 +*END + +*D_NET *119 5.84207e-05 +*CONN +*I *2449:gpio_defaults[0] I *D gpio_control_block +*I *2442:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2449:gpio_defaults[0] 2.92104e-05 +2 *2442:gpio_defaults[0] 2.92104e-05 +3 *2449:gpio_defaults[0] *2449:gpio_defaults[1] 0 +*RES +1 *2442:gpio_defaults[0] *2449:gpio_defaults[0] 0.830504 +*END + +*D_NET *120 5.84207e-05 +*CONN +*I *2449:gpio_defaults[1] I *D gpio_control_block +*I *2442:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2449:gpio_defaults[1] 2.92104e-05 +2 *2442:gpio_defaults[1] 2.92104e-05 +3 *2449:gpio_defaults[1] *2449:gpio_defaults[2] 0 +4 *2449:gpio_defaults[0] *2449:gpio_defaults[1] 0 +*RES +1 *2442:gpio_defaults[1] *2449:gpio_defaults[1] 0.830504 +*END + +*D_NET *121 5.84207e-05 +*CONN +*I *2449:gpio_defaults[2] I *D gpio_control_block +*I *2442:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2449:gpio_defaults[2] 2.92104e-05 +2 *2442:gpio_defaults[2] 2.92104e-05 +3 *2449:gpio_defaults[2] *2449:gpio_defaults[3] 0 +4 *2449:gpio_defaults[1] *2449:gpio_defaults[2] 0 +*RES +1 *2442:gpio_defaults[2] *2449:gpio_defaults[2] 0.830504 +*END + +*D_NET *122 5.84207e-05 +*CONN +*I *2449:gpio_defaults[3] I *D gpio_control_block +*I *2442:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2449:gpio_defaults[3] 2.92104e-05 +2 *2442:gpio_defaults[3] 2.92104e-05 +3 *2449:gpio_defaults[3] *2449:gpio_defaults[4] 0 +4 *2449:gpio_defaults[2] *2449:gpio_defaults[3] 0 +*RES +1 *2442:gpio_defaults[3] *2449:gpio_defaults[3] 0.830504 +*END + +*D_NET *123 5.84207e-05 +*CONN +*I *2449:gpio_defaults[4] I *D gpio_control_block +*I *2442:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2449:gpio_defaults[4] 2.92104e-05 +2 *2442:gpio_defaults[4] 2.92104e-05 +3 *2449:gpio_defaults[4] *2449:gpio_defaults[5] 0 +4 *2449:gpio_defaults[3] *2449:gpio_defaults[4] 0 +*RES +1 *2442:gpio_defaults[4] *2449:gpio_defaults[4] 0.830504 +*END + +*D_NET *124 5.84207e-05 +*CONN +*I *2449:gpio_defaults[5] I *D gpio_control_block +*I *2442:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2449:gpio_defaults[5] 2.92104e-05 +2 *2442:gpio_defaults[5] 2.92104e-05 +3 *2449:gpio_defaults[5] *2449:gpio_defaults[6] 0 +4 *2449:gpio_defaults[4] *2449:gpio_defaults[5] 0 +*RES +1 *2442:gpio_defaults[5] *2449:gpio_defaults[5] 0.830504 +*END + +*D_NET *125 5.84207e-05 +*CONN +*I *2444:gpio_defaults[10] I *D gpio_control_block +*I *2417:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2444:gpio_defaults[10] 2.92104e-05 +2 *2417:gpio_defaults[10] 2.92104e-05 +3 *2444:gpio_defaults[10] *2444:gpio_defaults[11] 0 +4 *2444:gpio_defaults[10] *2444:gpio_defaults[9] 0 +*RES +1 *2417:gpio_defaults[10] *2444:gpio_defaults[10] 0.830504 +*END + +*D_NET *126 5.84207e-05 +*CONN +*I *2449:gpio_defaults[6] I *D gpio_control_block +*I *2442:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2449:gpio_defaults[6] 2.92104e-05 +2 *2442:gpio_defaults[6] 2.92104e-05 +3 *2449:gpio_defaults[6] *2449:gpio_defaults[7] 0 +4 *2449:gpio_defaults[5] *2449:gpio_defaults[6] 0 +*RES +1 *2442:gpio_defaults[6] *2449:gpio_defaults[6] 0.830504 +*END + +*D_NET *127 5.84207e-05 +*CONN +*I *2449:gpio_defaults[7] I *D gpio_control_block +*I *2442:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2449:gpio_defaults[7] 2.92104e-05 +2 *2442:gpio_defaults[7] 2.92104e-05 +3 *2449:gpio_defaults[7] *2449:gpio_defaults[8] 0 +4 *2449:gpio_defaults[6] *2449:gpio_defaults[7] 0 +*RES +1 *2442:gpio_defaults[7] *2449:gpio_defaults[7] 0.830504 +*END + +*D_NET *128 5.84207e-05 +*CONN +*I *2449:gpio_defaults[8] I *D gpio_control_block +*I *2442:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2449:gpio_defaults[8] 2.92104e-05 +2 *2442:gpio_defaults[8] 2.92104e-05 +3 *2449:gpio_defaults[8] *2449:gpio_defaults[9] 0 +4 *2449:gpio_defaults[7] *2449:gpio_defaults[8] 0 +*RES +1 *2442:gpio_defaults[8] *2449:gpio_defaults[8] 0.830504 +*END + +*D_NET *129 5.84207e-05 +*CONN +*I *2449:gpio_defaults[9] I *D gpio_control_block +*I *2442:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2449:gpio_defaults[9] 2.92104e-05 +2 *2442:gpio_defaults[9] 2.92104e-05 +3 *2449:gpio_defaults[9] *2449:gpio_defaults[10] 0 +4 *2449:gpio_defaults[8] *2449:gpio_defaults[9] 0 +*RES +1 *2442:gpio_defaults[9] *2449:gpio_defaults[9] 0.830504 +*END + +*D_NET *130 5.84207e-05 +*CONN +*I *2449:gpio_defaults[10] I *D gpio_control_block +*I *2442:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2449:gpio_defaults[10] 2.92104e-05 +2 *2442:gpio_defaults[10] 2.92104e-05 +3 *2449:gpio_defaults[10] *2449:gpio_defaults[11] 0 +4 *2449:gpio_defaults[9] *2449:gpio_defaults[10] 0 +*RES +1 *2442:gpio_defaults[10] *2449:gpio_defaults[10] 0.830504 +*END + +*D_NET *131 5.84207e-05 +*CONN +*I *2449:gpio_defaults[11] I *D gpio_control_block +*I *2442:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2449:gpio_defaults[11] 2.92104e-05 +2 *2442:gpio_defaults[11] 2.92104e-05 +3 *2449:gpio_defaults[11] *2449:gpio_defaults[12] 0 +4 *2449:gpio_defaults[10] *2449:gpio_defaults[11] 0 +*RES +1 *2442:gpio_defaults[11] *2449:gpio_defaults[11] 0.830504 +*END + +*D_NET *132 5.84207e-05 +*CONN +*I *2449:gpio_defaults[12] I *D gpio_control_block +*I *2442:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2449:gpio_defaults[12] 2.92104e-05 +2 *2442:gpio_defaults[12] 2.92104e-05 +3 *2449:gpio_defaults[11] *2449:gpio_defaults[12] 0 +*RES +1 *2442:gpio_defaults[12] *2449:gpio_defaults[12] 0.830504 +*END + +*D_NET *133 5.84207e-05 +*CONN +*I *2450:gpio_defaults[0] I *D gpio_control_block +*I *2443:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2450:gpio_defaults[0] 2.92104e-05 +2 *2443:gpio_defaults[0] 2.92104e-05 +3 *2450:gpio_defaults[0] *2450:gpio_defaults[1] 0 +*RES +1 *2443:gpio_defaults[0] *2450:gpio_defaults[0] 0.830504 +*END + +*D_NET *134 5.84207e-05 +*CONN +*I *2450:gpio_defaults[1] I *D gpio_control_block +*I *2443:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2450:gpio_defaults[1] 2.92104e-05 +2 *2443:gpio_defaults[1] 2.92104e-05 +3 *2450:gpio_defaults[1] *2450:gpio_defaults[2] 0 +4 *2450:gpio_defaults[0] *2450:gpio_defaults[1] 0 +*RES +1 *2443:gpio_defaults[1] *2450:gpio_defaults[1] 0.830504 +*END + +*D_NET *135 5.84207e-05 +*CONN +*I *2450:gpio_defaults[2] I *D gpio_control_block +*I *2443:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2450:gpio_defaults[2] 2.92104e-05 +2 *2443:gpio_defaults[2] 2.92104e-05 +3 *2450:gpio_defaults[2] *2450:gpio_defaults[3] 0 +4 *2450:gpio_defaults[1] *2450:gpio_defaults[2] 0 +*RES +1 *2443:gpio_defaults[2] *2450:gpio_defaults[2] 0.830504 +*END + +*D_NET *136 5.84207e-05 +*CONN +*I *2444:gpio_defaults[11] I *D gpio_control_block +*I *2417:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2444:gpio_defaults[11] 2.92104e-05 +2 *2417:gpio_defaults[11] 2.92104e-05 +3 *2444:gpio_defaults[11] *2444:gpio_defaults[12] 0 +4 *2444:gpio_defaults[10] *2444:gpio_defaults[11] 0 +*RES +1 *2417:gpio_defaults[11] *2444:gpio_defaults[11] 0.830504 +*END + +*D_NET *137 5.84207e-05 +*CONN +*I *2450:gpio_defaults[3] I *D gpio_control_block +*I *2443:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2450:gpio_defaults[3] 2.92104e-05 +2 *2443:gpio_defaults[3] 2.92104e-05 +3 *2450:gpio_defaults[3] *2450:gpio_defaults[4] 0 +4 *2450:gpio_defaults[2] *2450:gpio_defaults[3] 0 +*RES +1 *2443:gpio_defaults[3] *2450:gpio_defaults[3] 0.830504 +*END + +*D_NET *138 5.84207e-05 +*CONN +*I *2450:gpio_defaults[4] I *D gpio_control_block +*I *2443:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2450:gpio_defaults[4] 2.92104e-05 +2 *2443:gpio_defaults[4] 2.92104e-05 +3 *2450:gpio_defaults[4] *2450:gpio_defaults[5] 0 +4 *2450:gpio_defaults[3] *2450:gpio_defaults[4] 0 +*RES +1 *2443:gpio_defaults[4] *2450:gpio_defaults[4] 0.830504 +*END + +*D_NET *139 5.84207e-05 +*CONN +*I *2450:gpio_defaults[5] I *D gpio_control_block +*I *2443:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2450:gpio_defaults[5] 2.92104e-05 +2 *2443:gpio_defaults[5] 2.92104e-05 +3 *2450:gpio_defaults[5] *2450:gpio_defaults[6] 0 +4 *2450:gpio_defaults[4] *2450:gpio_defaults[5] 0 +*RES +1 *2443:gpio_defaults[5] *2450:gpio_defaults[5] 0.830504 +*END + +*D_NET *140 5.84207e-05 +*CONN +*I *2450:gpio_defaults[6] I *D gpio_control_block +*I *2443:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2450:gpio_defaults[6] 2.92104e-05 +2 *2443:gpio_defaults[6] 2.92104e-05 +3 *2450:gpio_defaults[6] *2450:gpio_defaults[7] 0 +4 *2450:gpio_defaults[5] *2450:gpio_defaults[6] 0 +*RES +1 *2443:gpio_defaults[6] *2450:gpio_defaults[6] 0.830504 +*END + +*D_NET *141 5.84207e-05 +*CONN +*I *2450:gpio_defaults[7] I *D gpio_control_block +*I *2443:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2450:gpio_defaults[7] 2.92104e-05 +2 *2443:gpio_defaults[7] 2.92104e-05 +3 *2450:gpio_defaults[7] *2450:gpio_defaults[8] 0 +4 *2450:gpio_defaults[6] *2450:gpio_defaults[7] 0 +*RES +1 *2443:gpio_defaults[7] *2450:gpio_defaults[7] 0.830504 +*END + +*D_NET *142 5.84207e-05 +*CONN +*I *2450:gpio_defaults[8] I *D gpio_control_block +*I *2443:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2450:gpio_defaults[8] 2.92104e-05 +2 *2443:gpio_defaults[8] 2.92104e-05 +3 *2450:gpio_defaults[8] *2450:gpio_defaults[9] 0 +4 *2450:gpio_defaults[7] *2450:gpio_defaults[8] 0 +*RES +1 *2443:gpio_defaults[8] *2450:gpio_defaults[8] 0.830504 +*END + +*D_NET *143 5.84207e-05 +*CONN +*I *2450:gpio_defaults[9] I *D gpio_control_block +*I *2443:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2450:gpio_defaults[9] 2.92104e-05 +2 *2443:gpio_defaults[9] 2.92104e-05 +3 *2450:gpio_defaults[9] *2450:gpio_defaults[10] 0 +4 *2450:gpio_defaults[8] *2450:gpio_defaults[9] 0 +*RES +1 *2443:gpio_defaults[9] *2450:gpio_defaults[9] 0.830504 +*END + +*D_NET *144 5.84207e-05 +*CONN +*I *2450:gpio_defaults[10] I *D gpio_control_block +*I *2443:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2450:gpio_defaults[10] 2.92104e-05 +2 *2443:gpio_defaults[10] 2.92104e-05 +3 *2450:gpio_defaults[10] *2450:gpio_defaults[11] 0 +4 *2450:gpio_defaults[9] *2450:gpio_defaults[10] 0 +*RES +1 *2443:gpio_defaults[10] *2450:gpio_defaults[10] 0.830504 +*END + +*D_NET *145 5.84207e-05 +*CONN +*I *2450:gpio_defaults[11] I *D gpio_control_block +*I *2443:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2450:gpio_defaults[11] 2.92104e-05 +2 *2443:gpio_defaults[11] 2.92104e-05 +3 *2450:gpio_defaults[11] *2450:gpio_defaults[12] 0 +4 *2450:gpio_defaults[10] *2450:gpio_defaults[11] 0 +*RES +1 *2443:gpio_defaults[11] *2450:gpio_defaults[11] 0.830504 +*END + +*D_NET *146 5.84207e-05 +*CONN +*I *2450:gpio_defaults[12] I *D gpio_control_block +*I *2443:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2450:gpio_defaults[12] 2.92104e-05 +2 *2443:gpio_defaults[12] 2.92104e-05 +3 *2450:gpio_defaults[11] *2450:gpio_defaults[12] 0 +*RES +1 *2443:gpio_defaults[12] *2450:gpio_defaults[12] 0.830504 +*END + +*D_NET *147 5.84207e-05 +*CONN +*I *2444:gpio_defaults[12] I *D gpio_control_block +*I *2417:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2444:gpio_defaults[12] 2.92104e-05 +2 *2417:gpio_defaults[12] 2.92104e-05 +3 *2444:gpio_defaults[11] *2444:gpio_defaults[12] 0 +*RES +1 *2417:gpio_defaults[12] *2444:gpio_defaults[12] 0.830504 +*END + +*D_NET *148 5.84207e-05 +*CONN +*I *2451:gpio_defaults[0] I *D gpio_control_block +*I *2419:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2451:gpio_defaults[0] 2.92104e-05 +2 *2419:gpio_defaults[0] 2.92104e-05 +3 *2451:gpio_defaults[0] *2451:gpio_defaults[1] 0 +*RES +1 *2419:gpio_defaults[0] *2451:gpio_defaults[0] 0.830504 +*END + +*D_NET *149 5.84207e-05 +*CONN +*I *2451:gpio_defaults[1] I *D gpio_control_block +*I *2419:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2451:gpio_defaults[1] 2.92104e-05 +2 *2419:gpio_defaults[1] 2.92104e-05 +3 *2451:gpio_defaults[1] *2451:gpio_defaults[2] 0 +4 *2451:gpio_defaults[0] *2451:gpio_defaults[1] 0 +*RES +1 *2419:gpio_defaults[1] *2451:gpio_defaults[1] 0.830504 +*END + +*D_NET *150 5.84207e-05 +*CONN +*I *2451:gpio_defaults[2] I *D gpio_control_block +*I *2419:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2451:gpio_defaults[2] 2.92104e-05 +2 *2419:gpio_defaults[2] 2.92104e-05 +3 *2451:gpio_defaults[2] *2451:gpio_defaults[3] 0 +4 *2451:gpio_defaults[1] *2451:gpio_defaults[2] 0 +*RES +1 *2419:gpio_defaults[2] *2451:gpio_defaults[2] 0.830504 +*END + +*D_NET *151 5.84207e-05 +*CONN +*I *2451:gpio_defaults[3] I *D gpio_control_block +*I *2419:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2451:gpio_defaults[3] 2.92104e-05 +2 *2419:gpio_defaults[3] 2.92104e-05 +3 *2451:gpio_defaults[3] *2451:gpio_defaults[4] 0 +4 *2451:gpio_defaults[2] *2451:gpio_defaults[3] 0 +*RES +1 *2419:gpio_defaults[3] *2451:gpio_defaults[3] 0.830504 +*END + +*D_NET *152 5.84207e-05 +*CONN +*I *2451:gpio_defaults[4] I *D gpio_control_block +*I *2419:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2451:gpio_defaults[4] 2.92104e-05 +2 *2419:gpio_defaults[4] 2.92104e-05 +3 *2451:gpio_defaults[4] *2451:gpio_defaults[5] 0 +4 *2451:gpio_defaults[3] *2451:gpio_defaults[4] 0 +*RES +1 *2419:gpio_defaults[4] *2451:gpio_defaults[4] 0.830504 +*END + +*D_NET *153 5.84207e-05 +*CONN +*I *2451:gpio_defaults[5] I *D gpio_control_block +*I *2419:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2451:gpio_defaults[5] 2.92104e-05 +2 *2419:gpio_defaults[5] 2.92104e-05 +3 *2451:gpio_defaults[5] *2451:gpio_defaults[6] 0 +4 *2451:gpio_defaults[4] *2451:gpio_defaults[5] 0 +*RES +1 *2419:gpio_defaults[5] *2451:gpio_defaults[5] 0.830504 +*END + +*D_NET *154 5.84207e-05 +*CONN +*I *2451:gpio_defaults[6] I *D gpio_control_block +*I *2419:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2451:gpio_defaults[6] 2.92104e-05 +2 *2419:gpio_defaults[6] 2.92104e-05 +3 *2451:gpio_defaults[6] *2451:gpio_defaults[7] 0 +4 *2451:gpio_defaults[5] *2451:gpio_defaults[6] 0 +*RES +1 *2419:gpio_defaults[6] *2451:gpio_defaults[6] 0.830504 +*END + +*D_NET *155 5.84207e-05 +*CONN +*I *2451:gpio_defaults[7] I *D gpio_control_block +*I *2419:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2451:gpio_defaults[7] 2.92104e-05 +2 *2419:gpio_defaults[7] 2.92104e-05 +3 *2451:gpio_defaults[7] *2451:gpio_defaults[8] 0 +4 *2451:gpio_defaults[6] *2451:gpio_defaults[7] 0 +*RES +1 *2419:gpio_defaults[7] *2451:gpio_defaults[7] 0.830504 +*END + +*D_NET *156 5.84207e-05 +*CONN +*I *2451:gpio_defaults[8] I *D gpio_control_block +*I *2419:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2451:gpio_defaults[8] 2.92104e-05 +2 *2419:gpio_defaults[8] 2.92104e-05 +3 *2451:gpio_defaults[8] *2451:gpio_defaults[9] 0 +4 *2451:gpio_defaults[7] *2451:gpio_defaults[8] 0 +*RES +1 *2419:gpio_defaults[8] *2451:gpio_defaults[8] 0.830504 +*END + +*D_NET *157 5.84207e-05 +*CONN +*I *2451:gpio_defaults[9] I *D gpio_control_block +*I *2419:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2451:gpio_defaults[9] 2.92104e-05 +2 *2419:gpio_defaults[9] 2.92104e-05 +3 *2451:gpio_defaults[9] *2451:gpio_defaults[10] 0 +4 *2451:gpio_defaults[8] *2451:gpio_defaults[9] 0 +*RES +1 *2419:gpio_defaults[9] *2451:gpio_defaults[9] 0.830504 +*END + +*D_NET *158 5.84207e-05 +*CONN +*I *2445:gpio_defaults[0] I *D gpio_control_block +*I *2418:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2445:gpio_defaults[0] 2.92104e-05 +2 *2418:gpio_defaults[0] 2.92104e-05 +3 *2445:gpio_defaults[0] *2445:gpio_defaults[1] 0 +*RES +1 *2418:gpio_defaults[0] *2445:gpio_defaults[0] 0.830504 +*END + +*D_NET *159 5.84207e-05 +*CONN +*I *2451:gpio_defaults[10] I *D gpio_control_block +*I *2419:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2451:gpio_defaults[10] 2.92104e-05 +2 *2419:gpio_defaults[10] 2.92104e-05 +3 *2451:gpio_defaults[10] *2451:gpio_defaults[11] 0 +4 *2451:gpio_defaults[9] *2451:gpio_defaults[10] 0 +*RES +1 *2419:gpio_defaults[10] *2451:gpio_defaults[10] 0.830504 +*END + +*D_NET *160 5.84207e-05 +*CONN +*I *2451:gpio_defaults[11] I *D gpio_control_block +*I *2419:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2451:gpio_defaults[11] 2.92104e-05 +2 *2419:gpio_defaults[11] 2.92104e-05 +3 *2451:gpio_defaults[11] *2451:gpio_defaults[12] 0 +4 *2451:gpio_defaults[10] *2451:gpio_defaults[11] 0 +*RES +1 *2419:gpio_defaults[11] *2451:gpio_defaults[11] 0.830504 +*END + +*D_NET *161 5.84207e-05 +*CONN +*I *2451:gpio_defaults[12] I *D gpio_control_block +*I *2419:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2451:gpio_defaults[12] 2.92104e-05 +2 *2419:gpio_defaults[12] 2.92104e-05 +3 *2451:gpio_defaults[11] *2451:gpio_defaults[12] 0 +*RES +1 *2419:gpio_defaults[12] *2451:gpio_defaults[12] 0.830504 +*END + +*D_NET *162 5.84207e-05 +*CONN +*I *2452:gpio_defaults[0] I *D gpio_control_block +*I *2420:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2452:gpio_defaults[0] 2.92104e-05 +2 *2420:gpio_defaults[0] 2.92104e-05 +3 *2452:gpio_defaults[0] *2452:gpio_defaults[1] 0 +*RES +1 *2420:gpio_defaults[0] *2452:gpio_defaults[0] 0.830504 +*END + +*D_NET *163 5.84207e-05 +*CONN +*I *2452:gpio_defaults[1] I *D gpio_control_block +*I *2420:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2452:gpio_defaults[1] 2.92104e-05 +2 *2420:gpio_defaults[1] 2.92104e-05 +3 *2452:gpio_defaults[1] *2452:gpio_defaults[2] 0 +4 *2452:gpio_defaults[0] *2452:gpio_defaults[1] 0 +*RES +1 *2420:gpio_defaults[1] *2452:gpio_defaults[1] 0.830504 +*END + +*D_NET *164 5.84207e-05 +*CONN +*I *2452:gpio_defaults[2] I *D gpio_control_block +*I *2420:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2452:gpio_defaults[2] 2.92104e-05 +2 *2420:gpio_defaults[2] 2.92104e-05 +3 *2452:gpio_defaults[2] *2452:gpio_defaults[3] 0 +4 *2452:gpio_defaults[1] *2452:gpio_defaults[2] 0 +*RES +1 *2420:gpio_defaults[2] *2452:gpio_defaults[2] 0.830504 +*END + +*D_NET *165 5.84207e-05 +*CONN +*I *2452:gpio_defaults[3] I *D gpio_control_block +*I *2420:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2452:gpio_defaults[3] 2.92104e-05 +2 *2420:gpio_defaults[3] 2.92104e-05 +3 *2452:gpio_defaults[3] *2452:gpio_defaults[4] 0 +4 *2452:gpio_defaults[2] *2452:gpio_defaults[3] 0 +*RES +1 *2420:gpio_defaults[3] *2452:gpio_defaults[3] 0.830504 +*END + +*D_NET *166 5.84207e-05 +*CONN +*I *2452:gpio_defaults[4] I *D gpio_control_block +*I *2420:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2452:gpio_defaults[4] 2.92104e-05 +2 *2420:gpio_defaults[4] 2.92104e-05 +3 *2452:gpio_defaults[4] *2452:gpio_defaults[5] 0 +4 *2452:gpio_defaults[3] *2452:gpio_defaults[4] 0 +*RES +1 *2420:gpio_defaults[4] *2452:gpio_defaults[4] 0.830504 +*END + +*D_NET *167 5.84207e-05 +*CONN +*I *2452:gpio_defaults[5] I *D gpio_control_block +*I *2420:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2452:gpio_defaults[5] 2.92104e-05 +2 *2420:gpio_defaults[5] 2.92104e-05 +3 *2452:gpio_defaults[5] *2452:gpio_defaults[6] 0 +4 *2452:gpio_defaults[4] *2452:gpio_defaults[5] 0 +*RES +1 *2420:gpio_defaults[5] *2452:gpio_defaults[5] 0.830504 +*END + +*D_NET *168 5.84207e-05 +*CONN +*I *2452:gpio_defaults[6] I *D gpio_control_block +*I *2420:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2452:gpio_defaults[6] 2.92104e-05 +2 *2420:gpio_defaults[6] 2.92104e-05 +3 *2452:gpio_defaults[6] *2452:gpio_defaults[7] 0 +4 *2452:gpio_defaults[5] *2452:gpio_defaults[6] 0 +*RES +1 *2420:gpio_defaults[6] *2452:gpio_defaults[6] 0.830504 +*END + +*D_NET *169 5.84207e-05 +*CONN +*I *2445:gpio_defaults[1] I *D gpio_control_block +*I *2418:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2445:gpio_defaults[1] 2.92104e-05 +2 *2418:gpio_defaults[1] 2.92104e-05 +3 *2445:gpio_defaults[1] *2445:gpio_defaults[2] 0 +4 *2445:gpio_defaults[0] *2445:gpio_defaults[1] 0 +*RES +1 *2418:gpio_defaults[1] *2445:gpio_defaults[1] 0.830504 +*END + +*D_NET *170 5.84207e-05 +*CONN +*I *2452:gpio_defaults[7] I *D gpio_control_block +*I *2420:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2452:gpio_defaults[7] 2.92104e-05 +2 *2420:gpio_defaults[7] 2.92104e-05 +3 *2452:gpio_defaults[7] *2452:gpio_defaults[8] 0 +4 *2452:gpio_defaults[6] *2452:gpio_defaults[7] 0 +*RES +1 *2420:gpio_defaults[7] *2452:gpio_defaults[7] 0.830504 +*END + +*D_NET *171 5.84207e-05 +*CONN +*I *2452:gpio_defaults[8] I *D gpio_control_block +*I *2420:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2452:gpio_defaults[8] 2.92104e-05 +2 *2420:gpio_defaults[8] 2.92104e-05 +3 *2452:gpio_defaults[8] *2452:gpio_defaults[9] 0 +4 *2452:gpio_defaults[7] *2452:gpio_defaults[8] 0 +*RES +1 *2420:gpio_defaults[8] *2452:gpio_defaults[8] 0.830504 +*END + +*D_NET *172 5.84207e-05 +*CONN +*I *2452:gpio_defaults[9] I *D gpio_control_block +*I *2420:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2452:gpio_defaults[9] 2.92104e-05 +2 *2420:gpio_defaults[9] 2.92104e-05 +3 *2452:gpio_defaults[9] *2452:gpio_defaults[10] 0 +4 *2452:gpio_defaults[8] *2452:gpio_defaults[9] 0 +*RES +1 *2420:gpio_defaults[9] *2452:gpio_defaults[9] 0.830504 +*END + +*D_NET *173 5.84207e-05 +*CONN +*I *2452:gpio_defaults[10] I *D gpio_control_block +*I *2420:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2452:gpio_defaults[10] 2.92104e-05 +2 *2420:gpio_defaults[10] 2.92104e-05 +3 *2452:gpio_defaults[10] *2452:gpio_defaults[11] 0 +4 *2452:gpio_defaults[9] *2452:gpio_defaults[10] 0 +*RES +1 *2420:gpio_defaults[10] *2452:gpio_defaults[10] 0.830504 +*END + +*D_NET *174 5.84207e-05 +*CONN +*I *2452:gpio_defaults[11] I *D gpio_control_block +*I *2420:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2452:gpio_defaults[11] 2.92104e-05 +2 *2420:gpio_defaults[11] 2.92104e-05 +3 *2452:gpio_defaults[11] *2452:gpio_defaults[12] 0 +4 *2452:gpio_defaults[10] *2452:gpio_defaults[11] 0 +*RES +1 *2420:gpio_defaults[11] *2452:gpio_defaults[11] 0.830504 +*END + +*D_NET *175 5.84207e-05 +*CONN +*I *2452:gpio_defaults[12] I *D gpio_control_block +*I *2420:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2452:gpio_defaults[12] 2.92104e-05 +2 *2420:gpio_defaults[12] 2.92104e-05 +3 *2452:gpio_defaults[11] *2452:gpio_defaults[12] 0 +*RES +1 *2420:gpio_defaults[12] *2452:gpio_defaults[12] 0.830504 +*END + +*D_NET *176 5.84207e-05 +*CONN +*I *2453:gpio_defaults[0] I *D gpio_control_block +*I *2421:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2453:gpio_defaults[0] 2.92104e-05 +2 *2421:gpio_defaults[0] 2.92104e-05 +3 *2453:gpio_defaults[0] *2453:gpio_defaults[1] 0 +*RES +1 *2421:gpio_defaults[0] *2453:gpio_defaults[0] 0.830504 +*END + +*D_NET *177 5.84207e-05 +*CONN +*I *2453:gpio_defaults[1] I *D gpio_control_block +*I *2421:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2453:gpio_defaults[1] 2.92104e-05 +2 *2421:gpio_defaults[1] 2.92104e-05 +3 *2453:gpio_defaults[1] *2453:gpio_defaults[2] 0 +4 *2453:gpio_defaults[0] *2453:gpio_defaults[1] 0 +*RES +1 *2421:gpio_defaults[1] *2453:gpio_defaults[1] 0.830504 +*END + +*D_NET *178 5.84207e-05 +*CONN +*I *2453:gpio_defaults[2] I *D gpio_control_block +*I *2421:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2453:gpio_defaults[2] 2.92104e-05 +2 *2421:gpio_defaults[2] 2.92104e-05 +3 *2453:gpio_defaults[2] *2453:gpio_defaults[3] 0 +4 *2453:gpio_defaults[1] *2453:gpio_defaults[2] 0 +*RES +1 *2421:gpio_defaults[2] *2453:gpio_defaults[2] 0.830504 +*END + +*D_NET *179 5.84207e-05 +*CONN +*I *2453:gpio_defaults[3] I *D gpio_control_block +*I *2421:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2453:gpio_defaults[3] 2.92104e-05 +2 *2421:gpio_defaults[3] 2.92104e-05 +3 *2453:gpio_defaults[3] *2453:gpio_defaults[4] 0 +4 *2453:gpio_defaults[2] *2453:gpio_defaults[3] 0 +*RES +1 *2421:gpio_defaults[3] *2453:gpio_defaults[3] 0.830504 +*END + +*D_NET *180 5.84207e-05 +*CONN +*I *2445:gpio_defaults[2] I *D gpio_control_block +*I *2418:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2445:gpio_defaults[2] 2.92104e-05 +2 *2418:gpio_defaults[2] 2.92104e-05 +3 *2445:gpio_defaults[2] *2445:gpio_defaults[3] 0 +4 *2445:gpio_defaults[1] *2445:gpio_defaults[2] 0 +*RES +1 *2418:gpio_defaults[2] *2445:gpio_defaults[2] 0.830504 +*END + +*D_NET *181 5.84207e-05 +*CONN +*I *2453:gpio_defaults[4] I *D gpio_control_block +*I *2421:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2453:gpio_defaults[4] 2.92104e-05 +2 *2421:gpio_defaults[4] 2.92104e-05 +3 *2453:gpio_defaults[4] *2453:gpio_defaults[5] 0 +4 *2453:gpio_defaults[3] *2453:gpio_defaults[4] 0 +*RES +1 *2421:gpio_defaults[4] *2453:gpio_defaults[4] 0.830504 +*END + +*D_NET *182 5.84207e-05 +*CONN +*I *2453:gpio_defaults[5] I *D gpio_control_block +*I *2421:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2453:gpio_defaults[5] 2.92104e-05 +2 *2421:gpio_defaults[5] 2.92104e-05 +3 *2453:gpio_defaults[5] *2453:gpio_defaults[6] 0 +4 *2453:gpio_defaults[4] *2453:gpio_defaults[5] 0 +*RES +1 *2421:gpio_defaults[5] *2453:gpio_defaults[5] 0.830504 +*END + +*D_NET *183 5.84207e-05 +*CONN +*I *2453:gpio_defaults[6] I *D gpio_control_block +*I *2421:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2453:gpio_defaults[6] 2.92104e-05 +2 *2421:gpio_defaults[6] 2.92104e-05 +3 *2453:gpio_defaults[6] *2453:gpio_defaults[7] 0 +4 *2453:gpio_defaults[5] *2453:gpio_defaults[6] 0 +*RES +1 *2421:gpio_defaults[6] *2453:gpio_defaults[6] 0.830504 +*END + +*D_NET *184 5.84207e-05 +*CONN +*I *2453:gpio_defaults[7] I *D gpio_control_block +*I *2421:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2453:gpio_defaults[7] 2.92104e-05 +2 *2421:gpio_defaults[7] 2.92104e-05 +3 *2453:gpio_defaults[7] *2453:gpio_defaults[8] 0 +4 *2453:gpio_defaults[6] *2453:gpio_defaults[7] 0 +*RES +1 *2421:gpio_defaults[7] *2453:gpio_defaults[7] 0.830504 +*END + +*D_NET *185 5.84207e-05 +*CONN +*I *2453:gpio_defaults[8] I *D gpio_control_block +*I *2421:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2453:gpio_defaults[8] 2.92104e-05 +2 *2421:gpio_defaults[8] 2.92104e-05 +3 *2453:gpio_defaults[8] *2453:gpio_defaults[9] 0 +4 *2453:gpio_defaults[7] *2453:gpio_defaults[8] 0 +*RES +1 *2421:gpio_defaults[8] *2453:gpio_defaults[8] 0.830504 +*END + +*D_NET *186 5.84207e-05 +*CONN +*I *2453:gpio_defaults[9] I *D gpio_control_block +*I *2421:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2453:gpio_defaults[9] 2.92104e-05 +2 *2421:gpio_defaults[9] 2.92104e-05 +3 *2453:gpio_defaults[9] *2453:gpio_defaults[10] 0 +4 *2453:gpio_defaults[8] *2453:gpio_defaults[9] 0 +*RES +1 *2421:gpio_defaults[9] *2453:gpio_defaults[9] 0.830504 +*END + +*D_NET *187 5.84207e-05 +*CONN +*I *2453:gpio_defaults[10] I *D gpio_control_block +*I *2421:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2453:gpio_defaults[10] 2.92104e-05 +2 *2421:gpio_defaults[10] 2.92104e-05 +3 *2453:gpio_defaults[10] *2453:gpio_defaults[11] 0 +4 *2453:gpio_defaults[9] *2453:gpio_defaults[10] 0 +*RES +1 *2421:gpio_defaults[10] *2453:gpio_defaults[10] 0.830504 +*END + +*D_NET *188 5.84207e-05 +*CONN +*I *2453:gpio_defaults[11] I *D gpio_control_block +*I *2421:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2453:gpio_defaults[11] 2.92104e-05 +2 *2421:gpio_defaults[11] 2.92104e-05 +3 *2453:gpio_defaults[11] *2453:gpio_defaults[12] 0 +4 *2453:gpio_defaults[10] *2453:gpio_defaults[11] 0 +*RES +1 *2421:gpio_defaults[11] *2453:gpio_defaults[11] 0.830504 +*END + +*D_NET *189 5.84207e-05 +*CONN +*I *2453:gpio_defaults[12] I *D gpio_control_block +*I *2421:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2453:gpio_defaults[12] 2.92104e-05 +2 *2421:gpio_defaults[12] 2.92104e-05 +3 *2453:gpio_defaults[11] *2453:gpio_defaults[12] 0 +*RES +1 *2421:gpio_defaults[12] *2453:gpio_defaults[12] 0.830504 +*END + +*D_NET *190 5.84207e-05 +*CONN +*I *2454:gpio_defaults[0] I *D gpio_control_block +*I *2422:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2454:gpio_defaults[0] 2.92104e-05 +2 *2422:gpio_defaults[0] 2.92104e-05 +3 *2454:gpio_defaults[0] *2454:gpio_defaults[1] 0 +*RES +1 *2422:gpio_defaults[0] *2454:gpio_defaults[0] 0.830504 +*END + +*D_NET *191 5.84207e-05 +*CONN +*I *2445:gpio_defaults[3] I *D gpio_control_block +*I *2418:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2445:gpio_defaults[3] 2.92104e-05 +2 *2418:gpio_defaults[3] 2.92104e-05 +3 *2445:gpio_defaults[3] *2445:gpio_defaults[4] 0 +4 *2445:gpio_defaults[2] *2445:gpio_defaults[3] 0 +*RES +1 *2418:gpio_defaults[3] *2445:gpio_defaults[3] 0.830504 +*END + +*D_NET *192 5.84207e-05 +*CONN +*I *2454:gpio_defaults[1] I *D gpio_control_block +*I *2422:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2454:gpio_defaults[1] 2.92104e-05 +2 *2422:gpio_defaults[1] 2.92104e-05 +3 *2454:gpio_defaults[1] *2454:gpio_defaults[2] 0 +4 *2454:gpio_defaults[0] *2454:gpio_defaults[1] 0 +*RES +1 *2422:gpio_defaults[1] *2454:gpio_defaults[1] 0.830504 +*END + +*D_NET *193 5.84207e-05 +*CONN +*I *2454:gpio_defaults[2] I *D gpio_control_block +*I *2422:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2454:gpio_defaults[2] 2.92104e-05 +2 *2422:gpio_defaults[2] 2.92104e-05 +3 *2454:gpio_defaults[2] *2454:gpio_defaults[3] 0 +4 *2454:gpio_defaults[1] *2454:gpio_defaults[2] 0 +*RES +1 *2422:gpio_defaults[2] *2454:gpio_defaults[2] 0.830504 +*END + +*D_NET *194 5.84207e-05 +*CONN +*I *2454:gpio_defaults[3] I *D gpio_control_block +*I *2422:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2454:gpio_defaults[3] 2.92104e-05 +2 *2422:gpio_defaults[3] 2.92104e-05 +3 *2454:gpio_defaults[3] *2454:gpio_defaults[4] 0 +4 *2454:gpio_defaults[2] *2454:gpio_defaults[3] 0 +*RES +1 *2422:gpio_defaults[3] *2454:gpio_defaults[3] 0.830504 +*END + +*D_NET *195 5.84207e-05 +*CONN +*I *2454:gpio_defaults[4] I *D gpio_control_block +*I *2422:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2454:gpio_defaults[4] 2.92104e-05 +2 *2422:gpio_defaults[4] 2.92104e-05 +3 *2454:gpio_defaults[4] *2454:gpio_defaults[5] 0 +4 *2454:gpio_defaults[3] *2454:gpio_defaults[4] 0 +*RES +1 *2422:gpio_defaults[4] *2454:gpio_defaults[4] 0.830504 +*END + +*D_NET *196 5.84207e-05 +*CONN +*I *2454:gpio_defaults[5] I *D gpio_control_block +*I *2422:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2454:gpio_defaults[5] 2.92104e-05 +2 *2422:gpio_defaults[5] 2.92104e-05 +3 *2454:gpio_defaults[5] *2454:gpio_defaults[6] 0 +4 *2454:gpio_defaults[4] *2454:gpio_defaults[5] 0 +*RES +1 *2422:gpio_defaults[5] *2454:gpio_defaults[5] 0.830504 +*END + +*D_NET *197 5.84207e-05 +*CONN +*I *2454:gpio_defaults[6] I *D gpio_control_block +*I *2422:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2454:gpio_defaults[6] 2.92104e-05 +2 *2422:gpio_defaults[6] 2.92104e-05 +3 *2454:gpio_defaults[6] *2454:gpio_defaults[7] 0 +4 *2454:gpio_defaults[5] *2454:gpio_defaults[6] 0 +*RES +1 *2422:gpio_defaults[6] *2454:gpio_defaults[6] 0.830504 +*END + +*D_NET *198 5.84207e-05 +*CONN +*I *2454:gpio_defaults[7] I *D gpio_control_block +*I *2422:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2454:gpio_defaults[7] 2.92104e-05 +2 *2422:gpio_defaults[7] 2.92104e-05 +3 *2454:gpio_defaults[7] *2454:gpio_defaults[8] 0 +4 *2454:gpio_defaults[6] *2454:gpio_defaults[7] 0 +*RES +1 *2422:gpio_defaults[7] *2454:gpio_defaults[7] 0.830504 +*END + +*D_NET *199 5.84207e-05 +*CONN +*I *2454:gpio_defaults[8] I *D gpio_control_block +*I *2422:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2454:gpio_defaults[8] 2.92104e-05 +2 *2422:gpio_defaults[8] 2.92104e-05 +3 *2454:gpio_defaults[8] *2454:gpio_defaults[9] 0 +4 *2454:gpio_defaults[7] *2454:gpio_defaults[8] 0 +*RES +1 *2422:gpio_defaults[8] *2454:gpio_defaults[8] 0.830504 +*END + +*D_NET *200 5.84207e-05 +*CONN +*I *2454:gpio_defaults[9] I *D gpio_control_block +*I *2422:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2454:gpio_defaults[9] 2.92104e-05 +2 *2422:gpio_defaults[9] 2.92104e-05 +3 *2454:gpio_defaults[9] *2454:gpio_defaults[10] 0 +4 *2454:gpio_defaults[8] *2454:gpio_defaults[9] 0 +*RES +1 *2422:gpio_defaults[9] *2454:gpio_defaults[9] 0.830504 +*END + +*D_NET *201 5.84207e-05 +*CONN +*I *2454:gpio_defaults[10] I *D gpio_control_block +*I *2422:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2454:gpio_defaults[10] 2.92104e-05 +2 *2422:gpio_defaults[10] 2.92104e-05 +3 *2454:gpio_defaults[10] *2454:gpio_defaults[11] 0 +4 *2454:gpio_defaults[9] *2454:gpio_defaults[10] 0 +*RES +1 *2422:gpio_defaults[10] *2454:gpio_defaults[10] 0.830504 +*END + +*D_NET *202 5.84207e-05 +*CONN +*I *2445:gpio_defaults[4] I *D gpio_control_block +*I *2418:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2445:gpio_defaults[4] 2.92104e-05 +2 *2418:gpio_defaults[4] 2.92104e-05 +3 *2445:gpio_defaults[4] *2445:gpio_defaults[5] 0 +4 *2445:gpio_defaults[3] *2445:gpio_defaults[4] 0 +*RES +1 *2418:gpio_defaults[4] *2445:gpio_defaults[4] 0.830504 +*END + +*D_NET *203 5.84207e-05 +*CONN +*I *2454:gpio_defaults[11] I *D gpio_control_block +*I *2422:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2454:gpio_defaults[11] 2.92104e-05 +2 *2422:gpio_defaults[11] 2.92104e-05 +3 *2454:gpio_defaults[11] *2454:gpio_defaults[12] 0 +4 *2454:gpio_defaults[10] *2454:gpio_defaults[11] 0 +*RES +1 *2422:gpio_defaults[11] *2454:gpio_defaults[11] 0.830504 +*END + +*D_NET *204 5.84207e-05 +*CONN +*I *2454:gpio_defaults[12] I *D gpio_control_block +*I *2422:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2454:gpio_defaults[12] 2.92104e-05 +2 *2422:gpio_defaults[12] 2.92104e-05 +3 *2454:gpio_defaults[11] *2454:gpio_defaults[12] 0 +*RES +1 *2422:gpio_defaults[12] *2454:gpio_defaults[12] 0.830504 +*END + +*D_NET *205 6.74231e-05 +*CONN +*I *2461:gpio_defaults[0] I *D gpio_control_block +*I *2423:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2461:gpio_defaults[0] 3.37115e-05 +2 *2423:gpio_defaults[0] 3.37115e-05 +3 *2461:gpio_defaults[0] *2461:gpio_defaults[1] 0 +*RES +1 *2423:gpio_defaults[0] *2461:gpio_defaults[0] 0.830504 +*END + +*D_NET *206 6.74231e-05 +*CONN +*I *2461:gpio_defaults[1] I *D gpio_control_block +*I *2423:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2461:gpio_defaults[1] 3.37115e-05 +2 *2423:gpio_defaults[1] 3.37115e-05 +3 *2461:gpio_defaults[1] *2461:gpio_defaults[2] 0 +4 *2461:gpio_defaults[0] *2461:gpio_defaults[1] 0 +*RES +1 *2423:gpio_defaults[1] *2461:gpio_defaults[1] 0.830504 +*END + +*D_NET *207 6.74231e-05 +*CONN +*I *2461:gpio_defaults[2] I *D gpio_control_block +*I *2423:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2461:gpio_defaults[2] 3.37115e-05 +2 *2423:gpio_defaults[2] 3.37115e-05 +3 *2461:gpio_defaults[2] *2461:gpio_defaults[3] 0 +4 *2461:gpio_defaults[1] *2461:gpio_defaults[2] 0 +*RES +1 *2423:gpio_defaults[2] *2461:gpio_defaults[2] 0.830504 +*END + +*D_NET *208 6.74231e-05 +*CONN +*I *2461:gpio_defaults[3] I *D gpio_control_block +*I *2423:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2461:gpio_defaults[3] 3.37115e-05 +2 *2423:gpio_defaults[3] 3.37115e-05 +3 *2461:gpio_defaults[3] *2461:gpio_defaults[4] 0 +4 *2461:gpio_defaults[2] *2461:gpio_defaults[3] 0 +*RES +1 *2423:gpio_defaults[3] *2461:gpio_defaults[3] 0.830504 +*END + +*D_NET *209 6.74231e-05 +*CONN +*I *2461:gpio_defaults[4] I *D gpio_control_block +*I *2423:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2461:gpio_defaults[4] 3.37115e-05 +2 *2423:gpio_defaults[4] 3.37115e-05 +3 *2461:gpio_defaults[4] *2461:gpio_defaults[5] 0 +4 *2461:gpio_defaults[3] *2461:gpio_defaults[4] 0 +*RES +1 *2423:gpio_defaults[4] *2461:gpio_defaults[4] 0.830504 +*END + +*D_NET *210 6.74231e-05 +*CONN +*I *2461:gpio_defaults[5] I *D gpio_control_block +*I *2423:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2461:gpio_defaults[5] 3.37115e-05 +2 *2423:gpio_defaults[5] 3.37115e-05 +3 *2461:gpio_defaults[5] *2461:gpio_defaults[6] 0 +4 *2461:gpio_defaults[4] *2461:gpio_defaults[5] 0 +*RES +1 *2423:gpio_defaults[5] *2461:gpio_defaults[5] 0.830504 +*END + +*D_NET *211 6.74231e-05 +*CONN +*I *2461:gpio_defaults[6] I *D gpio_control_block +*I *2423:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2461:gpio_defaults[6] 3.37115e-05 +2 *2423:gpio_defaults[6] 3.37115e-05 +3 *2461:gpio_defaults[6] *2461:gpio_defaults[7] 0 +4 *2461:gpio_defaults[5] *2461:gpio_defaults[6] 0 +*RES +1 *2423:gpio_defaults[6] *2461:gpio_defaults[6] 0.830504 +*END + +*D_NET *212 6.74231e-05 +*CONN +*I *2461:gpio_defaults[7] I *D gpio_control_block +*I *2423:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2461:gpio_defaults[7] 3.37115e-05 +2 *2423:gpio_defaults[7] 3.37115e-05 +3 *2461:gpio_defaults[7] *2461:gpio_defaults[8] 0 +4 *2461:gpio_defaults[6] *2461:gpio_defaults[7] 0 +*RES +1 *2423:gpio_defaults[7] *2461:gpio_defaults[7] 0.830504 +*END + +*D_NET *213 5.84207e-05 +*CONN +*I *2445:gpio_defaults[5] I *D gpio_control_block +*I *2418:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2445:gpio_defaults[5] 2.92104e-05 +2 *2418:gpio_defaults[5] 2.92104e-05 +3 *2445:gpio_defaults[5] *2445:gpio_defaults[6] 0 +4 *2445:gpio_defaults[4] *2445:gpio_defaults[5] 0 +*RES +1 *2418:gpio_defaults[5] *2445:gpio_defaults[5] 0.830504 +*END + +*D_NET *214 6.74231e-05 +*CONN +*I *2461:gpio_defaults[8] I *D gpio_control_block +*I *2423:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2461:gpio_defaults[8] 3.37115e-05 +2 *2423:gpio_defaults[8] 3.37115e-05 +3 *2461:gpio_defaults[8] *2461:gpio_defaults[9] 0 +4 *2461:gpio_defaults[7] *2461:gpio_defaults[8] 0 +*RES +1 *2423:gpio_defaults[8] *2461:gpio_defaults[8] 0.830504 +*END + +*D_NET *215 6.74231e-05 +*CONN +*I *2461:gpio_defaults[9] I *D gpio_control_block +*I *2423:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2461:gpio_defaults[9] 3.37115e-05 +2 *2423:gpio_defaults[9] 3.37115e-05 +3 *2461:gpio_defaults[9] *2461:gpio_defaults[10] 0 +4 *2461:gpio_defaults[8] *2461:gpio_defaults[9] 0 +*RES +1 *2423:gpio_defaults[9] *2461:gpio_defaults[9] 0.830504 +*END + +*D_NET *216 6.74231e-05 +*CONN +*I *2461:gpio_defaults[10] I *D gpio_control_block +*I *2423:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2461:gpio_defaults[10] 3.37115e-05 +2 *2423:gpio_defaults[10] 3.37115e-05 +3 *2461:gpio_defaults[10] *2461:gpio_defaults[11] 0 +4 *2461:gpio_defaults[9] *2461:gpio_defaults[10] 0 +*RES +1 *2423:gpio_defaults[10] *2461:gpio_defaults[10] 0.830504 +*END + +*D_NET *217 6.74231e-05 +*CONN +*I *2461:gpio_defaults[11] I *D gpio_control_block +*I *2423:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2461:gpio_defaults[11] 3.37115e-05 +2 *2423:gpio_defaults[11] 3.37115e-05 +3 *2461:gpio_defaults[11] *2461:gpio_defaults[12] 0 +4 *2461:gpio_defaults[10] *2461:gpio_defaults[11] 0 +*RES +1 *2423:gpio_defaults[11] *2461:gpio_defaults[11] 0.830504 +*END + +*D_NET *218 6.74231e-05 +*CONN +*I *2461:gpio_defaults[12] I *D gpio_control_block +*I *2423:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2461:gpio_defaults[12] 3.37115e-05 +2 *2423:gpio_defaults[12] 3.37115e-05 +3 *2461:gpio_defaults[11] *2461:gpio_defaults[12] 0 +*RES +1 *2423:gpio_defaults[12] *2461:gpio_defaults[12] 0.830504 +*END + +*D_NET *219 5.84207e-05 +*CONN +*I *2462:gpio_defaults[0] I *D gpio_control_block +*I *2427:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2462:gpio_defaults[0] 2.92104e-05 +2 *2427:gpio_defaults[0] 2.92104e-05 +3 *2462:gpio_defaults[0] *2462:gpio_defaults[1] 0 +*RES +1 *2427:gpio_defaults[0] *2462:gpio_defaults[0] 0.830504 +*END + +*D_NET *220 5.84207e-05 +*CONN +*I *2462:gpio_defaults[1] I *D gpio_control_block +*I *2427:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2462:gpio_defaults[1] 2.92104e-05 +2 *2427:gpio_defaults[1] 2.92104e-05 +3 *2462:gpio_defaults[1] *2462:gpio_defaults[2] 0 +4 *2462:gpio_defaults[0] *2462:gpio_defaults[1] 0 +*RES +1 *2427:gpio_defaults[1] *2462:gpio_defaults[1] 0.830504 +*END + +*D_NET *221 5.84207e-05 +*CONN +*I *2462:gpio_defaults[2] I *D gpio_control_block +*I *2427:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2462:gpio_defaults[2] 2.92104e-05 +2 *2427:gpio_defaults[2] 2.92104e-05 +3 *2462:gpio_defaults[2] *2462:gpio_defaults[3] 0 +4 *2462:gpio_defaults[1] *2462:gpio_defaults[2] 0 +*RES +1 *2427:gpio_defaults[2] *2462:gpio_defaults[2] 0.830504 +*END + +*D_NET *222 5.84207e-05 +*CONN +*I *2462:gpio_defaults[3] I *D gpio_control_block +*I *2427:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2462:gpio_defaults[3] 2.92104e-05 +2 *2427:gpio_defaults[3] 2.92104e-05 +3 *2462:gpio_defaults[3] *2462:gpio_defaults[4] 0 +4 *2462:gpio_defaults[2] *2462:gpio_defaults[3] 0 +*RES +1 *2427:gpio_defaults[3] *2462:gpio_defaults[3] 0.830504 +*END + +*D_NET *223 5.84207e-05 +*CONN +*I *2462:gpio_defaults[4] I *D gpio_control_block +*I *2427:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2462:gpio_defaults[4] 2.92104e-05 +2 *2427:gpio_defaults[4] 2.92104e-05 +3 *2462:gpio_defaults[4] *2462:gpio_defaults[5] 0 +4 *2462:gpio_defaults[3] *2462:gpio_defaults[4] 0 +*RES +1 *2427:gpio_defaults[4] *2462:gpio_defaults[4] 0.830504 +*END + +*D_NET *224 5.84207e-05 +*CONN +*I *2445:gpio_defaults[6] I *D gpio_control_block +*I *2418:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2445:gpio_defaults[6] 2.92104e-05 +2 *2418:gpio_defaults[6] 2.92104e-05 +3 *2445:gpio_defaults[6] *2445:gpio_defaults[7] 0 +4 *2445:gpio_defaults[5] *2445:gpio_defaults[6] 0 +*RES +1 *2418:gpio_defaults[6] *2445:gpio_defaults[6] 0.830504 +*END + +*D_NET *225 5.84207e-05 +*CONN +*I *2444:gpio_defaults[1] I *D gpio_control_block +*I *2417:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2444:gpio_defaults[1] 2.92104e-05 +2 *2417:gpio_defaults[1] 2.92104e-05 +3 *2444:gpio_defaults[1] *2444:gpio_defaults[2] 0 +4 *2444:gpio_defaults[0] *2444:gpio_defaults[1] 0 +*RES +1 *2417:gpio_defaults[1] *2444:gpio_defaults[1] 0.830504 +*END + +*D_NET *226 5.84207e-05 +*CONN +*I *2462:gpio_defaults[5] I *D gpio_control_block +*I *2427:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2462:gpio_defaults[5] 2.92104e-05 +2 *2427:gpio_defaults[5] 2.92104e-05 +3 *2462:gpio_defaults[5] *2462:gpio_defaults[6] 0 +4 *2462:gpio_defaults[4] *2462:gpio_defaults[5] 0 +*RES +1 *2427:gpio_defaults[5] *2462:gpio_defaults[5] 0.830504 +*END + +*D_NET *227 5.84207e-05 +*CONN +*I *2462:gpio_defaults[6] I *D gpio_control_block +*I *2427:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2462:gpio_defaults[6] 2.92104e-05 +2 *2427:gpio_defaults[6] 2.92104e-05 +3 *2462:gpio_defaults[6] *2462:gpio_defaults[7] 0 +4 *2462:gpio_defaults[5] *2462:gpio_defaults[6] 0 +*RES +1 *2427:gpio_defaults[6] *2462:gpio_defaults[6] 0.830504 +*END + +*D_NET *228 5.84207e-05 +*CONN +*I *2462:gpio_defaults[7] I *D gpio_control_block +*I *2427:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2462:gpio_defaults[7] 2.92104e-05 +2 *2427:gpio_defaults[7] 2.92104e-05 +3 *2462:gpio_defaults[7] *2462:gpio_defaults[8] 0 +4 *2462:gpio_defaults[6] *2462:gpio_defaults[7] 0 +*RES +1 *2427:gpio_defaults[7] *2462:gpio_defaults[7] 0.830504 +*END + +*D_NET *229 5.84207e-05 +*CONN +*I *2462:gpio_defaults[8] I *D gpio_control_block +*I *2427:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2462:gpio_defaults[8] 2.92104e-05 +2 *2427:gpio_defaults[8] 2.92104e-05 +3 *2462:gpio_defaults[8] *2462:gpio_defaults[9] 0 +4 *2462:gpio_defaults[7] *2462:gpio_defaults[8] 0 +*RES +1 *2427:gpio_defaults[8] *2462:gpio_defaults[8] 0.830504 +*END + +*D_NET *230 5.84207e-05 +*CONN +*I *2462:gpio_defaults[9] I *D gpio_control_block +*I *2427:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2462:gpio_defaults[9] 2.92104e-05 +2 *2427:gpio_defaults[9] 2.92104e-05 +3 *2462:gpio_defaults[9] *2462:gpio_defaults[10] 0 +4 *2462:gpio_defaults[8] *2462:gpio_defaults[9] 0 +*RES +1 *2427:gpio_defaults[9] *2462:gpio_defaults[9] 0.830504 +*END + +*D_NET *231 5.84207e-05 +*CONN +*I *2462:gpio_defaults[10] I *D gpio_control_block +*I *2427:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2462:gpio_defaults[10] 2.92104e-05 +2 *2427:gpio_defaults[10] 2.92104e-05 +3 *2462:gpio_defaults[10] *2462:gpio_defaults[11] 0 +4 *2462:gpio_defaults[9] *2462:gpio_defaults[10] 0 +*RES +1 *2427:gpio_defaults[10] *2462:gpio_defaults[10] 0.830504 +*END + +*D_NET *232 5.84207e-05 +*CONN +*I *2462:gpio_defaults[11] I *D gpio_control_block +*I *2427:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2462:gpio_defaults[11] 2.92104e-05 +2 *2427:gpio_defaults[11] 2.92104e-05 +3 *2462:gpio_defaults[11] *2462:gpio_defaults[12] 0 +4 *2462:gpio_defaults[10] *2462:gpio_defaults[11] 0 +*RES +1 *2427:gpio_defaults[11] *2462:gpio_defaults[11] 0.830504 +*END + +*D_NET *233 5.84207e-05 +*CONN +*I *2462:gpio_defaults[12] I *D gpio_control_block +*I *2427:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2462:gpio_defaults[12] 2.92104e-05 +2 *2427:gpio_defaults[12] 2.92104e-05 +3 *2462:gpio_defaults[11] *2462:gpio_defaults[12] 0 +*RES +1 *2427:gpio_defaults[12] *2462:gpio_defaults[12] 0.830504 +*END + +*D_NET *234 5.84207e-05 +*CONN +*I *2463:gpio_defaults[0] I *D gpio_control_block +*I *2428:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2463:gpio_defaults[0] 2.92104e-05 +2 *2428:gpio_defaults[0] 2.92104e-05 +3 *2463:gpio_defaults[0] *2463:gpio_defaults[1] 0 +*RES +1 *2428:gpio_defaults[0] *2463:gpio_defaults[0] 0.830504 +*END + +*D_NET *235 5.84207e-05 +*CONN +*I *2463:gpio_defaults[1] I *D gpio_control_block +*I *2428:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2463:gpio_defaults[1] 2.92104e-05 +2 *2428:gpio_defaults[1] 2.92104e-05 +3 *2463:gpio_defaults[1] *2463:gpio_defaults[2] 0 +4 *2463:gpio_defaults[0] *2463:gpio_defaults[1] 0 +*RES +1 *2428:gpio_defaults[1] *2463:gpio_defaults[1] 0.830504 +*END + +*D_NET *236 5.84207e-05 +*CONN +*I *2445:gpio_defaults[7] I *D gpio_control_block +*I *2418:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2445:gpio_defaults[7] 2.92104e-05 +2 *2418:gpio_defaults[7] 2.92104e-05 +3 *2445:gpio_defaults[7] *2445:gpio_defaults[8] 0 +4 *2445:gpio_defaults[6] *2445:gpio_defaults[7] 0 +*RES +1 *2418:gpio_defaults[7] *2445:gpio_defaults[7] 0.830504 +*END + +*D_NET *237 5.84207e-05 +*CONN +*I *2463:gpio_defaults[2] I *D gpio_control_block +*I *2428:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2463:gpio_defaults[2] 2.92104e-05 +2 *2428:gpio_defaults[2] 2.92104e-05 +3 *2463:gpio_defaults[2] *2463:gpio_defaults[3] 0 +4 *2463:gpio_defaults[1] *2463:gpio_defaults[2] 0 +*RES +1 *2428:gpio_defaults[2] *2463:gpio_defaults[2] 0.830504 +*END + +*D_NET *238 5.84207e-05 +*CONN +*I *2463:gpio_defaults[3] I *D gpio_control_block +*I *2428:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2463:gpio_defaults[3] 2.92104e-05 +2 *2428:gpio_defaults[3] 2.92104e-05 +3 *2463:gpio_defaults[3] *2463:gpio_defaults[4] 0 +4 *2463:gpio_defaults[2] *2463:gpio_defaults[3] 0 +*RES +1 *2428:gpio_defaults[3] *2463:gpio_defaults[3] 0.830504 +*END + +*D_NET *239 5.84207e-05 +*CONN +*I *2463:gpio_defaults[4] I *D gpio_control_block +*I *2428:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2463:gpio_defaults[4] 2.92104e-05 +2 *2428:gpio_defaults[4] 2.92104e-05 +3 *2463:gpio_defaults[4] *2463:gpio_defaults[5] 0 +4 *2463:gpio_defaults[3] *2463:gpio_defaults[4] 0 +*RES +1 *2428:gpio_defaults[4] *2463:gpio_defaults[4] 0.830504 +*END + +*D_NET *240 5.84207e-05 +*CONN +*I *2463:gpio_defaults[5] I *D gpio_control_block +*I *2428:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2463:gpio_defaults[5] 2.92104e-05 +2 *2428:gpio_defaults[5] 2.92104e-05 +3 *2463:gpio_defaults[5] *2463:gpio_defaults[6] 0 +4 *2463:gpio_defaults[4] *2463:gpio_defaults[5] 0 +*RES +1 *2428:gpio_defaults[5] *2463:gpio_defaults[5] 0.830504 +*END + +*D_NET *241 5.84207e-05 +*CONN +*I *2463:gpio_defaults[6] I *D gpio_control_block +*I *2428:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2463:gpio_defaults[6] 2.92104e-05 +2 *2428:gpio_defaults[6] 2.92104e-05 +3 *2463:gpio_defaults[6] *2463:gpio_defaults[7] 0 +4 *2463:gpio_defaults[5] *2463:gpio_defaults[6] 0 +*RES +1 *2428:gpio_defaults[6] *2463:gpio_defaults[6] 0.830504 +*END + +*D_NET *242 5.84207e-05 +*CONN +*I *2463:gpio_defaults[7] I *D gpio_control_block +*I *2428:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2463:gpio_defaults[7] 2.92104e-05 +2 *2428:gpio_defaults[7] 2.92104e-05 +3 *2463:gpio_defaults[7] *2463:gpio_defaults[8] 0 +4 *2463:gpio_defaults[6] *2463:gpio_defaults[7] 0 +*RES +1 *2428:gpio_defaults[7] *2463:gpio_defaults[7] 0.830504 +*END + +*D_NET *243 5.84207e-05 +*CONN +*I *2463:gpio_defaults[8] I *D gpio_control_block +*I *2428:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2463:gpio_defaults[8] 2.92104e-05 +2 *2428:gpio_defaults[8] 2.92104e-05 +3 *2463:gpio_defaults[8] *2463:gpio_defaults[9] 0 +4 *2463:gpio_defaults[7] *2463:gpio_defaults[8] 0 +*RES +1 *2428:gpio_defaults[8] *2463:gpio_defaults[8] 0.830504 +*END + +*D_NET *244 5.84207e-05 +*CONN +*I *2463:gpio_defaults[9] I *D gpio_control_block +*I *2428:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2463:gpio_defaults[9] 2.92104e-05 +2 *2428:gpio_defaults[9] 2.92104e-05 +3 *2463:gpio_defaults[9] *2463:gpio_defaults[10] 0 +4 *2463:gpio_defaults[8] *2463:gpio_defaults[9] 0 +*RES +1 *2428:gpio_defaults[9] *2463:gpio_defaults[9] 0.830504 +*END + +*D_NET *245 5.84207e-05 +*CONN +*I *2463:gpio_defaults[10] I *D gpio_control_block +*I *2428:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2463:gpio_defaults[10] 2.92104e-05 +2 *2428:gpio_defaults[10] 2.92104e-05 +3 *2463:gpio_defaults[10] *2463:gpio_defaults[11] 0 +4 *2463:gpio_defaults[9] *2463:gpio_defaults[10] 0 +*RES +1 *2428:gpio_defaults[10] *2463:gpio_defaults[10] 0.830504 +*END + +*D_NET *246 5.84207e-05 +*CONN +*I *2463:gpio_defaults[11] I *D gpio_control_block +*I *2428:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2463:gpio_defaults[11] 2.92104e-05 +2 *2428:gpio_defaults[11] 2.92104e-05 +3 *2463:gpio_defaults[11] *2463:gpio_defaults[12] 0 +4 *2463:gpio_defaults[10] *2463:gpio_defaults[11] 0 +*RES +1 *2428:gpio_defaults[11] *2463:gpio_defaults[11] 0.830504 +*END + +*D_NET *247 5.84207e-05 +*CONN +*I *2445:gpio_defaults[8] I *D gpio_control_block +*I *2418:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2445:gpio_defaults[8] 2.92104e-05 +2 *2418:gpio_defaults[8] 2.92104e-05 +3 *2445:gpio_defaults[8] *2445:gpio_defaults[9] 0 +4 *2445:gpio_defaults[7] *2445:gpio_defaults[8] 0 +*RES +1 *2418:gpio_defaults[8] *2445:gpio_defaults[8] 0.830504 +*END + +*D_NET *248 5.84207e-05 +*CONN +*I *2463:gpio_defaults[12] I *D gpio_control_block +*I *2428:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2463:gpio_defaults[12] 2.92104e-05 +2 *2428:gpio_defaults[12] 2.92104e-05 +3 *2463:gpio_defaults[11] *2463:gpio_defaults[12] 0 +*RES +1 *2428:gpio_defaults[12] *2463:gpio_defaults[12] 0.830504 +*END + +*D_NET *249 5.84207e-05 +*CONN +*I *2464:gpio_defaults[0] I *D gpio_control_block +*I *2429:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2464:gpio_defaults[0] 2.92104e-05 +2 *2429:gpio_defaults[0] 2.92104e-05 +3 *2464:gpio_defaults[0] *2464:gpio_defaults[1] 0 +*RES +1 *2429:gpio_defaults[0] *2464:gpio_defaults[0] 0.830504 +*END + +*D_NET *250 5.84207e-05 +*CONN +*I *2464:gpio_defaults[1] I *D gpio_control_block +*I *2429:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2464:gpio_defaults[1] 2.92104e-05 +2 *2429:gpio_defaults[1] 2.92104e-05 +3 *2464:gpio_defaults[1] *2464:gpio_defaults[2] 0 +4 *2464:gpio_defaults[0] *2464:gpio_defaults[1] 0 +*RES +1 *2429:gpio_defaults[1] *2464:gpio_defaults[1] 0.830504 +*END + +*D_NET *251 5.84207e-05 +*CONN +*I *2464:gpio_defaults[2] I *D gpio_control_block +*I *2429:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2464:gpio_defaults[2] 2.92104e-05 +2 *2429:gpio_defaults[2] 2.92104e-05 +3 *2464:gpio_defaults[2] *2464:gpio_defaults[3] 0 +4 *2464:gpio_defaults[1] *2464:gpio_defaults[2] 0 +*RES +1 *2429:gpio_defaults[2] *2464:gpio_defaults[2] 0.830504 +*END + +*D_NET *252 5.84207e-05 +*CONN +*I *2464:gpio_defaults[3] I *D gpio_control_block +*I *2429:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2464:gpio_defaults[3] 2.92104e-05 +2 *2429:gpio_defaults[3] 2.92104e-05 +3 *2464:gpio_defaults[3] *2464:gpio_defaults[4] 0 +4 *2464:gpio_defaults[2] *2464:gpio_defaults[3] 0 +*RES +1 *2429:gpio_defaults[3] *2464:gpio_defaults[3] 0.830504 +*END + +*D_NET *253 5.84207e-05 +*CONN +*I *2464:gpio_defaults[4] I *D gpio_control_block +*I *2429:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2464:gpio_defaults[4] 2.92104e-05 +2 *2429:gpio_defaults[4] 2.92104e-05 +3 *2464:gpio_defaults[4] *2464:gpio_defaults[5] 0 +4 *2464:gpio_defaults[3] *2464:gpio_defaults[4] 0 +*RES +1 *2429:gpio_defaults[4] *2464:gpio_defaults[4] 0.830504 +*END + +*D_NET *254 5.84207e-05 +*CONN +*I *2464:gpio_defaults[5] I *D gpio_control_block +*I *2429:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2464:gpio_defaults[5] 2.92104e-05 +2 *2429:gpio_defaults[5] 2.92104e-05 +3 *2464:gpio_defaults[5] *2464:gpio_defaults[6] 0 +4 *2464:gpio_defaults[4] *2464:gpio_defaults[5] 0 +*RES +1 *2429:gpio_defaults[5] *2464:gpio_defaults[5] 0.830504 +*END + +*D_NET *255 5.84207e-05 +*CONN +*I *2464:gpio_defaults[6] I *D gpio_control_block +*I *2429:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2464:gpio_defaults[6] 2.92104e-05 +2 *2429:gpio_defaults[6] 2.92104e-05 +3 *2464:gpio_defaults[6] *2464:gpio_defaults[7] 0 +4 *2464:gpio_defaults[5] *2464:gpio_defaults[6] 0 +*RES +1 *2429:gpio_defaults[6] *2464:gpio_defaults[6] 0.830504 +*END + +*D_NET *256 5.84207e-05 +*CONN +*I *2464:gpio_defaults[7] I *D gpio_control_block +*I *2429:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2464:gpio_defaults[7] 2.92104e-05 +2 *2429:gpio_defaults[7] 2.92104e-05 +3 *2464:gpio_defaults[7] *2464:gpio_defaults[8] 0 +4 *2464:gpio_defaults[6] *2464:gpio_defaults[7] 0 +*RES +1 *2429:gpio_defaults[7] *2464:gpio_defaults[7] 0.830504 +*END + +*D_NET *257 5.84207e-05 +*CONN +*I *2464:gpio_defaults[8] I *D gpio_control_block +*I *2429:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2464:gpio_defaults[8] 2.92104e-05 +2 *2429:gpio_defaults[8] 2.92104e-05 +3 *2464:gpio_defaults[8] *2464:gpio_defaults[9] 0 +4 *2464:gpio_defaults[7] *2464:gpio_defaults[8] 0 +*RES +1 *2429:gpio_defaults[8] *2464:gpio_defaults[8] 0.830504 +*END + +*D_NET *258 5.84207e-05 +*CONN +*I *2445:gpio_defaults[9] I *D gpio_control_block +*I *2418:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2445:gpio_defaults[9] 2.92104e-05 +2 *2418:gpio_defaults[9] 2.92104e-05 +3 *2445:gpio_defaults[9] *2445:gpio_defaults[10] 0 +4 *2445:gpio_defaults[8] *2445:gpio_defaults[9] 0 +*RES +1 *2418:gpio_defaults[9] *2445:gpio_defaults[9] 0.830504 +*END + +*D_NET *259 5.84207e-05 +*CONN +*I *2464:gpio_defaults[9] I *D gpio_control_block +*I *2429:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2464:gpio_defaults[9] 2.92104e-05 +2 *2429:gpio_defaults[9] 2.92104e-05 +3 *2464:gpio_defaults[9] *2464:gpio_defaults[10] 0 +4 *2464:gpio_defaults[8] *2464:gpio_defaults[9] 0 +*RES +1 *2429:gpio_defaults[9] *2464:gpio_defaults[9] 0.830504 +*END + +*D_NET *260 5.84207e-05 +*CONN +*I *2464:gpio_defaults[10] I *D gpio_control_block +*I *2429:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2464:gpio_defaults[10] 2.92104e-05 +2 *2429:gpio_defaults[10] 2.92104e-05 +3 *2464:gpio_defaults[10] *2464:gpio_defaults[11] 0 +4 *2464:gpio_defaults[9] *2464:gpio_defaults[10] 0 +*RES +1 *2429:gpio_defaults[10] *2464:gpio_defaults[10] 0.830504 +*END + +*D_NET *261 5.84207e-05 +*CONN +*I *2464:gpio_defaults[11] I *D gpio_control_block +*I *2429:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2464:gpio_defaults[11] 2.92104e-05 +2 *2429:gpio_defaults[11] 2.92104e-05 +3 *2464:gpio_defaults[11] *2464:gpio_defaults[12] 0 +4 *2464:gpio_defaults[10] *2464:gpio_defaults[11] 0 +*RES +1 *2429:gpio_defaults[11] *2464:gpio_defaults[11] 0.830504 +*END + +*D_NET *262 5.84207e-05 +*CONN +*I *2464:gpio_defaults[12] I *D gpio_control_block +*I *2429:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2464:gpio_defaults[12] 2.92104e-05 +2 *2429:gpio_defaults[12] 2.92104e-05 +3 *2464:gpio_defaults[11] *2464:gpio_defaults[12] 0 +*RES +1 *2429:gpio_defaults[12] *2464:gpio_defaults[12] 0.830504 +*END + +*D_NET *263 5.84207e-05 +*CONN +*I *2465:gpio_defaults[0] I *D gpio_control_block +*I *2430:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2465:gpio_defaults[0] 2.92104e-05 +2 *2430:gpio_defaults[0] 2.92104e-05 +3 *2465:gpio_defaults[0] *2465:gpio_defaults[1] 0 +4 *107:22 *2465:gpio_defaults[0] 0 +*RES +1 *2430:gpio_defaults[0] *2465:gpio_defaults[0] 0.830504 +*END + +*D_NET *264 5.84207e-05 +*CONN +*I *2465:gpio_defaults[1] I *D gpio_control_block +*I *2430:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2465:gpio_defaults[1] 2.92104e-05 +2 *2430:gpio_defaults[1] 2.92104e-05 +3 *2465:gpio_defaults[1] *2465:gpio_defaults[2] 0 +4 *2465:gpio_defaults[0] *2465:gpio_defaults[1] 0 +*RES +1 *2430:gpio_defaults[1] *2465:gpio_defaults[1] 0.830504 +*END + +*D_NET *265 5.84207e-05 +*CONN +*I *2465:gpio_defaults[2] I *D gpio_control_block +*I *2430:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2465:gpio_defaults[2] 2.92104e-05 +2 *2430:gpio_defaults[2] 2.92104e-05 +3 *2465:gpio_defaults[2] *2465:gpio_defaults[3] 0 +4 *2465:gpio_defaults[1] *2465:gpio_defaults[2] 0 +*RES +1 *2430:gpio_defaults[2] *2465:gpio_defaults[2] 0.830504 +*END + +*D_NET *266 5.84207e-05 +*CONN +*I *2465:gpio_defaults[3] I *D gpio_control_block +*I *2430:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2465:gpio_defaults[3] 2.92104e-05 +2 *2430:gpio_defaults[3] 2.92104e-05 +3 *2465:gpio_defaults[3] *2465:gpio_defaults[4] 0 +4 *2465:gpio_defaults[2] *2465:gpio_defaults[3] 0 +*RES +1 *2430:gpio_defaults[3] *2465:gpio_defaults[3] 0.830504 +*END + +*D_NET *267 5.84207e-05 +*CONN +*I *2465:gpio_defaults[4] I *D gpio_control_block +*I *2430:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2465:gpio_defaults[4] 2.92104e-05 +2 *2430:gpio_defaults[4] 2.92104e-05 +3 *2465:gpio_defaults[4] *2465:gpio_defaults[5] 0 +4 *2465:gpio_defaults[3] *2465:gpio_defaults[4] 0 +*RES +1 *2430:gpio_defaults[4] *2465:gpio_defaults[4] 0.830504 +*END + +*D_NET *268 5.84207e-05 +*CONN +*I *2465:gpio_defaults[5] I *D gpio_control_block +*I *2430:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2465:gpio_defaults[5] 2.92104e-05 +2 *2430:gpio_defaults[5] 2.92104e-05 +3 *2465:gpio_defaults[5] *2465:gpio_defaults[6] 0 +4 *2465:gpio_defaults[4] *2465:gpio_defaults[5] 0 +*RES +1 *2430:gpio_defaults[5] *2465:gpio_defaults[5] 0.830504 +*END + +*D_NET *269 5.84207e-05 +*CONN +*I *2445:gpio_defaults[10] I *D gpio_control_block +*I *2418:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2445:gpio_defaults[10] 2.92104e-05 +2 *2418:gpio_defaults[10] 2.92104e-05 +3 *2445:gpio_defaults[10] *2445:gpio_defaults[11] 0 +4 *2445:gpio_defaults[9] *2445:gpio_defaults[10] 0 +*RES +1 *2418:gpio_defaults[10] *2445:gpio_defaults[10] 0.830504 +*END + +*D_NET *270 5.84207e-05 +*CONN +*I *2465:gpio_defaults[6] I *D gpio_control_block +*I *2430:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2465:gpio_defaults[6] 2.92104e-05 +2 *2430:gpio_defaults[6] 2.92104e-05 +3 *2465:gpio_defaults[6] *2465:gpio_defaults[7] 0 +4 *2465:gpio_defaults[5] *2465:gpio_defaults[6] 0 +*RES +1 *2430:gpio_defaults[6] *2465:gpio_defaults[6] 0.830504 +*END + +*D_NET *271 5.84207e-05 +*CONN +*I *2465:gpio_defaults[7] I *D gpio_control_block +*I *2430:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2465:gpio_defaults[7] 2.92104e-05 +2 *2430:gpio_defaults[7] 2.92104e-05 +3 *2465:gpio_defaults[7] *2465:gpio_defaults[8] 0 +4 *2465:gpio_defaults[6] *2465:gpio_defaults[7] 0 +*RES +1 *2430:gpio_defaults[7] *2465:gpio_defaults[7] 0.830504 +*END + +*D_NET *272 5.84207e-05 +*CONN +*I *2465:gpio_defaults[8] I *D gpio_control_block +*I *2430:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2465:gpio_defaults[8] 2.92104e-05 +2 *2430:gpio_defaults[8] 2.92104e-05 +3 *2465:gpio_defaults[8] *2465:gpio_defaults[9] 0 +4 *2465:gpio_defaults[7] *2465:gpio_defaults[8] 0 +*RES +1 *2430:gpio_defaults[8] *2465:gpio_defaults[8] 0.830504 +*END + +*D_NET *273 5.84207e-05 +*CONN +*I *2465:gpio_defaults[9] I *D gpio_control_block +*I *2430:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2465:gpio_defaults[9] 2.92104e-05 +2 *2430:gpio_defaults[9] 2.92104e-05 +3 *2465:gpio_defaults[9] *2465:gpio_defaults[10] 0 +4 *2465:gpio_defaults[8] *2465:gpio_defaults[9] 0 +*RES +1 *2430:gpio_defaults[9] *2465:gpio_defaults[9] 0.830504 +*END + +*D_NET *274 5.84207e-05 +*CONN +*I *2465:gpio_defaults[10] I *D gpio_control_block +*I *2430:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2465:gpio_defaults[10] 2.92104e-05 +2 *2430:gpio_defaults[10] 2.92104e-05 +3 *2465:gpio_defaults[10] *2465:gpio_defaults[11] 0 +4 *2465:gpio_defaults[9] *2465:gpio_defaults[10] 0 +*RES +1 *2430:gpio_defaults[10] *2465:gpio_defaults[10] 0.830504 +*END + +*D_NET *275 5.84207e-05 +*CONN +*I *2465:gpio_defaults[11] I *D gpio_control_block +*I *2430:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2465:gpio_defaults[11] 2.92104e-05 +2 *2430:gpio_defaults[11] 2.92104e-05 +3 *2465:gpio_defaults[11] *2465:gpio_defaults[12] 0 +4 *2465:gpio_defaults[10] *2465:gpio_defaults[11] 0 +*RES +1 *2430:gpio_defaults[11] *2465:gpio_defaults[11] 0.830504 +*END + +*D_NET *276 5.84207e-05 +*CONN +*I *2465:gpio_defaults[12] I *D gpio_control_block +*I *2430:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2465:gpio_defaults[12] 2.92104e-05 +2 *2430:gpio_defaults[12] 2.92104e-05 +3 *2465:gpio_defaults[11] *2465:gpio_defaults[12] 0 +*RES +1 *2430:gpio_defaults[12] *2465:gpio_defaults[12] 0.830504 +*END + +*D_NET *277 5.84207e-05 +*CONN +*I *2466:gpio_defaults[0] I *D gpio_control_block +*I *2431:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2466:gpio_defaults[0] 2.92104e-05 +2 *2431:gpio_defaults[0] 2.92104e-05 +3 *2466:gpio_defaults[0] *2466:gpio_defaults[1] 0 +4 *107:64 *2466:gpio_defaults[0] 0 +*RES +1 *2431:gpio_defaults[0] *2466:gpio_defaults[0] 0.830504 +*END + +*D_NET *278 5.84207e-05 +*CONN +*I *2466:gpio_defaults[1] I *D gpio_control_block +*I *2431:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2466:gpio_defaults[1] 2.92104e-05 +2 *2431:gpio_defaults[1] 2.92104e-05 +3 *2466:gpio_defaults[1] *2466:gpio_defaults[2] 0 +4 *2466:gpio_defaults[0] *2466:gpio_defaults[1] 0 +*RES +1 *2431:gpio_defaults[1] *2466:gpio_defaults[1] 0.830504 +*END + +*D_NET *279 5.84207e-05 +*CONN +*I *2466:gpio_defaults[2] I *D gpio_control_block +*I *2431:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2466:gpio_defaults[2] 2.92104e-05 +2 *2431:gpio_defaults[2] 2.92104e-05 +3 *2466:gpio_defaults[2] *2466:gpio_defaults[3] 0 +4 *2466:gpio_defaults[1] *2466:gpio_defaults[2] 0 +*RES +1 *2431:gpio_defaults[2] *2466:gpio_defaults[2] 0.830504 +*END + +*D_NET *280 5.84207e-05 +*CONN +*I *2445:gpio_defaults[11] I *D gpio_control_block +*I *2418:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2445:gpio_defaults[11] 2.92104e-05 +2 *2418:gpio_defaults[11] 2.92104e-05 +3 *2445:gpio_defaults[11] *2445:gpio_defaults[12] 0 +4 *2445:gpio_defaults[10] *2445:gpio_defaults[11] 0 +*RES +1 *2418:gpio_defaults[11] *2445:gpio_defaults[11] 0.830504 +*END + +*D_NET *281 5.84207e-05 +*CONN +*I *2466:gpio_defaults[3] I *D gpio_control_block +*I *2431:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2466:gpio_defaults[3] 2.92104e-05 +2 *2431:gpio_defaults[3] 2.92104e-05 +3 *2466:gpio_defaults[3] *2466:gpio_defaults[4] 0 +4 *2466:gpio_defaults[2] *2466:gpio_defaults[3] 0 +*RES +1 *2431:gpio_defaults[3] *2466:gpio_defaults[3] 0.830504 +*END + +*D_NET *282 5.84207e-05 +*CONN +*I *2466:gpio_defaults[4] I *D gpio_control_block +*I *2431:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2466:gpio_defaults[4] 2.92104e-05 +2 *2431:gpio_defaults[4] 2.92104e-05 +3 *2466:gpio_defaults[4] *2466:gpio_defaults[5] 0 +4 *2466:gpio_defaults[3] *2466:gpio_defaults[4] 0 +*RES +1 *2431:gpio_defaults[4] *2466:gpio_defaults[4] 0.830504 +*END + +*D_NET *283 5.84207e-05 +*CONN +*I *2466:gpio_defaults[5] I *D gpio_control_block +*I *2431:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2466:gpio_defaults[5] 2.92104e-05 +2 *2431:gpio_defaults[5] 2.92104e-05 +3 *2466:gpio_defaults[5] *2466:gpio_defaults[6] 0 +4 *2466:gpio_defaults[4] *2466:gpio_defaults[5] 0 +*RES +1 *2431:gpio_defaults[5] *2466:gpio_defaults[5] 0.830504 +*END + +*D_NET *284 5.84207e-05 +*CONN +*I *2466:gpio_defaults[6] I *D gpio_control_block +*I *2431:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2466:gpio_defaults[6] 2.92104e-05 +2 *2431:gpio_defaults[6] 2.92104e-05 +3 *2466:gpio_defaults[6] *2466:gpio_defaults[7] 0 +4 *2466:gpio_defaults[5] *2466:gpio_defaults[6] 0 +*RES +1 *2431:gpio_defaults[6] *2466:gpio_defaults[6] 0.830504 +*END + +*D_NET *285 5.84207e-05 +*CONN +*I *2466:gpio_defaults[7] I *D gpio_control_block +*I *2431:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2466:gpio_defaults[7] 2.92104e-05 +2 *2431:gpio_defaults[7] 2.92104e-05 +3 *2466:gpio_defaults[7] *2466:gpio_defaults[8] 0 +4 *2466:gpio_defaults[6] *2466:gpio_defaults[7] 0 +*RES +1 *2431:gpio_defaults[7] *2466:gpio_defaults[7] 0.830504 +*END + +*D_NET *286 5.84207e-05 +*CONN +*I *2466:gpio_defaults[8] I *D gpio_control_block +*I *2431:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2466:gpio_defaults[8] 2.92104e-05 +2 *2431:gpio_defaults[8] 2.92104e-05 +3 *2466:gpio_defaults[8] *2466:gpio_defaults[9] 0 +4 *2466:gpio_defaults[7] *2466:gpio_defaults[8] 0 +*RES +1 *2431:gpio_defaults[8] *2466:gpio_defaults[8] 0.830504 +*END + +*D_NET *287 5.84207e-05 +*CONN +*I *2466:gpio_defaults[9] I *D gpio_control_block +*I *2431:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2466:gpio_defaults[9] 2.92104e-05 +2 *2431:gpio_defaults[9] 2.92104e-05 +3 *2466:gpio_defaults[9] *2466:gpio_defaults[10] 0 +4 *2466:gpio_defaults[8] *2466:gpio_defaults[9] 0 +*RES +1 *2431:gpio_defaults[9] *2466:gpio_defaults[9] 0.830504 +*END + +*D_NET *288 5.84207e-05 +*CONN +*I *2466:gpio_defaults[10] I *D gpio_control_block +*I *2431:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2466:gpio_defaults[10] 2.92104e-05 +2 *2431:gpio_defaults[10] 2.92104e-05 +3 *2466:gpio_defaults[10] *2466:gpio_defaults[11] 0 +4 *2466:gpio_defaults[9] *2466:gpio_defaults[10] 0 +*RES +1 *2431:gpio_defaults[10] *2466:gpio_defaults[10] 0.830504 +*END + +*D_NET *289 5.84207e-05 +*CONN +*I *2466:gpio_defaults[11] I *D gpio_control_block +*I *2431:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2466:gpio_defaults[11] 2.92104e-05 +2 *2431:gpio_defaults[11] 2.92104e-05 +3 *2466:gpio_defaults[11] *2466:gpio_defaults[12] 0 +4 *2466:gpio_defaults[10] *2466:gpio_defaults[11] 0 +*RES +1 *2431:gpio_defaults[11] *2466:gpio_defaults[11] 0.830504 +*END + +*D_NET *290 5.84207e-05 +*CONN +*I *2466:gpio_defaults[12] I *D gpio_control_block +*I *2431:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2466:gpio_defaults[12] 2.92104e-05 +2 *2431:gpio_defaults[12] 2.92104e-05 +3 *2466:gpio_defaults[11] *2466:gpio_defaults[12] 0 +*RES +1 *2431:gpio_defaults[12] *2466:gpio_defaults[12] 0.830504 +*END + +*D_NET *291 5.84207e-05 +*CONN +*I *2445:gpio_defaults[12] I *D gpio_control_block +*I *2418:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2445:gpio_defaults[12] 2.92104e-05 +2 *2418:gpio_defaults[12] 2.92104e-05 +3 *2445:gpio_defaults[11] *2445:gpio_defaults[12] 0 +*RES +1 *2418:gpio_defaults[12] *2445:gpio_defaults[12] 0.830504 +*END + +*D_NET *292 5.84207e-05 +*CONN +*I *2467:gpio_defaults[0] I *D gpio_control_block +*I *2432:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2467:gpio_defaults[0] 2.92104e-05 +2 *2432:gpio_defaults[0] 2.92104e-05 +3 *2467:gpio_defaults[0] *2467:gpio_defaults[1] 0 +*RES +1 *2432:gpio_defaults[0] *2467:gpio_defaults[0] 0.830504 +*END + +*D_NET *293 5.84207e-05 +*CONN +*I *2467:gpio_defaults[1] I *D gpio_control_block +*I *2432:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2467:gpio_defaults[1] 2.92104e-05 +2 *2432:gpio_defaults[1] 2.92104e-05 +3 *2467:gpio_defaults[1] *2467:gpio_defaults[2] 0 +4 *2467:gpio_defaults[0] *2467:gpio_defaults[1] 0 +*RES +1 *2432:gpio_defaults[1] *2467:gpio_defaults[1] 0.830504 +*END + +*D_NET *294 5.84207e-05 +*CONN +*I *2467:gpio_defaults[2] I *D gpio_control_block +*I *2432:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2467:gpio_defaults[2] 2.92104e-05 +2 *2432:gpio_defaults[2] 2.92104e-05 +3 *2467:gpio_defaults[2] *2467:gpio_defaults[3] 0 +4 *2467:gpio_defaults[1] *2467:gpio_defaults[2] 0 +*RES +1 *2432:gpio_defaults[2] *2467:gpio_defaults[2] 0.830504 +*END + +*D_NET *295 5.84207e-05 +*CONN +*I *2467:gpio_defaults[3] I *D gpio_control_block +*I *2432:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2467:gpio_defaults[3] 2.92104e-05 +2 *2432:gpio_defaults[3] 2.92104e-05 +3 *2467:gpio_defaults[3] *2467:gpio_defaults[4] 0 +4 *2467:gpio_defaults[2] *2467:gpio_defaults[3] 0 +*RES +1 *2432:gpio_defaults[3] *2467:gpio_defaults[3] 0.830504 +*END + +*D_NET *296 5.84207e-05 +*CONN +*I *2467:gpio_defaults[4] I *D gpio_control_block +*I *2432:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2467:gpio_defaults[4] 2.92104e-05 +2 *2432:gpio_defaults[4] 2.92104e-05 +3 *2467:gpio_defaults[4] *2467:gpio_defaults[5] 0 +4 *2467:gpio_defaults[3] *2467:gpio_defaults[4] 0 +*RES +1 *2432:gpio_defaults[4] *2467:gpio_defaults[4] 0.830504 +*END + +*D_NET *297 5.84207e-05 +*CONN +*I *2467:gpio_defaults[5] I *D gpio_control_block +*I *2432:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2467:gpio_defaults[5] 2.92104e-05 +2 *2432:gpio_defaults[5] 2.92104e-05 +3 *2467:gpio_defaults[5] *2467:gpio_defaults[6] 0 +4 *2467:gpio_defaults[4] *2467:gpio_defaults[5] 0 +*RES +1 *2432:gpio_defaults[5] *2467:gpio_defaults[5] 0.830504 +*END + +*D_NET *298 5.84207e-05 +*CONN +*I *2467:gpio_defaults[6] I *D gpio_control_block +*I *2432:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2467:gpio_defaults[6] 2.92104e-05 +2 *2432:gpio_defaults[6] 2.92104e-05 +3 *2467:gpio_defaults[6] *2467:gpio_defaults[7] 0 +4 *2467:gpio_defaults[5] *2467:gpio_defaults[6] 0 +*RES +1 *2432:gpio_defaults[6] *2467:gpio_defaults[6] 0.830504 +*END + +*D_NET *299 5.84207e-05 +*CONN +*I *2467:gpio_defaults[7] I *D gpio_control_block +*I *2432:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2467:gpio_defaults[7] 2.92104e-05 +2 *2432:gpio_defaults[7] 2.92104e-05 +3 *2467:gpio_defaults[7] *2467:gpio_defaults[8] 0 +4 *2467:gpio_defaults[6] *2467:gpio_defaults[7] 0 +*RES +1 *2432:gpio_defaults[7] *2467:gpio_defaults[7] 0.830504 +*END + +*D_NET *300 5.84207e-05 +*CONN +*I *2467:gpio_defaults[8] I *D gpio_control_block +*I *2432:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2467:gpio_defaults[8] 2.92104e-05 +2 *2432:gpio_defaults[8] 2.92104e-05 +3 *2467:gpio_defaults[8] *2467:gpio_defaults[9] 0 +4 *2467:gpio_defaults[7] *2467:gpio_defaults[8] 0 +*RES +1 *2432:gpio_defaults[8] *2467:gpio_defaults[8] 0.830504 +*END + +*D_NET *301 5.84207e-05 +*CONN +*I *2467:gpio_defaults[9] I *D gpio_control_block +*I *2432:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2467:gpio_defaults[9] 2.92104e-05 +2 *2432:gpio_defaults[9] 2.92104e-05 +3 *2467:gpio_defaults[9] *2467:gpio_defaults[10] 0 +4 *2467:gpio_defaults[8] *2467:gpio_defaults[9] 0 +*RES +1 *2432:gpio_defaults[9] *2467:gpio_defaults[9] 0.830504 +*END + +*D_NET *302 5.84207e-05 +*CONN +*I *2455:gpio_defaults[0] I *D gpio_control_block +*I *2424:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2455:gpio_defaults[0] 2.92104e-05 +2 *2424:gpio_defaults[0] 2.92104e-05 +3 *2455:gpio_defaults[0] *2455:gpio_defaults[1] 0 +*RES +1 *2424:gpio_defaults[0] *2455:gpio_defaults[0] 0.830504 +*END + +*D_NET *303 5.84207e-05 +*CONN +*I *2467:gpio_defaults[10] I *D gpio_control_block +*I *2432:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2467:gpio_defaults[10] 2.92104e-05 +2 *2432:gpio_defaults[10] 2.92104e-05 +3 *2467:gpio_defaults[10] *2467:gpio_defaults[11] 0 +4 *2467:gpio_defaults[9] *2467:gpio_defaults[10] 0 +*RES +1 *2432:gpio_defaults[10] *2467:gpio_defaults[10] 0.830504 +*END + +*D_NET *304 5.84207e-05 +*CONN +*I *2467:gpio_defaults[11] I *D gpio_control_block +*I *2432:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2467:gpio_defaults[11] 2.92104e-05 +2 *2432:gpio_defaults[11] 2.92104e-05 +3 *2467:gpio_defaults[11] *2467:gpio_defaults[12] 0 +4 *2467:gpio_defaults[10] *2467:gpio_defaults[11] 0 +*RES +1 *2432:gpio_defaults[11] *2467:gpio_defaults[11] 0.830504 +*END + +*D_NET *305 5.84207e-05 +*CONN +*I *2467:gpio_defaults[12] I *D gpio_control_block +*I *2432:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2467:gpio_defaults[12] 2.92104e-05 +2 *2432:gpio_defaults[12] 2.92104e-05 +3 *2467:gpio_defaults[11] *2467:gpio_defaults[12] 0 +*RES +1 *2432:gpio_defaults[12] *2467:gpio_defaults[12] 0.830504 +*END + +*D_NET *306 5.98503e-05 +*CONN +*I *2468:gpio_defaults[0] I *D gpio_control_block +*I *2433:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2468:gpio_defaults[0] 2.99252e-05 +2 *2433:gpio_defaults[0] 2.99252e-05 +*RES +1 *2433:gpio_defaults[0] *2468:gpio_defaults[0] 0.830504 +*END + +*D_NET *307 5.91355e-05 +*CONN +*I *2468:gpio_defaults[1] I *D gpio_control_block +*I *2433:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2468:gpio_defaults[1] 2.95678e-05 +2 *2433:gpio_defaults[1] 2.95678e-05 +3 *2468:gpio_defaults[1] *2468:gpio_defaults[2] 0 +*RES +1 *2433:gpio_defaults[1] *2468:gpio_defaults[1] 0.830504 +*END + +*D_NET *308 5.84207e-05 +*CONN +*I *2468:gpio_defaults[2] I *D gpio_control_block +*I *2433:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2468:gpio_defaults[2] 2.92104e-05 +2 *2433:gpio_defaults[2] 2.92104e-05 +3 *2468:gpio_defaults[2] *2468:gpio_defaults[3] 0 +4 *2468:gpio_defaults[1] *2468:gpio_defaults[2] 0 +*RES +1 *2433:gpio_defaults[2] *2468:gpio_defaults[2] 0.830504 +*END + +*D_NET *309 5.84207e-05 +*CONN +*I *2468:gpio_defaults[3] I *D gpio_control_block +*I *2433:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2468:gpio_defaults[3] 2.92104e-05 +2 *2433:gpio_defaults[3] 2.92104e-05 +3 *2468:gpio_defaults[3] *2468:gpio_defaults[4] 0 +4 *2468:gpio_defaults[2] *2468:gpio_defaults[3] 0 +*RES +1 *2433:gpio_defaults[3] *2468:gpio_defaults[3] 0.830504 +*END + +*D_NET *310 5.84207e-05 +*CONN +*I *2468:gpio_defaults[4] I *D gpio_control_block +*I *2433:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2468:gpio_defaults[4] 2.92104e-05 +2 *2433:gpio_defaults[4] 2.92104e-05 +3 *2468:gpio_defaults[4] *2468:gpio_defaults[5] 0 +4 *2468:gpio_defaults[3] *2468:gpio_defaults[4] 0 +*RES +1 *2433:gpio_defaults[4] *2468:gpio_defaults[4] 0.830504 +*END + +*D_NET *311 5.84207e-05 +*CONN +*I *2468:gpio_defaults[5] I *D gpio_control_block +*I *2433:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2468:gpio_defaults[5] 2.92104e-05 +2 *2433:gpio_defaults[5] 2.92104e-05 +3 *2468:gpio_defaults[5] *2468:gpio_defaults[6] 0 +4 *2468:gpio_defaults[4] *2468:gpio_defaults[5] 0 +*RES +1 *2433:gpio_defaults[5] *2468:gpio_defaults[5] 0.830504 +*END + +*D_NET *312 5.84207e-05 +*CONN +*I *2468:gpio_defaults[6] I *D gpio_control_block +*I *2433:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2468:gpio_defaults[6] 2.92104e-05 +2 *2433:gpio_defaults[6] 2.92104e-05 +3 *2468:gpio_defaults[6] *2468:gpio_defaults[7] 0 +4 *2468:gpio_defaults[5] *2468:gpio_defaults[6] 0 +*RES +1 *2433:gpio_defaults[6] *2468:gpio_defaults[6] 0.830504 +*END + +*D_NET *313 5.84207e-05 +*CONN +*I *2455:gpio_defaults[1] I *D gpio_control_block +*I *2424:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2455:gpio_defaults[1] 2.92104e-05 +2 *2424:gpio_defaults[1] 2.92104e-05 +3 *2455:gpio_defaults[1] *2455:gpio_defaults[2] 0 +4 *2455:gpio_defaults[0] *2455:gpio_defaults[1] 0 +*RES +1 *2424:gpio_defaults[1] *2455:gpio_defaults[1] 0.830504 +*END + +*D_NET *314 5.84207e-05 +*CONN +*I *2468:gpio_defaults[7] I *D gpio_control_block +*I *2433:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2468:gpio_defaults[7] 2.92104e-05 +2 *2433:gpio_defaults[7] 2.92104e-05 +3 *2468:gpio_defaults[7] *2468:gpio_defaults[8] 0 +4 *2468:gpio_defaults[6] *2468:gpio_defaults[7] 0 +*RES +1 *2433:gpio_defaults[7] *2468:gpio_defaults[7] 0.830504 +*END + +*D_NET *315 5.84207e-05 +*CONN +*I *2468:gpio_defaults[8] I *D gpio_control_block +*I *2433:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2468:gpio_defaults[8] 2.92104e-05 +2 *2433:gpio_defaults[8] 2.92104e-05 +3 *2468:gpio_defaults[8] *2468:gpio_defaults[9] 0 +4 *2468:gpio_defaults[7] *2468:gpio_defaults[8] 0 +*RES +1 *2433:gpio_defaults[8] *2468:gpio_defaults[8] 0.830504 +*END + +*D_NET *316 5.84207e-05 +*CONN +*I *2468:gpio_defaults[9] I *D gpio_control_block +*I *2433:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2468:gpio_defaults[9] 2.92104e-05 +2 *2433:gpio_defaults[9] 2.92104e-05 +3 *2468:gpio_defaults[9] *2468:gpio_defaults[10] 0 +4 *2468:gpio_defaults[8] *2468:gpio_defaults[9] 0 +*RES +1 *2433:gpio_defaults[9] *2468:gpio_defaults[9] 0.830504 +*END + +*D_NET *317 5.84207e-05 +*CONN +*I *2468:gpio_defaults[10] I *D gpio_control_block +*I *2433:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2468:gpio_defaults[10] 2.92104e-05 +2 *2433:gpio_defaults[10] 2.92104e-05 +3 *2468:gpio_defaults[10] *2468:gpio_defaults[11] 0 +4 *2468:gpio_defaults[9] *2468:gpio_defaults[10] 0 +*RES +1 *2433:gpio_defaults[10] *2468:gpio_defaults[10] 0.830504 +*END + +*D_NET *318 5.84207e-05 +*CONN +*I *2468:gpio_defaults[11] I *D gpio_control_block +*I *2433:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2468:gpio_defaults[11] 2.92104e-05 +2 *2433:gpio_defaults[11] 2.92104e-05 +3 *2468:gpio_defaults[11] *2468:gpio_defaults[12] 0 +4 *2468:gpio_defaults[10] *2468:gpio_defaults[11] 0 +*RES +1 *2433:gpio_defaults[11] *2468:gpio_defaults[11] 0.830504 +*END + +*D_NET *319 5.84207e-05 +*CONN +*I *2468:gpio_defaults[12] I *D gpio_control_block +*I *2433:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2468:gpio_defaults[12] 2.92104e-05 +2 *2433:gpio_defaults[12] 2.92104e-05 +3 *2468:gpio_defaults[11] *2468:gpio_defaults[12] 0 +*RES +1 *2433:gpio_defaults[12] *2468:gpio_defaults[12] 0.830504 +*END + +*D_NET *320 6.1367e-05 +*CONN +*I *2469:gpio_defaults[0] I *D gpio_control_block +*I *2434:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2469:gpio_defaults[0] 2.17578e-05 +2 *2434:gpio_defaults[0] 2.17578e-05 +3 *2469:gpio_defaults[0] *2469:gpio_defaults[1] 0 +4 *110:62 *2469:gpio_defaults[0] 1.78514e-05 +*RES +1 *2434:gpio_defaults[0] *2469:gpio_defaults[0] 0.830504 +*END + +*D_NET *321 5.84207e-05 +*CONN +*I *2469:gpio_defaults[1] I *D gpio_control_block +*I *2434:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2469:gpio_defaults[1] 2.92104e-05 +2 *2434:gpio_defaults[1] 2.92104e-05 +3 *2469:gpio_defaults[1] *2469:gpio_defaults[2] 0 +4 *2469:gpio_defaults[0] *2469:gpio_defaults[1] 0 +*RES +1 *2434:gpio_defaults[1] *2469:gpio_defaults[1] 0.830504 +*END + +*D_NET *322 5.84207e-05 +*CONN +*I *2469:gpio_defaults[2] I *D gpio_control_block +*I *2434:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2469:gpio_defaults[2] 2.92104e-05 +2 *2434:gpio_defaults[2] 2.92104e-05 +3 *2469:gpio_defaults[2] *2469:gpio_defaults[3] 0 +4 *2469:gpio_defaults[1] *2469:gpio_defaults[2] 0 +*RES +1 *2434:gpio_defaults[2] *2469:gpio_defaults[2] 0.830504 +*END + +*D_NET *323 5.84207e-05 +*CONN +*I *2469:gpio_defaults[3] I *D gpio_control_block +*I *2434:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2469:gpio_defaults[3] 2.92104e-05 +2 *2434:gpio_defaults[3] 2.92104e-05 +3 *2469:gpio_defaults[3] *2469:gpio_defaults[4] 0 +4 *2469:gpio_defaults[2] *2469:gpio_defaults[3] 0 +*RES +1 *2434:gpio_defaults[3] *2469:gpio_defaults[3] 0.830504 +*END + +*D_NET *324 5.84207e-05 +*CONN +*I *2455:gpio_defaults[2] I *D gpio_control_block +*I *2424:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2455:gpio_defaults[2] 2.92104e-05 +2 *2424:gpio_defaults[2] 2.92104e-05 +3 *2455:gpio_defaults[2] *2455:gpio_defaults[3] 0 +4 *2455:gpio_defaults[1] *2455:gpio_defaults[2] 0 +*RES +1 *2424:gpio_defaults[2] *2455:gpio_defaults[2] 0.830504 +*END + +*D_NET *325 5.84207e-05 +*CONN +*I *2469:gpio_defaults[4] I *D gpio_control_block +*I *2434:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2469:gpio_defaults[4] 2.92104e-05 +2 *2434:gpio_defaults[4] 2.92104e-05 +3 *2469:gpio_defaults[4] *2469:gpio_defaults[5] 0 +4 *2469:gpio_defaults[3] *2469:gpio_defaults[4] 0 +*RES +1 *2434:gpio_defaults[4] *2469:gpio_defaults[4] 0.830504 +*END + +*D_NET *326 5.84207e-05 +*CONN +*I *2469:gpio_defaults[5] I *D gpio_control_block +*I *2434:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2469:gpio_defaults[5] 2.92104e-05 +2 *2434:gpio_defaults[5] 2.92104e-05 +3 *2469:gpio_defaults[5] *2469:gpio_defaults[6] 0 +4 *2469:gpio_defaults[4] *2469:gpio_defaults[5] 0 +*RES +1 *2434:gpio_defaults[5] *2469:gpio_defaults[5] 0.830504 +*END + +*D_NET *327 5.84207e-05 +*CONN +*I *2469:gpio_defaults[6] I *D gpio_control_block +*I *2434:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2469:gpio_defaults[6] 2.92104e-05 +2 *2434:gpio_defaults[6] 2.92104e-05 +3 *2469:gpio_defaults[6] *2469:gpio_defaults[7] 0 +4 *2469:gpio_defaults[5] *2469:gpio_defaults[6] 0 +*RES +1 *2434:gpio_defaults[6] *2469:gpio_defaults[6] 0.830504 +*END + +*D_NET *328 5.84207e-05 +*CONN +*I *2469:gpio_defaults[7] I *D gpio_control_block +*I *2434:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2469:gpio_defaults[7] 2.92104e-05 +2 *2434:gpio_defaults[7] 2.92104e-05 +3 *2469:gpio_defaults[7] *2469:gpio_defaults[8] 0 +4 *2469:gpio_defaults[6] *2469:gpio_defaults[7] 0 +*RES +1 *2434:gpio_defaults[7] *2469:gpio_defaults[7] 0.830504 +*END + +*D_NET *329 5.84207e-05 +*CONN +*I *2469:gpio_defaults[8] I *D gpio_control_block +*I *2434:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2469:gpio_defaults[8] 2.92104e-05 +2 *2434:gpio_defaults[8] 2.92104e-05 +3 *2469:gpio_defaults[8] *2469:gpio_defaults[9] 0 +4 *2469:gpio_defaults[7] *2469:gpio_defaults[8] 0 +*RES +1 *2434:gpio_defaults[8] *2469:gpio_defaults[8] 0.830504 +*END + +*D_NET *330 5.84207e-05 +*CONN +*I *2469:gpio_defaults[9] I *D gpio_control_block +*I *2434:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2469:gpio_defaults[9] 2.92104e-05 +2 *2434:gpio_defaults[9] 2.92104e-05 +3 *2469:gpio_defaults[9] *2469:gpio_defaults[10] 0 +4 *2469:gpio_defaults[8] *2469:gpio_defaults[9] 0 +*RES +1 *2434:gpio_defaults[9] *2469:gpio_defaults[9] 0.830504 +*END + +*D_NET *331 5.84207e-05 +*CONN +*I *2469:gpio_defaults[10] I *D gpio_control_block +*I *2434:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2469:gpio_defaults[10] 2.92104e-05 +2 *2434:gpio_defaults[10] 2.92104e-05 +3 *2469:gpio_defaults[10] *2469:gpio_defaults[11] 0 +4 *2469:gpio_defaults[9] *2469:gpio_defaults[10] 0 +*RES +1 *2434:gpio_defaults[10] *2469:gpio_defaults[10] 0.830504 +*END + +*D_NET *332 5.84207e-05 +*CONN +*I *2469:gpio_defaults[11] I *D gpio_control_block +*I *2434:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2469:gpio_defaults[11] 2.92104e-05 +2 *2434:gpio_defaults[11] 2.92104e-05 +3 *2469:gpio_defaults[11] *2469:gpio_defaults[12] 0 +4 *2469:gpio_defaults[10] *2469:gpio_defaults[11] 0 +*RES +1 *2434:gpio_defaults[11] *2469:gpio_defaults[11] 0.830504 +*END + +*D_NET *333 5.84207e-05 +*CONN +*I *2469:gpio_defaults[12] I *D gpio_control_block +*I *2434:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2469:gpio_defaults[12] 2.92104e-05 +2 *2434:gpio_defaults[12] 2.92104e-05 +3 *2469:gpio_defaults[11] *2469:gpio_defaults[12] 0 +*RES +1 *2434:gpio_defaults[12] *2469:gpio_defaults[12] 0.830504 +*END + +*D_NET *334 5.84207e-05 +*CONN +*I *2470:gpio_defaults[0] I *D gpio_control_block +*I *2435:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2470:gpio_defaults[0] 2.92104e-05 +2 *2435:gpio_defaults[0] 2.92104e-05 +3 *2470:gpio_defaults[0] *2470:gpio_defaults[1] 0 +*RES +1 *2435:gpio_defaults[0] *2470:gpio_defaults[0] 0.830504 +*END + +*D_NET *335 5.84207e-05 +*CONN +*I *2455:gpio_defaults[3] I *D gpio_control_block +*I *2424:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2455:gpio_defaults[3] 2.92104e-05 +2 *2424:gpio_defaults[3] 2.92104e-05 +3 *2455:gpio_defaults[3] *2455:gpio_defaults[4] 0 +4 *2455:gpio_defaults[2] *2455:gpio_defaults[3] 0 +*RES +1 *2424:gpio_defaults[3] *2455:gpio_defaults[3] 0.830504 +*END + +*D_NET *336 5.84207e-05 +*CONN +*I *2444:gpio_defaults[2] I *D gpio_control_block +*I *2417:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2444:gpio_defaults[2] 2.92104e-05 +2 *2417:gpio_defaults[2] 2.92104e-05 +3 *2444:gpio_defaults[2] *2444:gpio_defaults[3] 0 +4 *2444:gpio_defaults[1] *2444:gpio_defaults[2] 0 +*RES +1 *2417:gpio_defaults[2] *2444:gpio_defaults[2] 0.830504 +*END + +*D_NET *337 5.84207e-05 +*CONN +*I *2470:gpio_defaults[1] I *D gpio_control_block +*I *2435:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2470:gpio_defaults[1] 2.92104e-05 +2 *2435:gpio_defaults[1] 2.92104e-05 +3 *2470:gpio_defaults[1] *2470:gpio_defaults[2] 0 +4 *2470:gpio_defaults[0] *2470:gpio_defaults[1] 0 +*RES +1 *2435:gpio_defaults[1] *2470:gpio_defaults[1] 0.830504 +*END + +*D_NET *338 5.84207e-05 +*CONN +*I *2470:gpio_defaults[2] I *D gpio_control_block +*I *2435:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2470:gpio_defaults[2] 2.92104e-05 +2 *2435:gpio_defaults[2] 2.92104e-05 +3 *2470:gpio_defaults[2] *2470:gpio_defaults[3] 0 +4 *2470:gpio_defaults[1] *2470:gpio_defaults[2] 0 +*RES +1 *2435:gpio_defaults[2] *2470:gpio_defaults[2] 0.830504 +*END + +*D_NET *339 5.84207e-05 +*CONN +*I *2470:gpio_defaults[3] I *D gpio_control_block +*I *2435:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2470:gpio_defaults[3] 2.92104e-05 +2 *2435:gpio_defaults[3] 2.92104e-05 +3 *2470:gpio_defaults[3] *2470:gpio_defaults[4] 0 +4 *2470:gpio_defaults[2] *2470:gpio_defaults[3] 0 +*RES +1 *2435:gpio_defaults[3] *2470:gpio_defaults[3] 0.830504 +*END + +*D_NET *340 5.84207e-05 +*CONN +*I *2470:gpio_defaults[4] I *D gpio_control_block +*I *2435:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2470:gpio_defaults[4] 2.92104e-05 +2 *2435:gpio_defaults[4] 2.92104e-05 +3 *2470:gpio_defaults[4] *2470:gpio_defaults[5] 0 +4 *2470:gpio_defaults[3] *2470:gpio_defaults[4] 0 +*RES +1 *2435:gpio_defaults[4] *2470:gpio_defaults[4] 0.830504 +*END + +*D_NET *341 5.84207e-05 +*CONN +*I *2470:gpio_defaults[5] I *D gpio_control_block +*I *2435:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2470:gpio_defaults[5] 2.92104e-05 +2 *2435:gpio_defaults[5] 2.92104e-05 +3 *2470:gpio_defaults[5] *2470:gpio_defaults[6] 0 +4 *2470:gpio_defaults[4] *2470:gpio_defaults[5] 0 +*RES +1 *2435:gpio_defaults[5] *2470:gpio_defaults[5] 0.830504 +*END + +*D_NET *342 5.84207e-05 +*CONN +*I *2470:gpio_defaults[6] I *D gpio_control_block +*I *2435:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2470:gpio_defaults[6] 2.92104e-05 +2 *2435:gpio_defaults[6] 2.92104e-05 +3 *2470:gpio_defaults[6] *2470:gpio_defaults[7] 0 +4 *2470:gpio_defaults[5] *2470:gpio_defaults[6] 0 +*RES +1 *2435:gpio_defaults[6] *2470:gpio_defaults[6] 0.830504 +*END + +*D_NET *343 5.84207e-05 +*CONN +*I *2470:gpio_defaults[7] I *D gpio_control_block +*I *2435:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2470:gpio_defaults[7] 2.92104e-05 +2 *2435:gpio_defaults[7] 2.92104e-05 +3 *2470:gpio_defaults[7] *2470:gpio_defaults[8] 0 +4 *2470:gpio_defaults[6] *2470:gpio_defaults[7] 0 +*RES +1 *2435:gpio_defaults[7] *2470:gpio_defaults[7] 0.830504 +*END + +*D_NET *344 5.84207e-05 +*CONN +*I *2470:gpio_defaults[8] I *D gpio_control_block +*I *2435:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2470:gpio_defaults[8] 2.92104e-05 +2 *2435:gpio_defaults[8] 2.92104e-05 +3 *2470:gpio_defaults[8] *2470:gpio_defaults[9] 0 +4 *2470:gpio_defaults[7] *2470:gpio_defaults[8] 0 +*RES +1 *2435:gpio_defaults[8] *2470:gpio_defaults[8] 0.830504 +*END + +*D_NET *345 5.84207e-05 +*CONN +*I *2470:gpio_defaults[9] I *D gpio_control_block +*I *2435:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2470:gpio_defaults[9] 2.92104e-05 +2 *2435:gpio_defaults[9] 2.92104e-05 +3 *2470:gpio_defaults[9] *2470:gpio_defaults[10] 0 +4 *2470:gpio_defaults[8] *2470:gpio_defaults[9] 0 +*RES +1 *2435:gpio_defaults[9] *2470:gpio_defaults[9] 0.830504 +*END + +*D_NET *346 5.84207e-05 +*CONN +*I *2470:gpio_defaults[10] I *D gpio_control_block +*I *2435:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2470:gpio_defaults[10] 2.92104e-05 +2 *2435:gpio_defaults[10] 2.92104e-05 +3 *2470:gpio_defaults[10] *2470:gpio_defaults[11] 0 +4 *2470:gpio_defaults[9] *2470:gpio_defaults[10] 0 +*RES +1 *2435:gpio_defaults[10] *2470:gpio_defaults[10] 0.830504 +*END + +*D_NET *347 5.84207e-05 +*CONN +*I *2455:gpio_defaults[4] I *D gpio_control_block +*I *2424:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2455:gpio_defaults[4] 2.92104e-05 +2 *2424:gpio_defaults[4] 2.92104e-05 +3 *2455:gpio_defaults[4] *2455:gpio_defaults[5] 0 +4 *2455:gpio_defaults[3] *2455:gpio_defaults[4] 0 +*RES +1 *2424:gpio_defaults[4] *2455:gpio_defaults[4] 0.830504 +*END + +*D_NET *348 5.84207e-05 +*CONN +*I *2470:gpio_defaults[11] I *D gpio_control_block +*I *2435:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2470:gpio_defaults[11] 2.92104e-05 +2 *2435:gpio_defaults[11] 2.92104e-05 +3 *2470:gpio_defaults[11] *2470:gpio_defaults[12] 0 +4 *2470:gpio_defaults[10] *2470:gpio_defaults[11] 0 +*RES +1 *2435:gpio_defaults[11] *2470:gpio_defaults[11] 0.830504 +*END + +*D_NET *349 5.84207e-05 +*CONN +*I *2470:gpio_defaults[12] I *D gpio_control_block +*I *2435:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2470:gpio_defaults[12] 2.92104e-05 +2 *2435:gpio_defaults[12] 2.92104e-05 +3 *2470:gpio_defaults[11] *2470:gpio_defaults[12] 0 +*RES +1 *2435:gpio_defaults[12] *2470:gpio_defaults[12] 0.830504 +*END + +*D_NET *350 7.20426e-05 +*CONN +*I *2446:gpio_defaults[0] I *D gpio_control_block +*I *2436:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2446:gpio_defaults[0] 3.60213e-05 +2 *2436:gpio_defaults[0] 3.60213e-05 +3 *2446:gpio_defaults[0] *2446:gpio_defaults[1] 0 +4 *2446:gpio_defaults[0] *486:45 0 +*RES +1 *2436:gpio_defaults[0] *2446:gpio_defaults[0] 0.830504 +*END + +*D_NET *351 7.20426e-05 +*CONN +*I *2446:gpio_defaults[1] I *D gpio_control_block +*I *2436:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2446:gpio_defaults[1] 3.60213e-05 +2 *2436:gpio_defaults[1] 3.60213e-05 +3 *2446:gpio_defaults[1] *2446:gpio_defaults[2] 0 +4 *2446:gpio_defaults[0] *2446:gpio_defaults[1] 0 +*RES +1 *2436:gpio_defaults[1] *2446:gpio_defaults[1] 0.830504 +*END + +*D_NET *352 7.20426e-05 +*CONN +*I *2446:gpio_defaults[2] I *D gpio_control_block +*I *2436:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2446:gpio_defaults[2] 3.60213e-05 +2 *2436:gpio_defaults[2] 3.60213e-05 +3 *2446:gpio_defaults[2] *2446:gpio_defaults[3] 0 +4 *2446:gpio_defaults[1] *2446:gpio_defaults[2] 0 +*RES +1 *2436:gpio_defaults[2] *2446:gpio_defaults[2] 0.830504 +*END + +*D_NET *353 7.20426e-05 +*CONN +*I *2446:gpio_defaults[3] I *D gpio_control_block +*I *2436:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2446:gpio_defaults[3] 3.60213e-05 +2 *2436:gpio_defaults[3] 3.60213e-05 +3 *2446:gpio_defaults[3] *2446:gpio_defaults[4] 0 +4 *2446:gpio_defaults[2] *2446:gpio_defaults[3] 0 +*RES +1 *2436:gpio_defaults[3] *2446:gpio_defaults[3] 0.830504 +*END + +*D_NET *354 7.20426e-05 +*CONN +*I *2446:gpio_defaults[4] I *D gpio_control_block +*I *2436:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2446:gpio_defaults[4] 3.60213e-05 +2 *2436:gpio_defaults[4] 3.60213e-05 +3 *2446:gpio_defaults[4] *2446:gpio_defaults[5] 0 +4 *2446:gpio_defaults[3] *2446:gpio_defaults[4] 0 +*RES +1 *2436:gpio_defaults[4] *2446:gpio_defaults[4] 0.830504 +*END + +*D_NET *355 7.20426e-05 +*CONN +*I *2446:gpio_defaults[5] I *D gpio_control_block +*I *2436:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2446:gpio_defaults[5] 3.60213e-05 +2 *2436:gpio_defaults[5] 3.60213e-05 +3 *2446:gpio_defaults[5] *2446:gpio_defaults[6] 0 +4 *2446:gpio_defaults[4] *2446:gpio_defaults[5] 0 +*RES +1 *2436:gpio_defaults[5] *2446:gpio_defaults[5] 0.830504 +*END + +*D_NET *356 7.20426e-05 +*CONN +*I *2446:gpio_defaults[6] I *D gpio_control_block +*I *2436:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2446:gpio_defaults[6] 3.60213e-05 +2 *2436:gpio_defaults[6] 3.60213e-05 +3 *2446:gpio_defaults[6] *2446:gpio_defaults[7] 0 +4 *2446:gpio_defaults[5] *2446:gpio_defaults[6] 0 +*RES +1 *2436:gpio_defaults[6] *2446:gpio_defaults[6] 0.830504 +*END + +*D_NET *357 7.20426e-05 +*CONN +*I *2446:gpio_defaults[7] I *D gpio_control_block +*I *2436:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2446:gpio_defaults[7] 3.60213e-05 +2 *2436:gpio_defaults[7] 3.60213e-05 +3 *2446:gpio_defaults[7] *2446:gpio_defaults[8] 0 +4 *2446:gpio_defaults[6] *2446:gpio_defaults[7] 0 +*RES +1 *2436:gpio_defaults[7] *2446:gpio_defaults[7] 0.830504 +*END + +*D_NET *358 5.84207e-05 +*CONN +*I *2455:gpio_defaults[5] I *D gpio_control_block +*I *2424:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2455:gpio_defaults[5] 2.92104e-05 +2 *2424:gpio_defaults[5] 2.92104e-05 +3 *2455:gpio_defaults[5] *2455:gpio_defaults[6] 0 +4 *2455:gpio_defaults[4] *2455:gpio_defaults[5] 0 +*RES +1 *2424:gpio_defaults[5] *2455:gpio_defaults[5] 0.830504 +*END + +*D_NET *359 7.20426e-05 +*CONN +*I *2446:gpio_defaults[8] I *D gpio_control_block +*I *2436:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2446:gpio_defaults[8] 3.60213e-05 +2 *2436:gpio_defaults[8] 3.60213e-05 +3 *2446:gpio_defaults[8] *2446:gpio_defaults[9] 0 +4 *2446:gpio_defaults[7] *2446:gpio_defaults[8] 0 +*RES +1 *2436:gpio_defaults[8] *2446:gpio_defaults[8] 0.830504 +*END + +*D_NET *360 7.20426e-05 +*CONN +*I *2446:gpio_defaults[9] I *D gpio_control_block +*I *2436:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2446:gpio_defaults[9] 3.60213e-05 +2 *2436:gpio_defaults[9] 3.60213e-05 +3 *2446:gpio_defaults[9] *2446:gpio_defaults[10] 0 +4 *2446:gpio_defaults[8] *2446:gpio_defaults[9] 0 +*RES +1 *2436:gpio_defaults[9] *2446:gpio_defaults[9] 0.830504 +*END + +*D_NET *361 7.20426e-05 +*CONN +*I *2446:gpio_defaults[10] I *D gpio_control_block +*I *2436:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2446:gpio_defaults[10] 3.60213e-05 +2 *2436:gpio_defaults[10] 3.60213e-05 +3 *2446:gpio_defaults[10] *2446:gpio_defaults[11] 0 +4 *2446:gpio_defaults[9] *2446:gpio_defaults[10] 0 +*RES +1 *2436:gpio_defaults[10] *2446:gpio_defaults[10] 0.830504 +*END + +*D_NET *362 7.20426e-05 +*CONN +*I *2446:gpio_defaults[11] I *D gpio_control_block +*I *2436:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2446:gpio_defaults[11] 3.60213e-05 +2 *2436:gpio_defaults[11] 3.60213e-05 +3 *2446:gpio_defaults[11] *2446:gpio_defaults[12] 0 +4 *2446:gpio_defaults[10] *2446:gpio_defaults[11] 0 +*RES +1 *2436:gpio_defaults[11] *2446:gpio_defaults[11] 0.830504 +*END + +*D_NET *363 7.20426e-05 +*CONN +*I *2446:gpio_defaults[12] I *D gpio_control_block +*I *2436:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2446:gpio_defaults[12] 3.60213e-05 +2 *2436:gpio_defaults[12] 3.60213e-05 +3 *2446:gpio_defaults[11] *2446:gpio_defaults[12] 0 +*RES +1 *2436:gpio_defaults[12] *2446:gpio_defaults[12] 0.830504 +*END + +*D_NET *364 5.84207e-05 +*CONN +*I *2447:gpio_defaults[0] I *D gpio_control_block +*I *2437:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2447:gpio_defaults[0] 2.92104e-05 +2 *2437:gpio_defaults[0] 2.92104e-05 +3 *2447:gpio_defaults[0] *2447:gpio_defaults[1] 0 +*RES +1 *2437:gpio_defaults[0] *2447:gpio_defaults[0] 0.830504 +*END + +*D_NET *365 5.84207e-05 +*CONN +*I *2447:gpio_defaults[1] I *D gpio_control_block +*I *2437:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2447:gpio_defaults[1] 2.92104e-05 +2 *2437:gpio_defaults[1] 2.92104e-05 +3 *2447:gpio_defaults[1] *2447:gpio_defaults[2] 0 +4 *2447:gpio_defaults[0] *2447:gpio_defaults[1] 0 +*RES +1 *2437:gpio_defaults[1] *2447:gpio_defaults[1] 0.830504 +*END + +*D_NET *366 5.84207e-05 +*CONN +*I *2447:gpio_defaults[2] I *D gpio_control_block +*I *2437:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2447:gpio_defaults[2] 2.92104e-05 +2 *2437:gpio_defaults[2] 2.92104e-05 +3 *2447:gpio_defaults[2] *2447:gpio_defaults[3] 0 +4 *2447:gpio_defaults[1] *2447:gpio_defaults[2] 0 +*RES +1 *2437:gpio_defaults[2] *2447:gpio_defaults[2] 0.830504 +*END + +*D_NET *367 5.84207e-05 +*CONN +*I *2447:gpio_defaults[3] I *D gpio_control_block +*I *2437:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2447:gpio_defaults[3] 2.92104e-05 +2 *2437:gpio_defaults[3] 2.92104e-05 +3 *2447:gpio_defaults[3] *2447:gpio_defaults[4] 0 +4 *2447:gpio_defaults[2] *2447:gpio_defaults[3] 0 +*RES +1 *2437:gpio_defaults[3] *2447:gpio_defaults[3] 0.830504 +*END + +*D_NET *368 5.84207e-05 +*CONN +*I *2447:gpio_defaults[4] I *D gpio_control_block +*I *2437:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2447:gpio_defaults[4] 2.92104e-05 +2 *2437:gpio_defaults[4] 2.92104e-05 +3 *2447:gpio_defaults[4] *2447:gpio_defaults[5] 0 +4 *2447:gpio_defaults[3] *2447:gpio_defaults[4] 0 +*RES +1 *2437:gpio_defaults[4] *2447:gpio_defaults[4] 0.830504 +*END + +*D_NET *369 5.84207e-05 +*CONN +*I *2455:gpio_defaults[6] I *D gpio_control_block +*I *2424:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2455:gpio_defaults[6] 2.92104e-05 +2 *2424:gpio_defaults[6] 2.92104e-05 +3 *2455:gpio_defaults[6] *2455:gpio_defaults[7] 0 +4 *2455:gpio_defaults[5] *2455:gpio_defaults[6] 0 +*RES +1 *2424:gpio_defaults[6] *2455:gpio_defaults[6] 0.830504 +*END + +*D_NET *370 5.84207e-05 +*CONN +*I *2447:gpio_defaults[5] I *D gpio_control_block +*I *2437:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2447:gpio_defaults[5] 2.92104e-05 +2 *2437:gpio_defaults[5] 2.92104e-05 +3 *2447:gpio_defaults[5] *2447:gpio_defaults[6] 0 +4 *2447:gpio_defaults[4] *2447:gpio_defaults[5] 0 +*RES +1 *2437:gpio_defaults[5] *2447:gpio_defaults[5] 0.830504 +*END + +*D_NET *371 5.84207e-05 +*CONN +*I *2447:gpio_defaults[6] I *D gpio_control_block +*I *2437:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2447:gpio_defaults[6] 2.92104e-05 +2 *2437:gpio_defaults[6] 2.92104e-05 +3 *2447:gpio_defaults[6] *2447:gpio_defaults[7] 0 +4 *2447:gpio_defaults[5] *2447:gpio_defaults[6] 0 +*RES +1 *2437:gpio_defaults[6] *2447:gpio_defaults[6] 0.830504 +*END + +*D_NET *372 5.84207e-05 +*CONN +*I *2447:gpio_defaults[7] I *D gpio_control_block +*I *2437:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2447:gpio_defaults[7] 2.92104e-05 +2 *2437:gpio_defaults[7] 2.92104e-05 +3 *2447:gpio_defaults[7] *2447:gpio_defaults[8] 0 +4 *2447:gpio_defaults[6] *2447:gpio_defaults[7] 0 +*RES +1 *2437:gpio_defaults[7] *2447:gpio_defaults[7] 0.830504 +*END + +*D_NET *373 5.84207e-05 +*CONN +*I *2447:gpio_defaults[8] I *D gpio_control_block +*I *2437:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2447:gpio_defaults[8] 2.92104e-05 +2 *2437:gpio_defaults[8] 2.92104e-05 +3 *2447:gpio_defaults[8] *2447:gpio_defaults[9] 0 +4 *2447:gpio_defaults[7] *2447:gpio_defaults[8] 0 +*RES +1 *2437:gpio_defaults[8] *2447:gpio_defaults[8] 0.830504 +*END + +*D_NET *374 5.84207e-05 +*CONN +*I *2447:gpio_defaults[9] I *D gpio_control_block +*I *2437:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2447:gpio_defaults[9] 2.92104e-05 +2 *2437:gpio_defaults[9] 2.92104e-05 +3 *2447:gpio_defaults[9] *2447:gpio_defaults[10] 0 +4 *2447:gpio_defaults[8] *2447:gpio_defaults[9] 0 +*RES +1 *2437:gpio_defaults[9] *2447:gpio_defaults[9] 0.830504 +*END + +*D_NET *375 5.84207e-05 +*CONN +*I *2447:gpio_defaults[10] I *D gpio_control_block +*I *2437:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2447:gpio_defaults[10] 2.92104e-05 +2 *2437:gpio_defaults[10] 2.92104e-05 +3 *2447:gpio_defaults[10] *2447:gpio_defaults[11] 0 +4 *2447:gpio_defaults[9] *2447:gpio_defaults[10] 0 +*RES +1 *2437:gpio_defaults[10] *2447:gpio_defaults[10] 0.830504 +*END + +*D_NET *376 5.84207e-05 +*CONN +*I *2447:gpio_defaults[11] I *D gpio_control_block +*I *2437:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2447:gpio_defaults[11] 2.92104e-05 +2 *2437:gpio_defaults[11] 2.92104e-05 +3 *2447:gpio_defaults[11] *2447:gpio_defaults[12] 0 +4 *2447:gpio_defaults[10] *2447:gpio_defaults[11] 0 +*RES +1 *2437:gpio_defaults[11] *2447:gpio_defaults[11] 0.830504 +*END + +*D_NET *377 5.84207e-05 +*CONN +*I *2447:gpio_defaults[12] I *D gpio_control_block +*I *2437:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2447:gpio_defaults[12] 2.92104e-05 +2 *2437:gpio_defaults[12] 2.92104e-05 +3 *2447:gpio_defaults[11] *2447:gpio_defaults[12] 0 +*RES +1 *2437:gpio_defaults[12] *2447:gpio_defaults[12] 0.830504 +*END + +*D_NET *378 5.84207e-05 +*CONN +*I *2448:gpio_defaults[0] I *D gpio_control_block +*I *2438:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2448:gpio_defaults[0] 2.92104e-05 +2 *2438:gpio_defaults[0] 2.92104e-05 +3 *2448:gpio_defaults[0] *2448:gpio_defaults[1] 0 +4 *2448:gpio_defaults[0] *1573:17 0 +*RES +1 *2438:gpio_defaults[0] *2448:gpio_defaults[0] 0.830504 +*END + +*D_NET *379 5.84207e-05 +*CONN +*I *2448:gpio_defaults[1] I *D gpio_control_block +*I *2438:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2448:gpio_defaults[1] 2.92104e-05 +2 *2438:gpio_defaults[1] 2.92104e-05 +3 *2448:gpio_defaults[1] *2448:gpio_defaults[2] 0 +4 *2448:gpio_defaults[0] *2448:gpio_defaults[1] 0 +*RES +1 *2438:gpio_defaults[1] *2448:gpio_defaults[1] 0.830504 +*END + +*D_NET *380 5.84207e-05 +*CONN +*I *2455:gpio_defaults[7] I *D gpio_control_block +*I *2424:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2455:gpio_defaults[7] 2.92104e-05 +2 *2424:gpio_defaults[7] 2.92104e-05 +3 *2455:gpio_defaults[7] *2455:gpio_defaults[8] 0 +4 *2455:gpio_defaults[6] *2455:gpio_defaults[7] 0 +*RES +1 *2424:gpio_defaults[7] *2455:gpio_defaults[7] 0.830504 +*END + +*D_NET *381 5.84207e-05 +*CONN +*I *2448:gpio_defaults[2] I *D gpio_control_block +*I *2438:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2448:gpio_defaults[2] 2.92104e-05 +2 *2438:gpio_defaults[2] 2.92104e-05 +3 *2448:gpio_defaults[2] *2448:gpio_defaults[3] 0 +4 *2448:gpio_defaults[1] *2448:gpio_defaults[2] 0 +*RES +1 *2438:gpio_defaults[2] *2448:gpio_defaults[2] 0.830504 +*END + +*D_NET *382 5.84207e-05 +*CONN +*I *2448:gpio_defaults[3] I *D gpio_control_block +*I *2438:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2448:gpio_defaults[3] 2.92104e-05 +2 *2438:gpio_defaults[3] 2.92104e-05 +3 *2448:gpio_defaults[3] *2448:gpio_defaults[4] 0 +4 *2448:gpio_defaults[2] *2448:gpio_defaults[3] 0 +*RES +1 *2438:gpio_defaults[3] *2448:gpio_defaults[3] 0.830504 +*END + +*D_NET *383 5.84207e-05 +*CONN +*I *2448:gpio_defaults[4] I *D gpio_control_block +*I *2438:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2448:gpio_defaults[4] 2.92104e-05 +2 *2438:gpio_defaults[4] 2.92104e-05 +3 *2448:gpio_defaults[4] *2448:gpio_defaults[5] 0 +4 *2448:gpio_defaults[3] *2448:gpio_defaults[4] 0 +*RES +1 *2438:gpio_defaults[4] *2448:gpio_defaults[4] 0.830504 +*END + +*D_NET *384 5.84207e-05 +*CONN +*I *2448:gpio_defaults[5] I *D gpio_control_block +*I *2438:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2448:gpio_defaults[5] 2.92104e-05 +2 *2438:gpio_defaults[5] 2.92104e-05 +3 *2448:gpio_defaults[5] *2448:gpio_defaults[6] 0 +4 *2448:gpio_defaults[4] *2448:gpio_defaults[5] 0 +*RES +1 *2438:gpio_defaults[5] *2448:gpio_defaults[5] 0.830504 +*END + +*D_NET *385 5.84207e-05 +*CONN +*I *2448:gpio_defaults[6] I *D gpio_control_block +*I *2438:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2448:gpio_defaults[6] 2.92104e-05 +2 *2438:gpio_defaults[6] 2.92104e-05 +3 *2448:gpio_defaults[6] *2448:gpio_defaults[7] 0 +4 *2448:gpio_defaults[5] *2448:gpio_defaults[6] 0 +*RES +1 *2438:gpio_defaults[6] *2448:gpio_defaults[6] 0.830504 +*END + +*D_NET *386 5.84207e-05 +*CONN +*I *2448:gpio_defaults[7] I *D gpio_control_block +*I *2438:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2448:gpio_defaults[7] 2.92104e-05 +2 *2438:gpio_defaults[7] 2.92104e-05 +3 *2448:gpio_defaults[7] *2448:gpio_defaults[8] 0 +4 *2448:gpio_defaults[6] *2448:gpio_defaults[7] 0 +*RES +1 *2438:gpio_defaults[7] *2448:gpio_defaults[7] 0.830504 +*END + +*D_NET *387 5.84207e-05 +*CONN +*I *2448:gpio_defaults[8] I *D gpio_control_block +*I *2438:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2448:gpio_defaults[8] 2.92104e-05 +2 *2438:gpio_defaults[8] 2.92104e-05 +3 *2448:gpio_defaults[8] *2448:gpio_defaults[9] 0 +4 *2448:gpio_defaults[7] *2448:gpio_defaults[8] 0 +*RES +1 *2438:gpio_defaults[8] *2448:gpio_defaults[8] 0.830504 +*END + +*D_NET *388 5.84207e-05 +*CONN +*I *2448:gpio_defaults[9] I *D gpio_control_block +*I *2438:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2448:gpio_defaults[9] 2.92104e-05 +2 *2438:gpio_defaults[9] 2.92104e-05 +3 *2448:gpio_defaults[9] *2448:gpio_defaults[10] 0 +4 *2448:gpio_defaults[8] *2448:gpio_defaults[9] 0 +*RES +1 *2438:gpio_defaults[9] *2448:gpio_defaults[9] 0.830504 +*END + +*D_NET *389 5.84207e-05 +*CONN +*I *2448:gpio_defaults[10] I *D gpio_control_block +*I *2438:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2448:gpio_defaults[10] 2.92104e-05 +2 *2438:gpio_defaults[10] 2.92104e-05 +3 *2448:gpio_defaults[10] *2448:gpio_defaults[11] 0 +4 *2448:gpio_defaults[9] *2448:gpio_defaults[10] 0 +*RES +1 *2438:gpio_defaults[10] *2448:gpio_defaults[10] 0.830504 +*END + +*D_NET *390 5.84207e-05 +*CONN +*I *2448:gpio_defaults[11] I *D gpio_control_block +*I *2438:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2448:gpio_defaults[11] 2.92104e-05 +2 *2438:gpio_defaults[11] 2.92104e-05 +3 *2448:gpio_defaults[11] *2448:gpio_defaults[12] 0 +4 *2448:gpio_defaults[10] *2448:gpio_defaults[11] 0 +*RES +1 *2438:gpio_defaults[11] *2448:gpio_defaults[11] 0.830504 +*END + +*D_NET *391 5.84207e-05 +*CONN +*I *2455:gpio_defaults[8] I *D gpio_control_block +*I *2424:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2455:gpio_defaults[8] 2.92104e-05 +2 *2424:gpio_defaults[8] 2.92104e-05 +3 *2455:gpio_defaults[8] *2455:gpio_defaults[9] 0 +4 *2455:gpio_defaults[7] *2455:gpio_defaults[8] 0 +*RES +1 *2424:gpio_defaults[8] *2455:gpio_defaults[8] 0.830504 +*END + +*D_NET *392 5.84207e-05 +*CONN +*I *2448:gpio_defaults[12] I *D gpio_control_block +*I *2438:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2448:gpio_defaults[12] 2.92104e-05 +2 *2438:gpio_defaults[12] 2.92104e-05 +3 *2448:gpio_defaults[11] *2448:gpio_defaults[12] 0 +*RES +1 *2438:gpio_defaults[12] *2448:gpio_defaults[12] 0.830504 +*END + +*D_NET *393 5.84207e-05 +*CONN +*I *2455:gpio_defaults[9] I *D gpio_control_block +*I *2424:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2455:gpio_defaults[9] 2.92104e-05 +2 *2424:gpio_defaults[9] 2.92104e-05 +3 *2455:gpio_defaults[9] *2455:gpio_defaults[10] 0 +4 *2455:gpio_defaults[8] *2455:gpio_defaults[9] 0 +*RES +1 *2424:gpio_defaults[9] *2455:gpio_defaults[9] 0.830504 +*END + +*D_NET *394 5.84207e-05 +*CONN +*I *2455:gpio_defaults[10] I *D gpio_control_block +*I *2424:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2455:gpio_defaults[10] 2.92104e-05 +2 *2424:gpio_defaults[10] 2.92104e-05 +3 *2455:gpio_defaults[10] *2455:gpio_defaults[11] 0 +4 *2455:gpio_defaults[9] *2455:gpio_defaults[10] 0 +*RES +1 *2424:gpio_defaults[10] *2455:gpio_defaults[10] 0.830504 +*END + +*D_NET *395 5.84207e-05 +*CONN +*I *2455:gpio_defaults[11] I *D gpio_control_block +*I *2424:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2455:gpio_defaults[11] 2.92104e-05 +2 *2424:gpio_defaults[11] 2.92104e-05 +3 *2455:gpio_defaults[11] *2455:gpio_defaults[12] 0 +4 *2455:gpio_defaults[10] *2455:gpio_defaults[11] 0 +*RES +1 *2424:gpio_defaults[11] *2455:gpio_defaults[11] 0.830504 +*END + +*D_NET *396 5.84207e-05 +*CONN +*I *2455:gpio_defaults[12] I *D gpio_control_block +*I *2424:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2455:gpio_defaults[12] 2.92104e-05 +2 *2424:gpio_defaults[12] 2.92104e-05 +3 *2455:gpio_defaults[11] *2455:gpio_defaults[12] 0 +*RES +1 *2424:gpio_defaults[12] *2455:gpio_defaults[12] 0.830504 +*END + +*D_NET *397 5.84207e-05 +*CONN +*I *2456:gpio_defaults[0] I *D gpio_control_block +*I *2425:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2456:gpio_defaults[0] 2.92104e-05 +2 *2425:gpio_defaults[0] 2.92104e-05 +3 *2456:gpio_defaults[0] *2456:gpio_defaults[1] 0 +*RES +1 *2425:gpio_defaults[0] *2456:gpio_defaults[0] 0.830504 +*END + +*D_NET *398 5.84207e-05 +*CONN +*I *2444:gpio_defaults[3] I *D gpio_control_block +*I *2417:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2444:gpio_defaults[3] 2.92104e-05 +2 *2417:gpio_defaults[3] 2.92104e-05 +3 *2444:gpio_defaults[3] *2444:gpio_defaults[4] 0 +4 *2444:gpio_defaults[2] *2444:gpio_defaults[3] 0 +*RES +1 *2417:gpio_defaults[3] *2444:gpio_defaults[3] 0.830504 +*END + +*D_NET *399 5.84207e-05 +*CONN +*I *2456:gpio_defaults[1] I *D gpio_control_block +*I *2425:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2456:gpio_defaults[1] 2.92104e-05 +2 *2425:gpio_defaults[1] 2.92104e-05 +3 *2456:gpio_defaults[1] *2456:gpio_defaults[2] 0 +4 *2456:gpio_defaults[0] *2456:gpio_defaults[1] 0 +*RES +1 *2425:gpio_defaults[1] *2456:gpio_defaults[1] 0.830504 +*END + +*D_NET *400 5.84207e-05 +*CONN +*I *2456:gpio_defaults[2] I *D gpio_control_block +*I *2425:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2456:gpio_defaults[2] 2.92104e-05 +2 *2425:gpio_defaults[2] 2.92104e-05 +3 *2456:gpio_defaults[2] *2456:gpio_defaults[3] 0 +4 *2456:gpio_defaults[1] *2456:gpio_defaults[2] 0 +*RES +1 *2425:gpio_defaults[2] *2456:gpio_defaults[2] 0.830504 +*END + +*D_NET *401 5.84207e-05 +*CONN +*I *2456:gpio_defaults[3] I *D gpio_control_block +*I *2425:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2456:gpio_defaults[3] 2.92104e-05 +2 *2425:gpio_defaults[3] 2.92104e-05 +3 *2456:gpio_defaults[3] *2456:gpio_defaults[4] 0 +4 *2456:gpio_defaults[2] *2456:gpio_defaults[3] 0 +*RES +1 *2425:gpio_defaults[3] *2456:gpio_defaults[3] 0.830504 +*END + +*D_NET *402 5.84207e-05 +*CONN +*I *2456:gpio_defaults[4] I *D gpio_control_block +*I *2425:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2456:gpio_defaults[4] 2.92104e-05 +2 *2425:gpio_defaults[4] 2.92104e-05 +3 *2456:gpio_defaults[4] *2456:gpio_defaults[5] 0 +4 *2456:gpio_defaults[3] *2456:gpio_defaults[4] 0 +*RES +1 *2425:gpio_defaults[4] *2456:gpio_defaults[4] 0.830504 +*END + +*D_NET *403 5.84207e-05 +*CONN +*I *2456:gpio_defaults[5] I *D gpio_control_block +*I *2425:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2456:gpio_defaults[5] 2.92104e-05 +2 *2425:gpio_defaults[5] 2.92104e-05 +3 *2456:gpio_defaults[5] *2456:gpio_defaults[6] 0 +4 *2456:gpio_defaults[4] *2456:gpio_defaults[5] 0 +*RES +1 *2425:gpio_defaults[5] *2456:gpio_defaults[5] 0.830504 +*END + +*D_NET *404 5.84207e-05 +*CONN +*I *2456:gpio_defaults[6] I *D gpio_control_block +*I *2425:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2456:gpio_defaults[6] 2.92104e-05 +2 *2425:gpio_defaults[6] 2.92104e-05 +3 *2456:gpio_defaults[6] *2456:gpio_defaults[7] 0 +4 *2456:gpio_defaults[5] *2456:gpio_defaults[6] 0 +*RES +1 *2425:gpio_defaults[6] *2456:gpio_defaults[6] 0.830504 +*END + +*D_NET *405 5.84207e-05 +*CONN +*I *2456:gpio_defaults[7] I *D gpio_control_block +*I *2425:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2456:gpio_defaults[7] 2.92104e-05 +2 *2425:gpio_defaults[7] 2.92104e-05 +3 *2456:gpio_defaults[7] *2456:gpio_defaults[8] 0 +4 *2456:gpio_defaults[6] *2456:gpio_defaults[7] 0 +*RES +1 *2425:gpio_defaults[7] *2456:gpio_defaults[7] 0.830504 +*END + +*D_NET *406 5.84207e-05 +*CONN +*I *2456:gpio_defaults[8] I *D gpio_control_block +*I *2425:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2456:gpio_defaults[8] 2.92104e-05 +2 *2425:gpio_defaults[8] 2.92104e-05 +3 *2456:gpio_defaults[8] *2456:gpio_defaults[9] 0 +4 *2456:gpio_defaults[7] *2456:gpio_defaults[8] 0 +*RES +1 *2425:gpio_defaults[8] *2456:gpio_defaults[8] 0.830504 +*END + +*D_NET *407 5.84207e-05 +*CONN +*I *2456:gpio_defaults[9] I *D gpio_control_block +*I *2425:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2456:gpio_defaults[9] 2.92104e-05 +2 *2425:gpio_defaults[9] 2.92104e-05 +3 *2456:gpio_defaults[9] *2456:gpio_defaults[10] 0 +4 *2456:gpio_defaults[8] *2456:gpio_defaults[9] 0 +*RES +1 *2425:gpio_defaults[9] *2456:gpio_defaults[9] 0.830504 +*END + +*D_NET *408 5.84207e-05 +*CONN +*I *2456:gpio_defaults[10] I *D gpio_control_block +*I *2425:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2456:gpio_defaults[10] 2.92104e-05 +2 *2425:gpio_defaults[10] 2.92104e-05 +3 *2456:gpio_defaults[10] *2456:gpio_defaults[11] 0 +4 *2456:gpio_defaults[9] *2456:gpio_defaults[10] 0 +*RES +1 *2425:gpio_defaults[10] *2456:gpio_defaults[10] 0.830504 +*END + +*D_NET *409 5.84207e-05 +*CONN +*I *2444:gpio_defaults[4] I *D gpio_control_block +*I *2417:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2444:gpio_defaults[4] 2.92104e-05 +2 *2417:gpio_defaults[4] 2.92104e-05 +3 *2444:gpio_defaults[4] *2444:gpio_defaults[5] 0 +4 *2444:gpio_defaults[3] *2444:gpio_defaults[4] 0 +*RES +1 *2417:gpio_defaults[4] *2444:gpio_defaults[4] 0.830504 +*END + +*D_NET *410 5.84207e-05 +*CONN +*I *2456:gpio_defaults[11] I *D gpio_control_block +*I *2425:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2456:gpio_defaults[11] 2.92104e-05 +2 *2425:gpio_defaults[11] 2.92104e-05 +3 *2456:gpio_defaults[11] *2456:gpio_defaults[12] 0 +4 *2456:gpio_defaults[10] *2456:gpio_defaults[11] 0 +*RES +1 *2425:gpio_defaults[11] *2456:gpio_defaults[11] 0.830504 +*END + +*D_NET *411 5.84207e-05 +*CONN +*I *2456:gpio_defaults[12] I *D gpio_control_block +*I *2425:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2456:gpio_defaults[12] 2.92104e-05 +2 *2425:gpio_defaults[12] 2.92104e-05 +3 *2456:gpio_defaults[11] *2456:gpio_defaults[12] 0 +*RES +1 *2425:gpio_defaults[12] *2456:gpio_defaults[12] 0.830504 +*END + +*D_NET *412 5.84207e-05 +*CONN +*I *2457:gpio_defaults[0] I *D gpio_control_block +*I *2426:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2457:gpio_defaults[0] 2.92104e-05 +2 *2426:gpio_defaults[0] 2.92104e-05 +3 *2457:gpio_defaults[0] *2457:gpio_defaults[1] 0 +*RES +1 *2426:gpio_defaults[0] *2457:gpio_defaults[0] 0.830504 +*END + +*D_NET *413 5.84207e-05 +*CONN +*I *2457:gpio_defaults[1] I *D gpio_control_block +*I *2426:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2457:gpio_defaults[1] 2.92104e-05 +2 *2426:gpio_defaults[1] 2.92104e-05 +3 *2457:gpio_defaults[1] *2457:gpio_defaults[2] 0 +4 *2457:gpio_defaults[0] *2457:gpio_defaults[1] 0 +*RES +1 *2426:gpio_defaults[1] *2457:gpio_defaults[1] 0.830504 +*END + +*D_NET *414 5.84207e-05 +*CONN +*I *2457:gpio_defaults[2] I *D gpio_control_block +*I *2426:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2457:gpio_defaults[2] 2.92104e-05 +2 *2426:gpio_defaults[2] 2.92104e-05 +3 *2457:gpio_defaults[2] *2457:gpio_defaults[3] 0 +4 *2457:gpio_defaults[1] *2457:gpio_defaults[2] 0 +*RES +1 *2426:gpio_defaults[2] *2457:gpio_defaults[2] 0.830504 +*END + +*D_NET *415 5.84207e-05 +*CONN +*I *2457:gpio_defaults[3] I *D gpio_control_block +*I *2426:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2457:gpio_defaults[3] 2.92104e-05 +2 *2426:gpio_defaults[3] 2.92104e-05 +3 *2457:gpio_defaults[3] *2457:gpio_defaults[4] 0 +4 *2457:gpio_defaults[2] *2457:gpio_defaults[3] 0 +*RES +1 *2426:gpio_defaults[3] *2457:gpio_defaults[3] 0.830504 +*END + +*D_NET *416 5.84207e-05 +*CONN +*I *2457:gpio_defaults[4] I *D gpio_control_block +*I *2426:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2457:gpio_defaults[4] 2.92104e-05 +2 *2426:gpio_defaults[4] 2.92104e-05 +3 *2457:gpio_defaults[4] *2457:gpio_defaults[5] 0 +4 *2457:gpio_defaults[3] *2457:gpio_defaults[4] 0 +*RES +1 *2426:gpio_defaults[4] *2457:gpio_defaults[4] 0.830504 +*END + +*D_NET *417 5.84207e-05 +*CONN +*I *2457:gpio_defaults[5] I *D gpio_control_block +*I *2426:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2457:gpio_defaults[5] 2.92104e-05 +2 *2426:gpio_defaults[5] 2.92104e-05 +3 *2457:gpio_defaults[5] *2457:gpio_defaults[6] 0 +4 *2457:gpio_defaults[4] *2457:gpio_defaults[5] 0 +*RES +1 *2426:gpio_defaults[5] *2457:gpio_defaults[5] 0.830504 +*END + +*D_NET *418 5.84207e-05 +*CONN +*I *2457:gpio_defaults[6] I *D gpio_control_block +*I *2426:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2457:gpio_defaults[6] 2.92104e-05 +2 *2426:gpio_defaults[6] 2.92104e-05 +3 *2457:gpio_defaults[6] *2457:gpio_defaults[7] 0 +4 *2457:gpio_defaults[5] *2457:gpio_defaults[6] 0 +*RES +1 *2426:gpio_defaults[6] *2457:gpio_defaults[6] 0.830504 +*END + +*D_NET *419 5.84207e-05 +*CONN +*I *2457:gpio_defaults[7] I *D gpio_control_block +*I *2426:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2457:gpio_defaults[7] 2.92104e-05 +2 *2426:gpio_defaults[7] 2.92104e-05 +3 *2457:gpio_defaults[7] *2457:gpio_defaults[8] 0 +4 *2457:gpio_defaults[6] *2457:gpio_defaults[7] 0 +*RES +1 *2426:gpio_defaults[7] *2457:gpio_defaults[7] 0.830504 +*END + +*D_NET *420 5.84207e-05 +*CONN +*I *2444:gpio_defaults[5] I *D gpio_control_block +*I *2417:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2444:gpio_defaults[5] 2.92104e-05 +2 *2417:gpio_defaults[5] 2.92104e-05 +3 *2444:gpio_defaults[5] *2444:gpio_defaults[6] 0 +4 *2444:gpio_defaults[4] *2444:gpio_defaults[5] 0 +*RES +1 *2417:gpio_defaults[5] *2444:gpio_defaults[5] 0.830504 +*END + +*D_NET *421 5.84207e-05 +*CONN +*I *2457:gpio_defaults[8] I *D gpio_control_block +*I *2426:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2457:gpio_defaults[8] 2.92104e-05 +2 *2426:gpio_defaults[8] 2.92104e-05 +3 *2457:gpio_defaults[8] *2457:gpio_defaults[9] 0 +4 *2457:gpio_defaults[7] *2457:gpio_defaults[8] 0 +*RES +1 *2426:gpio_defaults[8] *2457:gpio_defaults[8] 0.830504 +*END + +*D_NET *422 5.84207e-05 +*CONN +*I *2457:gpio_defaults[9] I *D gpio_control_block +*I *2426:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2457:gpio_defaults[9] 2.92104e-05 +2 *2426:gpio_defaults[9] 2.92104e-05 +3 *2457:gpio_defaults[9] *2457:gpio_defaults[10] 0 +4 *2457:gpio_defaults[8] *2457:gpio_defaults[9] 0 +*RES +1 *2426:gpio_defaults[9] *2457:gpio_defaults[9] 0.830504 +*END + +*D_NET *423 5.84207e-05 +*CONN +*I *2457:gpio_defaults[10] I *D gpio_control_block +*I *2426:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2457:gpio_defaults[10] 2.92104e-05 +2 *2426:gpio_defaults[10] 2.92104e-05 +3 *2457:gpio_defaults[10] *2457:gpio_defaults[11] 0 +4 *2457:gpio_defaults[9] *2457:gpio_defaults[10] 0 +*RES +1 *2426:gpio_defaults[10] *2457:gpio_defaults[10] 0.830504 +*END + +*D_NET *424 5.84207e-05 +*CONN +*I *2457:gpio_defaults[11] I *D gpio_control_block +*I *2426:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2457:gpio_defaults[11] 2.92104e-05 +2 *2426:gpio_defaults[11] 2.92104e-05 +3 *2457:gpio_defaults[11] *2457:gpio_defaults[12] 0 +4 *2457:gpio_defaults[10] *2457:gpio_defaults[11] 0 +*RES +1 *2426:gpio_defaults[11] *2457:gpio_defaults[11] 0.830504 +*END + +*D_NET *425 5.84207e-05 +*CONN +*I *2457:gpio_defaults[12] I *D gpio_control_block +*I *2426:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2457:gpio_defaults[12] 2.92104e-05 +2 *2426:gpio_defaults[12] 2.92104e-05 +3 *2457:gpio_defaults[11] *2457:gpio_defaults[12] 0 +*RES +1 *2426:gpio_defaults[12] *2457:gpio_defaults[12] 0.830504 +*END + +*D_NET *426 5.84207e-05 +*CONN +*I *2458:gpio_defaults[0] I *D gpio_control_block +*I *2439:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2458:gpio_defaults[0] 2.92104e-05 +2 *2439:gpio_defaults[0] 2.92104e-05 +3 *2458:gpio_defaults[0] *2458:gpio_defaults[1] 0 +*RES +1 *2439:gpio_defaults[0] *2458:gpio_defaults[0] 0.830504 +*END + +*D_NET *427 5.84207e-05 +*CONN +*I *2458:gpio_defaults[1] I *D gpio_control_block +*I *2439:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2458:gpio_defaults[1] 2.92104e-05 +2 *2439:gpio_defaults[1] 2.92104e-05 +3 *2458:gpio_defaults[1] *2458:gpio_defaults[2] 0 +4 *2458:gpio_defaults[0] *2458:gpio_defaults[1] 0 +*RES +1 *2439:gpio_defaults[1] *2458:gpio_defaults[1] 0.830504 +*END + +*D_NET *428 5.84207e-05 +*CONN +*I *2458:gpio_defaults[2] I *D gpio_control_block +*I *2439:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2458:gpio_defaults[2] 2.92104e-05 +2 *2439:gpio_defaults[2] 2.92104e-05 +3 *2458:gpio_defaults[2] *2458:gpio_defaults[3] 0 +4 *2458:gpio_defaults[1] *2458:gpio_defaults[2] 0 +*RES +1 *2439:gpio_defaults[2] *2458:gpio_defaults[2] 0.830504 +*END + +*D_NET *429 5.84207e-05 +*CONN +*I *2458:gpio_defaults[3] I *D gpio_control_block +*I *2439:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2458:gpio_defaults[3] 2.92104e-05 +2 *2439:gpio_defaults[3] 2.92104e-05 +3 *2458:gpio_defaults[3] *2458:gpio_defaults[4] 0 +4 *2458:gpio_defaults[2] *2458:gpio_defaults[3] 0 +*RES +1 *2439:gpio_defaults[3] *2458:gpio_defaults[3] 0.830504 +*END + +*D_NET *430 5.84207e-05 +*CONN +*I *2458:gpio_defaults[4] I *D gpio_control_block +*I *2439:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2458:gpio_defaults[4] 2.92104e-05 +2 *2439:gpio_defaults[4] 2.92104e-05 +3 *2458:gpio_defaults[4] *2458:gpio_defaults[5] 0 +4 *2458:gpio_defaults[3] *2458:gpio_defaults[4] 0 +*RES +1 *2439:gpio_defaults[4] *2458:gpio_defaults[4] 0.830504 +*END + +*D_NET *431 5.84207e-05 +*CONN +*I *2444:gpio_defaults[6] I *D gpio_control_block +*I *2417:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2444:gpio_defaults[6] 2.92104e-05 +2 *2417:gpio_defaults[6] 2.92104e-05 +3 *2444:gpio_defaults[6] *2444:gpio_defaults[7] 0 +4 *2444:gpio_defaults[5] *2444:gpio_defaults[6] 0 +*RES +1 *2417:gpio_defaults[6] *2444:gpio_defaults[6] 0.830504 +*END + +*D_NET *432 5.84207e-05 +*CONN +*I *2458:gpio_defaults[5] I *D gpio_control_block +*I *2439:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2458:gpio_defaults[5] 2.92104e-05 +2 *2439:gpio_defaults[5] 2.92104e-05 +3 *2458:gpio_defaults[5] *2458:gpio_defaults[6] 0 +4 *2458:gpio_defaults[4] *2458:gpio_defaults[5] 0 +*RES +1 *2439:gpio_defaults[5] *2458:gpio_defaults[5] 0.830504 +*END + +*D_NET *433 5.84207e-05 +*CONN +*I *2458:gpio_defaults[6] I *D gpio_control_block +*I *2439:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2458:gpio_defaults[6] 2.92104e-05 +2 *2439:gpio_defaults[6] 2.92104e-05 +3 *2458:gpio_defaults[6] *2458:gpio_defaults[7] 0 +4 *2458:gpio_defaults[5] *2458:gpio_defaults[6] 0 +*RES +1 *2439:gpio_defaults[6] *2458:gpio_defaults[6] 0.830504 +*END + +*D_NET *434 5.84207e-05 +*CONN +*I *2458:gpio_defaults[7] I *D gpio_control_block +*I *2439:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2458:gpio_defaults[7] 2.92104e-05 +2 *2439:gpio_defaults[7] 2.92104e-05 +3 *2458:gpio_defaults[7] *2458:gpio_defaults[8] 0 +4 *2458:gpio_defaults[6] *2458:gpio_defaults[7] 0 +*RES +1 *2439:gpio_defaults[7] *2458:gpio_defaults[7] 0.830504 +*END + +*D_NET *435 5.84207e-05 +*CONN +*I *2458:gpio_defaults[8] I *D gpio_control_block +*I *2439:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2458:gpio_defaults[8] 2.92104e-05 +2 *2439:gpio_defaults[8] 2.92104e-05 +3 *2458:gpio_defaults[8] *2458:gpio_defaults[9] 0 +4 *2458:gpio_defaults[7] *2458:gpio_defaults[8] 0 +*RES +1 *2439:gpio_defaults[8] *2458:gpio_defaults[8] 0.830504 +*END + +*D_NET *436 5.84207e-05 +*CONN +*I *2458:gpio_defaults[9] I *D gpio_control_block +*I *2439:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2458:gpio_defaults[9] 2.92104e-05 +2 *2439:gpio_defaults[9] 2.92104e-05 +3 *2458:gpio_defaults[9] *2458:gpio_defaults[10] 0 +4 *2458:gpio_defaults[8] *2458:gpio_defaults[9] 0 +*RES +1 *2439:gpio_defaults[9] *2458:gpio_defaults[9] 0.830504 +*END + +*D_NET *437 5.84207e-05 +*CONN +*I *2458:gpio_defaults[10] I *D gpio_control_block +*I *2439:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2458:gpio_defaults[10] 2.92104e-05 +2 *2439:gpio_defaults[10] 2.92104e-05 +3 *2458:gpio_defaults[10] *2458:gpio_defaults[11] 0 +4 *2458:gpio_defaults[9] *2458:gpio_defaults[10] 0 +*RES +1 *2439:gpio_defaults[10] *2458:gpio_defaults[10] 0.830504 +*END + +*D_NET *438 5.84207e-05 +*CONN +*I *2458:gpio_defaults[11] I *D gpio_control_block +*I *2439:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2458:gpio_defaults[11] 2.92104e-05 +2 *2439:gpio_defaults[11] 2.92104e-05 +3 *2458:gpio_defaults[11] *2458:gpio_defaults[12] 0 +4 *2458:gpio_defaults[10] *2458:gpio_defaults[11] 0 +*RES +1 *2439:gpio_defaults[11] *2458:gpio_defaults[11] 0.830504 +*END + +*D_NET *439 5.84207e-05 +*CONN +*I *2458:gpio_defaults[12] I *D gpio_control_block +*I *2439:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2458:gpio_defaults[12] 2.92104e-05 +2 *2439:gpio_defaults[12] 2.92104e-05 +3 *2458:gpio_defaults[11] *2458:gpio_defaults[12] 0 +*RES +1 *2439:gpio_defaults[12] *2458:gpio_defaults[12] 0.830504 +*END + +*D_NET *440 5.84207e-05 +*CONN +*I *2459:gpio_defaults[0] I *D gpio_control_block +*I *2440:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2459:gpio_defaults[0] 2.92104e-05 +2 *2440:gpio_defaults[0] 2.92104e-05 +3 *2459:gpio_defaults[0] *2459:gpio_defaults[1] 0 +*RES +1 *2440:gpio_defaults[0] *2459:gpio_defaults[0] 0.830504 +*END + +*D_NET *441 5.84207e-05 +*CONN +*I *2459:gpio_defaults[1] I *D gpio_control_block +*I *2440:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2459:gpio_defaults[1] 2.92104e-05 +2 *2440:gpio_defaults[1] 2.92104e-05 +3 *2459:gpio_defaults[1] *2459:gpio_defaults[2] 0 +4 *2459:gpio_defaults[0] *2459:gpio_defaults[1] 0 +*RES +1 *2440:gpio_defaults[1] *2459:gpio_defaults[1] 0.830504 +*END + +*D_NET *442 5.84207e-05 +*CONN +*I *2444:gpio_defaults[7] I *D gpio_control_block +*I *2417:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2444:gpio_defaults[7] 2.92104e-05 +2 *2417:gpio_defaults[7] 2.92104e-05 +3 *2444:gpio_defaults[7] *2444:gpio_defaults[8] 0 +4 *2444:gpio_defaults[6] *2444:gpio_defaults[7] 0 +*RES +1 *2417:gpio_defaults[7] *2444:gpio_defaults[7] 0.830504 +*END + +*D_NET *443 5.84207e-05 +*CONN +*I *2459:gpio_defaults[2] I *D gpio_control_block +*I *2440:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2459:gpio_defaults[2] 2.92104e-05 +2 *2440:gpio_defaults[2] 2.92104e-05 +3 *2459:gpio_defaults[2] *2459:gpio_defaults[3] 0 +4 *2459:gpio_defaults[1] *2459:gpio_defaults[2] 0 +*RES +1 *2440:gpio_defaults[2] *2459:gpio_defaults[2] 0.830504 +*END + +*D_NET *444 5.84207e-05 +*CONN +*I *2459:gpio_defaults[3] I *D gpio_control_block +*I *2440:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2459:gpio_defaults[3] 2.92104e-05 +2 *2440:gpio_defaults[3] 2.92104e-05 +3 *2459:gpio_defaults[3] *2459:gpio_defaults[4] 0 +4 *2459:gpio_defaults[2] *2459:gpio_defaults[3] 0 +*RES +1 *2440:gpio_defaults[3] *2459:gpio_defaults[3] 0.830504 +*END + +*D_NET *445 5.84207e-05 +*CONN +*I *2459:gpio_defaults[4] I *D gpio_control_block +*I *2440:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2459:gpio_defaults[4] 2.92104e-05 +2 *2440:gpio_defaults[4] 2.92104e-05 +3 *2459:gpio_defaults[4] *2459:gpio_defaults[5] 0 +4 *2459:gpio_defaults[3] *2459:gpio_defaults[4] 0 +*RES +1 *2440:gpio_defaults[4] *2459:gpio_defaults[4] 0.830504 +*END + +*D_NET *446 5.84207e-05 +*CONN +*I *2459:gpio_defaults[5] I *D gpio_control_block +*I *2440:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2459:gpio_defaults[5] 2.92104e-05 +2 *2440:gpio_defaults[5] 2.92104e-05 +3 *2459:gpio_defaults[5] *2459:gpio_defaults[6] 0 +4 *2459:gpio_defaults[4] *2459:gpio_defaults[5] 0 +*RES +1 *2440:gpio_defaults[5] *2459:gpio_defaults[5] 0.830504 +*END + +*D_NET *447 5.84207e-05 +*CONN +*I *2459:gpio_defaults[6] I *D gpio_control_block +*I *2440:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2459:gpio_defaults[6] 2.92104e-05 +2 *2440:gpio_defaults[6] 2.92104e-05 +3 *2459:gpio_defaults[6] *2459:gpio_defaults[7] 0 +4 *2459:gpio_defaults[5] *2459:gpio_defaults[6] 0 +*RES +1 *2440:gpio_defaults[6] *2459:gpio_defaults[6] 0.830504 +*END + +*D_NET *448 5.84207e-05 +*CONN +*I *2459:gpio_defaults[7] I *D gpio_control_block +*I *2440:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2459:gpio_defaults[7] 2.92104e-05 +2 *2440:gpio_defaults[7] 2.92104e-05 +3 *2459:gpio_defaults[7] *2459:gpio_defaults[8] 0 +4 *2459:gpio_defaults[6] *2459:gpio_defaults[7] 0 +*RES +1 *2440:gpio_defaults[7] *2459:gpio_defaults[7] 0.830504 +*END + +*D_NET *449 5.84207e-05 +*CONN +*I *2459:gpio_defaults[8] I *D gpio_control_block +*I *2440:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2459:gpio_defaults[8] 2.92104e-05 +2 *2440:gpio_defaults[8] 2.92104e-05 +3 *2459:gpio_defaults[8] *2459:gpio_defaults[9] 0 +4 *2459:gpio_defaults[7] *2459:gpio_defaults[8] 0 +*RES +1 *2440:gpio_defaults[8] *2459:gpio_defaults[8] 0.830504 +*END + +*D_NET *450 5.84207e-05 +*CONN +*I *2459:gpio_defaults[9] I *D gpio_control_block +*I *2440:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2459:gpio_defaults[9] 2.92104e-05 +2 *2440:gpio_defaults[9] 2.92104e-05 +3 *2459:gpio_defaults[9] *2459:gpio_defaults[10] 0 +4 *2459:gpio_defaults[8] *2459:gpio_defaults[9] 0 +*RES +1 *2440:gpio_defaults[9] *2459:gpio_defaults[9] 0.830504 +*END + +*D_NET *451 5.84207e-05 +*CONN +*I *2459:gpio_defaults[10] I *D gpio_control_block +*I *2440:gpio_defaults[10] O *D gpio_defaults_block +*CAP +1 *2459:gpio_defaults[10] 2.92104e-05 +2 *2440:gpio_defaults[10] 2.92104e-05 +3 *2459:gpio_defaults[10] *2459:gpio_defaults[11] 0 +4 *2459:gpio_defaults[9] *2459:gpio_defaults[10] 0 +*RES +1 *2440:gpio_defaults[10] *2459:gpio_defaults[10] 0.830504 +*END + +*D_NET *452 5.84207e-05 +*CONN +*I *2459:gpio_defaults[11] I *D gpio_control_block +*I *2440:gpio_defaults[11] O *D gpio_defaults_block +*CAP +1 *2459:gpio_defaults[11] 2.92104e-05 +2 *2440:gpio_defaults[11] 2.92104e-05 +3 *2459:gpio_defaults[11] *2459:gpio_defaults[12] 0 +4 *2459:gpio_defaults[10] *2459:gpio_defaults[11] 0 +*RES +1 *2440:gpio_defaults[11] *2459:gpio_defaults[11] 0.830504 +*END + +*D_NET *453 5.84207e-05 +*CONN +*I *2444:gpio_defaults[8] I *D gpio_control_block +*I *2417:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2444:gpio_defaults[8] 2.92104e-05 +2 *2417:gpio_defaults[8] 2.92104e-05 +3 *2444:gpio_defaults[8] *2444:gpio_defaults[9] 0 +4 *2444:gpio_defaults[7] *2444:gpio_defaults[8] 0 +*RES +1 *2417:gpio_defaults[8] *2444:gpio_defaults[8] 0.830504 +*END + +*D_NET *454 5.84207e-05 +*CONN +*I *2459:gpio_defaults[12] I *D gpio_control_block +*I *2440:gpio_defaults[12] O *D gpio_defaults_block +*CAP +1 *2459:gpio_defaults[12] 2.92104e-05 +2 *2440:gpio_defaults[12] 2.92104e-05 +3 *2459:gpio_defaults[11] *2459:gpio_defaults[12] 0 +*RES +1 *2440:gpio_defaults[12] *2459:gpio_defaults[12] 0.830504 +*END + +*D_NET *455 5.84207e-05 +*CONN +*I *2460:gpio_defaults[0] I *D gpio_control_block +*I *2441:gpio_defaults[0] O *D gpio_defaults_block +*CAP +1 *2460:gpio_defaults[0] 2.92104e-05 +2 *2441:gpio_defaults[0] 2.92104e-05 +3 *2460:gpio_defaults[0] *2460:gpio_defaults[1] 0 +*RES +1 *2441:gpio_defaults[0] *2460:gpio_defaults[0] 0.830504 +*END + +*D_NET *456 5.84207e-05 +*CONN +*I *2460:gpio_defaults[1] I *D gpio_control_block +*I *2441:gpio_defaults[1] O *D gpio_defaults_block +*CAP +1 *2460:gpio_defaults[1] 2.92104e-05 +2 *2441:gpio_defaults[1] 2.92104e-05 +3 *2460:gpio_defaults[1] *2460:gpio_defaults[2] 0 +4 *2460:gpio_defaults[0] *2460:gpio_defaults[1] 0 +*RES +1 *2441:gpio_defaults[1] *2460:gpio_defaults[1] 0.830504 +*END + +*D_NET *457 5.84207e-05 +*CONN +*I *2460:gpio_defaults[2] I *D gpio_control_block +*I *2441:gpio_defaults[2] O *D gpio_defaults_block +*CAP +1 *2460:gpio_defaults[2] 2.92104e-05 +2 *2441:gpio_defaults[2] 2.92104e-05 +3 *2460:gpio_defaults[2] *2460:gpio_defaults[3] 0 +4 *2460:gpio_defaults[1] *2460:gpio_defaults[2] 0 +*RES +1 *2441:gpio_defaults[2] *2460:gpio_defaults[2] 0.830504 +*END + +*D_NET *458 5.84207e-05 +*CONN +*I *2460:gpio_defaults[3] I *D gpio_control_block +*I *2441:gpio_defaults[3] O *D gpio_defaults_block +*CAP +1 *2460:gpio_defaults[3] 2.92104e-05 +2 *2441:gpio_defaults[3] 2.92104e-05 +3 *2460:gpio_defaults[3] *2460:gpio_defaults[4] 0 +4 *2460:gpio_defaults[2] *2460:gpio_defaults[3] 0 +*RES +1 *2441:gpio_defaults[3] *2460:gpio_defaults[3] 0.830504 +*END + +*D_NET *459 5.84207e-05 +*CONN +*I *2460:gpio_defaults[4] I *D gpio_control_block +*I *2441:gpio_defaults[4] O *D gpio_defaults_block +*CAP +1 *2460:gpio_defaults[4] 2.92104e-05 +2 *2441:gpio_defaults[4] 2.92104e-05 +3 *2460:gpio_defaults[4] *2460:gpio_defaults[5] 0 +4 *2460:gpio_defaults[3] *2460:gpio_defaults[4] 0 +*RES +1 *2441:gpio_defaults[4] *2460:gpio_defaults[4] 0.830504 +*END + +*D_NET *460 5.84207e-05 +*CONN +*I *2460:gpio_defaults[5] I *D gpio_control_block +*I *2441:gpio_defaults[5] O *D gpio_defaults_block +*CAP +1 *2460:gpio_defaults[5] 2.92104e-05 +2 *2441:gpio_defaults[5] 2.92104e-05 +3 *2460:gpio_defaults[5] *2460:gpio_defaults[6] 0 +4 *2460:gpio_defaults[4] *2460:gpio_defaults[5] 0 +*RES +1 *2441:gpio_defaults[5] *2460:gpio_defaults[5] 0.830504 +*END + +*D_NET *461 5.84207e-05 +*CONN +*I *2460:gpio_defaults[6] I *D gpio_control_block +*I *2441:gpio_defaults[6] O *D gpio_defaults_block +*CAP +1 *2460:gpio_defaults[6] 2.92104e-05 +2 *2441:gpio_defaults[6] 2.92104e-05 +3 *2460:gpio_defaults[6] *2460:gpio_defaults[7] 0 +4 *2460:gpio_defaults[5] *2460:gpio_defaults[6] 0 +*RES +1 *2441:gpio_defaults[6] *2460:gpio_defaults[6] 0.830504 +*END + +*D_NET *462 5.84207e-05 +*CONN +*I *2460:gpio_defaults[7] I *D gpio_control_block +*I *2441:gpio_defaults[7] O *D gpio_defaults_block +*CAP +1 *2460:gpio_defaults[7] 2.92104e-05 +2 *2441:gpio_defaults[7] 2.92104e-05 +3 *2460:gpio_defaults[7] *2460:gpio_defaults[8] 0 +4 *2460:gpio_defaults[6] *2460:gpio_defaults[7] 0 +*RES +1 *2441:gpio_defaults[7] *2460:gpio_defaults[7] 0.830504 +*END + +*D_NET *463 5.84207e-05 +*CONN +*I *2460:gpio_defaults[8] I *D gpio_control_block +*I *2441:gpio_defaults[8] O *D gpio_defaults_block +*CAP +1 *2460:gpio_defaults[8] 2.92104e-05 +2 *2441:gpio_defaults[8] 2.92104e-05 +3 *2460:gpio_defaults[7] *2460:gpio_defaults[8] 0 +4 *2460:gpio_defaults[9] *2460:gpio_defaults[8] 0 +*RES +1 *2441:gpio_defaults[8] *2460:gpio_defaults[8] 0.830504 +*END + +*D_NET *464 5.84207e-05 +*CONN +*I *2444:gpio_defaults[9] I *D gpio_control_block +*I *2417:gpio_defaults[9] O *D gpio_defaults_block +*CAP +1 *2444:gpio_defaults[9] 2.92104e-05 +2 *2417:gpio_defaults[9] 2.92104e-05 +3 *2444:gpio_defaults[10] *2444:gpio_defaults[9] 0 +4 *2444:gpio_defaults[8] *2444:gpio_defaults[9] 0 +*RES +1 *2417:gpio_defaults[9] *2444:gpio_defaults[9] 0.830504 +*END + +*D_NET *465 0.393839 +*CONN +*I *2478:gpio_in_pad I *D mgmt_core_wrapper +*I *2474:gpio_in_core O *D chip_io_alt +*CAP +1 *2478:gpio_in_pad 0.00159363 +2 *2474:gpio_in_core 0.00071862 +3 *465:22 0.04014 +4 *465:21 0.0385464 +5 *465:19 0.00157977 +6 *465:16 0.00883029 +7 *465:15 0.00796915 +8 *465:15 *2474:gpio_mode0_core 0.00048572 +9 *465:15 *481:25 0.00123957 +10 *465:16 *481:20 0.00669929 +11 *465:22 *2243:10 0.141513 +12 *2474:flash_io1_oeb_core *465:19 0.000586138 +13 *67:29 *465:22 0.00273662 +14 *73:16 *465:22 0.141201 +15 *80:16 *465:15 0 +*RES +1 *2474:gpio_in_core *465:15 41.3281 +2 *465:15 *465:16 231.993 +3 *465:16 *465:19 48.2725 +4 *465:19 *465:21 4.5 +5 *465:21 *465:22 2353.91 +6 *465:22 *2478:gpio_in_pad 49.2862 +*END + +*D_NET *466 0.183851 +*CONN +*I *2474:gpio_inenb_core I *D chip_io_alt +*I *2478:gpio_inenb_pad O *D mgmt_core_wrapper +*CAP +1 *2474:gpio_inenb_core 0.00147677 +2 *2478:gpio_inenb_pad 0.00106801 +3 *466:10 0.0679332 +4 *466:9 0.0675245 +5 *466:10 *481:10 0.0347446 +6 *466:10 *482:10 0.00694851 +7 *466:10 *483:10 0.00385697 +8 *66:28 *466:10 0.000289628 +9 *89:28 *2474:gpio_inenb_core 8.63825e-06 +*RES +1 *2478:gpio_inenb_pad *466:9 32.9983 +2 *466:9 *466:10 254.112 +3 *466:10 *2474:gpio_inenb_core 10.0516 +*END + +*D_NET *467 1.46848 +*CONN +*I *2445:serial_load I *D gpio_control_block +*I *2444:serial_load_out O *D gpio_control_block +*I *2462:serial_load I *D gpio_control_block +*I *2461:serial_load_out O *D gpio_control_block +*CAP +1 *2445:serial_load 0.000389369 +2 *2444:serial_load_out 0.000292434 +3 *2462:serial_load 0.000687711 +4 *2461:serial_load_out 0.00711199 +5 *467:55 0.00380331 +6 *467:53 0.00378768 +7 *467:48 0.00627146 +8 *467:42 0.0292856 +9 *467:41 0.0239328 +10 *467:33 0.0324181 +11 *467:32 0.0321656 +12 *467:30 0.00464583 +13 *467:29 0.005036 +14 *467:12 0.00818988 +15 *2444:serial_load_out *2444:serial_load 3.84497e-05 +16 *2444:serial_load_out *2444:user_gpio_oeb 0 +17 *2444:serial_load_out *2329:13 9.52305e-06 +18 *2444:serial_load_out *2356:22 0.000117185 +19 *2445:serial_load *2445:serial_load_out 0.000159506 +20 *2445:serial_load *503:13 3.84497e-05 +21 *467:12 *2461:serial_load 5.35899e-05 +22 *467:12 *2474:mprj_io_analog_en[14] 0 +23 *467:12 *2334:13 5.02212e-05 +24 *467:33 *485:41 0.0232972 +25 *467:33 *489:29 0.194161 +26 *467:33 *490:16 0.160109 +27 *467:33 *498:22 0 +28 *467:33 *1178:7 0.00366745 +29 *467:41 *480:25 8.51012e-06 +30 *467:41 *485:41 0.000349904 +31 *467:41 *485:44 0 +32 *467:41 *498:17 0 +33 *467:41 *1574:18 0.000406539 +34 *467:42 *480:17 0.401551 +35 *467:42 *485:44 0.000102622 +36 *467:42 *498:17 0.401502 +37 *467:42 *1550:24 0.0470158 +38 *467:42 *1578:15 0.0146612 +39 *467:48 *485:50 0.0169073 +40 *467:48 *1850:14 0.000682375 +41 *467:48 *1937:14 0.000850726 +42 *467:48 *2066:14 0.000825799 +43 *467:53 *489:49 0.000235981 +44 *467:55 *2474:mprj_io_analog_en[1] 0.000202472 +45 *467:55 *2474:mprj_io_analog_pol[1] 0.00134341 +46 *467:55 *2474:mprj_io_analog_sel[1] 4.3116e-06 +47 *467:55 *2474:mprj_io_dm[3] 0.000215112 +48 *467:55 *2474:mprj_io_dm[5] 0.000791367 +49 *467:55 *2474:mprj_io_ib_mode_sel[1] 0.000197391 +50 *467:55 *2474:mprj_io_oeb[1] 0.00156332 +51 *467:55 *2474:mprj_io_vtrip_sel[1] 3.87462e-05 +52 *467:55 *485:61 0.00178881 +53 *467:55 *485:65 0.00318611 +54 *467:55 *1842:16 0.000819535 +55 *467:55 *1913:19 8.13993e-05 +56 *467:55 *2085:8 0 +57 *467:55 *2112:14 0 +58 *467:55 *2166:14 0 +59 *100:42 *2462:serial_load 7.50991e-05 +60 *100:42 *467:12 0.0127171 +61 *100:42 *467:29 5.76799e-05 +62 *100:74 *467:33 0.00580022 +63 *100:74 *467:41 0 +64 *100:80 *467:53 0.000199624 +65 *100:82 *467:53 2.9959e-05 +66 *100:84 *467:53 0 +67 *100:84 *467:55 0.0019163 +68 *100:90 *467:55 0.00530294 +69 *100:96 *2444:serial_load_out 0 +70 *100:96 *467:55 0.00687522 +71 *104:34 *467:33 0.000258189 +72 *104:56 *467:53 0.000196407 +*RES +1 *2461:serial_load_out *467:12 36.6965 +2 *467:12 *2462:serial_load 2.84993 +3 *467:12 *467:29 10.1983 +4 *467:29 *467:30 128.282 +5 *467:30 *467:32 4.5 +6 *467:32 *467:33 3405.45 +7 *467:33 *467:41 18.0312 +8 *467:41 *467:42 507.906 +9 *467:42 *467:48 35.3773 +10 *467:48 *467:53 16.1928 +11 *467:53 *467:55 260.742 +12 *467:55 *2444:serial_load_out 19.7848 +13 *467:48 *2445:serial_load 1.13405 +*END + +*D_NET *468 0.820491 +*CONN +*I *2452:serial_load I *D gpio_control_block +*I *2446:serial_load_out O *D gpio_control_block +*I *2447:serial_load I *D gpio_control_block +*I *2451:serial_load_out O *D gpio_control_block +*CAP +1 *2452:serial_load 0.00037691 +2 *2446:serial_load_out 0.00653291 +3 *2447:serial_load 0.002121 +4 *2451:serial_load_out 0.000586624 +5 *468:54 0.00121046 +6 *468:49 0.0101715 +7 *468:48 0.00933794 +8 *468:23 0.00865391 +9 *468:21 0.168445 +10 *468:20 0.168445 +11 *468:18 0.017762 +12 *468:17 0.0178189 +13 *468:13 0.000643479 +14 *2446:serial_load_out *2446:serial_load 0.0002035 +15 *2446:serial_load_out *2448:serial_load 1.80524e-05 +16 *2446:serial_load_out *2474:mprj_io_ib_mode_sel[24] 0.000309803 +17 *2446:serial_load_out *469:28 0.000267782 +18 *2446:serial_load_out *474:54 0 +19 *2446:serial_load_out *476:22 0 +20 *2446:serial_load_out *477:26 0.00893909 +21 *2446:serial_load_out *486:44 0 +22 *2446:serial_load_out *494:20 0.00084449 +23 *2446:serial_load_out *514:14 0.000244213 +24 *2446:serial_load_out *1928:8 0.000555017 +25 *2446:serial_load_out *1929:20 0.000237742 +26 *2446:serial_load_out *1955:14 0.000435822 +27 *2446:serial_load_out *2090:8 0.0010458 +28 *2446:serial_load_out *2345:13 0.000185151 +29 *2447:serial_load *2448:serial_load 0.00105572 +30 *2447:serial_load *514:7 0.000172143 +31 *2452:serial_load *469:12 0.000228463 +32 *2452:serial_load *501:13 1.9775e-05 +33 *468:13 *2451:user_gpio_oeb 0.000289124 +34 *468:13 *479:52 0.000151667 +35 *468:13 *2330:10 7.92492e-05 +36 *468:13 *2357:13 0.00308242 +37 *468:13 *2384:13 0.00309016 +38 *468:17 *2357:13 0.000695917 +39 *468:17 *2384:13 0.000691706 +40 *468:18 *486:18 0.136093 +41 *468:18 *486:61 0 +42 *468:18 *487:18 0.137263 +43 *468:21 *486:21 0.034295 +44 *468:21 *490:19 0 +45 *468:21 *1012:14 0.00324704 +46 *468:21 *1019:14 0.0013824 +47 *468:21 *2036:8 0.00218674 +48 *468:49 *486:61 0 +49 *468:49 *487:46 0.00036177 +50 *468:54 *2076:13 0.000204874 +51 *468:54 *2331:13 0.00317984 +52 *101:37 *468:18 7.87327e-05 +53 *101:40 *468:21 0.0672116 +54 *102:13 *2452:serial_load 3.88358e-05 +*RES +1 *2451:serial_load_out *468:13 47.154 +2 *468:13 *468:17 11.8786 +3 *468:17 *468:18 2193.74 +4 *468:18 *468:20 3.36879 +5 *468:20 *468:21 520.91 +6 *468:21 *468:23 0.376635 +7 *468:23 *2447:serial_load 49.3826 +8 *468:23 *2446:serial_load_out 31.2806 +9 *468:13 *468:48 4.5 +10 *468:48 *468:49 268.424 +11 *468:49 *468:54 43.5542 +12 *468:54 *2452:serial_load 12.8069 +*END + +*D_NET *469 0.910454 +*CONN +*I *2453:serial_load I *D gpio_control_block +*I *2447:serial_load_out O *D gpio_control_block +*I *2448:serial_load I *D gpio_control_block +*I *2452:serial_load_out O *D gpio_control_block +*CAP +1 *2453:serial_load 0.00102631 +2 *2447:serial_load_out 9.89961e-05 +3 *2448:serial_load 0.0121991 +4 *2452:serial_load_out 0.000426436 +5 *469:57 0.0069661 +6 *469:56 0.00618146 +7 *469:43 0.00181008 +8 *469:42 0.00266106 +9 *469:28 0.0133496 +10 *469:25 0.146886 +11 *469:24 0.146685 +12 *469:22 0.0473668 +13 *469:21 0.0473668 +14 *469:19 0.00427014 +15 *469:18 0.00476114 +16 *469:15 0.00125536 +17 *469:12 0.00143247 +18 *2448:serial_load *2447:resetn 0.000425575 +19 *2448:serial_load *2448:serial_load_out 1.57892e-05 +20 *2448:serial_load *2474:mprj_io_analog_pol[25] 0.000127577 +21 *2448:serial_load *2474:mprj_io_analog_sel[25] 0.000284916 +22 *2448:serial_load *2474:mprj_io_dm[76] 0.000190544 +23 *2448:serial_load *2474:mprj_io_oeb[25] 0 +24 *2448:serial_load *486:44 5.86491e-06 +25 *2448:serial_load *515:7 0.000138605 +26 *2448:serial_load *515:8 0.00158975 +27 *2448:serial_load *515:12 0.000258215 +28 *2448:serial_load *1821:16 0.000478558 +29 *2448:serial_load *1848:16 0.000516867 +30 *2448:serial_load *1930:10 0.000197477 +31 *2448:serial_load *1930:12 0.000555176 +32 *2448:serial_load *1931:8 0.000556537 +33 *2448:serial_load *2010:9 0.000481922 +34 *2448:serial_load *2037:7 0.000376127 +35 *2448:serial_load *2118:8 0.000168181 +36 *2448:serial_load *2172:8 0.000271272 +37 *2453:serial_load *470:11 3.27908e-05 +38 *2453:serial_load *502:13 0.000108398 +39 *2453:serial_load *1942:11 0.00032161 +40 *2453:serial_load *2131:10 1.66771e-05 +41 *2453:serial_load *2332:11 0 +42 *2453:serial_load *2359:13 0.00113281 +43 *469:12 *2452:user_gpio_oeb 0 +44 *469:12 *2452:user_gpio_out 0 +45 *469:12 *501:13 2.71025e-05 +46 *469:12 *2076:14 0 +47 *469:18 *487:46 0 +48 *469:22 *488:20 3.26582e-06 +49 *469:25 *746:8 0.0134906 +50 *469:25 *747:8 0.0149734 +51 *469:25 *748:8 0.000157133 +52 *469:25 *749:8 0.000190972 +53 *469:25 *750:8 0.000364076 +54 *469:25 *751:8 0.136728 +55 *469:25 *845:8 0.00486911 +56 *469:25 *847:8 0.00579944 +57 *469:25 *850:8 0.00682183 +58 *469:25 *852:8 0.007901 +59 *469:25 *854:8 0.0093123 +60 *469:25 *1001:8 0 +61 *469:25 *1003:8 5.62259e-05 +62 *469:25 *1005:8 0.0218418 +63 *469:25 *1580:8 0.0212041 +64 *469:25 *1615:8 0.00645101 +65 *469:28 *486:44 5.57527e-05 +66 *469:42 *486:29 1.98294e-05 +67 *469:57 *487:46 9.22013e-06 +68 *469:57 *488:16 0 +69 *469:57 *488:52 0.000554108 +70 *2446:serial_load_out *2448:serial_load 1.80524e-05 +71 *2446:serial_load_out *469:28 0.000267782 +72 *2447:serial_load *2448:serial_load 0.00105572 +73 *2452:serial_load *469:12 0.000228463 +74 *101:48 *469:42 0.000581419 +75 *102:13 *469:12 2.01653e-05 +76 *102:13 *469:15 0.0031848 +77 *102:17 *469:15 0.00048346 +78 *102:54 *469:57 0.0164131 +79 *103:38 *469:22 0.153823 +80 *103:41 *469:25 0.00179582 +81 *103:72 *469:57 0.0009412 +82 *109:64 *469:22 0.0278161 +*RES +1 *2452:serial_load_out *469:12 18.6292 +2 *469:12 *469:15 44.0456 +3 *469:15 *469:18 11.315 +4 *469:18 *469:19 117.745 +5 *469:19 *469:21 4.5 +6 *469:21 *469:22 2460.75 +7 *469:22 *469:24 3.36879 +8 *469:24 *469:25 505.153 +9 *469:25 *469:28 1.5701 +10 *469:28 *2448:serial_load 44.8054 +11 *469:28 *469:42 7.3521 +12 *469:42 *469:43 48.3402 +13 *469:43 *2447:serial_load_out 3.708 +14 *469:18 *469:56 10.2148 +15 *469:56 *469:57 278.39 +16 *469:57 *2453:serial_load 46.4086 +*END + +*D_NET *470 0.946702 +*CONN +*I *2454:serial_load I *D gpio_control_block +*I *2448:serial_load_out O *D gpio_control_block +*I *2453:serial_load_out O *D gpio_control_block +*CAP +1 *2454:serial_load 0.000150181 +2 *2448:serial_load_out 0.000799846 +3 *2453:serial_load_out 0.00110365 +4 *470:40 0.000523386 +5 *470:34 0.00912663 +6 *470:33 0.00875342 +7 *470:19 0.079118 +8 *470:18 0.0783181 +9 *470:16 0.101995 +10 *470:15 0.102574 +11 *470:11 0.00168283 +12 *2448:serial_load_out *2448:resetn_out 8.10016e-06 +13 *2448:serial_load_out *2448:user_gpio_oeb 0.000752866 +14 *2448:serial_load_out *2448:user_gpio_out 0 +15 *2448:serial_load_out *515:8 0 +16 *2448:serial_load_out *2347:12 7.93861e-05 +17 *2448:serial_load_out *2401:16 0.00163095 +18 *2454:serial_load *2454:serial_data_in 1.67063e-05 +19 *2454:serial_load *2333:10 0 +20 *470:11 *2131:10 4.15201e-05 +21 *470:11 *2332:10 7.79528e-05 +22 *470:11 *2332:11 0.00126666 +23 *470:15 *2332:11 0.00105742 +24 *470:16 *2020:21 0.000137254 +25 *470:16 *2031:15 0.000758132 +26 *470:16 *2039:15 0 +27 *470:16 *2222:30 0.0221395 +28 *470:16 *2394:20 0 +29 *470:19 *612:8 0.000721049 +30 *470:19 *614:8 0.039841 +31 *470:19 *1557:24 0.000110213 +32 *470:19 *1561:11 0.349977 +33 *470:34 *488:52 0.0679899 +34 *470:40 *2454:user_gpio_oeb 7.77309e-06 +35 *470:40 *2333:10 0.000272862 +36 *470:40 *2333:11 0.00318552 +37 *470:40 *2360:13 0.00313465 +38 *2448:serial_clock_out *2448:serial_load_out 0 +39 *2448:serial_load *2448:serial_load_out 1.57892e-05 +40 *2453:serial_load *470:11 3.27908e-05 +41 *2454:serial_clock *470:40 0 +42 *103:72 *470:34 0.0683009 +43 *104:37 *470:19 0.00100065 +*RES +1 *2453:serial_load_out *470:11 42.3238 +2 *470:11 *470:15 29.0714 +3 *470:15 *470:16 3029.02 +4 *470:16 *470:18 4.5 +5 *470:18 *470:19 3767.04 +6 *470:19 *2448:serial_load_out 42.1855 +7 *470:11 *470:33 4.5 +8 *470:33 *470:34 1093.53 +9 *470:34 *470:40 47.946 +10 *470:40 *2454:serial_load 3.78449 +*END + +*D_NET *471 0.935065 +*CONN +*I *2463:serial_load I *D gpio_control_block +*I *2455:serial_load I *D gpio_control_block +*I *2445:serial_load_out O *D gpio_control_block +*I *2462:serial_load_out O *D gpio_control_block +*CAP +1 *2463:serial_load 0.000357196 +2 *2455:serial_load 0.000154053 +3 *2445:serial_load_out 0.000178986 +4 *2462:serial_load_out 0.000440908 +5 *471:46 0.00224358 +6 *471:45 0.00212143 +7 *471:40 0.00305451 +8 *471:38 0.00306298 +9 *471:36 0.00062557 +10 *471:30 0.00188238 +11 *471:27 0.0790684 +12 *471:26 0.0775923 +13 *471:24 0.0703421 +14 *471:23 0.0709409 +15 *471:16 0.00611109 +16 *471:15 0.00559594 +17 *2445:serial_load_out *2340:11 3.84497e-05 +18 *2455:serial_load *2455:serial_load_out 8.15092e-05 +19 *2455:serial_load *504:7 9.67643e-05 +20 *2463:serial_load *2463:serial_data_in 0 +21 *2463:serial_load *472:7 0.000316991 +22 *2463:serial_load *517:15 1.53622e-05 +23 *471:15 *485:26 9.90116e-05 +24 *471:15 *485:38 8.90486e-05 +25 *471:15 *516:7 6.19346e-06 +26 *471:15 *2335:15 1.29018e-05 +27 *471:16 *2462:resetn 0 +28 *471:16 *2474:mprj_io_analog_sel[15] 0.00115603 +29 *471:16 *489:14 0 +30 *471:16 *516:8 9.20633e-05 +31 *471:16 *517:16 0.00303265 +32 *471:16 *517:20 0.000352663 +33 *471:16 *1810:16 0.00331202 +34 *471:16 *1837:16 1.2366e-05 +35 *471:16 *1897:8 0.00124681 +36 *471:16 *1898:8 4.61488e-05 +37 *471:16 *2053:14 0.00300455 +38 *471:16 *2080:8 0.00180177 +39 *471:16 *2107:8 0 +40 *471:16 *2161:17 0.0022651 +41 *471:16 *2309:13 1.87125e-05 +42 *471:16 *2336:15 0 +43 *471:23 *2463:serial_data_in 0.000216058 +44 *471:23 *472:7 0 +45 *471:23 *489:14 0 +46 *471:23 *518:8 1.25836e-05 +47 *471:23 *2336:15 0.00105852 +48 *471:24 *472:20 0.000296518 +49 *471:24 *487:36 0.0091684 +50 *471:24 *490:8 0 +51 *471:24 *490:12 0 +52 *471:24 *1557:27 0.00296728 +53 *471:24 *2337:14 9.64545e-05 +54 *471:27 *623:8 0.000334676 +55 *471:27 *624:8 0.0494946 +56 *471:27 *629:8 0 +57 *471:27 *630:8 0 +58 *471:27 *1142:10 0 +59 *471:27 *1266:10 0.0011524 +60 *471:27 *1575:16 0.352013 +61 *471:36 *472:44 0.000515024 +62 *471:36 *504:8 0 +63 *471:40 *2474:mprj_io_analog_en[2] 0.00019711 +64 *471:40 *2474:mprj_io_analog_pol[2] 4.35659e-05 +65 *471:40 *2474:mprj_io_analog_sel[2] 0.000145018 +66 *471:40 *2474:mprj_io_dm[6] 0.00019711 +67 *471:40 *2474:mprj_io_dm[7] 0.00019711 +68 *471:40 *2474:mprj_io_dm[8] 0.00019711 +69 *471:40 *2474:mprj_io_holdover[2] 9.29258e-05 +70 *471:40 *2474:mprj_io_ib_mode_sel[2] 0.000111209 +71 *471:40 *2474:mprj_io_inp_dis[2] 0.00019711 +72 *471:40 *2474:mprj_io_oeb[2] 0.000102001 +73 *471:40 *2474:mprj_io_out[2] 0.000211282 +74 *471:40 *2474:mprj_io_vtrip_sel[2] 0.000131612 +75 *471:40 *503:26 0.00555187 +76 *471:40 *504:8 5.27687e-05 +77 *471:40 *2039:10 3.78286e-05 +78 *471:40 *2093:14 0 +79 *471:40 *2147:8 1.55462e-05 +80 *471:40 *2147:14 0.00477008 +81 *471:40 *2174:14 0 +82 *471:46 *2445:user_gpio_out 0 +83 *471:46 *2474:mprj_io_slow_sel[2] 0.000148615 +84 *471:46 *503:14 0.0059796 +85 *471:46 *2012:10 0.00019711 +86 *2445:serial_load *2445:serial_load_out 0.000159506 +87 *100:71 *471:15 0.000179648 +88 *100:80 *471:40 0.00187962 +89 *100:80 *471:46 8.74185e-05 +90 *105:7 *471:23 0.000279299 +91 *105:24 *471:24 0.155636 +92 *105:60 *471:30 0 +93 *105:60 *471:36 7.12702e-05 +94 *105:60 *471:40 0 +*RES +1 *2462:serial_load_out *471:15 25.6195 +2 *471:15 *471:16 264.064 +3 *471:16 *471:23 14.4548 +4 *471:23 *471:24 3043.35 +5 *471:24 *471:26 4.5 +6 *471:26 *471:27 3801.98 +7 *471:27 *471:30 46.1962 +8 *471:30 *471:36 23.2563 +9 *471:36 *471:38 1.85642 +10 *471:38 *471:40 173.124 +11 *471:40 *471:45 10.3986 +12 *471:45 *471:46 98.3781 +13 *471:46 *2445:serial_load_out 4.19688 +14 *471:36 *2455:serial_load 3.9674 +15 *471:16 *2463:serial_load 5.03827 +*END + +*D_NET *472 1.16108 +*CONN +*I *2456:serial_load I *D gpio_control_block +*I *2455:serial_load_out O *D gpio_control_block +*I *2464:serial_load I *D gpio_control_block +*I *2463:serial_load_out O *D gpio_control_block +*CAP +1 *2456:serial_load 0.000151155 +2 *2455:serial_load_out 0.000143788 +3 *2464:serial_load 0.000434211 +4 *2463:serial_load_out 0.000482471 +5 *472:44 0.0017896 +6 *472:43 0.00180691 +7 *472:38 0.00181555 +8 *472:37 0.00184007 +9 *472:32 0.00241526 +10 *472:30 0.00260751 +11 *472:26 0.00195142 +12 *472:25 0.0014224 +13 *472:23 0.0279993 +14 *472:22 0.0279993 +15 *472:20 0.026349 +16 *472:19 0.0267402 +17 *472:10 0.000825413 +18 *472:8 0.00481767 +19 *472:7 0.00530014 +20 *2455:serial_load_out *2348:10 3.84497e-05 +21 *2456:serial_load *505:13 3.84497e-05 +22 *2456:serial_load *2349:10 0 +23 *2464:serial_load *473:7 0.000206243 +24 *2464:serial_load *518:7 0.000373077 +25 *472:7 *2463:serial_data_in 0 +26 *472:7 *2463:user_gpio_oeb 2.9322e-05 +27 *472:7 *2336:15 0.00160176 +28 *472:8 *2463:user_gpio_out 0 +29 *472:8 *518:8 0 +30 *472:8 *1973:8 0.00560656 +31 *472:8 *1973:16 9.71323e-06 +32 *472:8 *2081:8 0 +33 *472:8 *2081:19 0.00101426 +34 *472:8 *2081:20 0.00044857 +35 *472:8 *2108:8 0.00022036 +36 *472:8 *2162:16 0.000358272 +37 *472:8 *2162:20 0.0029677 +38 *472:8 *2336:15 0.000150805 +39 *472:20 *492:22 0.00941994 +40 *472:20 *1557:27 0.102916 +41 *472:20 *2337:14 0.0102791 +42 *472:23 *475:34 0.00180173 +43 *472:23 *479:34 0 +44 *472:23 *491:48 0 +45 *472:23 *491:70 0 +46 *472:23 *497:34 0 +47 *472:23 *1551:26 2.41483e-05 +48 *472:23 *1554:24 0.346311 +49 *472:23 *2222:33 0.353525 +50 *472:30 *2456:serial_data_in 0 +51 *472:32 *2455:resetn_out 0.00031116 +52 *472:32 *2456:serial_data_in 1.07248e-05 +53 *472:32 *504:12 0.00248328 +54 *472:32 *1859:13 0 +55 *472:32 *1938:13 0 +56 *472:38 *2455:resetn_out 0.0010111 +57 *472:38 *2474:mprj_io_holdover[3] 0.00150762 +58 *472:38 *504:8 5.68225e-06 +59 *472:38 *1851:22 0.00197138 +60 *472:38 *2013:13 5.07721e-05 +61 *472:38 *2148:26 0.000772464 +62 *472:44 *2455:resetn_out 0.000674611 +63 *472:44 *504:8 0 +64 *2455:serial_load *2455:serial_load_out 8.15092e-05 +65 *2463:serial_load *472:7 0.000316991 +66 *105:8 *472:8 0.00188107 +67 *105:12 *472:8 0.00424781 +68 *105:24 *472:20 0.155584 +69 *105:27 *472:23 0.00283259 +70 *105:30 *472:26 0.00393317 +71 *105:30 *472:30 0.000610253 +72 *105:32 *472:30 0.00018079 +73 *105:32 *472:32 0 +74 *105:43 *472:32 0 +75 *105:43 *472:37 1.21461e-06 +76 *105:52 *472:38 9.71323e-06 +77 *105:54 *472:38 0.00207446 +78 *105:59 *472:43 0.00042603 +79 *105:60 *472:44 0.00505823 +80 *106:13 *2464:serial_load 0 +81 *112:33 *472:23 0 +82 *471:23 *472:7 0 +83 *471:24 *472:20 0.000296518 +84 *471:36 *472:44 0.000515024 +*RES +1 *2463:serial_load_out *472:7 5.65019 +2 *472:7 *472:8 266.763 +3 *472:8 *472:10 3.36879 +4 *472:10 *2464:serial_load 2.2814 +5 *472:10 *472:19 4.64251 +6 *472:19 *472:20 2497.71 +7 *472:20 *472:22 4.5 +8 *472:22 *472:23 3798.09 +9 *472:23 *472:25 4.5 +10 *472:25 *472:26 63.9122 +11 *472:26 *472:30 15.2666 +12 *472:30 *472:32 84.0397 +13 *472:32 *472:37 12.4964 +14 *472:37 *472:38 96.0942 +15 *472:38 *472:43 14.7148 +16 *472:43 *472:44 80.9375 +17 *472:44 *2455:serial_load_out 3.89091 +18 *472:26 *2456:serial_load 3.89091 +*END + +*D_NET *473 1.19963 +*CONN +*I *2456:serial_load_out O *D gpio_control_block +*I *2457:serial_load I *D gpio_control_block +*I *2465:serial_load I *D gpio_control_block +*I *2464:serial_load_out O *D gpio_control_block +*CAP +1 *2456:serial_load_out 0.000149479 +2 *2457:serial_load 0.000741014 +3 *2465:serial_load 0.00111249 +4 *2464:serial_load_out 0.000249929 +5 *473:54 0.00157874 +6 *473:53 0.002252 +7 *473:34 0.00534931 +8 *473:33 0.0046083 +9 *473:31 0.0212902 +10 *473:30 0.0204675 +11 *473:28 0.0183353 +12 *473:27 0.0183353 +13 *473:25 0.0045591 +14 *473:10 0.0056716 +15 *473:8 0.00809594 +16 *473:7 0.00834586 +17 *2457:serial_load *2457:serial_data_in 0 +18 *2457:serial_load *2457:serial_load_out 5.17837e-06 +19 *2457:serial_load *506:13 0.00040907 +20 *2457:serial_load *2350:12 0 +21 *2457:serial_load *2377:13 0.00247411 +22 *2457:serial_load *2404:13 1.92172e-05 +23 *2465:serial_load *2465:user_gpio_oeb 0.000130155 +24 *2465:serial_load *2465:user_gpio_out 1.64979e-05 +25 *2465:serial_load *474:13 8.59705e-05 +26 *2465:serial_load *519:9 2.7185e-05 +27 *2465:serial_load *2338:10 7.86825e-06 +28 *473:7 *2337:13 5.83673e-05 +29 *473:8 *519:22 0 +30 *473:8 *1785:16 0 +31 *473:8 *1904:8 0.00111559 +32 *473:8 *1906:22 0.000322335 +33 *473:8 *1974:8 0 +34 *473:8 *1974:22 0 +35 *473:8 *1974:24 0 +36 *473:8 *2028:9 0.000579885 +37 *473:8 *2055:14 0 +38 *473:8 *2136:8 0 +39 *473:8 *2163:23 0 +40 *473:8 *2294:13 0 +41 *473:28 *485:41 0.140845 +42 *473:31 *476:25 0.0517972 +43 *473:31 *497:34 3.62662e-06 +44 *473:34 *1537:20 0 +45 *473:53 *495:53 1.41291e-05 +46 *2464:serial_load *473:7 0.000206243 +47 *2465:serial_clock *2465:serial_load 0.000401123 +48 *106:34 *473:28 0.139831 +49 *106:37 *473:31 0.351145 +50 *106:37 *473:53 4.88955e-05 +51 *106:40 *473:34 0.0121798 +52 *106:59 *473:53 0.00408905 +53 *106:60 *473:54 0.00404752 +54 *110:91 *473:53 0.000514643 +55 *111:45 *473:31 0.351187 +56 *111:45 *473:53 0.000538037 +57 *113:20 *473:28 0.0163917 +*RES +1 *2464:serial_load_out *473:7 4.42635 +2 *473:7 *473:8 242.055 +3 *473:8 *473:10 4.5 +4 *473:10 *2465:serial_load 38.2695 +5 *473:10 *473:25 121.627 +6 *473:25 *473:27 4.5 +7 *473:27 *473:28 2252.91 +8 *473:28 *473:30 4.5 +9 *473:30 *473:31 3669.98 +10 *473:31 *473:33 4.5 +11 *473:33 *473:34 204.89 +12 *473:34 *2457:serial_load 40.8725 +13 *473:31 *473:53 49.0371 +14 *473:53 *473:54 65.158 +15 *473:54 *2456:serial_load_out 3.708 +*END + +*D_NET *474 1.27099 +*CONN +*I *2458:serial_load I *D gpio_control_block +*I *2457:serial_load_out O *D gpio_control_block +*I *2466:serial_load I *D gpio_control_block +*I *2465:serial_load_out O *D gpio_control_block +*CAP +1 *2458:serial_load 0.000748739 +2 *2457:serial_load_out 0.000693815 +3 *2466:serial_load 0.000568366 +4 *2465:serial_load_out 0.000543685 +5 *474:75 0.00403438 +6 *474:60 0.00600667 +7 *474:59 0.00202721 +8 *474:57 0.0254228 +9 *474:56 0.0254228 +10 *474:54 0.0209527 +11 *474:53 0.021277 +12 *474:48 0.0211466 +13 *474:47 0.0208796 +14 *474:42 0.0121529 +15 *474:41 0.0121825 +16 *474:17 0.000779554 +17 *474:14 0.00584702 +18 *474:13 0.00626649 +19 *2457:serial_load_out *2457:user_gpio_oeb 2.04189e-05 +20 *2457:serial_load_out *506:13 0 +21 *2457:serial_load_out *2350:12 1.53622e-05 +22 *2457:serial_load_out *2350:13 0.000286826 +23 *2458:serial_load *2458:serial_load_out 7.68345e-05 +24 *2458:serial_load *495:64 0 +25 *2458:serial_load *507:10 8.85901e-05 +26 *2458:serial_load *2378:13 0 +27 *2466:serial_load *2466:user_gpio_out 0 +28 *2466:serial_load *475:15 2.56241e-05 +29 *2466:serial_load *520:15 0.000479552 +30 *2466:serial_load *2393:19 0.000243133 +31 *474:13 *519:9 0.000237017 +32 *474:13 *2338:10 4.2387e-05 +33 *474:14 *2465:resetn 0.000397726 +34 *474:14 *2474:mprj_io_inp_dis[18] 0.000287352 +35 *474:14 *520:16 0 +36 *474:14 *1813:16 0.00230879 +37 *474:14 *1907:10 0.00547833 +38 *474:14 *1908:10 4.61488e-05 +39 *474:14 *1909:16 0.000797637 +40 *474:14 *1975:22 0.00090832 +41 *474:14 *2056:10 0.00438821 +42 *474:14 *2110:10 0 +43 *474:14 *2110:16 1.32509e-05 +44 *474:14 *2164:10 0 +45 *474:17 *2393:19 0.000215716 +46 *474:41 *520:15 1.93857e-05 +47 *474:42 *2474:mprj_io_analog_sel[19] 0.000126093 +48 *474:42 *475:16 0.00274791 +49 *474:42 *522:32 0.00637025 +50 *474:42 *1911:18 0.00038975 +51 *474:42 *1912:14 0.000339251 +52 *474:42 *1949:8 0.000357167 +53 *474:42 *1976:8 0.000405863 +54 *474:42 *2057:29 0.000803122 +55 *474:42 *2086:13 0.00518522 +56 *474:42 *2138:12 0.000347446 +57 *474:42 *2165:14 0.000357167 +58 *474:42 *2314:19 0.000116362 +59 *474:48 *2474:mprj_io_ib_mode_sel[20] 0 +60 *474:48 *2474:mprj_io_oeb[20] 0 +61 *474:48 *2474:mprj_io_vtrip_sel[20] 0.000642796 +62 *474:48 *522:14 0.000673094 +63 *474:48 *522:31 0.00119336 +64 *474:48 *522:32 0.00861327 +65 *474:48 *1789:16 0 +66 *474:48 *1843:16 0 +67 *474:48 *1915:8 0 +68 *474:48 *1916:18 0 +69 *474:48 *2032:13 0 +70 *474:48 *2059:14 0 +71 *474:48 *2113:16 0 +72 *474:48 *2297:12 0 +73 *474:48 *2315:13 0 +74 *474:53 *476:20 6.21462e-05 +75 *474:54 *476:20 0.000702084 +76 *474:54 *476:22 0.0678278 +77 *474:54 *494:8 0.000702874 +78 *474:54 *522:14 0 +79 *474:54 *524:16 0.00223508 +80 *474:54 *1844:10 0.000270979 +81 *474:54 *1844:12 0.00045085 +82 *474:54 *1847:10 0.000329532 +83 *474:54 *1847:16 0.000337909 +84 *474:54 *1919:12 0.000861194 +85 *474:54 *1929:19 0.000149105 +86 *474:57 *476:25 0.414093 +87 *474:57 *478:29 4.42985e-05 +88 *474:57 *493:48 0.399306 +89 *474:60 *478:32 0.00163931 +90 *474:60 *505:14 1.81081e-06 +91 *474:60 *1565:20 0.00863553 +92 *474:60 *1566:20 0.00212345 +93 *474:75 *478:32 0.00220247 +94 *474:75 *506:14 9.81824e-05 +95 *474:75 *1566:20 0.00649045 +96 *474:75 *1567:20 0.0012715 +97 *2446:serial_load_out *474:54 0 +98 *2457:serial_load *2457:serial_load_out 5.17837e-06 +99 *2458:serial_clock_out *2458:serial_load 0 +100 *2465:serial_clock *474:13 1.93695e-05 +101 *2465:serial_load *474:13 8.59705e-05 +102 *106:37 *474:57 0.0475258 +103 *107:58 *474:14 0 +104 *107:87 *474:57 0.0518102 +105 *107:90 *474:60 0.0123599 +106 *107:107 *474:60 0.000653242 +107 *107:107 *474:75 0.016458 +108 *111:10 *474:54 0.000238504 +*RES +1 *2465:serial_load_out *474:13 25.8226 +2 *474:13 *474:14 253.475 +3 *474:14 *474:17 9.66022 +4 *474:17 *2466:serial_load 26.6904 +5 *474:17 *474:41 9.12742 +6 *474:41 *474:42 51.1443 +7 *474:42 *474:47 3.83355 +8 *474:47 *474:48 612.253 +9 *474:48 *474:53 4.90441 +10 *474:53 *474:54 136.644 +11 *474:54 *474:56 0.376635 +12 *474:56 *474:57 523.052 +13 *474:57 *474:59 3.36879 +14 *474:59 *474:60 209.25 +15 *474:60 *2457:serial_load_out 30.8797 +16 *474:60 *474:75 272.576 +17 *474:75 *2458:serial_load 28.9672 +*END + +*D_NET *475 1.11477 +*CONN +*I *2458:serial_load_out O *D gpio_control_block +*I *2459:serial_load I *D gpio_control_block +*I *2467:serial_load I *D gpio_control_block +*I *2466:serial_load_out O *D gpio_control_block +*CAP +1 *2458:serial_load_out 0.000278414 +2 *2459:serial_load 0.00684241 +3 *2467:serial_load 0.00039929 +4 *2466:serial_load_out 0.000684626 +5 *475:40 0.00745939 +6 *475:37 0.00388656 +7 *475:36 0.003548 +8 *475:34 0.195157 +9 *475:33 0.195157 +10 *475:31 0.0414805 +11 *475:30 0.0414805 +12 *475:28 0.00286584 +13 *475:27 0.00286584 +14 *475:16 0.00867546 +15 *475:15 0.00896079 +16 *2458:serial_load_out *2351:10 3.84497e-05 +17 *2459:serial_load *2459:serial_data_in 0 +18 *2459:serial_load *2459:serial_load_out 3.71128e-05 +19 *2459:serial_load *476:28 0 +20 *2459:serial_load *476:31 0.000129337 +21 *2459:serial_load *507:10 0.000146476 +22 *2459:serial_load *507:18 0.000909965 +23 *2459:serial_load *1989:14 0.000562156 +24 *2459:serial_load *2097:8 0.000382785 +25 *2459:serial_load *2151:22 0.000708538 +26 *2467:serial_load *476:7 0.000204503 +27 *2467:serial_load *521:7 0.000204503 +28 *475:15 *2466:serial_data_in 0 +29 *475:15 *520:15 8.44986e-05 +30 *475:15 *2339:15 3.77653e-05 +31 *475:16 *2474:mprj_io_analog_pol[19] 0 +32 *475:16 *2474:mprj_io_analog_sel[19] 0 +33 *475:16 *2474:mprj_io_dm[58] 0 +34 *475:16 *2474:mprj_io_holdover[19] 0 +35 *475:16 *493:8 0 +36 *475:16 *1787:8 0 +37 *475:16 *1911:18 0 +38 *475:16 *1976:8 0.00133027 +39 *475:16 *2030:15 0.000678081 +40 *475:16 *2057:14 0 +41 *475:16 *2057:29 0 +42 *475:16 *2138:10 0 +43 *475:16 *2165:28 0 +44 *475:16 *2296:13 0 +45 *475:16 *2314:19 0 +46 *475:16 *2339:15 0 +47 *475:31 *485:41 0 +48 *475:31 *496:38 0.0243403 +49 *475:34 *1551:26 0.00120224 +50 *475:34 *1554:24 0.00132631 +51 *475:34 *2222:33 0.00133075 +52 *475:37 *2459:resetn 0.000318615 +53 *475:37 *476:28 1.48618e-05 +54 *475:37 *478:32 1.04758e-05 +55 *475:37 *493:51 0.0318714 +56 *475:37 *493:55 0.000236666 +57 *475:37 *494:26 0.0334809 +58 *475:37 *1825:16 0.000819002 +59 *475:37 *1826:23 0.00030076 +60 *475:37 *1864:14 0.000495239 +61 *2458:serial_load *2458:serial_load_out 7.68345e-05 +62 *2459:serial_clock *2459:serial_load 0.0182494 +63 *2466:serial_load *475:15 2.56241e-05 +64 *105:27 *475:34 0.00255922 +65 *107:84 *475:31 4.3116e-06 +66 *108:36 *475:28 0.0116764 +67 *108:39 *475:31 0.0401067 +68 *108:42 *475:34 0.399189 +69 *108:47 *475:37 4.22519e-05 +70 *109:60 *475:31 0.00210751 +71 *113:20 *475:31 0.0152391 +72 *472:23 *475:34 0.00180173 +73 *474:42 *475:16 0.00274791 +*RES +1 *2466:serial_load_out *475:15 26.5683 +2 *475:15 *475:16 251.814 +3 *475:16 *2467:serial_load 10.6185 +4 *475:16 *475:27 4.5 +5 *475:27 *475:28 124.955 +6 *475:28 *475:30 4.5 +7 *475:30 *475:31 1711.22 +8 *475:31 *475:33 3.36879 +9 *475:33 *475:34 507.906 +10 *475:34 *475:36 0.376635 +11 *475:36 *475:37 67.1468 +12 *475:37 *475:40 1.19142 +13 *475:40 *2459:serial_load 39.3525 +14 *475:40 *2458:serial_load_out 0.942825 +*END + +*D_NET *476 1.36218 +*CONN +*I *2468:serial_load I *D gpio_control_block +*I *2459:serial_load_out O *D gpio_control_block +*I *2460:serial_load I *D gpio_control_block +*I *2467:serial_load_out O *D gpio_control_block +*CAP +1 *2468:serial_load 0.00312194 +2 *2459:serial_load_out 6.46553e-05 +3 *2460:serial_load 0 +4 *2467:serial_load_out 0.000729129 +5 *476:40 0.00605725 +6 *476:39 0.00686402 +7 *476:34 0.00740093 +8 *476:33 0.00659416 +9 *476:31 0.000734818 +10 *476:28 0.00589088 +11 *476:27 0.00522071 +12 *476:25 0.0217321 +13 *476:24 0.0217321 +14 *476:22 0.00843431 +15 *476:20 0.0121875 +16 *476:8 0.0184763 +17 *476:7 0.0185742 +18 *2459:serial_load_out *2352:10 4.70618e-05 +19 *2468:serial_load *477:7 5.35899e-05 +20 *2468:serial_load *522:14 0.00128917 +21 *476:7 *521:7 0 +22 *476:7 *2341:12 2.12195e-05 +23 *476:8 *493:22 0 +24 *476:8 *493:29 0 +25 *476:8 *494:8 0.0210921 +26 *476:8 *521:8 0 +27 *476:20 *494:8 0.000135815 +28 *476:20 *522:14 0 +29 *476:22 *2474:mprj_io_analog_sel[22] 0.00019411 +30 *476:22 *2474:mprj_io_dm[68] 0.000223271 +31 *476:22 *477:14 0.00186582 +32 *476:22 *494:8 0.000574175 +33 *476:22 *494:20 0.0625991 +34 *476:22 *522:14 0 +35 *476:22 *523:8 0.000110809 +36 *476:22 *1844:18 0.000342008 +37 *476:22 *1845:16 0.000522092 +38 *476:22 *1919:10 0.000258066 +39 *476:22 *1929:8 0.000313476 +40 *476:22 *1953:8 0.000946932 +41 *476:22 *1979:10 0.000281066 +42 *476:22 *1979:14 0.000427103 +43 *476:22 *1982:8 0.000681341 +44 *476:25 *493:48 0.000193559 +45 *476:25 *494:23 0.414659 +46 *476:28 *477:32 0.0525856 +47 *476:28 *478:32 2.97235e-05 +48 *476:28 *494:26 0.0515845 +49 *476:31 *2352:10 2.5204e-05 +50 *476:34 *2352:10 1.5714e-05 +51 *476:40 *494:42 0.00209796 +52 *2446:serial_load_out *476:22 0 +53 *2459:serial_clock *476:28 0 +54 *2459:serial_load *2459:serial_load_out 3.71128e-05 +55 *2459:serial_load *476:28 0 +56 *2459:serial_load *476:31 0.000129337 +57 *2467:serial_load *476:7 0.000204503 +58 *109:103 *476:34 0.0191414 +59 *109:109 *476:40 0.0036637 +60 *111:45 *476:25 0.0475258 +61 *473:31 *476:25 0.0517972 +62 *474:53 *476:20 6.21462e-05 +63 *474:54 *476:20 0.000702084 +64 *474:54 *476:22 0.0678278 +65 *474:57 *476:25 0.414093 +66 *475:37 *476:28 1.48618e-05 +*RES +1 *2467:serial_load_out *476:7 5.80317 +2 *476:7 *476:8 705.892 +3 *476:8 *476:20 24.2201 +4 *476:20 *476:22 135.043 +5 *476:22 *476:24 0.376635 +6 *476:24 *476:25 523.855 +7 *476:25 *476:27 0.376635 +8 *476:27 *476:28 104.524 +9 *476:28 *476:31 2.14755 +10 *476:31 *476:33 3.36879 +11 *476:33 *476:34 305.589 +12 *476:34 *476:39 30.2437 +13 *476:39 *476:40 231.259 +14 *476:40 *2460:serial_load 3.36879 +15 *476:31 *2459:serial_load_out 0.292658 +16 *476:20 *2468:serial_load 24.6179 +*END + +*D_NET *477 0.949003 +*CONN +*I *2460:serial_load_out O *D gpio_control_block +*I *2449:serial_load I *D gpio_control_block +*I *2469:serial_load I *D gpio_control_block +*I *2468:serial_load_out O *D gpio_control_block +*CAP +1 *2460:serial_load_out 9.02885e-05 +2 *2449:serial_load 6.28555e-05 +3 *2469:serial_load 0.000162232 +4 *2468:serial_load_out 0.000412654 +5 *477:46 0.00320433 +6 *477:44 0.00399152 +7 *477:35 0.00157168 +8 *477:32 0.0166963 +9 *477:31 0.016065 +10 *477:29 0.0804088 +11 *477:28 0.0804088 +12 *477:26 0.0184559 +13 *477:25 0.0184559 +14 *477:17 0.000474365 +15 *477:14 0.00365627 +16 *477:13 0.00360371 +17 *477:8 0.00250453 +18 *477:7 0.00265761 +19 *2449:serial_load *2449:serial_load_out 4.891e-05 +20 *2449:serial_load *478:35 1.08428e-05 +21 *2449:serial_load *510:13 4.31122e-05 +22 *2449:serial_load *2099:15 1.61139e-05 +23 *2460:serial_load_out *2460:user_gpio_out 0 +24 *2460:serial_load_out *509:15 0 +25 *2460:serial_load_out *2353:10 3.74656e-05 +26 *2469:serial_load *478:10 0.000208248 +27 *2469:serial_load *523:7 0.000208248 +28 *477:7 *2468:serial_data_in 0 +29 *477:7 *522:14 0 +30 *477:7 *2342:13 8.18368e-05 +31 *477:8 *494:20 0.000204442 +32 *477:8 *523:8 0 +33 *477:8 *1790:8 0.00154711 +34 *477:8 *1817:8 6.80434e-05 +35 *477:8 *2087:8 0 +36 *477:8 *2141:8 0 +37 *477:8 *2168:8 0.000590812 +38 *477:14 *523:8 0.00269001 +39 *477:14 *1844:18 0.00224201 +40 *477:14 *1919:12 0.000115351 +41 *477:14 *1952:8 0.00239469 +42 *477:14 *1979:14 0.00275934 +43 *477:14 *1979:18 0.00389012 +44 *477:17 *478:10 1.98647e-05 +45 *477:17 *523:7 0.000123505 +46 *477:26 *2474:mprj_io_vtrip_sel[24] 0 +47 *477:26 *2474:mprj_io_out[22] 0.00015305 +48 *477:26 *2474:mprj_io_ib_mode_sel[23] 0 +49 *477:26 *2474:mprj_io_oeb[23] 0 +50 *477:26 *2474:mprj_io_out[23] 0.000356237 +51 *477:26 *2474:mprj_io_vtrip_sel[23] 0.00209855 +52 *477:26 *478:10 0.0172116 +53 *477:26 *486:44 0 +54 *477:26 *494:20 0 +55 *477:26 *495:44 0 +56 *477:26 *496:17 0 +57 *477:26 *1791:19 0.000411592 +58 *477:26 *1793:14 0.00067925 +59 *477:26 *1846:8 0.000981609 +60 *477:26 *1923:8 0.00066067 +61 *477:26 *1927:15 0.000140228 +62 *477:26 *1954:16 0.00070011 +63 *477:26 *1955:8 0.000229405 +64 *477:26 *2007:9 0.000246961 +65 *477:26 *2062:8 0.000879339 +66 *477:26 *2142:8 0.000295704 +67 *477:26 *2142:14 0.000313439 +68 *477:26 *2299:21 0.000169016 +69 *477:26 *2301:11 0.000437268 +70 *477:26 *2317:12 0.000139306 +71 *477:29 *2473:io_in_3v3[0] 0 +72 *477:29 *492:25 0.0540683 +73 *477:29 *494:23 0.414617 +74 *477:29 *2020:24 0.000726008 +75 *477:29 *2374:9 0 +76 *477:32 *478:32 0.0900709 +77 *477:32 *1798:14 0.00100548 +78 *477:32 *1800:14 0.000876323 +79 *477:32 *1801:14 0.000263647 +80 *477:32 *1827:20 0.000409501 +81 *477:32 *1828:14 0.000320798 +82 *477:32 *1861:16 0.000963396 +83 *477:32 *1864:20 0.000539902 +84 *477:32 *1873:14 0.000303582 +85 *477:32 *1990:8 0 +86 *477:32 *2069:14 0.000888379 +87 *477:32 *2151:16 0.000875832 +88 *477:35 *2098:13 2.93105e-05 +89 *477:35 *2179:13 0.000184544 +90 *477:44 *2460:user_gpio_out 9.51799e-07 +91 *477:44 *495:87 0.000632845 +92 *477:44 *1963:8 0.000965381 +93 *477:44 *1990:8 0.00017403 +94 *477:44 *2098:13 3.52699e-05 +95 *477:44 *2125:8 0.000681442 +96 *477:46 *495:87 0.0134748 +97 *477:46 *510:13 8.10016e-06 +98 *477:46 *1876:13 0.000558552 +99 *477:46 *1963:8 0.00468359 +100 *477:46 *1990:8 0.00179192 +101 *477:46 *2180:13 0.000122412 +102 *2446:serial_load_out *477:26 0.00893909 +103 *2468:serial_load *477:7 5.35899e-05 +104 *112:14 *477:26 0 +105 *476:22 *477:14 0.00186582 +106 *476:28 *477:32 0.0525856 +*RES +1 *2468:serial_load_out *477:7 4.8088 +2 *477:7 *477:8 77.2003 +3 *477:8 *477:13 13.6056 +4 *477:13 *477:14 189.734 +5 *477:14 *477:17 4.3748 +6 *477:17 *2469:serial_load 1.0958 +7 *477:17 *477:25 0.376635 +8 *477:25 *477:26 90.3505 +9 *477:26 *477:28 0.376635 +10 *477:28 *477:29 524.62 +11 *477:29 *477:31 0.376635 +12 *477:31 *477:32 178.479 +13 *477:32 *477:35 2.26228 +14 *477:35 *477:44 36.978 +15 *477:44 *477:46 230.27 +16 *477:46 *2449:serial_load 3.73793 +17 *477:35 *2460:serial_load_out 0.330903 +*END + +*D_NET *478 1.25494 +*CONN +*I *2449:serial_load_out O *D gpio_control_block +*I *2450:serial_load I *D gpio_control_block +*I *2470:serial_load I *D gpio_control_block +*I *2469:serial_load_out O *D gpio_control_block +*CAP +1 *2449:serial_load_out 9.59728e-05 +2 *2450:serial_load 0.00670638 +3 *2470:serial_load 0.000805579 +4 *2469:serial_load_out 0.0051344 +5 *478:49 0.00810219 +6 *478:35 0.0024573 +7 *478:32 0.0354848 +8 *478:31 0.0345193 +9 *478:29 0.0684617 +10 *478:28 0.0684617 +11 *478:26 0.00484564 +12 *478:25 0.00928243 +13 *478:10 0.0103768 +14 *2449:serial_load_out *2449:user_gpio_oeb 6.78021e-06 +15 *2449:serial_load_out *2449:user_gpio_out 0 +16 *2449:serial_load_out *2099:15 0 +17 *2450:serial_load *2450:serial_data_in 0 +18 *2450:serial_load *2450:serial_load_out 1.75765e-05 +19 *2450:serial_load *2474:mprj_io_analog_sel[7] 8.99001e-06 +20 *2450:serial_load *511:13 4.65656e-05 +21 *2450:serial_load *1830:13 0 +22 *2450:serial_load *1965:8 0 +23 *2450:serial_load *1990:8 0 +24 *2450:serial_load *2126:16 0 +25 *2470:serial_load *2470:serial_data_in 0 +26 *2470:serial_load *479:15 4.46752e-05 +27 *2470:serial_load *496:20 0.000355876 +28 *2470:serial_load *524:15 2.12195e-05 +29 *478:10 *2469:user_gpio_out 0 +30 *478:10 *494:20 0.00167858 +31 *478:10 *495:44 0 +32 *478:10 *1818:16 0.00060648 +33 *478:10 *1921:8 0.000710132 +34 *478:10 *1922:33 0.000130189 +35 *478:10 *2115:8 0.000989926 +36 *478:10 *2343:12 2.12195e-05 +37 *478:26 *479:31 4.0855e-05 +38 *478:26 *493:45 0.00997597 +39 *478:26 *1574:19 0.00491749 +40 *478:29 *493:48 0.399582 +41 *478:29 *497:34 0.00238228 +42 *478:32 *492:34 0.0023888 +43 *478:32 *505:14 0.00188046 +44 *478:32 *506:14 0.0025703 +45 *478:32 *1565:20 0.0011378 +46 *478:32 *1799:14 0.000904028 +47 *478:32 *1827:14 0.000535945 +48 *478:32 *1828:14 0.0024402 +49 *478:32 *1855:14 0.000245504 +50 *478:32 *1862:16 0.000991102 +51 *478:32 *1865:14 0.00120624 +52 *478:32 *1867:14 0.000942381 +53 *478:32 *1868:14 0.00107658 +54 *478:32 *1873:14 0.0021823 +55 *478:32 *1876:14 0.00013735 +56 *478:32 *1990:8 0 +57 *478:32 *2014:18 0.000230029 +58 *478:32 *2015:11 0.00147391 +59 *478:32 *2068:16 0.00101385 +60 *478:32 *2070:28 0.000345966 +61 *478:32 *2098:14 0.00566643 +62 *478:32 *2099:16 0.000477461 +63 *478:32 *2149:14 0.0013108 +64 *478:32 *2152:14 0.00254347 +65 *478:32 *2179:14 5.58573e-06 +66 *478:35 *2099:15 0 +67 *478:49 *1963:8 0 +68 *478:49 *1990:8 0.000209508 +69 *2449:serial_load *2449:serial_load_out 4.891e-05 +70 *2449:serial_load *478:35 1.08428e-05 +71 *2450:serial_clock *2450:serial_load 0.00467644 +72 *2450:serial_clock *478:49 0.000207156 +73 *2469:serial_load *478:10 0.000208248 +74 *107:90 *478:32 0.0016504 +75 *107:107 *478:32 0.00232784 +76 *108:42 *478:29 0.398827 +77 *111:42 *478:26 0.0247716 +78 *112:33 *478:29 0.00279601 +79 *474:57 *478:29 4.42985e-05 +80 *474:60 *478:32 0.00163931 +81 *474:75 *478:32 0.00220247 +82 *475:37 *478:32 1.04758e-05 +83 *476:28 *478:32 2.97235e-05 +84 *477:17 *478:10 1.98647e-05 +85 *477:26 *478:10 0.0172116 +86 *477:32 *478:32 0.0900709 +*RES +1 *2469:serial_load_out *478:10 36.8009 +2 *478:10 *2470:serial_load 21.4953 +3 *478:10 *478:25 19.0609 +4 *478:25 *478:26 410.44 +5 *478:26 *478:28 3.36879 +6 *478:28 *478:29 508.671 +7 *478:29 *478:31 0.376635 +8 *478:31 *478:32 216.085 +9 *478:32 *478:35 2.41526 +10 *478:35 *478:49 22.5369 +11 *478:49 *2450:serial_load 32.4301 +12 *478:35 *2449:serial_load_out 0.437324 +*END + +*D_NET *479 1.11307 +*CONN +*I *2450:serial_load_out O *D gpio_control_block +*I *2451:serial_load I *D gpio_control_block +*I *2446:serial_load I *D gpio_control_block +*I *2470:serial_load_out O *D gpio_control_block +*CAP +1 *2450:serial_load_out 0.00115902 +2 *2451:serial_load 0.000105233 +3 *2446:serial_load 0.00145422 +4 *2470:serial_load_out 0.00113254 +5 *479:52 0.000625176 +6 *479:43 0.00301953 +7 *479:42 0.00249959 +8 *479:40 0.00130472 +9 *479:37 0.0150498 +10 *479:36 0.014904 +11 *479:34 0.0263638 +12 *479:33 0.0263638 +13 *479:31 0.00139242 +14 *479:30 0.00139242 +15 *479:28 0.00320445 +16 *479:27 0.00320445 +17 *479:16 0.00466226 +18 *479:15 0.00434057 +19 *2446:serial_load *2446:serial_data_in 0 +20 *2446:serial_load *497:16 0 +21 *2446:serial_load *513:13 5.02212e-05 +22 *2446:serial_load *1560:29 0.000513945 +23 *2450:serial_load_out *511:13 0 +24 *2450:serial_load_out *1879:8 0 +25 *2450:serial_load_out *2355:12 1.29141e-05 +26 *2450:serial_load_out *2382:13 0.00132642 +27 *2451:serial_load *2452:serial_data_in 1.09423e-05 +28 *479:15 *496:20 1.66771e-05 +29 *479:15 *524:15 0.000656296 +30 *479:15 *2344:15 1.29018e-05 +31 *479:16 *497:16 0 +32 *479:16 *1560:29 0.0121348 +33 *479:16 *2371:16 0.0119264 +34 *479:28 *2399:13 0.000437454 +35 *479:31 *495:50 0.00846577 +36 *479:31 *1553:33 0.000281071 +37 *479:34 *1551:26 0.000160376 +38 *479:34 *1554:24 0.000154498 +39 *479:37 *497:37 1.2693e-05 +40 *479:37 *497:43 0 +41 *479:37 *2356:16 2.55661e-06 +42 *479:40 *2382:13 0.000207277 +43 *479:43 *486:61 0.000365677 +44 *479:43 *497:43 0.0158299 +45 *479:52 *2451:serial_data_in 2.1051e-05 +46 *479:52 *2451:user_gpio_oeb 7.77309e-06 +47 *479:52 *2330:10 0.000184601 +48 *479:52 *2330:11 0.00304351 +49 *479:52 *2357:13 0.00309016 +50 *2446:serial_load_out *2446:serial_load 0.0002035 +51 *2450:serial_load *2450:serial_load_out 1.75765e-05 +52 *2470:serial_load *479:15 4.46752e-05 +53 *101:37 *479:37 0.115362 +54 *108:42 *479:34 0.00238228 +55 *109:40 *479:16 0.00189414 +56 *109:61 *479:34 0.335471 +57 *110:88 *479:31 0.00848641 +58 *111:42 *479:31 8.6297e-06 +59 *112:30 *479:31 8.02838e-05 +60 *112:33 *479:34 0.347696 +61 *112:36 *479:37 0.114277 +62 *112:42 *479:43 0.0158545 +63 *468:13 *479:52 0.000151667 +64 *472:23 *479:34 0 +65 *478:26 *479:31 4.0855e-05 +*RES +1 *2470:serial_load_out *479:15 42.0948 +2 *479:15 *479:16 256.797 +3 *479:16 *2446:serial_load 15.6779 +4 *479:16 *479:27 4.5 +5 *479:27 *479:28 91.6784 +6 *479:28 *479:30 4.5 +7 *479:30 *479:31 141.357 +8 *479:31 *479:33 4.5 +9 *479:33 *479:34 3666.65 +10 *479:34 *479:36 4.5 +11 *479:36 *479:37 1843.06 +12 *479:37 *479:40 9.66022 +13 *479:40 *479:42 4.5 +14 *479:42 *479:43 270.5 +15 *479:43 *479:52 49.8184 +16 *479:52 *2451:serial_load 0.251087 +17 *479:40 *2450:serial_load_out 43.0278 +*END + +*D_NET *480 1.29759 +*CONN +*I *2444:serial_load I *D gpio_control_block +*I *2461:serial_load I *D gpio_control_block +*I *2471:serial_load O *D housekeeping +*CAP +1 *2444:serial_load 0.000589892 +2 *2461:serial_load 0.00143624 +3 *2471:serial_load 0.0013112 +4 *480:28 0.054002 +5 *480:27 0.0525657 +6 *480:25 0.00111818 +7 *480:17 0.0848404 +8 *480:16 0.0837222 +9 *480:14 0.00785559 +10 *480:13 0.00785559 +11 *480:11 0.000882544 +12 *480:8 0.00180842 +13 *480:7 0.00282697 +14 *2444:serial_load *2444:serial_data_in 0 +15 *2444:serial_load *499:15 0.000413016 +16 *2461:serial_load *2461:serial_data_in 0 +17 *2461:serial_load *2334:13 0.0115385 +18 *480:8 *498:8 0.000321904 +19 *480:8 *512:8 0.00954449 +20 *480:8 *1544:14 0.0003032 +21 *480:8 *1564:20 0 +22 *480:14 *485:50 0.00202783 +23 *480:14 *498:14 0.0157911 +24 *480:14 *499:16 0.0169637 +25 *480:14 *1823:19 0.000990376 +26 *480:14 *1823:20 0.00124392 +27 *480:14 *1935:14 0.000393517 +28 *480:14 *1935:23 0.00113596 +29 *480:14 *2012:11 0.000776534 +30 *480:17 *1574:10 0.0440279 +31 *480:17 *1607:31 0.0011778 +32 *480:17 *1607:35 0.00485587 +33 *480:17 *1609:33 0.00134687 +34 *480:17 *1609:37 0.00507928 +35 *480:17 *1611:33 0.000319727 +36 *480:17 *1611:35 0.0051791 +37 *480:17 *1716:27 0.00476053 +38 *480:17 *1718:25 0.00619868 +39 *480:17 *1722:25 0.00586024 +40 *480:17 *1724:27 0.000895693 +41 *480:17 *1738:31 0.000416377 +42 *480:17 *1740:29 0.000337843 +43 *480:17 *2183:37 0.000664751 +44 *480:25 *2478:la_input[3] 0.000489405 +45 *480:25 *485:41 0.0004231 +46 *480:25 *911:10 0 +47 *480:25 *1553:27 0 +48 *480:25 *1574:18 0.000414208 +49 *480:28 *493:45 0.10616 +50 *480:28 *498:22 0.00101546 +51 *480:28 *911:9 0.000234966 +52 *480:28 *1550:31 0.222937 +53 *480:28 *1574:19 0.010244 +54 *2444:serial_load_out *2444:serial_load 3.84497e-05 +55 *2461:serial_clock *2461:serial_load 0.0131675 +56 *99:10 *480:17 0.000984253 +57 *100:74 *480:25 0 +58 *100:77 *480:17 0.0863597 +59 *100:96 *2444:serial_load 0 +60 *113:8 *480:8 0.00990286 +61 *113:20 *480:25 0.000222955 +62 *467:12 *2461:serial_load 5.35899e-05 +63 *467:41 *480:25 8.51012e-06 +64 *467:42 *480:17 0.401551 +*RES +1 *2471:serial_load *480:7 8.25085 +2 *480:7 *480:8 168.556 +3 *480:8 *480:11 11.324 +4 *480:11 *480:13 4.5 +5 *480:13 *480:14 518.613 +6 *480:14 *480:16 3.36879 +7 *480:16 *480:17 507.486 +8 *480:17 *480:25 45.563 +9 *480:25 *480:27 4.5 +10 *480:27 *480:28 3620.55 +11 *480:28 *2461:serial_load 37.4138 +12 *480:11 *2444:serial_load 25.2705 +*END + +*D_NET *481 0.187429 +*CONN +*I *2474:gpio_mode0_core I *D chip_io_alt +*I *2478:gpio_mode0_pad O *D mgmt_core_wrapper +*CAP +1 *2474:gpio_mode0_core 0.00141133 +2 *2478:gpio_mode0_pad 0.000999138 +3 *481:25 0.00195643 +4 *481:20 0.00199905 +5 *481:19 0.00208351 +6 *481:15 0.0023617 +7 *481:10 0.0410584 +8 *481:9 0.0403254 +9 *2474:gpio_mode0_core *2474:gpio_mode1_core 0.000325899 +10 *481:10 *482:10 0.0517386 +11 *481:25 *2474:gpio_mode1_core 0 +12 *80:16 *2474:gpio_mode0_core 0 +13 *465:15 *2474:gpio_mode0_core 0.00048572 +14 *465:15 *481:25 0.00123957 +15 *465:16 *481:20 0.00669929 +16 *466:10 *481:10 0.0347446 +*RES +1 *2478:gpio_mode0_pad *481:9 32.383 +2 *481:9 *481:10 1331.22 +3 *481:10 *481:15 48.3824 +4 *481:15 *481:19 21.4887 +5 *481:19 *481:20 70.0488 +6 *481:20 *481:25 30.3488 +7 *481:25 *2474:gpio_mode0_core 45.6406 +*END + +*D_NET *482 0.109711 +*CONN +*I *2474:gpio_mode1_core I *D chip_io_alt +*I *2478:gpio_mode1_pad O *D mgmt_core_wrapper +*CAP +1 *2474:gpio_mode1_core 0.00116373 +2 *2478:gpio_mode1_pad 0.00104428 +3 *482:16 0.00203578 +4 *482:13 0.00257087 +5 *482:10 0.0111478 +6 *482:9 0.0104933 +7 *482:10 *483:10 0.0163159 +8 *2474:gpio_mode0_core *2474:gpio_mode1_core 0.000325899 +9 *75:46 *482:16 0.00107171 +10 *78:16 *2474:gpio_mode1_core 0 +11 *80:16 *482:16 0.00399081 +12 *89:28 *2474:gpio_mode1_core 0.000863521 +13 *466:10 *482:10 0.00694851 +14 *481:10 *482:10 0.0517386 +15 *481:25 *2474:gpio_mode1_core 0 +*RES +1 *2478:gpio_mode1_pad *482:9 33.0913 +2 *482:9 *482:10 565.31 +3 *482:10 *482:13 47.442 +4 *482:13 *482:16 46.2641 +5 *482:16 *2474:gpio_mode1_core 20.264 +*END + +*D_NET *483 0.0408278 +*CONN +*I *2474:gpio_out_core I *D chip_io_alt +*I *2478:gpio_out_pad O *D mgmt_core_wrapper +*CAP +1 *2474:gpio_out_core 0.00152649 +2 *2478:gpio_out_pad 0.00102968 +3 *483:10 0.00929777 +4 *483:9 0.00880095 +5 *466:10 *483:10 0.00385697 +6 *482:10 *483:10 0.0163159 +*RES +1 *2478:gpio_out_pad *483:9 32.6761 +2 *483:9 *483:10 289.672 +3 *483:10 *2474:gpio_out_core 43.4116 +*END + +*D_NET *484 0.0111172 +*CONN +*I *2474:gpio_outenb_core I *D chip_io_alt +*I *2478:gpio_outenb_pad O *D mgmt_core_wrapper +*CAP +1 *2474:gpio_outenb_core 0.000231632 +2 *2478:gpio_outenb_pad 9.24304e-05 +3 *484:10 0.00288697 +4 *484:9 0.00265534 +5 *484:7 0.00231718 +6 *484:5 0.00240961 +7 *484:10 *2219:11 0.000524076 +*RES +1 *2478:gpio_outenb_pad *484:5 2.05183 +2 *484:5 *484:7 61.0054 +3 *484:7 *484:9 4.5 +4 *484:9 *484:10 80.5863 +5 *484:10 *2474:gpio_outenb_core 10.9486 +*END + +*D_NET *485 1.44427 +*CONN +*I *2445:resetn I *D gpio_control_block +*I *2444:resetn_out O *D gpio_control_block +*I *2462:resetn I *D gpio_control_block +*I *2461:resetn_out O *D gpio_control_block +*CAP +1 *2445:resetn 9.45324e-05 +2 *2444:resetn_out 0.000370513 +3 *2462:resetn 0.000804024 +4 *2461:resetn_out 0.000700969 +5 *485:85 2.68684e-05 +6 *485:65 0.00197719 +7 *485:63 0.00163245 +8 *485:61 0.00250552 +9 *485:60 0.0029184 +10 *485:53 0.0024079 +11 *485:52 0.00196925 +12 *485:50 0.00673909 +13 *485:44 0.0300216 +14 *485:43 0.0233771 +15 *485:41 0.0457594 +16 *485:40 0.0457594 +17 *485:38 0.00256296 +18 *485:26 0.00339478 +19 *485:23 0.00385393 +20 *485:22 0.0039179 +21 *485:17 0.000814148 +22 *485:16 0.000722371 +23 *485:14 0.00812833 +24 *485:13 0.00812833 +25 *485:11 0.00298841 +26 *485:10 0.0063528 +27 *485:7 0.00403849 +28 *2444:resetn_out *2444:resetn 2.12195e-05 +29 *2444:resetn_out *2155:13 0 +30 *2445:resetn *2445:resetn_out 4.31122e-05 +31 *2445:resetn *2085:7 0 +32 *2445:resetn *2166:13 3.84497e-05 +33 *2462:resetn *489:7 0.000267264 +34 *2462:resetn *516:8 0 +35 *2462:resetn *2161:7 0.000271938 +36 *485:14 *1894:11 7.56549e-05 +37 *485:17 *1836:14 0 +38 *485:17 *2052:8 0.00363982 +39 *485:17 *2079:8 0.00454127 +40 *485:17 *2106:17 1.14755e-05 +41 *485:17 *2106:21 0.00113432 +42 *485:23 *516:8 0 +43 *485:23 *2025:9 0 +44 *485:23 *2106:21 0.000544925 +45 *485:23 *2160:8 0 +46 *485:23 *2326:13 0.00032133 +47 *485:41 *2478:la_input[3] 0 +48 *485:41 *490:16 0.160104 +49 *485:41 *491:45 1.56527e-05 +50 *485:41 *1178:7 0.00385396 +51 *485:41 *1553:27 0 +52 *485:44 *498:17 0.401562 +53 *485:44 *1713:25 0.000782018 +54 *485:44 *1720:24 0.00579314 +55 *485:44 *2193:11 0.0158453 +56 *485:50 *498:14 0.00215031 +57 *485:50 *1565:20 0.00215031 +58 *485:50 *1823:19 0.000327945 +59 *485:50 *1823:20 0.000513186 +60 *485:50 *1924:14 0.000892143 +61 *485:50 *1935:14 0.000459689 +62 *485:50 *2012:11 0.00087705 +63 *485:50 *2085:7 0 +64 *485:53 *2445:pad_gpio_in 0.000134703 +65 *485:53 *2474:mprj_io_oeb[1] 0 +66 *485:53 *1544:13 4.89469e-06 +67 *485:53 *1788:13 4.3116e-06 +68 *485:53 *1815:13 9.20603e-05 +69 *485:53 *1842:13 8.35615e-06 +70 *485:53 *1891:13 0.000130139 +71 *485:53 *1902:13 5.88867e-05 +72 *485:53 *1913:13 6.19102e-05 +73 *485:53 *1950:13 3.93117e-06 +74 *485:53 *1977:8 0.00285572 +75 *485:53 *2058:13 5.88867e-05 +76 *485:53 *2085:8 0.000289922 +77 *485:53 *2112:13 6.19102e-05 +78 *485:53 *2139:13 8.67462e-05 +79 *485:53 *2166:13 1.38204e-05 +80 *485:60 *2474:mprj_io_vtrip_sel[1] 0 +81 *485:60 *1977:19 5.481e-05 +82 *485:61 *1842:18 0.00157562 +83 *485:61 *2004:11 0 +84 *2444:serial_clock *2444:resetn_out 4.31122e-05 +85 *2444:serial_clock *485:65 0 +86 *2444:serial_clock_out *2444:resetn_out 0 +87 *2445:serial_clock *2445:resetn 0 +88 *2445:serial_clock *485:50 0 +89 *100:13 *485:7 0.00130834 +90 *100:13 *485:10 0.0143405 +91 *100:71 *485:26 0.000220183 +92 *100:71 *485:38 0.0110621 +93 *100:74 *485:41 0 +94 *100:84 *485:53 0 +95 *100:90 *485:61 0.00363386 +96 *100:96 *485:65 0.000347035 +97 *106:34 *485:41 8.6297e-06 +98 *108:39 *485:41 0 +99 *113:17 *485:44 0.400485 +100 *467:33 *485:41 0.0232972 +101 *467:41 *485:41 0.000349904 +102 *467:41 *485:44 0 +103 *467:42 *485:44 0.000102622 +104 *467:48 *485:50 0.0169073 +105 *467:55 *485:61 0.00178881 +106 *467:55 *485:65 0.00318611 +107 *471:15 *485:26 9.90116e-05 +108 *471:15 *485:38 8.90486e-05 +109 *471:16 *2462:resetn 0 +110 *473:28 *485:41 0.140845 +111 *475:31 *485:41 0 +112 *480:14 *485:50 0.00202783 +113 *480:25 *485:41 0.0004231 +*RES +1 *2461:resetn_out *485:7 33.4847 +2 *485:7 *485:10 23.8415 +3 *485:10 *485:11 84.6748 +4 *485:11 *485:13 4.5 +5 *485:13 *485:14 226.447 +6 *485:14 *485:16 4.5 +7 *485:16 *485:17 77.4079 +8 *485:17 *485:22 10.8326 +9 *485:22 *485:23 115.196 +10 *485:23 *485:26 6.88721 +11 *485:26 *2462:resetn 25.8058 +12 *485:26 *485:38 118.854 +13 *485:38 *485:40 4.5 +14 *485:40 *485:41 3395.9 +15 *485:41 *485:43 3.36879 +16 *485:43 *485:44 507.83 +17 *485:44 *485:50 38.5047 +18 *485:50 *485:52 3.36879 +19 *485:52 *485:53 80.9375 +20 *485:53 *485:60 20.7431 +21 *485:60 *485:61 117.895 +22 *485:61 *485:63 0.732798 +23 *485:63 *485:65 67.5884 +24 *485:65 *2444:resetn_out 8.35777 +25 *485:50 *2445:resetn 0.445638 +26 *2461:resetn_out *485:85 0.0631875 +*END + +*D_NET *486 0.977288 +*CONN +*I *2452:resetn I *D gpio_control_block +*I *2446:resetn_out O *D gpio_control_block +*I *2447:resetn I *D gpio_control_block +*I *2451:resetn_out O *D gpio_control_block +*CAP +1 *2452:resetn 0.000423946 +2 *2446:resetn_out 0.00116496 +3 *2447:resetn 0.00173369 +4 *2451:resetn_out 0.000373174 +5 *486:66 0.00135782 +6 *486:61 0.0103514 +7 *486:60 0.00941754 +8 *486:55 1.65112e-05 +9 *486:50 0.00593917 +10 *486:45 0.00746063 +11 *486:44 0.0103601 +12 *486:29 0.0096058 +13 *486:21 0.0820296 +14 *486:20 0.0818476 +15 *486:18 0.0173602 +16 *486:17 0.0175509 +17 *486:13 0.00104149 +18 *486:12 0.00122402 +19 *2447:resetn *2447:resetn_out 5.56976e-05 +20 *2447:resetn *2172:7 6.59948e-05 +21 *2452:resetn *2049:13 0.000115266 +22 *2452:resetn *2076:13 0.000178041 +23 *486:12 *2451:resetn 6.25838e-06 +24 *486:12 *497:49 0.000335926 +25 *486:13 *497:49 0.0032092 +26 *486:13 *2048:13 0.00095438 +27 *486:21 *812:8 0 +28 *486:21 *815:8 0.00282239 +29 *486:21 *1007:14 0 +30 *486:21 *1012:14 0 +31 *486:21 *1074:8 0 +32 *486:29 *2036:7 5.94052e-06 +33 *486:44 *2474:mprj_io_holdover[24] 0.000321121 +34 *486:44 *2474:mprj_io_out[24] 0.000184273 +35 *486:44 *2474:mprj_io_vtrip_sel[24] 0.00647548 +36 *486:44 *1927:15 0.000376332 +37 *486:44 *2009:11 0.000223362 +38 *486:44 *2036:7 0.000345713 +39 *486:61 *487:18 0 +40 *486:61 *487:46 2.95757e-05 +41 *486:61 *497:43 1.44611e-05 +42 *486:66 *487:13 0.0032946 +43 *486:66 *487:17 6.08467e-05 +44 *486:66 *2049:13 0 +45 *2446:gpio_defaults[0] *486:45 0 +46 *2446:serial_clock_out *2446:resetn_out 0.00140059 +47 *2446:serial_load_out *486:44 0 +48 *2447:serial_clock *2447:resetn 0.00186801 +49 *2447:serial_clock *486:44 1.83572e-05 +50 *2448:serial_load *2447:resetn 0.000425575 +51 *2448:serial_load *486:44 5.86491e-06 +52 *2451:serial_clock *486:12 8.42618e-05 +53 *2452:serial_clock *2452:resetn 0 +54 *101:22 *2452:resetn 0 +55 *101:36 *486:13 0 +56 *101:36 *486:17 0 +57 *101:37 *486:18 0.136092 +58 *101:37 *486:61 0 +59 *101:40 *486:21 0.358501 +60 *101:48 *486:29 0.000380888 +61 *101:63 *486:44 0.0231092 +62 *101:64 *486:45 0.00624046 +63 *102:13 *2452:resetn 0 +64 *468:18 *486:18 0.136093 +65 *468:18 *486:61 0 +66 *468:21 *486:21 0.034295 +67 *468:49 *486:61 0 +68 *469:28 *486:44 5.57527e-05 +69 *469:42 *486:29 1.98294e-05 +70 *477:26 *486:44 0 +71 *479:43 *486:61 0.000365677 +*RES +1 *2451:resetn_out *486:12 16.8917 +2 *486:12 *486:13 36.7726 +3 *486:13 *486:17 9.10562 +4 *486:17 *486:18 2174.85 +5 *486:18 *486:20 4.5 +6 *486:20 *486:21 3780.35 +7 *486:21 *486:29 10.0016 +8 *486:29 *2447:resetn 9.78798 +9 *486:29 *486:44 49.4822 +10 *486:44 *486:45 113.95 +11 *486:45 *486:50 24.9921 +12 *486:50 *2446:resetn_out 44.2345 +13 *2446:resetn_out *486:55 0.0631875 +14 *486:13 *486:60 4.5 +15 *486:60 *486:61 270.5 +16 *486:61 *486:66 45.218 +17 *486:66 *2452:resetn 14.4679 +*END + +*D_NET *487 0.972916 +*CONN +*I *2453:resetn I *D gpio_control_block +*I *2447:resetn_out O *D gpio_control_block +*I *2448:resetn I *D gpio_control_block +*I *2452:resetn_out O *D gpio_control_block +*CAP +1 *2453:resetn 0.000473828 +2 *2447:resetn_out 0.000986394 +3 *2448:resetn 0.00219024 +4 *2452:resetn_out 0.000570117 +5 *487:46 0.00681506 +6 *487:45 0.00634123 +7 *487:36 0.00436446 +8 *487:35 0.00337807 +9 *487:25 0.00234012 +10 *487:21 0.0741266 +11 *487:20 0.0739768 +12 *487:18 0.0291902 +13 *487:17 0.0294271 +14 *487:13 0.00162962 +15 *487:12 0.00196279 +16 *2447:resetn_out *2145:7 0 +17 *2447:resetn_out *2172:7 0 +18 *2448:resetn *2448:resetn_out 3.7591e-06 +19 *2453:resetn *488:11 0.00319704 +20 *2453:resetn *2050:13 1.92172e-05 +21 *2453:resetn *2131:10 6.75696e-05 +22 *2453:resetn *2158:10 3.74656e-05 +23 *487:12 *2130:10 0.000318589 +24 *487:13 *2452:pad_gpio_in 7.49541e-05 +25 *487:13 *1968:13 0.000574696 +26 *487:18 *2356:16 0 +27 *487:21 *1573:10 0.022849 +28 *487:21 *1574:18 0.000599849 +29 *487:21 *1577:16 0.000260374 +30 *487:25 *1573:10 5.04351e-05 +31 *487:36 *1557:27 0.00323471 +32 *487:36 *1575:19 0.00658658 +33 *2447:resetn *2447:resetn_out 5.56976e-05 +34 *2447:serial_clock_out *2447:resetn_out 0 +35 *2448:serial_clock_out *2448:resetn 0 +36 *101:37 *487:18 1.27831e-06 +37 *102:18 *487:18 0.170906 +38 *102:21 *487:21 0.35549 +39 *102:21 *487:25 0.000751257 +40 *102:54 *487:46 0.0168493 +41 *102:60 *2453:resetn 0.00302181 +42 *103:15 *2453:resetn 6.11359e-06 +43 *468:18 *487:18 0.137263 +44 *468:49 *487:46 0.00036177 +45 *469:18 *487:46 0 +46 *469:57 *487:46 9.22013e-06 +47 *471:24 *487:36 0.0091684 +48 *486:61 *487:18 0 +49 *486:61 *487:46 2.95757e-05 +50 *486:66 *487:13 0.0032946 +51 *486:66 *487:17 6.08467e-05 +*RES +1 *2452:resetn_out *487:12 21.8747 +2 *487:12 *487:13 52.3015 +3 *487:13 *487:17 10.7694 +4 *487:17 *487:18 2731.7 +5 *487:18 *487:20 4.5 +6 *487:20 *487:21 3760.38 +7 *487:21 *487:25 12.4332 +8 *487:25 *2448:resetn 48.8763 +9 *487:21 *487:35 4.5 +10 *487:35 *487:36 222.954 +11 *487:36 *2447:resetn_out 6.79754 +12 *487:13 *487:45 4.5 +13 *487:45 *487:46 287.526 +14 *487:46 *2453:resetn 48.3483 +*END + +*D_NET *488 0.925343 +*CONN +*I *2454:resetn I *D gpio_control_block +*I *2448:resetn_out O *D gpio_control_block +*I *2453:resetn_out O *D gpio_control_block +*CAP +1 *2454:resetn 0.00135148 +2 *2448:resetn_out 0.00055689 +3 *2453:resetn_out 0.000800226 +4 *488:52 0.0250142 +5 *488:51 0.0238023 +6 *488:35 0.0751574 +7 *488:34 0.0746005 +8 *488:32 0.00300652 +9 *488:31 0.00300652 +10 *488:29 0.00243325 +11 *488:28 0.00243325 +12 *488:26 0.0065851 +13 *488:25 0.0065851 +14 *488:23 0.00360299 +15 *488:22 0.00360299 +16 *488:20 0.0643103 +17 *488:19 0.0643103 +18 *488:17 0.0043575 +19 *488:16 0.00474864 +20 *488:11 0.00105176 +21 *2448:resetn_out *2448:serial_data_in 0.000258162 +22 *2454:resetn *2132:13 0 +23 *488:11 *2131:10 1.2366e-05 +24 *488:11 *2158:10 0 +25 *488:32 *2471:wb_dat_i[1] 0.000728314 +26 *488:32 *1735:13 0 +27 *488:35 *525:11 0 +28 *2448:resetn *2448:resetn_out 3.7591e-06 +29 *2448:serial_clock_out *2448:resetn_out 2.44479e-06 +30 *2448:serial_load_out *2448:resetn_out 8.10016e-06 +31 *2453:resetn *488:11 0.00319704 +32 *2453:serial_clock *488:11 4.2387e-05 +33 *2454:serial_clock *2454:resetn 0 +34 *102:60 *488:11 0.000197406 +35 *103:15 *488:11 0.000845972 +36 *103:19 *488:11 0.00043038 +37 *103:20 *488:16 0 +38 *103:38 *488:20 0.157626 +39 *103:59 *488:35 0.322046 +40 *103:72 *488:52 8.01542e-05 +41 *469:22 *488:20 3.26582e-06 +42 *469:57 *488:16 0 +43 *469:57 *488:52 0.000554108 +44 *470:34 *488:52 0.0679899 +*RES +1 *2453:resetn_out *488:11 48.2195 +2 *488:11 *488:16 15.815 +3 *488:16 *488:17 120.518 +4 *488:17 *488:19 4.5 +5 *488:19 *488:20 2789 +6 *488:20 *488:22 4.5 +7 *488:22 *488:23 99.9974 +8 *488:23 *488:25 4.5 +9 *488:25 *488:26 185.789 +10 *488:26 *488:28 4.5 +11 *488:28 *488:29 67.8304 +12 *488:29 *488:31 4.5 +13 *488:31 *488:32 76.1621 +14 *488:32 *488:34 4.5 +15 *488:34 *488:35 3500.83 +16 *488:35 *2448:resetn_out 23.4226 +17 *488:16 *488:51 7.99641 +18 *488:51 *488:52 1096.85 +19 *488:52 *2454:resetn 46.9632 +*END + +*D_NET *489 1.25746 +*CONN +*I *2455:resetn I *D gpio_control_block +*I *2445:resetn_out O *D gpio_control_block +*I *2463:resetn I *D gpio_control_block +*I *2462:resetn_out O *D gpio_control_block +*CAP +1 *2455:resetn 0.000176974 +2 *2445:resetn_out 0.000522251 +3 *2463:resetn 0.000467769 +4 *2462:resetn_out 0.000512538 +5 *489:49 0.00333431 +6 *489:47 0.00283784 +7 *489:45 0.000980431 +8 *489:44 0.00176753 +9 *489:35 0.00160841 +10 *489:34 0.000795535 +11 *489:32 0.02735 +12 *489:31 0.027173 +13 *489:29 0.0252628 +14 *489:28 0.0252628 +15 *489:26 0.00443273 +16 *489:25 0.00443273 +17 *489:14 0.00535592 +18 *489:13 0.00528369 +19 *489:8 0.00156644 +20 *489:7 0.00168343 +21 *2445:resetn_out *2445:serial_data_in 0 +22 *2455:resetn *2455:resetn_out 4.31122e-05 +23 *2455:resetn *2174:13 3.84497e-05 +24 *2463:resetn *490:7 0.000210918 +25 *2463:resetn *2162:7 0.000210918 +26 *489:7 *2462:serial_data_in 0 +27 *489:7 *2161:7 0 +28 *489:8 *517:20 0.00045517 +29 *489:8 *1899:8 1.87269e-05 +30 *489:8 *1945:8 0.00357093 +31 *489:8 *2080:14 0.00228543 +32 *489:8 *2161:8 0.00699644 +33 *489:13 *2474:mprj_io_analog_en[15] 5.04829e-06 +34 *489:13 *2474:mprj_io_inp_dis[15] 0 +35 *489:13 *2161:13 0 +36 *489:14 *2474:mprj_io_dm[45] 0 +37 *489:14 *2026:9 0.000473066 +38 *489:14 *2107:14 0 +39 *489:14 *2161:17 0 +40 *489:14 *2309:13 0 +41 *489:26 *2336:15 0.00141018 +42 *489:32 *1562:19 0.000135103 +43 *489:32 *1571:10 0.342348 +44 *489:32 *1572:10 4.4379e-05 +45 *489:35 *2455:mgmt_gpio_out 0.000100924 +46 *489:35 *503:26 0.000175656 +47 *489:35 *2147:8 0.00466969 +48 *489:45 *503:20 0.00455234 +49 *489:45 *1935:24 0 +50 *2445:resetn *2445:resetn_out 4.31122e-05 +51 *2445:serial_clock *2445:resetn_out 4.31122e-05 +52 *2455:serial_clock *2455:resetn 0.000196651 +53 *2462:resetn *489:7 0.000267264 +54 *100:80 *2445:resetn_out 0 +55 *100:84 *2445:resetn_out 0 +56 *104:34 *489:29 0.194165 +57 *104:37 *2455:resetn 4.66492e-05 +58 *104:37 *489:32 0.349441 +59 *104:40 *2455:resetn 0 +60 *104:40 *489:35 0.00501558 +61 *104:50 *489:45 0.00320921 +62 *104:56 *489:45 1.90395e-05 +63 *104:56 *489:49 0.0020682 +64 *467:33 *489:29 0.194161 +65 *467:53 *489:49 0.000235981 +66 *471:16 *489:14 0 +67 *471:23 *489:14 0 +*RES +1 *2462:resetn_out *489:7 5.49721 +2 *489:7 *489:8 117.064 +3 *489:8 *489:13 16.9332 +4 *489:13 *489:14 140.526 +5 *489:14 *2463:resetn 13.11 +6 *489:14 *489:25 4.5 +7 *489:25 *489:26 119.409 +8 *489:26 *489:28 4.5 +9 *489:28 *489:29 3108.75 +10 *489:29 *489:31 4.5 +11 *489:31 *489:32 3696.05 +12 *489:32 *489:34 4.5 +13 *489:34 *489:35 81.768 +14 *489:35 *489:44 8.62987 +15 *489:44 *489:45 74.0859 +16 *489:45 *489:47 0.732798 +17 *489:47 *489:49 105.437 +18 *489:49 *2445:resetn_out 25.0493 +19 *489:32 *2455:resetn 12.2359 +*END + +*D_NET *490 0.698623 +*CONN +*I *2464:resetn I *D gpio_control_block +*I *2456:resetn I *D gpio_control_block +*I *2455:resetn_out O *D gpio_control_block +*I *2463:resetn_out O *D gpio_control_block +*CAP +1 *2464:resetn 0.000637513 +2 *2456:resetn 0.000293262 +3 *2455:resetn_out 0.0107419 +4 *2463:resetn_out 0.000670727 +5 *490:25 0.0115893 +6 *490:19 0.133851 +7 *490:18 0.133297 +8 *490:16 0.0207306 +9 *490:15 0.0207306 +10 *490:13 0.00409986 +11 *490:12 0.00431089 +12 *490:8 0.00725115 +13 *490:7 0.00707334 +14 *2455:resetn_out *2455:serial_data_in 0 +15 *2455:resetn_out *2474:mprj_io_analog_en[3] 0.000106863 +16 *2455:resetn_out *2474:mprj_io_holdover[3] 0.000240961 +17 *2455:resetn_out *2474:mprj_io_oeb[3] 0.000113186 +18 *2455:resetn_out *2474:mprj_io_out[3] 0.000107678 +19 *2455:resetn_out *2474:mprj_io_slow_sel[3] 0.000102556 +20 *2455:resetn_out *504:8 0.00160609 +21 *2455:resetn_out *504:12 0.000974597 +22 *2455:resetn_out *1851:16 0.000404814 +23 *2455:resetn_out *1851:22 0.000266116 +24 *2455:resetn_out *1860:16 0.000386267 +25 *2455:resetn_out *1959:18 0.000391491 +26 *2455:resetn_out *1986:14 0.000539902 +27 *2455:resetn_out *2013:7 0.000482261 +28 *2455:resetn_out *2013:13 0.00080517 +29 *2455:resetn_out *2040:19 0.000141831 +30 *2455:resetn_out *2094:8 0.000273081 +31 *2455:resetn_out *2147:7 0 +32 *2456:resetn *2094:7 0 +33 *2456:resetn *2175:13 3.84497e-05 +34 *2464:resetn *2082:10 0 +35 *2464:resetn *2163:7 0.000286481 +36 *490:7 *2135:7 0 +37 *490:7 *2162:7 0 +38 *2455:resetn *2455:resetn_out 4.31122e-05 +39 *2455:serial_clock *2455:resetn_out 5.37048e-05 +40 *2455:serial_clock_out *2455:resetn_out 0 +41 *2456:serial_clock *2456:resetn 0 +42 *2463:resetn *490:7 0.000210918 +43 *2464:serial_clock *2464:resetn 0 +44 *105:7 *490:7 0 +45 *105:8 *490:8 0.00701861 +46 *105:12 *490:8 0.0045391 +47 *105:23 *2464:resetn 0 +48 *105:32 *2455:resetn_out 0.000315887 +49 *105:43 *2455:resetn_out 0.000272364 +50 *105:52 *2455:resetn_out 0.00016984 +51 *105:54 *2455:resetn_out 0.000629915 +52 *105:60 *2455:resetn_out 0.000614381 +53 *106:13 *490:8 0 +54 *106:13 *490:12 0 +55 *467:33 *490:16 0.160109 +56 *468:21 *490:19 0 +57 *471:24 *490:8 0 +58 *471:24 *490:12 0 +59 *472:32 *2455:resetn_out 0.00031116 +60 *472:38 *2455:resetn_out 0.0010111 +61 *472:44 *2455:resetn_out 0.000674611 +62 *485:41 *490:16 0.160104 +*RES +1 *2463:resetn_out *490:7 5.95615 +2 *490:7 *490:8 266.763 +3 *490:8 *490:12 10.2769 +4 *490:12 *490:13 109.426 +5 *490:13 *490:15 4.5 +6 *490:15 *490:16 2562.48 +7 *490:16 *490:18 3.36879 +8 *490:18 *490:19 508.365 +9 *490:19 *490:25 2.6859 +10 *490:25 *2455:resetn_out 39.3525 +11 *490:25 *2456:resetn 0.942825 +12 *490:8 *2464:resetn 5.95615 +*END + +*D_NET *491 1.24267 +*CONN +*I *2456:resetn_out O *D gpio_control_block +*I *2457:resetn I *D gpio_control_block +*I *2465:resetn I *D gpio_control_block +*I *2464:resetn_out O *D gpio_control_block +*CAP +1 *2456:resetn_out 8.86388e-05 +2 *2457:resetn 0.00120379 +3 *2465:resetn 0.000620568 +4 *2464:resetn_out 0.00584431 +5 *491:71 0.00185956 +6 *491:70 0.00308463 +7 *491:51 0.00286059 +8 *491:50 0.0016568 +9 *491:48 0.0239761 +10 *491:47 0.0226624 +11 *491:45 0.0213579 +12 *491:44 0.0213579 +13 *491:42 0.00441558 +14 *491:41 0.00441558 +15 *491:28 0.00332314 +16 *491:27 0.00270257 +17 *491:25 0.000637349 +18 *491:17 0.00489074 +19 *491:16 0.0042534 +20 *491:14 0.00298062 +21 *491:13 0.00882492 +22 *2457:resetn *2457:resetn_out 1.29141e-05 +23 *2457:resetn *492:31 0 +24 *2457:resetn *2176:13 0.000155558 +25 *2465:resetn *492:9 0.00151334 +26 *2465:resetn *519:10 2.71975e-05 +27 *2465:resetn *520:16 0.000215786 +28 *2465:resetn *2164:9 0.000132991 +29 *491:17 *1839:33 0.0195892 +30 *491:25 *1904:13 0.000244445 +31 *491:25 *1906:8 0.0015941 +32 *491:25 *1947:13 1.61631e-05 +33 *491:25 *2001:13 0 +34 *491:25 *2136:8 0.0016265 +35 *491:25 *2294:14 2.57111e-05 +36 *491:28 *519:10 0.000160387 +37 *491:28 *1904:16 0.000375885 +38 *491:28 *1905:8 0.00146064 +39 *491:28 *1947:23 0.00192077 +40 *491:28 *2163:14 1.2819e-05 +41 *491:28 *2163:23 0.000383673 +42 *491:48 *2473:la_data_in[57] 0.000164024 +43 *491:48 *493:48 0.051813 +44 *491:48 *496:41 0.351063 +45 *491:48 *497:34 0.346955 +46 *491:51 *492:28 0.0117947 +47 *491:51 *1537:20 4.11944e-05 +48 *491:70 *496:41 0.000497846 +49 *106:14 *491:28 0.0098849 +50 *106:34 *491:45 0.139358 +51 *106:37 *491:48 1.00981e-05 +52 *106:40 *491:51 0.0118845 +53 *106:59 *491:70 0.00088455 +54 *106:60 *491:71 0.0045918 +55 *107:84 *491:45 0.123933 +56 *107:87 *491:48 4.03336e-05 +57 *107:87 *491:70 9.80747e-05 +58 *108:39 *491:45 1.47875e-05 +59 *113:20 *491:45 0.0167407 +60 *472:23 *491:48 0 +61 *472:23 *491:70 0 +62 *474:14 *2465:resetn 0.000397726 +63 *485:41 *491:45 1.56527e-05 +*RES +1 *2464:resetn_out *491:13 26.4136 +2 *491:13 *491:14 84.2596 +3 *491:14 *491:16 4.5 +4 *491:16 *491:17 204.818 +5 *491:17 *491:25 45.5076 +6 *491:25 *491:27 4.5 +7 *491:27 *491:28 166.272 +8 *491:28 *2465:resetn 19.5692 +9 *491:28 *491:41 4.5 +10 *491:41 *491:42 116.636 +11 *491:42 *491:44 4.5 +12 *491:44 *491:45 2230.49 +13 *491:45 *491:47 4.5 +14 *491:47 *491:48 3669.98 +15 *491:48 *491:50 4.5 +16 *491:50 *491:51 191.602 +17 *491:51 *2457:resetn 39.7633 +18 *491:48 *491:70 49.0371 +19 *491:70 *491:71 78.446 +20 *491:71 *2456:resetn_out 3.708 +*END + +*D_NET *492 0.896982 +*CONN +*I *2457:resetn_out O *D gpio_control_block +*I *2458:resetn I *D gpio_control_block +*I *2466:resetn I *D gpio_control_block +*I *2465:resetn_out O *D gpio_control_block +*CAP +1 *2457:resetn_out 0.000774602 +2 *2458:resetn 0.000719496 +3 *2466:resetn 0.000491457 +4 *2465:resetn_out 0.000451265 +5 *492:34 0.00296828 +6 *492:33 0.00224879 +7 *492:31 0.00123772 +8 *492:28 0.001979 +9 *492:27 0.00151589 +10 *492:25 0.0789435 +11 *492:24 0.0789435 +12 *492:22 0.0315738 +13 *492:21 0.0319947 +14 *492:12 0.000912331 +15 *492:10 0.00650535 +16 *492:9 0.00695662 +17 *2458:resetn *2458:resetn_out 3.84497e-05 +18 *2458:resetn *2177:13 3.84497e-05 +19 *2466:resetn *493:7 0.000114713 +20 *2466:resetn *2165:13 0.000406155 +21 *2466:resetn *2339:15 0 +22 *492:9 *2465:serial_data_in 0 +23 *492:10 *2474:mprj_io_inp_dis[18] 0.000253824 +24 *492:10 *520:16 0.00188321 +25 *492:10 *521:14 0.000194127 +26 *492:10 *2083:10 0.008934 +27 *492:10 *2164:16 0.000332901 +28 *492:21 *2339:15 0 +29 *492:22 *1557:27 0.102505 +30 *492:22 *1558:29 0.0537323 +31 *492:25 *495:53 0.352078 +32 *492:25 *497:34 0 +33 *492:25 *2020:24 0.00303298 +34 *492:28 *1537:20 0.0119459 +35 *492:34 *505:14 0.000465807 +36 *492:34 *506:14 0.0164065 +37 *2457:resetn *2457:resetn_out 1.29141e-05 +38 *2457:resetn *492:31 0 +39 *2457:serial_clock *2457:resetn_out 1.29141e-05 +40 *2457:serial_clock *492:31 0 +41 *2465:resetn *492:9 0.00151334 +42 *2465:serial_clock *492:9 8.63825e-06 +43 *106:40 *492:28 7.61581e-05 +44 *107:90 *492:34 0.000311015 +45 *107:107 *492:34 0.0167972 +46 *472:20 *492:22 0.00941994 +47 *477:29 *492:25 0.0540683 +48 *478:32 *492:34 0.0023888 +49 *491:51 *492:28 0.0117947 +*RES +1 *2465:resetn_out *492:9 5.75661 +2 *492:9 *492:10 265.932 +3 *492:10 *492:12 3.36879 +4 *492:12 *2466:resetn 2.2814 +5 *492:12 *492:21 4.79549 +6 *492:21 *492:22 1948.33 +7 *492:22 *492:24 4.5 +8 *492:24 *492:25 3774.25 +9 *492:25 *492:27 4.5 +10 *492:27 *492:28 190.772 +11 *492:28 *492:31 12.9878 +12 *492:31 *492:33 4.5 +13 *492:33 *492:34 273.407 +14 *492:34 *2458:resetn 29.7705 +15 *492:31 *2457:resetn_out 26.3897 +*END + +*D_NET *493 1.2882 +*CONN +*I *2459:resetn I *D gpio_control_block +*I *2458:resetn_out O *D gpio_control_block +*I *2467:resetn I *D gpio_control_block +*I *2466:resetn_out O *D gpio_control_block +*CAP +1 *2459:resetn 0.00520189 +2 *2458:resetn_out 0.000442557 +3 *2467:resetn 0.000135285 +4 *2466:resetn_out 0.000127697 +5 *493:55 0.00592934 +6 *493:51 0.00441084 +7 *493:50 0.00412594 +8 *493:48 0.0255418 +9 *493:47 0.0255418 +10 *493:45 0.0325076 +11 *493:44 0.0325076 +12 *493:42 0.00406663 +13 *493:41 0.00406663 +14 *493:29 0.00160219 +15 *493:22 0.00394093 +16 *493:20 0.00263589 +17 *493:16 0.000955441 +18 *493:8 0.00330903 +19 *493:7 0.00264315 +20 *2458:resetn_out *2150:7 0 +21 *2459:resetn *2459:resetn_out 3.31632e-05 +22 *2459:resetn *494:26 0.00176011 +23 *2459:resetn *494:29 0.000166086 +24 *2459:resetn *495:64 0.00141797 +25 *2459:resetn *1870:14 0.000804732 +26 *2459:resetn *2043:13 0.000988992 +27 *2459:resetn *2070:14 0.000640931 +28 *2459:resetn *2097:7 0 +29 *2459:resetn *2124:14 0.000836744 +30 *2459:resetn *2178:13 3.84497e-05 +31 *2459:resetn *2178:14 0.000617283 +32 *493:7 *2466:serial_data_in 0 +33 *493:8 *1911:18 0.000645639 +34 *493:8 *1976:8 0.000860035 +35 *493:8 *2003:11 0 +36 *493:16 *521:14 9.71323e-06 +37 *493:16 *1841:8 0.00153368 +38 *493:16 *1976:13 0.000356844 +39 *493:16 *1976:16 0.00152574 +40 *493:20 *521:14 0.000700943 +41 *493:20 *1841:19 0.000700943 +42 *493:20 *1976:16 9.71323e-06 +43 *493:20 *2084:8 8.35615e-06 +44 *493:22 *521:8 0.00830535 +45 *493:22 *1841:19 9.71323e-06 +46 *493:22 *2084:8 0.00255743 +47 *493:22 *2165:28 0 +48 *493:29 *494:7 0.000393802 +49 *493:45 *1574:19 0.00488005 +50 *493:48 *2473:la_data_in[57] 0.000297298 +51 *493:48 *494:23 3.05102e-05 +52 *493:48 *496:41 0.0476344 +53 *493:51 *2474:mprj_io_dm[17] 0.000290304 +54 *493:51 *495:64 0.000425703 +55 *493:51 *1826:19 0.000382316 +56 *493:51 *1866:14 0.000530008 +57 *493:51 *1987:14 0.000642807 +58 *493:51 *2014:21 0.000425124 +59 *493:51 *2122:14 0.000691809 +60 *493:51 *2150:14 0.000911944 +61 *493:51 *2177:14 0.000880813 +62 *2458:resetn *2458:resetn_out 3.84497e-05 +63 *2458:serial_clock *2458:resetn_out 4.31122e-05 +64 *2458:serial_clock_out *2458:resetn_out 0 +65 *2459:serial_clock *2459:resetn 0.0185843 +66 *2466:resetn *493:7 0.000114713 +67 *108:42 *493:48 0.000239164 +68 *108:47 *493:51 0.0190094 +69 *108:49 *2459:resetn 0.00036201 +70 *108:49 *493:51 0.00405446 +71 *108:49 *493:55 0.000764356 +72 *109:10 *2467:resetn 0 +73 *110:98 *2459:resetn 0.00186827 +74 *110:98 *493:51 0.00102273 +75 *474:57 *493:48 0.399306 +76 *475:16 *493:8 0 +77 *475:37 *2459:resetn 0.000318615 +78 *475:37 *493:51 0.0318714 +79 *475:37 *493:55 0.000236666 +80 *476:8 *493:22 0 +81 *476:8 *493:29 0 +82 *476:25 *493:48 0.000193559 +83 *478:26 *493:45 0.00997597 +84 *478:29 *493:48 0.399582 +85 *480:28 *493:45 0.10616 +86 *491:48 *493:48 0.051813 +*RES +1 *2466:resetn_out *493:7 3.9674 +2 *493:7 *493:8 83.8443 +3 *493:8 *493:16 47.9584 +4 *493:16 *493:20 13.0927 +5 *493:20 *493:22 135.751 +6 *493:22 *493:29 49.2572 +7 *493:29 *2467:resetn 4.50187 +8 *493:22 *493:41 4.5 +9 *493:41 *493:42 109.426 +10 *493:42 *493:44 4.5 +11 *493:44 *493:45 1698.34 +12 *493:45 *493:47 3.36879 +13 *493:47 *493:48 509.283 +14 *493:48 *493:50 0.376635 +15 *493:50 *493:51 63.2605 +16 *493:51 *493:55 1.8961 +17 *493:55 *2458:resetn_out 1.62542 +18 *493:55 *2459:resetn 39.2818 +*END + +*D_NET *494 1.33506 +*CONN +*I *2459:resetn_out O *D gpio_control_block +*I *2460:resetn I *D gpio_control_block +*I *2468:resetn I *D gpio_control_block +*I *2467:resetn_out O *D gpio_control_block +*CAP +1 *2459:resetn_out 4.15864e-05 +2 *2460:resetn 2.68684e-05 +3 *2468:resetn 0.000429635 +4 *2467:resetn_out 0.000693501 +5 *494:46 2.68684e-05 +6 *494:42 0.00257989 +7 *494:41 0.00326483 +8 *494:36 0.0104434 +9 *494:34 0.00979467 +10 *494:29 0.000542794 +11 *494:26 0.00829964 +12 *494:25 0.00783461 +13 *494:23 0.0218912 +14 *494:22 0.0218912 +15 *494:20 0.019997 +16 *494:19 0.019997 +17 *494:11 0.000653477 +18 *494:8 0.0207237 +19 *494:7 0.0211933 +20 *2468:resetn *2468:user_gpio_oeb 0 +21 *2468:resetn *495:14 5.56976e-05 +22 *2468:resetn *2168:7 0.000307124 +23 *494:7 *2467:serial_data_in 0 +24 *494:7 *2140:7 0 +25 *494:7 *2167:19 0 +26 *494:8 *523:8 0 +27 *494:8 *1816:16 0 +28 *494:8 *1843:16 0 +29 *494:8 *1914:16 0 +30 *494:8 *2032:13 0 +31 *494:8 *2140:8 0 +32 *494:11 *2468:user_gpio_oeb 0 +33 *494:20 *495:14 0 +34 *494:20 *513:14 0.00304724 +35 *494:20 *514:8 0.000429551 +36 *494:20 *523:8 0.00303514 +37 *494:20 *1790:8 0.000667635 +38 *494:20 *1792:8 0.000729048 +39 *494:20 *1817:8 0.000776783 +40 *494:20 *1819:8 0.000804228 +41 *494:20 *1820:14 0.000520968 +42 *494:20 *1917:14 0.000443731 +43 *494:20 *1920:8 0.000831196 +44 *494:20 *1926:16 0.000737797 +45 *494:20 *1929:20 0.000182793 +46 *494:20 *1952:8 0.000639653 +47 *494:20 *1953:17 0.000158897 +48 *494:20 *1979:18 0.000595313 +49 *494:20 *1980:8 0.000550827 +50 *494:20 *1980:16 0.000812647 +51 *494:20 *2060:8 0.000964879 +52 *494:20 *2061:16 0.00092497 +53 *494:20 *2063:8 0.000642796 +54 *494:20 *2088:12 0.00157095 +55 *494:20 *2114:8 0.00111099 +56 *494:20 *2117:12 0.000649082 +57 *494:20 *2144:8 0.000496457 +58 *494:20 *2168:8 0.00143652 +59 *494:20 *2169:16 0.00109157 +60 *494:20 *2300:21 0.000202475 +61 *494:20 *2319:11 0.000495892 +62 *494:23 *495:53 0.0475258 +63 *494:26 *2016:19 0.000883061 +64 *494:36 *2459:user_gpio_oeb 7.22572e-05 +65 *494:36 *2459:user_gpio_out 8.21013e-05 +66 *494:36 *507:18 0 +67 *2446:serial_load_out *494:20 0.00084449 +68 *2459:resetn *2459:resetn_out 3.31632e-05 +69 *2459:resetn *494:26 0.00176011 +70 *2459:resetn *494:29 0.000166086 +71 *2459:serial_clock *2459:resetn_out 3.84497e-05 +72 *2459:serial_clock *494:26 0 +73 *2459:serial_clock *494:29 0.000148555 +74 *109:94 *494:36 6.75696e-05 +75 *109:103 *494:36 0.0260934 +76 *110:91 *494:23 0.0517972 +77 *110:98 *494:26 0.00364078 +78 *111:12 *494:20 0.000527202 +79 *111:16 *494:20 0.00229192 +80 *474:54 *494:8 0.000702874 +81 *475:37 *494:26 0.0334809 +82 *476:8 *494:8 0.0210921 +83 *476:20 *494:8 0.000135815 +84 *476:22 *494:8 0.000574175 +85 *476:22 *494:20 0.0625991 +86 *476:25 *494:23 0.414659 +87 *476:28 *494:26 0.0515845 +88 *476:40 *494:42 0.00209796 +89 *477:8 *494:20 0.000204442 +90 *477:26 *494:20 0 +91 *477:29 *494:23 0.414617 +92 *478:10 *494:20 0.00167858 +93 *493:29 *494:7 0.000393802 +94 *493:48 *494:23 3.05102e-05 +*RES +1 *2467:resetn_out *494:7 5.65019 +2 *494:7 *494:8 781.676 +3 *494:8 *494:11 3.83937 +4 *494:11 *2468:resetn 1.86071 +5 *494:11 *494:19 0.376635 +6 *494:19 *494:20 124.756 +7 *494:20 *494:22 0.376635 +8 *494:22 *494:23 524.161 +9 *494:23 *494:25 0.376635 +10 *494:25 *494:26 102.352 +11 *494:26 *494:29 2.07106 +12 *494:29 *494:34 4.3947 +13 *494:34 *494:36 438.763 +14 *494:36 *494:41 27.4707 +15 *494:41 *494:42 97.9629 +16 *494:42 *2460:resetn 3.36879 +17 *2460:resetn *494:46 0.0631875 +18 *494:29 *2459:resetn_out 0.216168 +*END + +*D_NET *495 1.14368 +*CONN +*I *2449:resetn I *D gpio_control_block +*I *2460:resetn_out O *D gpio_control_block +*I *2469:resetn I *D gpio_control_block +*I *2468:resetn_out O *D gpio_control_block +*CAP +1 *2449:resetn 7.7646e-05 +2 *2460:resetn_out 8.683e-05 +3 *2469:resetn 8.80772e-05 +4 *2468:resetn_out 0.00591734 +5 *495:87 0.00237734 +6 *495:85 0.00284189 +7 *495:70 0.0011903 +8 *495:64 0.0247702 +9 *495:62 0.0243538 +10 *495:56 0.00135961 +11 *495:55 0.00121474 +12 *495:53 0.0212505 +13 *495:52 0.0212505 +14 *495:50 0.00375801 +15 *495:49 0.00658659 +16 *495:44 0.00846692 +17 *495:43 0.00599563 +18 *495:30 0.00120566 +19 *495:29 0.00593264 +20 *495:24 0.00709093 +21 *495:23 0.00227587 +22 *495:21 0.00424135 +23 *495:20 0.00494675 +24 *495:14 0.00698002 +25 *2449:resetn *2449:resetn_out 0.000105107 +26 *2449:resetn *2180:13 3.18067e-05 +27 *2460:resetn_out *2179:13 0 +28 *495:14 *2468:serial_data_in 0 +29 *495:14 *2468:user_gpio_oeb 3.27616e-06 +30 *495:44 *496:17 0.00851853 +31 *495:44 *496:20 0.000100822 +32 *495:44 *1818:15 0.000311148 +33 *495:44 *1926:12 0.000615079 +34 *495:49 *2473:gpio_noesd[16] 0.000523689 +35 *495:50 *1553:33 0.00145675 +36 *495:53 *497:34 0 +37 *495:56 *2474:mprj_io_analog_pol[4] 0.000545108 +38 *495:56 *2474:mprj_io_dm[12] 9.69513e-05 +39 *495:56 *2474:mprj_io_slow_sel[4] 0.000658312 +40 *495:56 *2014:12 0.000280067 +41 *495:62 *2474:mprj_io_analog_pol[4] 2.1558e-06 +42 *495:62 *2474:mprj_io_analog_sel[4] 6.73609e-05 +43 *495:64 *2458:user_gpio_out 0 +44 *495:64 *2474:mprj_io_analog_en[5] 9.69513e-05 +45 *495:64 *2474:mprj_io_analog_pol[5] 0.000525313 +46 *495:64 *2474:mprj_io_dm[15] 0.000103111 +47 *495:64 *2474:mprj_io_dm[16] 0.000205402 +48 *495:64 *2474:mprj_io_slow_sel[5] 0 +49 *495:64 *507:10 0 +50 *495:64 *507:12 0.00458026 +51 *495:64 *507:18 0 +52 *495:64 *1852:14 0.00491521 +53 *495:64 *1853:17 0.00267971 +54 *495:64 *1854:14 0.000210876 +55 *495:64 *1863:14 0.00518213 +56 *495:64 *1870:14 0.00496369 +57 *495:64 *1871:14 0.000434634 +58 *495:64 *1873:13 0 +59 *495:64 *1960:14 0.000156062 +60 *495:64 *1961:14 1.2819e-05 +61 *495:64 *1962:16 0.00471551 +62 *495:64 *1963:8 0 +63 *495:64 *2015:10 0 +64 *495:64 *2016:13 0.00140631 +65 *495:64 *2017:13 0.00034574 +66 *495:64 *2042:11 0.00200821 +67 *495:64 *2095:8 0 +68 *495:64 *2096:14 0.000988862 +69 *495:64 *2123:14 0.00513585 +70 *495:64 *2150:8 0 +71 *495:64 *2151:22 1.28326e-05 +72 *495:70 *2125:8 0.000674071 +73 *495:85 *2460:serial_data_in 0.000143544 +74 *495:85 *2460:user_gpio_oeb 1.66771e-05 +75 *495:85 *2460:user_gpio_out 8.36854e-05 +76 *495:85 *509:15 0.000182455 +77 *495:85 *2125:8 0.00105467 +78 *495:85 *2353:10 9.3612e-05 +79 *495:87 *1802:13 0.000306656 +80 *495:87 *1875:13 0.0007339 +81 *495:87 *2125:8 0.000431804 +82 *495:87 *2180:13 0.000158426 +83 *2458:serial_load *495:64 0 +84 *2459:resetn *495:64 0.00141797 +85 *2460:serial_clock_out *2460:resetn_out 0 +86 *2468:resetn *495:14 5.56976e-05 +87 *108:47 *495:56 0.00049479 +88 *108:47 *495:64 0.000723518 +89 *108:49 *495:64 0.000760449 +90 *110:46 *495:14 0.00907174 +91 *110:46 *495:44 0 +92 *110:52 *495:20 0.000145708 +93 *110:53 *495:21 0.0143999 +94 *110:61 *495:21 0.000211758 +95 *110:62 *495:24 0.00640034 +96 *110:68 *495:30 0.00323575 +97 *110:82 *495:44 0.032386 +98 *110:87 *495:49 0.0130129 +99 *110:88 *495:50 0.00276002 +100 *110:91 *495:53 0.353557 +101 *110:96 *495:56 0.00219222 +102 *110:98 *495:56 0.00111783 +103 *110:98 *495:62 0.000292149 +104 *110:98 *495:64 0.0286027 +105 *110:119 *495:87 0.00911021 +106 *110:123 *495:87 0.00236284 +107 *111:42 *495:50 1.04352e-05 +108 *112:14 *495:44 0.0107411 +109 *112:30 *495:50 0.00787012 +110 *473:53 *495:53 1.41291e-05 +111 *477:26 *495:44 0 +112 *477:44 *495:87 0.000632845 +113 *477:46 *495:87 0.0134748 +114 *478:10 *495:44 0 +115 *479:31 *495:50 0.00846577 +116 *492:25 *495:53 0.352078 +117 *493:51 *495:64 0.000425703 +118 *494:20 *495:14 0 +119 *494:23 *495:53 0.0475258 +*RES +1 *2468:resetn_out *495:14 30.7864 +2 *495:14 *495:20 20.5417 +3 *495:20 *495:21 184.297 +4 *495:21 *495:23 4.5 +5 *495:23 *495:24 104.192 +6 *495:24 *495:29 25.0686 +7 *495:29 *495:30 51.6623 +8 *495:30 *2469:resetn 3.59493 +9 *495:14 *495:43 1.49738 +10 *495:43 *495:44 65.4323 +11 *495:44 *495:49 20.5084 +12 *495:49 *495:50 229.598 +13 *495:50 *495:52 4.5 +14 *495:52 *495:53 3698.82 +15 *495:53 *495:55 4.5 +16 *495:55 *495:56 72.6325 +17 *495:56 *495:62 6.20436 +18 *495:62 *495:64 1193.81 +19 *495:64 *495:70 26.4446 +20 *495:70 *2460:resetn_out 3.66144 +21 *495:70 *495:85 26.1853 +22 *495:85 *495:87 243.094 +23 *495:87 *2449:resetn 3.66144 +*END + +*D_NET *496 1.12112 +*CONN +*I *2450:resetn I *D gpio_control_block +*I *2449:resetn_out O *D gpio_control_block +*I *2470:resetn I *D gpio_control_block +*I *2469:resetn_out O *D gpio_control_block +*CAP +1 *2450:resetn 0.00220433 +2 *2449:resetn_out 0.00334409 +3 *2470:resetn 0.000832416 +4 *2469:resetn_out 0.00652975 +5 *496:44 0.0251871 +6 *496:43 0.0240474 +7 *496:41 0.0205107 +8 *496:40 0.0205107 +9 *496:38 0.00335519 +10 *496:37 0.00335519 +11 *496:35 0.00486895 +12 *496:20 0.00619701 +13 *496:17 0.0070254 +14 *2449:resetn_out *2153:15 0 +15 *2449:resetn_out *2180:13 0.000120971 +16 *2450:resetn *1803:13 4.38142e-05 +17 *2450:resetn *1829:13 0.016466 +18 *2450:resetn *1830:13 0.000997457 +19 *2450:resetn *1990:8 0 +20 *496:17 *1791:12 0.00041836 +21 *496:17 *1845:12 0.000207875 +22 *496:17 *1922:8 0.000467083 +23 *496:17 *2034:7 0.00043359 +24 *496:20 *2470:user_gpio_oeb 1.66771e-05 +25 *496:20 *2470:user_gpio_out 1.66771e-05 +26 *496:20 *524:15 9.09898e-05 +27 *496:20 *2344:15 1.66771e-05 +28 *496:35 *2470:serial_data_in 0.000246273 +29 *496:41 *2473:la_data_in[57] 0.000107951 +30 *496:44 *1567:20 0.0435134 +31 *496:44 *1568:20 2.05972e-05 +32 *496:44 *1855:14 0 +33 *496:44 *1868:14 0 +34 *496:44 *2152:14 0 +35 *2449:resetn *2449:resetn_out 0.000105107 +36 *2449:serial_clock *2449:resetn_out 1.75358e-05 +37 *2449:serial_clock *2450:resetn 7.40436e-06 +38 *2449:serial_clock_out *2449:resetn_out 0 +39 *2450:serial_clock *2450:resetn 0.0183829 +40 *2470:serial_clock *2470:resetn 0.00175162 +41 *2470:serial_clock *496:35 0.00137832 +42 *2470:serial_load *496:20 0.000355876 +43 *107:87 *496:41 0.35154 +44 *108:39 *496:38 0.0243437 +45 *111:48 *496:44 0.0970877 +46 *113:20 *496:38 0.00282599 +47 *475:31 *496:38 0.0243403 +48 *477:26 *496:17 0 +49 *479:15 *496:20 1.66771e-05 +50 *491:48 *496:41 0.351063 +51 *491:70 *496:41 0.000497846 +52 *493:48 *496:41 0.0476344 +53 *495:44 *496:17 0.00851853 +54 *495:44 *496:20 0.000100822 +*RES +1 *2469:resetn_out *496:17 37.3451 +2 *496:17 *496:20 21.0734 +3 *496:20 *2470:resetn 36.9103 +4 *496:20 *496:35 131.055 +5 *496:35 *496:37 4.5 +6 *496:37 *496:38 391.754 +7 *496:38 *496:40 4.5 +8 *496:40 *496:41 3673.86 +9 *496:41 *496:43 4.5 +10 *496:43 *496:44 1551.76 +11 *496:44 *2449:resetn_out 6.55809 +12 *2449:resetn_out *2450:resetn 38.285 +*END + +*D_NET *497 1.04084 +*CONN +*I *2450:resetn_out O *D gpio_control_block +*I *2451:resetn I *D gpio_control_block +*I *2446:resetn I *D gpio_control_block +*I *2470:resetn_out O *D gpio_control_block +*CAP +1 *2450:resetn_out 0.0010968 +2 *2451:resetn 0.000149744 +3 *2446:resetn 0.00162034 +4 *2470:resetn_out 0.000101059 +5 *497:49 0.000654059 +6 *497:43 0.0064083 +7 *497:42 0.00590399 +8 *497:40 0.00117889 +9 *497:37 0.0391707 +10 *497:36 0.0390886 +11 *497:34 0.0247602 +12 *497:33 0.0247602 +13 *497:31 0.00284882 +14 *497:30 0.00284882 +15 *497:28 0.00326104 +16 *497:27 0.00326104 +17 *497:16 0.00671007 +18 *497:15 0.00508973 +19 *497:13 0.0032543 +20 *497:12 0.0032543 +21 *497:10 0.00122144 +22 *497:9 0.0013225 +23 *2446:resetn *2474:mprj_io_vtrip_sel[24] 5.04815e-05 +24 *2446:resetn *1560:29 0 +25 *2446:resetn *2144:7 0 +26 *2450:resetn_out *2181:7 6.19346e-06 +27 *2451:resetn *2156:17 4.46752e-05 +28 *497:10 *2143:10 0.00376968 +29 *497:10 *2170:10 0.00385617 +30 *497:16 *1560:29 0 +31 *497:16 *2371:16 0.000353784 +32 *497:16 *2398:16 0.00486939 +33 *497:28 *2345:13 0.00154377 +34 *497:31 *1553:33 0.000269598 +35 *497:34 *2473:la_data_in[57] 0.000154432 +36 *497:34 *1551:26 0 +37 *497:37 *2356:16 0.00189096 +38 *497:37 *2367:16 0 +39 *497:49 *1879:32 0 +40 *2446:serial_clock *2446:resetn 0 +41 *2446:serial_load *497:16 0 +42 *2450:serial_clock_out *2450:resetn_out 0.00316997 +43 *2451:serial_clock *497:49 0.000317191 +44 *101:36 *497:49 2.8182e-06 +45 *101:37 *497:43 0 +46 *106:37 *497:34 1.00937e-05 +47 *109:46 *2446:resetn 0 +48 *109:46 *497:16 0 +49 *110:91 *497:34 0 +50 *111:45 *497:34 0 +51 *112:26 *2446:resetn 8.08111e-06 +52 *112:26 *497:16 0.000165063 +53 *112:30 *497:31 0.00755556 +54 *112:33 *497:34 0.347836 +55 *112:36 *497:37 0.114256 +56 *112:39 *2450:resetn_out 0.000102003 +57 *112:39 *497:40 0.00033061 +58 *112:42 *497:43 0.000502815 +59 *112:47 *497:49 0.00306063 +60 *472:23 *497:34 0 +61 *473:31 *497:34 3.62662e-06 +62 *478:29 *497:34 0.00238228 +63 *479:16 *497:16 0 +64 *479:37 *497:37 1.2693e-05 +65 *479:37 *497:43 0 +66 *479:43 *497:43 0.0158299 +67 *486:12 *2451:resetn 6.25838e-06 +68 *486:12 *497:49 0.000335926 +69 *486:13 *497:49 0.0032092 +70 *486:61 *497:43 1.44611e-05 +71 *491:48 *497:34 0.346955 +72 *492:25 *497:34 0 +73 *495:53 *497:34 0 +*RES +1 *2470:resetn_out *497:9 3.708 +2 *497:9 *497:10 81.3528 +3 *497:10 *497:12 4.5 +4 *497:12 *497:13 82.8047 +5 *497:13 *497:15 4.5 +6 *497:15 *497:16 178.314 +7 *497:16 *2446:resetn 13.4923 +8 *497:16 *497:27 4.5 +9 *497:27 *497:28 87.7962 +10 *497:28 *497:30 4.5 +11 *497:30 *497:31 123.086 +12 *497:31 *497:33 4.5 +13 *497:33 *497:34 3669.43 +14 *497:34 *497:36 4.5 +15 *497:36 *497:37 1825.62 +16 *497:37 *497:40 7.99641 +17 *497:40 *497:42 4.5 +18 *497:42 *497:43 269.67 +19 *497:43 *497:49 49.8857 +20 *497:49 *2451:resetn 3.708 +21 *497:40 *2450:resetn_out 45.2434 +*END + +*D_NET *498 1.48311 +*CONN +*I *2444:resetn I *D gpio_control_block +*I *2461:resetn I *D gpio_control_block +*I *2471:serial_resetn O *D housekeeping +*CAP +1 *2444:resetn 0.00076689 +2 *2461:resetn 0.00503397 +3 *2471:serial_resetn 0.00134077 +4 *498:22 0.0379931 +5 *498:20 0.0329912 +6 *498:17 0.0238954 +7 *498:16 0.0238633 +8 *498:14 0.00661475 +9 *498:13 0.00661475 +10 *498:11 0.000968991 +11 *498:8 0.00276737 +12 *498:7 0.00390603 +13 *2444:resetn *2155:13 9.52305e-06 +14 *2461:resetn *2461:pad_gpio_in 0.000139329 +15 *2461:resetn *1971:7 9.37015e-05 +16 *2461:resetn *2052:7 0.000732038 +17 *2461:resetn *2079:7 0 +18 *2461:resetn *2133:8 0 +19 *2461:resetn *2160:7 5.02212e-05 +20 *498:8 *512:8 0.00900261 +21 *498:8 *1533:16 0.00472831 +22 *498:8 *1555:20 0 +23 *498:8 *1564:20 0.000196785 +24 *498:14 *499:16 2.54199e-05 +25 *498:14 *1555:20 0 +26 *498:14 *1565:20 0.0320744 +27 *498:17 *1577:17 0.0159501 +28 *498:17 *2194:11 0.0146638 +29 *498:22 *1550:29 0.000804144 +30 *498:22 *1550:31 0.222975 +31 *2444:resetn_out *2444:resetn 2.12195e-05 +32 *2444:serial_clock *2444:resetn 0 +33 *2444:serial_clock *498:11 0 +34 *2461:serial_clock *2461:resetn 2.7413e-07 +35 *100:74 *498:22 0.212157 +36 *100:77 *498:17 0.000180782 +37 *113:8 *498:8 0.000206811 +38 *467:33 *498:22 0 +39 *467:41 *498:17 0 +40 *467:42 *498:17 0.401502 +41 *480:8 *498:8 0.000321904 +42 *480:14 *498:14 0.0157911 +43 *480:28 *498:22 0.00101546 +44 *485:44 *498:17 0.401562 +45 *485:50 *498:14 0.00215031 +*RES +1 *2471:serial_resetn *498:7 8.40384 +2 *498:7 *498:8 164.818 +3 *498:8 *498:11 9.10562 +4 *498:11 *498:13 4.5 +5 *498:13 *498:14 533.978 +6 *498:14 *498:16 3.36879 +7 *498:16 *498:17 508.021 +8 *498:17 *498:20 4.10158 +9 *498:20 *498:22 3631.14 +10 *498:22 *2461:resetn 40.8888 +11 *498:11 *2444:resetn 27.4989 +*END + +*D_NET *499 0.0356063 +*CONN +*I *2445:serial_data_in I *D gpio_control_block +*I *2444:serial_data_out O *D gpio_control_block +*CAP +1 *2445:serial_data_in 0.000671544 +2 *2444:serial_data_out 0.000595432 +3 *499:16 0.00474138 +4 *499:15 0.00466527 +5 *2445:serial_data_in *503:13 3.84497e-05 +6 *499:15 *2444:serial_data_in 9.52305e-06 +7 *499:15 *2329:13 0 +8 *499:16 *1902:20 0.00555344 +9 *499:16 *2139:14 0.00183558 +10 *2444:serial_load *499:15 0.000413016 +11 *2445:resetn_out *2445:serial_data_in 0 +12 *2445:serial_clock *2445:serial_data_in 0 +13 *2445:serial_clock_out *2445:serial_data_in 9.35003e-05 +14 *100:80 *2445:serial_data_in 0 +15 *100:96 *499:15 0 +16 *480:14 *499:16 0.0169637 +17 *498:14 *499:16 2.54199e-05 +*RES +1 *2444:serial_data_out *499:15 30.335 +2 *499:15 *499:16 272.161 +3 *499:16 *2445:serial_data_in 28.7377 +*END + +*D_NET *500 0.023148 +*CONN +*I *2452:serial_data_in I *D gpio_control_block +*I *2451:serial_data_out O *D gpio_control_block +*CAP +1 *2452:serial_data_in 0.00516966 +2 *2451:serial_data_out 0.00516966 +3 *2452:serial_data_in *1779:13 0.00296635 +4 *2452:serial_data_in *1832:8 0.00499525 +5 *2452:serial_data_in *1965:8 0 +6 *2452:serial_data_in *1967:20 0.00483617 +7 *2451:serial_load *2452:serial_data_in 1.09423e-05 +*RES +1 *2451:serial_data_out *2452:serial_data_in 38.3416 +*END + +*D_NET *501 0.0317544 +*CONN +*I *2453:serial_data_in I *D gpio_control_block +*I *2452:serial_data_out O *D gpio_control_block +*CAP +1 *2453:serial_data_in 0.000849081 +2 *2452:serial_data_out 0.000877584 +3 *501:14 0.00891659 +4 *501:13 0.00894509 +5 *2453:serial_data_in *502:13 3.27908e-05 +6 *2453:serial_data_in *1942:11 4.89469e-06 +7 *2453:serial_data_in *2131:10 1.66771e-05 +8 *501:13 *2076:14 0 +9 *501:14 *1778:16 0.00736799 +10 *501:14 *1834:14 0 +11 *501:14 *1881:14 0 +12 *501:14 *1884:14 0 +13 *501:14 *1941:8 0.0014791 +14 *501:14 *1969:13 0.000500505 +15 *501:14 *2048:20 0 +16 *501:14 *2075:8 0.00268445 +17 *2452:serial_clock *501:13 0 +18 *2452:serial_load *501:13 1.9775e-05 +19 *103:15 *2453:serial_data_in 3.27908e-05 +20 *469:12 *501:13 2.71025e-05 +*RES +1 *2452:serial_data_out *501:13 29.7705 +2 *501:13 *501:14 272.992 +3 *501:14 *2453:serial_data_in 29.7705 +*END + +*D_NET *502 0.0858659 +*CONN +*I *2454:serial_data_in I *D gpio_control_block +*I *2453:serial_data_out O *D gpio_control_block +*CAP +1 *2454:serial_data_in 0.00051471 +2 *2453:serial_data_out 0.00078632 +3 *502:22 0.0316007 +4 *502:20 0.0311315 +5 *502:18 0.00302643 +6 *502:16 0.00396528 +7 *502:13 0.0017707 +8 *2454:serial_data_in *2454:user_gpio_oeb 1.66771e-05 +9 *2454:serial_data_in *2333:11 0.000654439 +10 *502:13 *1942:11 4.88112e-06 +11 *502:13 *2131:10 1.66626e-05 +12 *502:16 *1834:14 0.000527146 +13 *502:16 *1885:20 0.000636594 +14 *502:16 *1969:13 0.000585884 +15 *502:18 *1885:20 0.000986812 +16 *502:18 *1941:8 0.000424884 +17 *502:18 *1942:22 0.00174278 +18 *502:18 *1942:26 0.000939007 +19 *502:18 *1969:13 0.000710384 +20 *502:22 *1781:14 0 +21 *502:22 *1834:14 0.00481959 +22 *502:22 *1890:14 0 +23 *502:22 *1942:26 1.07248e-05 +24 *502:22 *1942:33 0.000835872 +25 *502:22 *2104:14 0 +26 *502:22 *2132:14 0 +27 *2453:serial_data_in *502:13 3.27908e-05 +28 *2453:serial_load *502:13 0.000108398 +29 *2454:serial_clock *2454:serial_data_in 0 +30 *2454:serial_load *2454:serial_data_in 1.67063e-05 +*RES +1 *2453:serial_data_out *502:13 29.2159 +2 *502:13 *502:16 37.1895 +3 *502:16 *502:18 118.151 +4 *502:18 *502:20 1.29461 +5 *502:20 *502:22 946.47 +6 *502:22 *2454:serial_data_in 28.2596 +*END + +*D_NET *503 0.0398386 +*CONN +*I *2455:serial_data_in I *D gpio_control_block +*I *2445:serial_data_out O *D gpio_control_block +*CAP +1 *2455:serial_data_in 0.000194138 +2 *2445:serial_data_out 0.000209209 +3 *503:26 0.00118231 +4 *503:25 0.00109069 +5 *503:20 0.000867293 +6 *503:19 0.000868064 +7 *503:14 0.000974112 +8 *503:13 0.00108003 +9 *2455:serial_data_in *504:7 0.000128271 +10 *503:13 *2340:11 0 +11 *503:13 *2367:21 3.62662e-06 +12 *503:13 *2394:27 9.91731e-05 +13 *503:14 *2445:user_gpio_out 0 +14 *503:20 *1796:14 0.00366386 +15 *503:20 *1985:14 0.000510121 +16 *503:25 *1796:13 0.000211492 +17 *503:26 *2147:8 0.00464232 +18 *2445:serial_clock_out *503:13 8.99353e-05 +19 *2445:serial_data_in *503:13 3.84497e-05 +20 *2445:serial_load *503:13 3.84497e-05 +21 *2455:resetn_out *2455:serial_data_in 0 +22 *2455:serial_clock *503:26 0 +23 *2455:serial_clock_out *2455:serial_data_in 8.88774e-05 +24 *100:80 *503:13 0 +25 *100:80 *503:14 0.00620413 +26 *100:80 *503:20 2.79471e-05 +27 *104:50 *503:20 1.3813e-05 +28 *104:56 *503:20 0.00135285 +29 *105:60 *503:26 0 +30 *471:40 *503:26 0.00555187 +31 *471:46 *503:14 0.0059796 +32 *489:35 *503:26 0.000175656 +33 *489:45 *503:20 0.00455234 +*RES +1 *2445:serial_data_out *503:13 18.9543 +2 *503:13 *503:14 99.4163 +3 *503:14 *503:19 10.8326 +4 *503:19 *503:20 82.3909 +5 *503:20 *503:25 11.9418 +6 *503:25 *503:26 90.0731 +7 *503:26 *2455:serial_data_in 4.12039 +*END + +*D_NET *504 0.0313013 +*CONN +*I *2456:serial_data_in I *D gpio_control_block +*I *2455:serial_data_out O *D gpio_control_block +*CAP +1 *2456:serial_data_in 0.000549952 +2 *2455:serial_data_out 0.000294317 +3 *504:12 0.00294758 +4 *504:10 0.00242396 +5 *504:8 0.00322966 +6 *504:7 0.00349764 +7 *2456:serial_data_in *505:13 3.84497e-05 +8 *504:8 *2013:7 0.000185169 +9 *504:12 *1986:14 0.00352546 +10 *504:12 *2013:7 0.00365762 +11 *504:12 *2013:13 0.000487144 +12 *504:12 *2040:19 0.00150769 +13 *504:12 *2121:14 0 +14 *2455:resetn_out *504:8 0.00160609 +15 *2455:resetn_out *504:12 0.000974597 +16 *2455:serial_data_in *504:7 0.000128271 +17 *2455:serial_load *504:7 9.67643e-05 +18 *2456:serial_clock *2456:serial_data_in 0 +19 *105:30 *2456:serial_data_in 8.52258e-05 +20 *105:52 *504:12 0.00109374 +21 *105:54 *504:8 0.000686636 +22 *105:54 *504:12 0.00173287 +23 *471:36 *504:8 0 +24 *471:40 *504:8 5.27687e-05 +25 *472:30 *2456:serial_data_in 0 +26 *472:32 *2456:serial_data_in 1.07248e-05 +27 *472:32 *504:12 0.00248328 +28 *472:38 *504:8 5.68225e-06 +29 *472:44 *504:8 0 +*RES +1 *2455:serial_data_out *504:7 4.42635 +2 *504:7 *504:8 97.169 +3 *504:8 *504:10 0.732798 +4 *504:10 *504:12 168.715 +5 *504:12 *2456:serial_data_in 26.5143 +*END + +*D_NET *505 0.0319198 +*CONN +*I *2457:serial_data_in I *D gpio_control_block +*I *2456:serial_data_out O *D gpio_control_block +*CAP +1 *2457:serial_data_in 0.000752447 +2 *2456:serial_data_out 0.000675949 +3 *505:14 0.0063232 +4 *505:13 0.0062467 +5 *2457:serial_data_in *506:13 5.17837e-06 +6 *505:14 *1565:20 0 +7 *505:14 *1798:14 0 +8 *505:14 *1862:16 8.92568e-06 +9 *505:14 *2014:18 0.0014693 +10 *505:14 *2149:14 0.00815295 +11 *2456:serial_data_in *505:13 3.84497e-05 +12 *2456:serial_load *505:13 3.84497e-05 +13 *2457:serial_clock_out *2457:serial_data_in 1.29141e-05 +14 *2457:serial_load *2457:serial_data_in 0 +15 *105:30 *505:13 4.88112e-06 +16 *107:90 *505:14 0.00584242 +17 *474:60 *505:14 1.81081e-06 +18 *478:32 *505:14 0.00188046 +19 *492:34 *505:14 0.000465807 +*RES +1 *2456:serial_data_out *505:13 29.2159 +2 *505:13 *505:14 271.331 +3 *505:14 *2457:serial_data_in 30.335 +*END + +*D_NET *506 0.0396335 +*CONN +*I *2458:serial_data_in I *D gpio_control_block +*I *2457:serial_data_out O *D gpio_control_block +*CAP +1 *2458:serial_data_in 0.000463533 +2 *2457:serial_data_out 0.000587778 +3 *506:14 0.0044924 +4 *506:13 0.00461664 +5 *2458:serial_data_in *2458:user_gpio_out 8.11463e-06 +6 *2458:serial_data_in *507:10 3.84497e-05 +7 *2458:serial_data_in *2351:11 2.42138e-05 +8 *2458:serial_data_in *2405:13 0.00140694 +9 *506:14 *1865:14 0.0075018 +10 *506:14 *2015:11 0.000812353 +11 *506:14 *2069:14 0 +12 *2457:serial_data_in *506:13 5.17837e-06 +13 *2457:serial_load *506:13 0.00040907 +14 *2457:serial_load_out *506:13 0 +15 *2458:serial_clock_out *2458:serial_data_in 4.31122e-05 +16 *107:107 *506:14 0.000148843 +17 *474:75 *506:14 9.81824e-05 +18 *478:32 *506:14 0.0025703 +19 *492:34 *506:14 0.0164065 +*RES +1 *2457:serial_data_out *506:13 29.2159 +2 *506:13 *506:14 271.746 +3 *506:14 *2458:serial_data_in 29.6311 +*END + +*D_NET *507 0.0318463 +*CONN +*I *2459:serial_data_in I *D gpio_control_block +*I *2458:serial_data_out O *D gpio_control_block +*CAP +1 *2459:serial_data_in 0.000238778 +2 *2458:serial_data_out 0.00117648 +3 *507:18 0.00425166 +4 *507:17 0.00411617 +5 *507:12 0.00150911 +6 *507:10 0.0025823 +7 *507:10 *2458:user_gpio_out 0.000771902 +8 *507:12 *2016:13 0.000749438 +9 *507:18 *2474:mprj_io_analog_en[6] 9.4338e-05 +10 *507:18 *2474:mprj_io_analog_pol[6] 8.1645e-05 +11 *507:18 *2474:mprj_io_analog_sel[6] 0.000223156 +12 *507:18 *2474:mprj_io_dm[18] 0.000145018 +13 *507:18 *2474:mprj_io_dm[19] 0.00019711 +14 *507:18 *2474:mprj_io_dm[20] 0.00019711 +15 *507:18 *2474:mprj_io_holdover[6] 0.000171064 +16 *507:18 *2474:mprj_io_ib_mode_sel[6] 0.00019711 +17 *507:18 *2474:mprj_io_inp_dis[6] 0.00019711 +18 *507:18 *2474:mprj_io_oeb[6] 0 +19 *507:18 *2474:mprj_io_out[6] 0.000223156 +20 *507:18 *2474:mprj_io_vtrip_sel[6] 0.000133144 +21 *507:18 *1854:14 0.000116798 +22 *507:18 *1962:16 5.66868e-06 +23 *507:18 *1989:14 0.00347336 +24 *507:18 *2043:12 0.000109929 +25 *507:18 *2097:8 0 +26 *507:18 *2151:22 0.0043545 +27 *507:18 *2178:13 0 +28 *2458:serial_clock_out *507:10 0 +29 *2458:serial_data_in *507:10 3.84497e-05 +30 *2458:serial_load *507:10 8.85901e-05 +31 *2459:serial_clock *2459:serial_data_in 0 +32 *2459:serial_clock *507:12 0.000733756 +33 *2459:serial_clock_out *2459:serial_data_in 3.27908e-05 +34 *2459:serial_load *2459:serial_data_in 0 +35 *2459:serial_load *507:10 0.000146476 +36 *2459:serial_load *507:18 0.000909965 +37 *109:94 *507:18 0 +38 *109:103 *507:18 0 +39 *494:36 *507:18 0 +40 *495:64 *507:10 0 +41 *495:64 *507:12 0.00458026 +42 *495:64 *507:18 0 +*RES +1 *2458:serial_data_out *507:10 38.5735 +2 *507:10 *507:12 73.0233 +3 *507:12 *507:17 10.8326 +4 *507:17 *507:18 170.632 +5 *507:18 *2459:serial_data_in 4.19688 +*END + +*D_NET *508 0.0388669 +*CONN +*I *2460:serial_data_in I *D gpio_control_block +*I *2459:serial_data_out O *D gpio_control_block +*CAP +1 *2460:serial_data_in 0.000618013 +2 *2459:serial_data_out 9.89961e-05 +3 *508:10 0.0192252 +4 *508:9 0.0187062 +5 *2460:serial_data_in *509:15 3.74656e-05 +6 *2460:serial_clock_out *2460:serial_data_in 3.74656e-05 +7 *495:85 *2460:serial_data_in 0.000143544 +*RES +1 *2459:serial_data_out *508:9 3.708 +2 *508:9 *508:10 528.164 +3 *508:10 *2460:serial_data_in 28.8355 +*END + +*D_NET *509 0.0217681 +*CONN +*I *2449:serial_data_in I *D gpio_control_block +*I *2460:serial_data_out O *D gpio_control_block +*CAP +1 *2449:serial_data_in 9.74501e-05 +2 *2460:serial_data_out 0.00060071 +3 *509:16 0.00869264 +4 *509:15 0.0091959 +5 *2449:serial_data_in *2099:15 1.29018e-05 +6 *2449:serial_data_in *2126:15 1.29018e-05 +7 *509:16 *1829:13 0.000601249 +8 *509:16 *1856:10 0.00233223 +9 *2450:serial_clock *2449:serial_data_in 2.15141e-06 +10 *2460:serial_data_in *509:15 3.74656e-05 +11 *2460:serial_load_out *509:15 0 +12 *495:85 *509:15 0.000182455 +*RES +1 *2460:serial_data_out *509:15 28.6961 +2 *509:15 *509:16 257.835 +3 *509:16 *2449:serial_data_in 3.65147 +*END + +*D_NET *510 0.0360069 +*CONN +*I *2450:serial_data_in I *D gpio_control_block +*I *2449:serial_data_out O *D gpio_control_block +*CAP +1 *2450:serial_data_in 0.00089026 +2 *2449:serial_data_out 0.000828821 +3 *510:14 0.0063853 +4 *510:13 0.00632387 +5 *2450:serial_data_in *511:13 5.17837e-06 +6 *2450:serial_data_in *1879:8 0 +7 *510:13 *2099:15 9.93625e-05 +8 *510:14 *1828:23 0.00173846 +9 *510:14 *1828:28 0.00213783 +10 *510:14 *1855:14 3.67391e-05 +11 *510:14 *1874:21 0.000593099 +12 *510:14 *1876:14 0.00125535 +13 *510:14 *1991:16 0.00340367 +14 *510:14 *2018:19 0.0053783 +15 *510:14 *2018:27 0.000326993 +16 *510:14 *2099:16 0.00090114 +17 *510:14 *2152:14 0.00563371 +18 *2449:serial_load *510:13 4.31122e-05 +19 *2450:serial_clock_out *2450:serial_data_in 1.75765e-05 +20 *2450:serial_load *2450:serial_data_in 0 +21 *477:46 *510:13 8.10016e-06 +*RES +1 *2449:serial_data_out *510:13 29.2159 +2 *510:13 *510:14 270.915 +3 *510:14 *2450:serial_data_in 30.335 +*END + +*D_NET *511 0.0287932 +*CONN +*I *2451:serial_data_in I *D gpio_control_block +*I *2450:serial_data_out O *D gpio_control_block +*CAP +1 *2451:serial_data_in 0.000539984 +2 *2450:serial_data_out 0.000902795 +3 *511:14 0.00862168 +4 *511:13 0.00898449 +5 *2451:serial_data_in *2129:13 0.000557425 +6 *2451:serial_data_in *2330:10 1.5714e-05 +7 *2451:serial_data_in *2330:11 0.00142299 +8 *511:13 *1879:8 0 +9 *511:14 *1778:16 0.00269555 +10 *511:14 *1802:20 0.0027723 +11 *511:14 *1875:20 1.78514e-05 +12 *511:14 *1876:14 0 +13 *511:14 *1991:16 0.0015744 +14 *511:14 *2073:37 0.000240993 +15 *511:14 *2075:8 0.000311341 +16 *511:14 *2127:14 0 +17 *2450:serial_clock_out *511:13 0 +18 *2450:serial_data_in *511:13 5.17837e-06 +19 *2450:serial_load *511:13 4.65656e-05 +20 *2450:serial_load_out *511:13 0 +21 *101:7 *2451:serial_data_in 4.80459e-05 +22 *101:10 *2451:serial_data_in 1.48603e-05 +23 *479:52 *2451:serial_data_in 2.1051e-05 +*RES +1 *2450:serial_data_out *511:13 29.7705 +2 *511:13 *511:14 273.407 +3 *511:14 *2451:serial_data_in 29.7076 +*END + +*D_NET *512 0.025911 +*CONN +*I *2444:serial_data_in I *D gpio_control_block +*I *2471:serial_data_1 O *D housekeeping +*CAP +1 *2444:serial_data_in 0.00095291 +2 *2471:serial_data_1 0.00134376 +3 *512:8 0.00231187 +4 *512:7 0.00270272 +5 *512:8 *1564:20 0 +6 *2444:serial_clock_out *2444:serial_data_in 4.31122e-05 +7 *2444:serial_load *2444:serial_data_in 0 +8 *100:96 *2444:serial_data_in 0 +9 *480:8 *512:8 0.00954449 +10 *498:8 *512:8 0.00900261 +11 *499:15 *2444:serial_data_in 9.52305e-06 +*RES +1 *2471:serial_data_1 *512:7 8.32734 +2 *512:7 *512:8 152.776 +3 *512:8 *2444:serial_data_in 35.8711 +*END + +*D_NET *513 0.0307479 +*CONN +*I *2470:serial_data_in I *D gpio_control_block +*I *2446:serial_data_out O *D gpio_control_block +*CAP +1 *2470:serial_data_in 0.000459005 +2 *2446:serial_data_out 0.000748094 +3 *513:14 0.00637024 +4 *513:13 0.00665933 +5 *2470:serial_data_in *524:15 9.52305e-06 +6 *513:13 *2446:serial_data_in 5.19526e-05 +7 *513:13 *2446:user_gpio_oeb 0.000119658 +8 *513:13 *2345:13 0 +9 *513:13 *2399:13 0.00039806 +10 *513:14 *2474:mprj_io_holdover[23] 0 +11 *513:14 *1792:8 0.00502203 +12 *513:14 *1819:8 4.61488e-05 +13 *513:14 *1923:8 0.00539719 +14 *513:14 *1926:16 0.000873795 +15 *513:14 *1954:16 0.000468185 +16 *513:14 *2062:8 0.000667497 +17 *2446:serial_load *513:13 5.02212e-05 +18 *2470:serial_clock *2470:serial_data_in 0.000113456 +19 *2470:serial_load *2470:serial_data_in 0 +20 *494:20 *513:14 0.00304724 +21 *496:35 *2470:serial_data_in 0.000246273 +*RES +1 *2446:serial_data_out *513:13 34.2642 +2 *513:13 *513:14 249.945 +3 *513:14 *2470:serial_data_in 4.96178 +*END + +*D_NET *514 0.0285031 +*CONN +*I *2446:serial_data_in I *D gpio_control_block +*I *2447:serial_data_out O *D gpio_control_block +*CAP +1 *2446:serial_data_in 0.000450826 +2 *2447:serial_data_out 0.000471165 +3 *514:14 0.00266211 +4 *514:13 0.00243776 +5 *514:8 0.00496938 +6 *514:7 0.00521406 +7 *2446:serial_data_in *2345:13 1.31158e-05 +8 *514:7 *2447:serial_data_in 0.000106706 +9 *514:8 *1847:16 0 +10 *514:8 *1929:20 0.00307228 +11 *514:8 *2063:8 1.87125e-05 +12 *514:8 *2090:8 0 +13 *514:8 *2117:12 0.00336386 +14 *514:8 *2144:8 0.00148817 +15 *514:13 *1955:13 5.04829e-06 +16 *514:14 *1793:14 0 +17 *514:14 *1928:8 0.0028444 +18 *514:14 *1955:8 0 +19 *514:14 *2090:8 0.000487628 +20 *514:14 *2144:8 0 +21 *514:14 *2301:11 0 +22 *514:14 *2345:13 0 +23 *2446:serial_clock *2446:serial_data_in 0 +24 *2446:serial_load *2446:serial_data_in 0 +25 *2446:serial_load_out *514:14 0.000244213 +26 *2447:serial_clock_out *514:7 0 +27 *2447:serial_load *514:7 0.000172143 +28 *112:26 *2446:serial_data_in 0 +29 *494:20 *514:8 0.000429551 +30 *513:13 *2446:serial_data_in 5.19526e-05 +*RES +1 *2447:serial_data_out *514:7 5.26774 +2 *514:7 *514:8 181.013 +3 *514:8 *514:13 13.051 +4 *514:13 *514:14 80.9375 +5 *514:14 *2446:serial_data_in 4.73231 +*END + +*D_NET *515 0.0275535 +*CONN +*I *2447:serial_data_in I *D gpio_control_block +*I *2448:serial_data_out O *D gpio_control_block +*CAP +1 *2447:serial_data_in 0.000150961 +2 *2448:serial_data_out 0.000162358 +3 *515:12 0.00195835 +4 *515:10 0.00183317 +5 *515:8 0.00530079 +6 *515:7 0.00543738 +7 *515:7 *2448:serial_data_in 1.53622e-05 +8 *515:8 *2474:mprj_io_analog_pol[25] 0.000908956 +9 *515:8 *2474:mprj_io_analog_sel[25] 0.001109 +10 *515:8 *2474:mprj_io_dm[76] 0.00136946 +11 *515:8 *2474:mprj_io_ib_mode_sel[25] 9.39647e-05 +12 *515:8 *2474:mprj_io_oeb[25] 0 +13 *515:8 *2474:mprj_io_out[25] 0.000661137 +14 *515:8 *2474:mprj_io_vtrip_sel[25] 4.76719e-05 +15 *515:8 *1821:16 0 +16 *515:8 *1848:16 0 +17 *515:8 *1930:12 0 +18 *515:8 *1931:8 0 +19 *515:8 *2010:9 0.00173918 +20 *515:8 *2037:7 0.000812949 +21 *515:8 *2401:16 0 +22 *515:12 *2447:mgmt_gpio_oeb 0 +23 *515:12 *1562:12 0 +24 *515:12 *1794:15 0 +25 *515:12 *1931:8 0 +26 *515:12 *2172:8 0.0033645 +27 *2447:serial_clock_out *2447:serial_data_in 0.000106706 +28 *2448:serial_clock_out *515:7 0 +29 *2448:serial_clock_out *515:8 0.000388329 +30 *2448:serial_load *515:7 0.000138605 +31 *2448:serial_load *515:8 0.00158975 +32 *2448:serial_load *515:12 0.000258215 +33 *2448:serial_load_out *515:8 0 +34 *514:7 *2447:serial_data_in 0.000106706 +*RES +1 *2448:serial_data_out *515:7 4.12039 +2 *515:7 *515:8 192.848 +3 *515:8 *515:10 0.732798 +4 *515:10 *515:12 68.2723 +5 *515:12 *2447:serial_data_in 4.04389 +*END + +*D_NET *516 0.0301727 +*CONN +*I *2461:serial_data_in I *D gpio_control_block +*I *2462:serial_data_out O *D gpio_control_block +*CAP +1 *2461:serial_data_in 0.000471568 +2 *2462:serial_data_out 0.000433056 +3 *516:8 0.00585166 +4 *516:7 0.00581315 +5 *2461:serial_data_in *2334:13 0.000139498 +6 *516:7 *2462:serial_data_in 0.000352176 +7 *516:8 *1809:14 0.00554117 +8 *516:8 *1971:8 0.00934598 +9 *516:8 *2160:8 0.0021262 +10 *2461:serial_clock *2461:serial_data_in 0 +11 *2461:serial_load *2461:serial_data_in 0 +12 *2462:resetn *516:8 0 +13 *471:15 *516:7 6.19346e-06 +14 *471:16 *516:8 9.20633e-05 +15 *485:23 *516:8 0 +*RES +1 *2462:serial_data_out *516:7 5.19125 +2 *516:7 *516:8 261.78 +3 *516:8 *2461:serial_data_in 5.19125 +*END + +*D_NET *517 0.0305787 +*CONN +*I *2462:serial_data_in I *D gpio_control_block +*I *2463:serial_data_out O *D gpio_control_block +*CAP +1 *2462:serial_data_in 0.000411881 +2 *2463:serial_data_out 0.000866203 +3 *517:20 0.00207803 +4 *517:18 0.00169988 +5 *517:16 0.0024 +6 *517:15 0.00323247 +7 *517:15 *2463:serial_data_in 9.52305e-06 +8 *517:15 *2336:15 0 +9 *517:16 *2474:mprj_io_analog_sel[15] 0.000182084 +10 *517:16 *1972:26 0 +11 *517:16 *2080:14 0.000598039 +12 *517:20 *2474:mprj_io_analog_sel[15] 0.000466976 +13 *517:20 *1810:16 1.87269e-05 +14 *517:20 *1837:16 0.00265651 +15 *517:20 *1899:8 0.00660067 +16 *517:20 *1945:8 6.80434e-05 +17 *517:20 *2080:8 0.00439156 +18 *517:20 *2080:14 0.000266226 +19 *2463:serial_load *517:15 1.53622e-05 +20 *104:30 *517:15 0.000423878 +21 *471:16 *517:16 0.00303265 +22 *471:16 *517:20 0.000352663 +23 *489:7 *2462:serial_data_in 0 +24 *489:8 *517:20 0.00045517 +25 *516:7 *2462:serial_data_in 0.000352176 +*RES +1 *2463:serial_data_out *517:15 35.8643 +2 *517:15 *517:16 103.117 +3 *517:16 *517:18 0.732798 +4 *517:18 *517:20 146.999 +5 *517:20 *2462:serial_data_in 5.26774 +*END + +*D_NET *518 0.0295039 +*CONN +*I *2463:serial_data_in I *D gpio_control_block +*I *2464:serial_data_out O *D gpio_control_block +*CAP +1 *2463:serial_data_in 0.00038153 +2 *2464:serial_data_out 0.000299516 +3 *518:8 0.00628365 +4 *518:7 0.00620164 +5 *518:7 *2464:serial_data_in 0.000261617 +6 *518:8 *1784:8 0.00493371 +7 *518:8 *1903:16 0.00043935 +8 *518:8 *1946:8 0.000313919 +9 *518:8 *1973:16 0.00284277 +10 *518:8 *2054:8 2.01595e-05 +11 *518:8 *2081:8 0.00523405 +12 *518:8 *2081:20 0.000321049 +13 *518:8 *2108:16 0 +14 *518:8 *2135:8 0 +15 *518:8 *2162:8 0 +16 *518:8 *2328:19 0.0010388 +17 *518:8 *2336:15 3.5534e-06 +18 *2463:serial_load *2463:serial_data_in 0 +19 *2464:serial_load *518:7 0.000373077 +20 *105:7 *2463:serial_data_in 0.000317363 +21 *105:12 *518:8 0 +22 *106:13 *518:7 0 +23 *471:23 *2463:serial_data_in 0.000216058 +24 *471:23 *518:8 1.25836e-05 +25 *472:7 *2463:serial_data_in 0 +26 *472:8 *518:8 0 +27 *517:15 *2463:serial_data_in 9.52305e-06 +*RES +1 *2464:serial_data_out *518:7 5.26774 +2 *518:7 *518:8 261.78 +3 *518:8 *2463:serial_data_in 5.26774 +*END + +*D_NET *519 0.0304708 +*CONN +*I *2464:serial_data_in I *D gpio_control_block +*I *2465:serial_data_out O *D gpio_control_block +*CAP +1 *2464:serial_data_in 0.000200894 +2 *2465:serial_data_out 0.000659948 +3 *519:22 0.00236496 +4 *519:21 0.00254069 +5 *519:10 0.00345736 +6 *519:9 0.00374068 +7 *519:9 *2465:serial_data_in 0 +8 *519:10 *2082:12 0.00564597 +9 *519:10 *2109:18 0.00442689 +10 *519:21 *1839:36 1.87269e-05 +11 *519:21 *1905:8 0.000649613 +12 *519:21 *2055:13 5.04829e-06 +13 *519:21 *2109:8 0.000159821 +14 *519:21 *2163:14 0.000449909 +15 *519:21 *2294:14 0.000251655 +16 *519:22 *1785:16 0.00155346 +17 *519:22 *2163:8 0.00125734 +18 *2465:resetn *519:10 2.71975e-05 +19 *2465:serial_load *519:9 2.7185e-05 +20 *106:13 *2464:serial_data_in 0.000261617 +21 *106:13 *519:22 0 +22 *106:14 *519:10 0.00211279 +23 *473:8 *519:22 0 +24 *474:13 *519:9 0.000237017 +25 *491:28 *519:10 0.000160387 +26 *518:7 *2464:serial_data_in 0.000261617 +*RES +1 *2465:serial_data_out *519:9 5.7167 +2 *519:9 *519:10 169.802 +3 *519:10 *519:21 34.4359 +4 *519:21 *519:22 80.107 +5 *519:22 *2464:serial_data_in 4.73231 +*END + +*D_NET *520 0.0299864 +*CONN +*I *2465:serial_data_in I *D gpio_control_block +*I *2466:serial_data_out O *D gpio_control_block +*CAP +1 *2465:serial_data_in 0.000645512 +2 *2466:serial_data_out 0.000975702 +3 *520:16 0.00507213 +4 *520:15 0.00540232 +5 *520:15 *2466:serial_data_in 9.52305e-06 +6 *520:15 *2466:user_gpio_oeb 0.000195457 +7 *520:15 *2466:user_gpio_out 8.79706e-05 +8 *520:15 *2339:15 0 +9 *520:16 *2474:mprj_io_inp_dis[18] 0.000253824 +10 *520:16 *1840:13 0.00088682 +11 *520:16 *2056:10 0.000370009 +12 *520:16 *2083:10 0.00186098 +13 *520:16 *2110:16 0.00573938 +14 *520:16 *2164:16 0.00579147 +15 *2465:resetn *520:16 0.000215786 +16 *2465:serial_clock *2465:serial_data_in 1.29018e-05 +17 *2466:serial_load *520:15 0.000479552 +18 *474:14 *520:16 0 +19 *474:41 *520:15 1.93857e-05 +20 *475:15 *520:15 8.44986e-05 +21 *492:9 *2465:serial_data_in 0 +22 *492:10 *520:16 0.00188321 +23 *519:9 *2465:serial_data_in 0 +*RES +1 *2466:serial_data_out *520:15 22.5082 +2 *520:15 *520:16 249.322 +3 *520:16 *2465:serial_data_in 5.64021 +*END + +*D_NET *521 0.0342523 +*CONN +*I *2466:serial_data_in I *D gpio_control_block +*I *2467:serial_data_out O *D gpio_control_block +*CAP +1 *2466:serial_data_in 0.000546413 +2 *2467:serial_data_out 0.000455043 +3 *521:14 0.00210966 +4 *521:13 0.00162007 +5 *521:8 0.00261517 +6 *521:7 0.00301339 +7 *2466:serial_data_in *2466:user_gpio_oeb 0.000799259 +8 *2466:serial_data_in *2339:15 0 +9 *521:7 *2467:serial_data_in 0.000177738 +10 *521:8 *1841:19 0.000204856 +11 *521:8 *1976:16 0 +12 *521:8 *1976:21 0.000929799 +13 *521:14 *1841:8 1.1246e-05 +14 *521:14 *1910:8 0.00559807 +15 *521:14 *2084:8 0.000593035 +16 *521:14 *2111:8 0.00593399 +17 *521:14 *2138:10 0 +18 *521:14 *2165:13 0.00022036 +19 *2467:serial_load *521:7 0.000204503 +20 *475:15 *2466:serial_data_in 0 +21 *476:7 *521:7 0 +22 *476:8 *521:8 0 +23 *492:10 *521:14 0.000194127 +24 *493:7 *2466:serial_data_in 0 +25 *493:16 *521:14 9.71323e-06 +26 *493:20 *521:14 0.000700943 +27 *493:22 *521:8 0.00830535 +28 *520:15 *2466:serial_data_in 9.52305e-06 +*RES +1 *2467:serial_data_out *521:7 5.42072 +2 *521:7 *521:8 133.259 +3 *521:8 *521:13 10.3986 +4 *521:13 *521:14 127.446 +5 *521:14 *2466:serial_data_in 5.42072 +*END + +*D_NET *522 0.0756689 +*CONN +*I *2467:serial_data_in I *D gpio_control_block +*I *2468:serial_data_out O *D gpio_control_block +*CAP +1 *2467:serial_data_in 0.000203789 +2 *2468:serial_data_out 0.00318749 +3 *522:32 0.0184315 +4 *522:31 0.0203108 +5 *522:14 0.00527058 +6 *522:14 *2468:serial_data_in 0.000146232 +7 *522:32 *2474:mprj_io_ib_mode_sel[20] 0.000355114 +8 *522:32 *2474:mprj_io_oeb[20] 0.000182532 +9 *522:32 *2474:mprj_io_vtrip_sel[20] 0.00028775 +10 *522:32 *2059:14 0.00575901 +11 *522:32 *2086:13 0.00202352 +12 *522:32 *2113:16 0.00119378 +13 *522:32 *2167:19 0 +14 *2468:serial_load *522:14 0.00128917 +15 *474:42 *522:32 0.00637025 +16 *474:48 *522:14 0.000673094 +17 *474:48 *522:31 0.00119336 +18 *474:48 *522:32 0.00861327 +19 *474:54 *522:14 0 +20 *476:20 *522:14 0 +21 *476:22 *522:14 0 +22 *477:7 *522:14 0 +23 *494:7 *2467:serial_data_in 0 +24 *521:7 *2467:serial_data_in 0.000177738 +*RES +1 *2468:serial_data_out *522:14 46.7251 +2 *522:14 *522:31 47.4727 +3 *522:31 *522:32 84.1781 +4 *522:32 *2467:serial_data_in 1.31946 +*END + +*D_NET *523 0.034617 +*CONN +*I *2468:serial_data_in I *D gpio_control_block +*I *2469:serial_data_out O *D gpio_control_block +*CAP +1 *2468:serial_data_in 0.000375321 +2 *2469:serial_data_out 0.000364658 +3 *523:8 0.00497414 +4 *523:7 0.00496348 +5 *2468:serial_data_in *2468:user_gpio_oeb 3.45837e-05 +6 *2468:serial_data_in *2342:13 0.000274219 +7 *523:7 *2469:serial_data_in 0.000312496 +8 *523:8 *1952:8 0.0048553 +9 *523:8 *1979:10 6.80434e-05 +10 *523:8 *1979:18 0.00389371 +11 *523:8 *2060:8 0.00707465 +12 *523:8 *2114:8 0.000678167 +13 *523:8 *2168:8 0.000434278 +14 *2469:serial_load *523:7 0.000208248 +15 *111:10 *523:7 0 +16 *476:22 *523:8 0.000110809 +17 *477:7 *2468:serial_data_in 0 +18 *477:8 *523:8 0 +19 *477:14 *523:8 0.00269001 +20 *477:17 *523:7 0.000123505 +21 *494:8 *523:8 0 +22 *494:20 *523:8 0.00303514 +23 *495:14 *2468:serial_data_in 0 +24 *522:14 *2468:serial_data_in 0.000146232 +*RES +1 *2469:serial_data_out *523:7 5.26774 +2 *523:7 *523:8 261.78 +3 *523:8 *2468:serial_data_in 5.26774 +*END + +*D_NET *524 0.028717 +*CONN +*I *2469:serial_data_in I *D gpio_control_block +*I *2470:serial_data_out O *D gpio_control_block +*CAP +1 *2469:serial_data_in 0.000304335 +2 *2470:serial_data_out 0.000499857 +3 *524:22 0.00127863 +4 *524:16 0.00716787 +5 *524:15 0.00669343 +6 *524:16 *2474:mprj_io_analog_sel[22] 1.23804e-05 +7 *524:16 *2474:mprj_io_dm[68] 0.00145196 +8 *524:16 *1845:16 0.00343217 +9 *524:16 *1953:8 0.00120872 +10 *524:16 *1980:16 0.000459535 +11 *524:22 *2061:14 3.3461e-05 +12 *524:22 *2088:10 0.000272107 +13 *524:22 *2088:12 0.000582247 +14 *524:22 *2169:15 0.00140225 +15 *2470:serial_clock *524:15 0 +16 *2470:serial_data_in *524:15 9.52305e-06 +17 *2470:serial_load *524:15 2.12195e-05 +18 *111:10 *2469:serial_data_in 0.000312496 +19 *111:10 *524:22 0 +20 *111:16 *524:16 0 +21 *111:24 *524:16 0.000279887 +22 *474:54 *524:16 0.00223508 +23 *479:15 *524:15 0.000656296 +24 *496:20 *524:15 9.09898e-05 +25 *523:7 *2469:serial_data_in 0.000312496 +*RES +1 *2470:serial_data_out *524:15 29.917 +2 *524:15 *524:16 222.746 +3 *524:16 *524:22 48.5867 +4 *524:22 *2469:serial_data_in 5.11476 +*END + +*D_NET *525 0.339965 +*CONN +*I *2448:serial_data_in I *D gpio_control_block +*I *2471:serial_data_2 O *D housekeeping +*CAP +1 *2448:serial_data_in 0.00017468 +2 *2471:serial_data_2 0.000148978 +3 *525:11 0.135831 +4 *525:10 0.135656 +5 *525:8 0.0128694 +6 *525:7 0.0130184 +7 *525:8 *2471:mgmt_gpio_in[10] 0.000153775 +8 *525:8 *2471:mgmt_gpio_in[4] 0.00063009 +9 *525:8 *2471:mgmt_gpio_in[6] 0.00063009 +10 *525:8 *2471:mgmt_gpio_in[7] 0.000604703 +11 *525:8 *2471:mgmt_gpio_in[8] 0.00063009 +12 *525:8 *2471:mgmt_gpio_in[9] 0.00063009 +13 *525:8 *2020:11 0.00225124 +14 *525:8 *2020:15 0.00193015 +15 *525:8 *2031:11 0.00646948 +16 *525:8 *2031:15 1.3813e-05 +17 *525:8 *2222:12 0 +18 *525:8 *2222:24 0.0134757 +19 *525:8 *2394:24 0.0139445 +20 *2448:resetn_out *2448:serial_data_in 0.000258162 +21 *2448:serial_clock_out *2448:serial_data_in 9.52305e-06 +22 *2478:flash_io3_di *525:11 0.000620249 +23 *98:11 *525:11 0 +24 *488:35 *525:11 0 +25 *515:7 *2448:serial_data_in 1.53622e-05 +*RES +1 *2471:serial_data_2 *525:7 3.89091 +2 *525:7 *525:8 646.096 +3 *525:8 *525:10 4.5 +4 *525:10 *525:11 3770.36 +5 *525:11 *2448:serial_data_in 12.2108 +*END + +*D_NET *526 0.0391101 +*CONN +*I *2478:hk_ack_i I *D mgmt_core_wrapper +*I *2471:wb_ack_o O *D housekeeping +*CAP +1 *2478:hk_ack_i 0.00127925 +2 *2471:wb_ack_o 0.00172397 +3 *526:14 0.00308265 +4 *526:13 0.00180341 +5 *526:11 0.00070238 +6 *526:10 0.00242635 +7 *526:10 *2471:spi_enabled 0.000124349 +8 *526:10 *2471:wb_stb_i 0.000205961 +9 *526:11 *559:11 0.0104483 +10 *526:11 *2230:11 0.0102446 +11 *526:14 *527:14 0 +12 *526:14 *553:14 0 +13 *526:14 *557:18 0 +14 *526:14 *559:8 0.00519031 +15 *526:14 *604:14 0.00161238 +16 *526:14 *2272:8 0.000266226 +*RES +1 *2471:wb_ack_o *526:10 22.5382 +2 *526:10 *526:11 109.98 +3 *526:11 *526:13 4.5 +4 *526:13 *526:14 93.3951 +5 *526:14 *2478:hk_ack_i 7.79191 +*END + +*D_NET *527 0.031927 +*CONN +*I *2478:hk_dat_i[0] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[0] O *D housekeeping +*CAP +1 *2478:hk_dat_i[0] 0.00114163 +2 *2471:wb_dat_o[0] 0.00158801 +3 *527:14 0.00283127 +4 *527:13 0.00168964 +5 *527:11 0.00238336 +6 *527:10 0.00397137 +7 *527:10 *2471:wb_stb_i 0 +8 *527:10 *538:10 0.000275937 +9 *527:11 *538:11 0.0108159 +10 *527:14 *553:14 0.00336824 +11 *527:14 *555:14 0 +12 *527:14 *603:14 0.000222466 +13 *527:14 *604:14 0 +14 *527:14 *2275:8 0.00363921 +15 *526:14 *527:14 0 +*RES +1 *2471:wb_dat_o[0] *527:10 18.3092 +2 *527:10 *527:11 113.308 +3 *527:11 *527:13 4.5 +4 *527:13 *527:14 91.7341 +5 *527:14 *2478:hk_dat_i[0] 7.40946 +*END + +*D_NET *528 0.0256062 +*CONN +*I *2478:hk_dat_i[10] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[10] O *D housekeeping +*CAP +1 *2478:hk_dat_i[10] 0.00171621 +2 *2471:wb_dat_o[10] 0.00114615 +3 *528:11 0.00430812 +4 *528:10 0.00259191 +5 *528:8 0.0018772 +6 *528:7 0.00302335 +7 *2478:hk_dat_i[10] *529:14 0.000171694 +8 *2478:hk_dat_i[10] *553:14 0 +9 *2478:hk_dat_i[10] *557:18 0.000447468 +10 *528:7 *529:10 0 +11 *528:7 *558:10 0 +12 *528:8 *530:8 0 +13 *528:11 *549:11 0.000120742 +14 *528:11 *552:11 1.41291e-05 +15 *528:11 *553:11 0.0101892 +*RES +1 *2471:wb_dat_o[10] *528:7 7.71542 +2 *528:7 *528:8 51.0394 +3 *528:8 *528:10 4.5 +4 *528:10 *528:11 117.745 +5 *528:11 *2478:hk_dat_i[10] 27.3573 +*END + +*D_NET *529 0.0254509 +*CONN +*I *2478:hk_dat_i[11] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[11] O *D housekeeping +*CAP +1 *2478:hk_dat_i[11] 0.00121853 +2 *2471:wb_dat_o[11] 0.00155159 +3 *529:14 0.00324395 +4 *529:13 0.00202542 +5 *529:11 0.00231345 +6 *529:10 0.00386503 +7 *529:10 *530:7 0 +8 *529:10 *534:8 0.000358763 +9 *529:10 *535:10 0.000168224 +10 *529:11 *534:11 0.0105101 +11 *529:11 *535:11 2.41483e-05 +12 *529:14 *2478:hk_dat_i[14] 0 +13 *529:14 *531:14 0 +14 *529:14 *553:14 0 +15 *529:14 *557:16 0 +16 *529:14 *557:18 0 +17 *2478:hk_dat_i[10] *529:14 0.000171694 +18 *528:7 *529:10 0 +*RES +1 *2471:wb_dat_o[11] *529:10 19.1397 +2 *529:10 *529:11 110.535 +3 *529:11 *529:13 4.5 +4 *529:13 *529:14 56.8529 +5 *529:14 *2478:hk_dat_i[11] 7.79191 +*END + +*D_NET *530 0.0258724 +*CONN +*I *2478:hk_dat_i[12] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[12] O *D housekeeping +*CAP +1 *2478:hk_dat_i[12] 0.00105473 +2 *2471:wb_dat_o[12] 0.00134602 +3 *530:11 0.00368519 +4 *530:10 0.00263046 +5 *530:8 0.0015999 +6 *530:7 0.00294593 +7 *2478:hk_dat_i[12] *554:14 0.000841182 +8 *2478:hk_dat_i[12] *558:14 0.000281894 +9 *530:7 *531:10 0 +10 *530:8 *532:10 0 +11 *530:8 *535:10 0.000274185 +12 *530:8 *555:10 0 +13 *530:8 *556:10 0 +14 *530:8 *557:10 0 +15 *530:11 *554:11 0.0110856 +16 *530:11 *555:11 1.41291e-05 +17 *530:11 *556:11 0.00011318 +18 *528:8 *530:8 0 +19 *529:10 *530:7 0 +*RES +1 *2471:wb_dat_o[12] *530:7 8.48033 +2 *530:7 *530:8 46.4716 +3 *530:8 *530:10 4.5 +4 *530:10 *530:11 122.182 +5 *530:11 *2478:hk_dat_i[12] 24.7348 +*END + +*D_NET *531 0.0249488 +*CONN +*I *2478:hk_dat_i[13] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[13] O *D housekeeping +*CAP +1 *2478:hk_dat_i[13] 0.00110901 +2 *2471:wb_dat_o[13] 0.00149889 +3 *531:14 0.00315257 +4 *531:13 0.00204356 +5 *531:11 0.00241941 +6 *531:10 0.0039183 +7 *531:10 *532:7 0 +8 *531:10 *534:8 7.22572e-05 +9 *531:10 *536:10 6.75696e-05 +10 *531:11 *536:11 0.0106672 +11 *531:14 *2478:hk_dat_i[15] 0 +12 *531:14 *2478:hk_dat_i[18] 0 +13 *531:14 *555:14 0 +14 *529:14 *531:14 0 +15 *530:7 *531:10 0 +*RES +1 *2471:wb_dat_o[13] *531:10 14.5719 +2 *531:10 *531:11 113.863 +3 *531:11 *531:13 4.5 +4 *531:13 *531:14 55.6072 +5 *531:14 *2478:hk_dat_i[13] 7.33297 +*END + +*D_NET *532 0.033975 +*CONN +*I *2478:hk_dat_i[14] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[14] O *D housekeeping +*CAP +1 *2478:hk_dat_i[14] 0.00154659 +2 *2471:wb_dat_o[14] 0.00152881 +3 *532:11 0.00218075 +4 *532:10 0.00121646 +5 *532:7 0.00211111 +6 *2478:hk_dat_i[14] *557:16 0.000739665 +7 *532:7 *533:7 0 +8 *532:10 *533:8 0.00261251 +9 *532:10 *534:8 0.00156296 +10 *532:10 *557:10 0.000122352 +11 *532:11 *533:11 0.0101979 +12 *532:11 *557:11 5.99527e-05 +13 *532:11 *558:11 0.0100959 +14 *529:14 *2478:hk_dat_i[14] 0 +15 *530:8 *532:10 0 +16 *531:10 *532:7 0 +*RES +1 *2471:wb_dat_o[14] *532:7 9.01576 +2 *532:7 *532:10 46.8191 +3 *532:10 *532:11 107.207 +4 *532:11 *2478:hk_dat_i[14] 24.6035 +*END + +*D_NET *533 0.0258579 +*CONN +*I *2478:hk_dat_i[15] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[15] O *D housekeeping +*CAP +1 *2478:hk_dat_i[15] 0.00105005 +2 *2471:wb_dat_o[15] 0.00155858 +3 *533:11 0.00350519 +4 *533:10 0.00245514 +5 *533:8 0.00104021 +6 *533:7 0.00259879 +7 *2478:hk_dat_i[15] *558:14 0 +8 *533:7 *534:8 0 +9 *533:8 *534:8 0.000172802 +10 *533:8 *558:10 0.000302927 +11 *533:11 *558:11 0.000363739 +12 *531:14 *2478:hk_dat_i[15] 0 +13 *532:7 *533:7 0 +14 *532:10 *533:8 0.00261251 +15 *532:11 *533:11 0.0101979 +*RES +1 *2471:wb_dat_o[15] *533:7 9.09225 +2 *533:7 *533:8 48.5479 +3 *533:8 *533:10 4.5 +4 *533:10 *533:11 115.526 +5 *533:11 *2478:hk_dat_i[15] 13.8289 +*END + +*D_NET *534 0.0324843 +*CONN +*I *2478:hk_dat_i[16] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[16] O *D housekeeping +*CAP +1 *2478:hk_dat_i[16] 0.00110455 +2 *2471:wb_dat_o[16] 0.00207443 +3 *534:11 0.0022337 +4 *534:10 0.00112915 +5 *534:8 0.00207443 +6 *534:8 *535:7 0 +7 *534:8 *535:10 0.00023581 +8 *534:8 *536:10 0.000435472 +9 *534:8 *537:10 0 +10 *534:8 *539:10 0.000275937 +11 *534:11 *2478:hk_dat_i[17] 0.000101365 +12 *534:11 *535:11 0.0101426 +13 *529:10 *534:8 0.000358763 +14 *529:11 *534:11 0.0105101 +15 *531:10 *534:8 7.22572e-05 +16 *532:10 *534:8 0.00156296 +17 *533:7 *534:8 0 +18 *533:8 *534:8 0.000172802 +*RES +1 *2471:wb_dat_o[16] *534:8 46.6906 +2 *534:8 *534:10 4.5 +3 *534:10 *534:11 121.627 +4 *534:11 *2478:hk_dat_i[16] 20.615 +*END + +*D_NET *535 0.0247627 +*CONN +*I *2478:hk_dat_i[17] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[17] O *D housekeeping +*CAP +1 *2478:hk_dat_i[17] 0.00144172 +2 *2471:wb_dat_o[17] 0.00140598 +3 *535:11 0.00371013 +4 *535:10 0.00324549 +5 *535:7 0.00238306 +6 *535:7 *536:10 0 +7 *535:10 *536:10 0.00163001 +8 *529:10 *535:10 0.000168224 +9 *529:11 *535:11 2.41483e-05 +10 *530:8 *535:10 0.000274185 +11 *534:8 *535:7 0 +12 *534:8 *535:10 0.00023581 +13 *534:11 *2478:hk_dat_i[17] 0.000101365 +14 *534:11 *535:11 0.0101426 +*RES +1 *2471:wb_dat_o[17] *535:7 8.7098 +2 *535:7 *535:10 48.4801 +3 *535:10 *535:11 107.762 +4 *535:11 *2478:hk_dat_i[17] 13.206 +*END + +*D_NET *536 0.0252616 +*CONN +*I *2478:hk_dat_i[18] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[18] O *D housekeeping +*CAP +1 *2478:hk_dat_i[18] 0.001452 +2 *2471:wb_dat_o[18] 0.00189148 +3 *536:11 0.00381209 +4 *536:10 0.00425158 +5 *536:10 *537:10 0 +6 *536:10 *539:10 0.00105424 +7 *531:10 *536:10 6.75696e-05 +8 *531:11 *536:11 0.0106672 +9 *531:14 *2478:hk_dat_i[18] 0 +10 *534:8 *536:10 0.000435472 +11 *535:7 *536:10 0 +12 *535:10 *536:10 0.00163001 +*RES +1 *2471:wb_dat_o[18] *536:10 46.4698 +2 *536:10 *536:11 112.199 +3 *536:11 *2478:hk_dat_i[18] 20.8226 +*END + +*D_NET *537 0.0230512 +*CONN +*I *2478:hk_dat_i[19] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[19] O *D housekeeping +*CAP +1 *2478:hk_dat_i[19] 0.00154349 +2 *2471:wb_dat_o[19] 0.00251478 +3 *537:11 0.00385341 +4 *537:10 0.0048247 +5 *2478:hk_dat_i[19] *2478:hk_dat_i[20] 0 +6 *537:10 *539:10 0 +7 *537:10 *540:10 0 +8 *537:11 *539:11 0.0103148 +9 *534:8 *537:10 0 +10 *536:10 *537:10 0 +*RES +1 *2471:wb_dat_o[19] *537:10 36.5911 +2 *537:10 *537:11 109.98 +3 *537:11 *2478:hk_dat_i[19] 27.6852 +*END + +*D_NET *538 0.0281619 +*CONN +*I *2478:hk_dat_i[1] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[1] O *D housekeeping +*CAP +1 *2478:hk_dat_i[1] 0.000815998 +2 *2471:wb_dat_o[1] 0.0017475 +3 *538:14 0.00309816 +4 *538:13 0.00228216 +5 *538:11 0.00267853 +6 *538:10 0.00442603 +7 *538:10 *549:10 0 +8 *538:14 *554:14 0.00137052 +9 *538:14 *556:14 0.000377919 +10 *538:14 *558:14 0.000273238 +11 *538:14 *2224:8 0 +12 *538:14 *2228:8 0 +13 *527:10 *538:10 0.000275937 +14 *527:11 *538:11 0.0108159 +*RES +1 *2471:wb_dat_o[1] *538:10 23.631 +2 *538:10 *538:11 120.518 +3 *538:11 *538:13 4.5 +4 *538:13 *538:14 83.0138 +5 *538:14 *2478:hk_dat_i[1] 6.49158 +*END + +*D_NET *539 0.0244677 +*CONN +*I *2478:hk_dat_i[20] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[20] O *D housekeeping +*CAP +1 *2478:hk_dat_i[20] 0.00129902 +2 *2471:wb_dat_o[20] 0.00190267 +3 *539:11 0.00375885 +4 *539:10 0.00436249 +5 *539:10 *540:10 0.000762639 +6 *539:10 *541:10 0.000737002 +7 *2478:hk_dat_i[19] *2478:hk_dat_i[20] 0 +8 *534:8 *539:10 0.000275937 +9 *536:10 *539:10 0.00105424 +10 *537:10 *539:10 0 +11 *537:11 *539:11 0.0103148 +*RES +1 *2471:wb_dat_o[20] *539:10 42.809 +2 *539:10 *539:11 113.863 +3 *539:11 *2478:hk_dat_i[20] 18.4404 +*END + +*D_NET *540 0.0238638 +*CONN +*I *2478:hk_dat_i[21] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[21] O *D housekeeping +*CAP +1 *2478:hk_dat_i[21] 0.00138352 +2 *2471:wb_dat_o[21] 0.00193321 +3 *540:11 0.00382558 +4 *540:10 0.00437527 +5 *2478:hk_dat_i[21] *2478:hk_dat_i[22] 0.000275934 +6 *540:10 *541:10 0.000139296 +7 *540:10 *542:10 6.75696e-05 +8 *540:10 *543:10 0 +9 *540:11 *541:11 0.0111008 +10 *537:10 *540:10 0 +11 *539:10 *540:10 0.000762639 +*RES +1 *2471:wb_dat_o[21] *540:10 31.6737 +2 *540:10 *540:11 116.636 +3 *540:11 *2478:hk_dat_i[21] 25.8712 +*END + +*D_NET *541 0.023848 +*CONN +*I *2478:hk_dat_i[22] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[22] O *D housekeeping +*CAP +1 *2478:hk_dat_i[22] 0.00107795 +2 *2471:wb_dat_o[22] 0.00200563 +3 *541:11 0.00355126 +4 *541:10 0.00447894 +5 *541:10 *542:10 0.00048123 +6 *2478:hk_dat_i[21] *2478:hk_dat_i[22] 0.000275934 +7 *539:10 *541:10 0.000737002 +8 *540:10 *541:10 0.000139296 +9 *540:11 *541:11 0.0111008 +*RES +1 *2471:wb_dat_o[22] *541:10 37.7495 +2 *541:10 *541:11 117.19 +3 *541:11 *2478:hk_dat_i[22] 16.3969 +*END + +*D_NET *542 0.022792 +*CONN +*I *2478:hk_dat_i[23] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[23] O *D housekeeping +*CAP +1 *2478:hk_dat_i[23] 0.00132249 +2 *2471:wb_dat_o[23] 0.00191914 +3 *542:11 0.00394097 +4 *542:10 0.00453761 +5 *2478:hk_dat_i[23] *2478:hk_dat_i[24] 0 +6 *542:10 *543:10 0 +7 *542:11 *543:11 0.010523 +8 *540:10 *542:10 6.75696e-05 +9 *541:10 *542:10 0.00048123 +*RES +1 *2471:wb_dat_o[23] *542:10 27.0295 +2 *542:10 *542:11 118.854 +3 *542:11 *2478:hk_dat_i[23] 23.5655 +*END + +*D_NET *543 0.0221854 +*CONN +*I *2478:hk_dat_i[24] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[24] O *D housekeeping +*CAP +1 *2478:hk_dat_i[24] 0.00115251 +2 *2471:wb_dat_o[24] 0.00240327 +3 *543:11 0.00342794 +4 *543:10 0.0046787 +5 *543:10 *544:10 0 +6 *2478:hk_dat_i[23] *2478:hk_dat_i[24] 0 +7 *540:10 *543:10 0 +8 *542:10 *543:10 0 +9 *542:11 *543:11 0.010523 +*RES +1 *2471:wb_dat_o[24] *543:10 34.7772 +2 *543:10 *543:11 109.98 +3 *543:11 *2478:hk_dat_i[24] 14.5501 +*END + +*D_NET *544 0.0227292 +*CONN +*I *2478:hk_dat_i[25] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[25] O *D housekeeping +*CAP +1 *2478:hk_dat_i[25] 0.00118412 +2 *2471:wb_dat_o[25] 0.0013158 +3 *544:11 0.00414129 +4 *544:10 0.00427296 +5 *544:10 *545:10 0.000559368 +6 *544:11 *2478:hk_dat_i[26] 0.00015065 +7 *544:11 *545:11 0.011105 +8 *543:10 *544:10 0 +*RES +1 *2471:wb_dat_o[25] *544:10 21.5001 +2 *544:10 *544:11 131.055 +3 *544:11 *2478:hk_dat_i[25] 21.5985 +*END + +*D_NET *545 0.022546 +*CONN +*I *2478:hk_dat_i[26] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[26] O *D housekeeping +*CAP +1 *2478:hk_dat_i[26] 0.00139706 +2 *2471:wb_dat_o[26] 0.00156036 +3 *545:11 0.00380515 +4 *545:10 0.00396846 +5 *545:10 *546:10 0 +6 *544:10 *545:10 0.000559368 +7 *544:11 *2478:hk_dat_i[26] 0.00015065 +8 *544:11 *545:11 0.011105 +*RES +1 *2471:wb_dat_o[26] *545:10 27.8054 +2 *545:10 *545:11 116.081 +3 *545:11 *2478:hk_dat_i[26] 14.867 +*END + +*D_NET *546 0.0144686 +*CONN +*I *2478:hk_dat_i[27] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[27] O *D housekeeping +*CAP +1 *2478:hk_dat_i[27] 0.00111003 +2 *2471:wb_dat_o[27] 0.00162075 +3 *546:11 0.00548101 +4 *546:10 0.00599173 +5 *546:10 *547:10 0 +6 *546:11 *2478:hk_dat_i[28] 0.000265069 +7 *545:10 *546:10 0 +*RES +1 *2471:wb_dat_o[27] *546:10 17.8939 +2 *546:10 *546:11 122.182 +3 *546:11 *2478:hk_dat_i[27] 20.615 +*END + +*D_NET *547 0.0210656 +*CONN +*I *2478:hk_dat_i[28] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[28] O *D housekeeping +*CAP +1 *2478:hk_dat_i[28] 0.00140142 +2 *2471:wb_dat_o[28] 0.00155683 +3 *547:11 0.00386981 +4 *547:10 0.00402521 +5 *547:10 *548:10 0 +6 *547:11 *548:11 0.00994725 +7 *546:10 *547:10 0 +8 *546:11 *2478:hk_dat_i[28] 0.000265069 +*RES +1 *2471:wb_dat_o[28] *547:10 16.2329 +2 *547:10 *547:11 112.753 +3 *547:11 *2478:hk_dat_i[28] 20.2544 +*END + +*D_NET *548 0.020703 +*CONN +*I *2478:hk_dat_i[29] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[29] O *D housekeeping +*CAP +1 *2478:hk_dat_i[29] 0.00138121 +2 *2471:wb_dat_o[29] 0.00185213 +3 *548:11 0.00352575 +4 *548:10 0.00399668 +5 *548:10 *550:10 0 +6 *547:10 *548:10 0 +7 *547:11 *548:11 0.00994725 +*RES +1 *2471:wb_dat_o[29] *548:10 22.0136 +2 *548:10 *548:11 103.88 +3 *548:11 *2478:hk_dat_i[29] 13.6212 +*END + +*D_NET *549 0.0394913 +*CONN +*I *2478:hk_dat_i[2] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[2] O *D housekeeping +*CAP +1 *2478:hk_dat_i[2] 0.00142642 +2 *2471:wb_dat_o[2] 0.00157981 +3 *549:14 0.00241064 +4 *549:13 0.000984227 +5 *549:11 0.000627554 +6 *549:10 0.00220737 +7 *549:10 *552:10 0 +8 *549:11 *552:11 0.0101979 +9 *549:11 *553:11 0.00998549 +10 *549:14 *552:14 0.00503334 +11 *549:14 *557:18 0.00099579 +12 *549:14 *2229:8 0.000399583 +13 *549:14 *2230:8 0.00352242 +14 *528:11 *549:11 0.000120742 +15 *538:10 *549:10 0 +*RES +1 *2471:wb_dat_o[2] *549:10 13.7414 +2 *549:10 *549:11 107.207 +3 *549:11 *549:13 4.5 +4 *549:13 *549:14 90.4883 +5 *549:14 *2478:hk_dat_i[2] 8.25085 +*END + +*D_NET *550 0.0208948 +*CONN +*I *2478:hk_dat_i[30] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[30] O *D housekeeping +*CAP +1 *2478:hk_dat_i[30] 0.00155012 +2 *2471:wb_dat_o[30] 0.00153218 +3 *550:11 0.00380821 +4 *550:10 0.00379026 +5 *2478:hk_dat_i[30] *2478:hk_dat_i[31] 3.31733e-05 +6 *550:10 *551:10 2.95757e-05 +7 *550:11 *551:11 0.0101513 +8 *548:10 *550:10 0 +*RES +1 *2471:wb_dat_o[30] *550:10 15.8176 +2 *550:10 *550:11 107.207 +3 *550:11 *2478:hk_dat_i[30] 20.1888 +*END + +*D_NET *551 0.0206544 +*CONN +*I *2478:hk_dat_i[31] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[31] O *D housekeeping +*CAP +1 *2478:hk_dat_i[31] 0.00139926 +2 *2471:wb_dat_o[31] 0.00162536 +3 *551:11 0.00359483 +4 *551:10 0.00382092 +5 *2471:spimemio_flash_clk *551:10 0 +6 *2478:hk_dat_i[30] *2478:hk_dat_i[31] 3.31733e-05 +7 *550:10 *551:10 2.95757e-05 +8 *550:11 *551:11 0.0101513 +*RES +1 *2471:wb_dat_o[31] *551:10 17.5551 +2 *551:10 *551:11 106.098 +3 *551:11 *2478:hk_dat_i[31] 15.2822 +*END + +*D_NET *552 0.0296126 +*CONN +*I *2478:hk_dat_i[3] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[3] O *D housekeeping +*CAP +1 *2478:hk_dat_i[3] 0.00144422 +2 *2471:wb_dat_o[3] 0.00164609 +3 *552:14 0.00330131 +4 *552:13 0.0018571 +5 *552:11 0.00223173 +6 *552:10 0.00387782 +7 *552:10 *553:10 0 +8 *552:14 *557:18 8.92568e-06 +9 *528:11 *552:11 1.41291e-05 +10 *549:10 *552:10 0 +11 *549:11 *552:11 0.0101979 +12 *549:14 *552:14 0.00503334 +*RES +1 *2471:wb_dat_o[3] *552:10 19.0632 +2 *552:10 *552:11 107.207 +3 *552:11 *552:13 4.5 +4 *552:13 *552:14 81.768 +5 *552:14 *2478:hk_dat_i[3] 8.32734 +*END + +*D_NET *553 0.0352269 +*CONN +*I *2478:hk_dat_i[4] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[4] O *D housekeeping +*CAP +1 *2478:hk_dat_i[4] 0.00116372 +2 *2471:wb_dat_o[4] 0.0020536 +3 *553:14 0.00299916 +4 *553:13 0.00183544 +5 *553:11 0.00078918 +6 *553:10 0.00284278 +7 *553:10 *554:10 0 +8 *553:14 *555:14 0 +9 *553:14 *557:18 0 +10 *2478:hk_dat_i[10] *553:14 0 +11 *526:14 *553:14 0 +12 *527:14 *553:14 0.00336824 +13 *528:11 *553:11 0.0101892 +14 *529:14 *553:14 0 +15 *549:11 *553:11 0.00998549 +16 *552:10 *553:10 0 +*RES +1 *2471:wb_dat_o[4] *553:10 26.9967 +2 *553:10 *553:11 109.98 +3 *553:11 *553:13 4.5 +4 *553:13 *553:14 70.9715 +5 *553:14 *2478:hk_dat_i[4] 7.48595 +*END + +*D_NET *554 0.0360722 +*CONN +*I *2478:hk_dat_i[5] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[5] O *D housekeeping +*CAP +1 *2478:hk_dat_i[5] 0.000878901 +2 *2471:wb_dat_o[5] 0.00174461 +3 *554:14 0.00238214 +4 *554:13 0.00150323 +5 *554:11 0.000682848 +6 *554:10 0.00242745 +7 *554:10 *555:10 0 +8 *554:10 *556:10 0 +9 *554:11 *556:11 0.011075 +10 *554:14 *555:14 0.00208066 +11 *554:14 *558:14 0 +12 *554:14 *2224:8 0 +13 *2478:hk_dat_i[12] *554:14 0.000841182 +14 *530:11 *554:11 0.0110856 +15 *538:14 *554:14 0.00137052 +16 *553:10 *554:10 0 +*RES +1 *2471:wb_dat_o[5] *554:10 15.7848 +2 *554:10 *554:11 116.081 +3 *554:11 *554:13 4.5 +4 *554:13 *554:14 78.8613 +5 *554:14 *2478:hk_dat_i[5] 6.64456 +*END + +*D_NET *555 0.027623 +*CONN +*I *2478:hk_dat_i[6] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[6] O *D housekeeping +*CAP +1 *2478:hk_dat_i[6] 0.000921034 +2 *2471:wb_dat_o[6] 0.0016569 +3 *555:14 0.00275385 +4 *555:13 0.00183282 +5 *555:11 0.00248383 +6 *555:10 0.00414073 +7 *555:10 *556:10 0.00048123 +8 *555:11 *556:11 0.0111876 +9 *555:14 *558:14 0 +10 *555:14 *2224:8 7.01502e-05 +11 *555:14 *2227:14 0 +12 *555:14 *2275:8 0 +13 *527:14 *555:14 0 +14 *530:8 *555:10 0 +15 *530:11 *555:11 1.41291e-05 +16 *531:14 *555:14 0 +17 *553:14 *555:14 0 +18 *554:10 *555:10 0 +19 *554:14 *555:14 0.00208066 +*RES +1 *2471:wb_dat_o[6] *555:10 21.2159 +2 *555:10 *555:11 117.745 +3 *555:11 *555:13 4.5 +4 *555:13 *555:14 70.5562 +5 *555:14 *2478:hk_dat_i[6] 6.79754 +*END + +*D_NET *556 0.0358336 +*CONN +*I *2478:hk_dat_i[7] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[7] O *D housekeeping +*CAP +1 *2478:hk_dat_i[7] 0.000756037 +2 *2471:wb_dat_o[7] 0.00191511 +3 *556:14 0.00222528 +4 *556:13 0.00146924 +5 *556:11 0.0008384 +6 *556:10 0.00275351 +7 *556:10 *557:10 0 +8 *556:14 *558:14 0.00264111 +9 *530:8 *556:10 0 +10 *530:11 *556:11 0.00011318 +11 *538:14 *556:14 0.000377919 +12 *554:10 *556:10 0 +13 *554:11 *556:11 0.011075 +14 *555:10 *556:10 0.00048123 +15 *555:11 *556:11 0.0111876 +*RES +1 *2471:wb_dat_o[7] *556:10 27.5212 +2 *556:10 *556:11 121.072 +3 *556:11 *556:13 4.5 +4 *556:13 *556:14 61.0054 +5 *556:14 *2478:hk_dat_i[7] 6.26211 +*END + +*D_NET *557 0.0267268 +*CONN +*I *2478:hk_dat_i[8] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[8] O *D housekeeping +*CAP +1 *2478:hk_dat_i[8] 0.00136212 +2 *2471:wb_dat_o[8] 0.00157712 +3 *557:18 0.00270275 +4 *557:16 0.00188209 +5 *557:11 0.00282574 +6 *557:10 0.00386139 +7 *557:10 *558:10 0 +8 *557:11 *558:11 0.0100451 +9 *557:18 *559:8 9.34618e-06 +10 *557:18 *2230:8 8.70315e-05 +11 *2478:hk_dat_i[10] *557:18 0.000447468 +12 *2478:hk_dat_i[14] *557:16 0.000739665 +13 *526:14 *557:18 0 +14 *529:14 *557:16 0 +15 *529:14 *557:18 0 +16 *530:8 *557:10 0 +17 *532:10 *557:10 0.000122352 +18 *532:11 *557:11 5.99527e-05 +19 *549:14 *557:18 0.00099579 +20 *552:14 *557:18 8.92568e-06 +21 *553:14 *557:18 0 +22 *556:10 *557:10 0 +*RES +1 *2471:wb_dat_o[8] *557:10 17.4787 +2 *557:10 *557:11 107.762 +3 *557:11 *557:16 22.6856 +4 *557:16 *557:18 51.1982 +5 *557:18 *2478:hk_dat_i[8] 8.09787 +*END + +*D_NET *558 0.0340074 +*CONN +*I *2478:hk_dat_i[9] I *D mgmt_core_wrapper +*I *2471:wb_dat_o[9] O *D housekeeping +*CAP +1 *2478:hk_dat_i[9] 0.000788568 +2 *2471:wb_dat_o[9] 0.00188055 +3 *558:14 0.00214045 +4 *558:13 0.00135188 +5 *558:11 0.00098075 +6 *558:10 0.0028613 +7 *2478:hk_dat_i[12] *558:14 0.000281894 +8 *2478:hk_dat_i[15] *558:14 0 +9 *528:7 *558:10 0 +10 *532:11 *558:11 0.0100959 +11 *533:8 *558:10 0.000302927 +12 *533:11 *558:11 0.000363739 +13 *538:14 *558:14 0.000273238 +14 *554:14 *558:14 0 +15 *555:14 *558:14 0 +16 *556:14 *558:14 0.00264111 +17 *557:10 *558:10 0 +18 *557:11 *558:11 0.0100451 +*RES +1 *2471:wb_dat_o[9] *558:10 24.0899 +2 *558:10 *558:11 118.299 +3 *558:11 *558:13 4.5 +4 *558:13 *558:14 58.9292 +5 *558:14 *2478:hk_dat_i[9] 6.3386 +*END + +*D_NET *559 0.0319987 +*CONN +*I *2471:wb_stb_i I *D housekeeping +*I *2478:hk_stb_o O *D mgmt_core_wrapper +*CAP +1 *2471:wb_stb_i 0.00190088 +2 *2478:hk_stb_o 0.00129179 +3 *559:11 0.00423772 +4 *559:10 0.00233685 +5 *559:8 0.00143109 +6 *559:7 0.00272288 +7 *2471:wb_stb_i *2471:spi_enabled 0.000119662 +8 *559:8 *2230:8 0 +9 *559:8 *2272:8 0.00207973 +10 *559:11 *2230:11 2.41483e-05 +11 *526:10 *2471:wb_stb_i 0.000205961 +12 *526:11 *559:11 0.0104483 +13 *526:14 *559:8 0.00519031 +14 *527:10 *2471:wb_stb_i 0 +15 *557:18 *559:8 9.34618e-06 +*RES +1 *2478:hk_stb_o *559:7 7.8684 +2 *559:7 *559:8 83.8443 +3 *559:8 *559:10 4.5 +4 *559:10 *559:11 110.535 +5 *559:11 *2471:wb_stb_i 28.614 +*END + +*D_NET *560 0.0623247 +*CONN +*I *2478:sram_ro_addr[0] I *D mgmt_core_wrapper +*I *2471:sram_ro_addr[0] O *D housekeeping +*CAP +1 *2478:sram_ro_addr[0] 0.00125866 +2 *2471:sram_ro_addr[0] 0.00127864 +3 *560:14 0.00375029 +4 *560:13 0.00249163 +5 *560:11 0.000719053 +6 *560:10 0.000719053 +7 *560:8 0.000857321 +8 *560:7 0.00213597 +9 *560:7 *561:7 0 +10 *560:7 *569:10 0 +11 *560:8 *562:8 0.00645653 +12 *560:8 *563:8 0.00650393 +13 *560:8 *565:8 2.04806e-05 +14 *560:11 *561:11 0.0106414 +15 *560:11 *562:11 4.0752e-05 +16 *560:11 *563:11 0.0107412 +17 *560:11 *570:11 1.65872e-05 +18 *560:14 *561:14 0.00505051 +19 *560:14 *562:14 0.00018896 +20 *560:14 *563:14 0.00935736 +21 *560:14 *564:14 9.22013e-06 +22 *560:14 *571:8 8.71534e-05 +*RES +1 *2471:sram_ro_addr[0] *560:7 8.17437 +2 *560:7 *560:8 104.192 +3 *560:8 *560:10 4.5 +4 *560:10 *560:11 113.308 +5 *560:11 *560:13 4.5 +6 *560:13 *560:14 177.691 +7 *560:14 *2478:sram_ro_addr[0] 8.09787 +*END + +*D_NET *561 0.0588453 +*CONN +*I *2478:sram_ro_addr[1] I *D mgmt_core_wrapper +*I *2471:sram_ro_addr[1] O *D housekeeping +*CAP +1 *2478:sram_ro_addr[1] 0.0012083 +2 *2471:sram_ro_addr[1] 0.00136891 +3 *561:14 0.00466475 +4 *561:13 0.00345645 +5 *561:11 0.000751558 +6 *561:10 0.000751558 +7 *561:8 0.000916239 +8 *561:7 0.00228515 +9 *561:7 *562:7 0 +10 *561:8 *564:8 0.00684722 +11 *561:8 *565:8 0.00688953 +12 *561:8 *570:14 8.62321e-06 +13 *561:11 *562:11 0.0104908 +14 *561:11 *564:11 1.65872e-05 +15 *561:11 *570:11 1.58551e-05 +16 *561:14 *566:14 0 +17 *561:14 *568:14 0 +18 *561:14 *569:14 0 +19 *561:14 *571:8 0.000109602 +20 *561:14 *592:8 0.000383211 +21 *561:14 *596:8 0.000549646 +22 *561:14 *598:8 0.00243941 +23 *560:7 *561:7 0 +24 *560:11 *561:11 0.0106414 +25 *560:14 *561:14 0.00505051 +*RES +1 *2471:sram_ro_addr[1] *561:7 8.40384 +2 *561:7 *561:8 110.42 +3 *561:8 *561:10 4.5 +4 *561:10 *561:11 112.753 +5 *561:11 *561:13 4.5 +6 *561:13 *561:14 168.971 +7 *561:14 *2478:sram_ro_addr[1] 7.94489 +*END + +*D_NET *562 0.0602448 +*CONN +*I *2478:sram_ro_addr[2] I *D mgmt_core_wrapper +*I *2471:sram_ro_addr[2] O *D housekeeping +*CAP +1 *2478:sram_ro_addr[2] 0.00134371 +2 *2471:sram_ro_addr[2] 0.0012986 +3 *562:14 0.00494627 +4 *562:13 0.00360256 +5 *562:11 0.000709047 +6 *562:10 0.000709047 +7 *562:8 0.000997022 +8 *562:7 0.00229563 +9 *562:7 *563:7 0 +10 *562:8 *563:8 0.000367776 +11 *562:8 *565:8 0.00723015 +12 *562:11 *564:11 0.0104419 +13 *562:14 *563:14 0.000271132 +14 *562:14 *564:14 0.00885496 +15 *560:8 *562:8 0.00645653 +16 *560:11 *562:11 4.0752e-05 +17 *560:14 *562:14 0.00018896 +18 *561:7 *562:7 0 +19 *561:11 *562:11 0.0104908 +*RES +1 *2471:sram_ro_addr[2] *562:7 8.25085 +2 *562:7 *562:8 115.819 +3 *562:8 *562:10 4.5 +4 *562:10 *562:11 111.09 +5 *562:11 *562:13 4.5 +6 *562:13 *562:14 160.251 +7 *562:14 *2478:sram_ro_addr[2] 8.32734 +*END + +*D_NET *563 0.0613909 +*CONN +*I *2478:sram_ro_addr[3] I *D mgmt_core_wrapper +*I *2471:sram_ro_addr[3] O *D housekeeping +*CAP +1 *2478:sram_ro_addr[3] 0.00129335 +2 *2471:sram_ro_addr[3] 0.00124609 +3 *563:14 0.00255447 +4 *563:13 0.00126112 +5 *563:11 0.000740185 +6 *563:10 0.000740185 +7 *563:8 0.00280479 +8 *563:7 0.00405088 +9 *563:7 *564:7 0 +10 *563:8 *565:8 0.000147122 +11 *563:11 *565:11 9.16621e-05 +12 *563:11 *570:11 0.0104312 +13 *563:14 *564:14 0.0087885 +14 *560:8 *563:8 0.00650393 +15 *560:11 *563:11 0.0107412 +16 *560:14 *563:14 0.00935736 +17 *562:7 *563:7 0 +18 *562:8 *563:8 0.000367776 +19 *562:14 *563:14 0.000271132 +*RES +1 *2471:sram_ro_addr[3] *563:7 8.09787 +2 *563:7 *563:8 123.709 +3 *563:8 *563:10 4.5 +4 *563:10 *563:11 113.308 +5 *563:11 *563:13 4.5 +6 *563:13 *563:14 149.869 +7 *563:14 *2478:sram_ro_addr[3] 8.17437 +*END + +*D_NET *564 0.0646921 +*CONN +*I *2478:sram_ro_addr[4] I *D mgmt_core_wrapper +*I *2471:sram_ro_addr[4] O *D housekeeping +*CAP +1 *2478:sram_ro_addr[4] 0.00133632 +2 *2471:sram_ro_addr[4] 0.00136374 +3 *564:14 0.00253047 +4 *564:13 0.00119415 +5 *564:11 0.00081634 +6 *564:10 0.00081634 +7 *564:8 0.00110496 +8 *564:7 0.0024687 +9 *564:7 *565:7 0 +10 *564:8 *565:8 0.000553593 +11 *564:8 *567:8 0.0079672 +12 *564:8 *570:14 7.77309e-06 +13 *564:11 *567:11 0.00955197 +14 *564:14 *571:8 2.21765e-05 +15 *560:14 *564:14 9.22013e-06 +16 *561:8 *564:8 0.00684722 +17 *561:11 *564:11 1.65872e-05 +18 *562:11 *564:11 0.0104419 +19 *562:14 *564:14 0.00885496 +20 *563:7 *564:7 0 +21 *563:14 *564:14 0.0087885 +*RES +1 *2471:sram_ro_addr[4] *564:7 8.48033 +2 *564:7 *564:8 127.861 +3 *564:8 *564:10 4.5 +4 *564:10 *564:11 109.98 +5 *564:11 *564:13 4.5 +6 *564:13 *564:14 142.395 +7 *564:14 *2478:sram_ro_addr[4] 8.25085 +*END + +*D_NET *565 0.0563617 +*CONN +*I *2478:sram_ro_addr[5] I *D mgmt_core_wrapper +*I *2471:sram_ro_addr[5] O *D housekeeping +*CAP +1 *2478:sram_ro_addr[5] 0.000986281 +2 *2471:sram_ro_addr[5] 0.00130605 +3 *565:14 0.00264581 +4 *565:13 0.00165953 +5 *565:11 0.00267315 +6 *565:10 0.00267315 +7 *565:8 0.00148733 +8 *565:7 0.00279338 +9 *565:7 *566:10 0 +10 *565:8 *567:8 0.000142811 +11 *565:11 *570:11 0.0110885 +12 *565:14 *569:14 0.00803739 +13 *565:14 *570:8 0.0057695 +14 *565:14 *595:10 0.00016622 +15 *560:8 *565:8 2.04806e-05 +16 *561:8 *565:8 0.00688953 +17 *562:8 *565:8 0.00723015 +18 *563:8 *565:8 0.000147122 +19 *563:11 *565:11 9.16621e-05 +20 *564:7 *565:7 0 +21 *564:8 *565:8 0.000553593 +*RES +1 *2471:sram_ro_addr[5] *565:7 8.32734 +2 *565:7 *565:8 136.997 +3 *565:8 *565:10 4.5 +4 *565:10 *565:11 119.409 +5 *565:11 *565:13 4.5 +6 *565:13 *565:14 129.937 +7 *565:14 *2478:sram_ro_addr[5] 7.1035 +*END + +*D_NET *566 0.0492173 +*CONN +*I *2478:sram_ro_addr[6] I *D mgmt_core_wrapper +*I *2471:sram_ro_addr[6] O *D housekeeping +*CAP +1 *2478:sram_ro_addr[6] 0.00106097 +2 *2471:sram_ro_addr[6] 0.001913 +3 *566:14 0.00357553 +4 *566:13 0.00251455 +5 *566:11 0.00423515 +6 *566:10 0.00614815 +7 *566:10 *567:7 0 +8 *566:10 *570:14 0.000339599 +9 *566:14 *568:14 0.0142383 +10 *566:14 *569:14 0.00109585 +11 *566:14 *581:8 0.0130881 +12 *566:14 *595:10 0.00100807 +13 *561:14 *566:14 0 +14 *565:7 *566:10 0 +*RES +1 *2471:sram_ro_addr[6] *566:10 29.521 +2 *566:10 *566:11 113.863 +3 *566:11 *566:13 4.5 +4 *566:13 *566:14 247.454 +5 *566:14 *2478:sram_ro_addr[6] 7.33297 +*END + +*D_NET *567 0.0501462 +*CONN +*I *2478:sram_ro_addr[7] I *D mgmt_core_wrapper +*I *2471:sram_ro_addr[7] O *D housekeeping +*CAP +1 *2478:sram_ro_addr[7] 0.00182245 +2 *2471:sram_ro_addr[7] 0.00136601 +3 *567:14 0.00511695 +4 *567:13 0.0032945 +5 *567:11 0.00209694 +6 *567:10 0.00209694 +7 *567:8 0.00138993 +8 *567:7 0.00275594 +9 *567:7 *568:10 0 +10 *567:8 *570:14 0.00915755 +11 *567:14 *601:14 0.000726815 +12 *82:13 *567:14 0.00266023 +13 *564:8 *567:8 0.0079672 +14 *564:11 *567:11 0.00955197 +15 *565:8 *567:8 0.000142811 +16 *566:10 *567:7 0 +*RES +1 *2471:sram_ro_addr[7] *567:7 8.55682 +2 *567:7 *567:8 146.547 +3 *567:8 *567:10 4.5 +4 *567:10 *567:11 99.9974 +5 *567:11 *567:13 4.5 +6 *567:13 *567:14 112.704 +7 *567:14 *2478:sram_ro_addr[7] 42.2747 +*END + +*D_NET *568 0.0474709 +*CONN +*I *2478:sram_ro_clk I *D mgmt_core_wrapper +*I *2471:sram_ro_clk O *D housekeeping +*CAP +1 *2478:sram_ro_clk 0.0010935 +2 *2471:sram_ro_clk 0.00202149 +3 *568:14 0.00408418 +4 *568:13 0.00299068 +5 *568:11 0.00406548 +6 *568:10 0.00608698 +7 *568:10 *2471:sram_ro_data[0] 0 +8 *568:10 *570:14 0 +9 *568:14 *581:8 0.000520414 +10 *568:14 *592:8 0 +11 *568:14 *595:10 0.00111871 +12 *568:14 *597:8 0.000537358 +13 *568:14 *599:8 0.0107138 +14 *561:14 *568:14 0 +15 *566:14 *568:14 0.0142383 +16 *567:7 *568:10 0 +*RES +1 *2471:sram_ro_clk *568:10 25.4886 +2 *568:10 *568:11 109.426 +3 *568:11 *568:13 4.5 +4 *568:13 *568:14 246.208 +5 *568:14 *2478:sram_ro_clk 7.40946 +*END + +*D_NET *569 0.0476735 +*CONN +*I *2478:sram_ro_csb I *D mgmt_core_wrapper +*I *2471:sram_ro_csb O *D housekeeping +*CAP +1 *2478:sram_ro_csb 0.000995883 +2 *2471:sram_ro_csb 0.00174498 +3 *569:14 0.00584147 +4 *569:13 0.00484558 +5 *569:11 0.00429767 +6 *569:10 0.00604265 +7 *569:10 *570:14 0.000134308 +8 *569:14 *570:8 8.03699e-06 +9 *569:14 *576:8 0.00292338 +10 *569:14 *581:8 0.0109799 +11 *569:14 *595:10 0.000726473 +12 *560:7 *569:10 0 +13 *561:14 *569:14 0 +14 *565:14 *569:14 0.00803739 +15 *566:14 *569:14 0.00109585 +*RES +1 *2471:sram_ro_csb *569:10 19.9702 +2 *569:10 *569:11 114.972 +3 *569:11 *569:13 4.5 +4 *569:13 *569:14 278.598 +5 *569:14 *2478:sram_ro_csb 7.17999 +*END + +*D_NET *570 0.0553598 +*CONN +*I *2471:sram_ro_data[0] I *D housekeeping +*I *2478:sram_ro_data[0] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[0] 0.001386 +2 *2478:sram_ro_data[0] 0.000966293 +3 *570:14 0.00495166 +4 *570:13 0.00356567 +5 *570:11 0.000967578 +6 *570:10 0.000967578 +7 *570:8 0.00213536 +8 *570:7 0.00310166 +9 *2471:sram_ro_data[0] *2471:sram_ro_data[1] 0 +10 *570:8 *595:10 0.000317773 +11 *570:14 *2471:sram_ro_data[1] 2.2746e-05 +12 *560:11 *570:11 1.65872e-05 +13 *561:8 *570:14 8.62321e-06 +14 *561:11 *570:11 1.58551e-05 +15 *563:11 *570:11 0.0104312 +16 *564:8 *570:14 7.77309e-06 +17 *565:11 *570:11 0.0110885 +18 *565:14 *570:8 0.0057695 +19 *566:10 *570:14 0.000339599 +20 *567:8 *570:14 0.00915755 +21 *568:10 *2471:sram_ro_data[0] 0 +22 *568:10 *570:14 0 +23 *569:10 *570:14 0.000134308 +24 *569:14 *570:8 8.03699e-06 +*RES +1 *2478:sram_ro_data[0] *570:7 7.02701 +2 *570:7 *570:8 93.8104 +3 *570:8 *570:10 4.5 +4 *570:10 *570:11 117.745 +5 *570:11 *570:13 4.5 +6 *570:13 *570:14 161.496 +7 *570:14 *2471:sram_ro_data[0] 8.63331 +*END + +*D_NET *571 0.056855 +*CONN +*I *2471:sram_ro_data[10] I *D housekeeping +*I *2478:sram_ro_data[10] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[10] 0.00182856 +2 *2478:sram_ro_data[10] 0.00142709 +3 *571:11 0.00268466 +4 *571:10 0.000856105 +5 *571:8 0.00208832 +6 *571:7 0.00351541 +7 *2471:sram_ro_data[10] *2471:sram_ro_data[11] 0 +8 *2471:sram_ro_data[10] *2471:sram_ro_data[8] 4.69495e-06 +9 *2471:sram_ro_data[10] *2471:sram_ro_data[9] 0.000351464 +10 *571:8 *572:8 0.00018896 +11 *571:8 *573:8 0.0120402 +12 *571:8 *575:8 0.0108612 +13 *571:8 *598:8 0.00105246 +14 *571:11 *600:11 0.0103314 +15 *571:11 *601:17 0.00940553 +16 *560:14 *571:8 8.71534e-05 +17 *561:14 *571:8 0.000109602 +18 *564:14 *571:8 2.21765e-05 +*RES +1 *2478:sram_ro_data[10] *571:7 8.02138 +2 *571:7 *571:8 211.742 +3 *571:8 *571:10 4.5 +4 *571:10 *571:11 109.426 +5 *571:11 *2471:sram_ro_data[10] 26.1225 +*END + +*D_NET *572 0.0496321 +*CONN +*I *2471:sram_ro_data[11] I *D housekeeping +*I *2478:sram_ro_data[11] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[11] 0.00148082 +2 *2478:sram_ro_data[11] 0.00145024 +3 *572:11 0.00371593 +4 *572:10 0.00223511 +5 *572:8 0.00257556 +6 *572:7 0.0040258 +7 *2471:sram_ro_data[11] *2471:sram_ro_data[12] 7.22572e-05 +8 *2471:sram_ro_data[11] *2471:sram_ro_data[13] 6.75696e-05 +9 *572:8 *573:8 0.000280352 +10 *572:8 *574:8 0.0125195 +11 *572:8 *575:8 0 +12 *572:8 *577:8 0.010798 +13 *572:11 *573:11 0.0101979 +14 *572:11 *574:11 2.41483e-05 +15 *2471:sram_ro_data[10] *2471:sram_ro_data[11] 0 +16 *571:8 *572:8 0.00018896 +*RES +1 *2478:sram_ro_data[11] *572:7 8.25085 +2 *572:7 *572:8 220.047 +3 *572:8 *572:10 4.5 +4 *572:10 *572:11 107.207 +5 *572:11 *2471:sram_ro_data[11] 14.5719 +*END + +*D_NET *573 0.0573437 +*CONN +*I *2471:sram_ro_data[12] I *D housekeeping +*I *2478:sram_ro_data[12] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[12] 0.00170614 +2 *2478:sram_ro_data[12] 0.00141026 +3 *573:11 0.00235311 +4 *573:10 0.000646965 +5 *573:8 0.00186399 +6 *573:7 0.00327426 +7 *2471:sram_ro_data[12] *2471:sram_ro_data[13] 0.000205961 +8 *573:8 *574:8 0.0125231 +9 *573:8 *575:8 0.000520414 +10 *573:11 *574:11 0.0102488 +11 *2471:sram_ro_data[11] *2471:sram_ro_data[12] 7.22572e-05 +12 *571:8 *573:8 0.0120402 +13 *572:8 *573:8 0.000280352 +14 *572:11 *573:11 0.0101979 +*RES +1 *2478:sram_ro_data[12] *573:7 8.09787 +2 *573:7 *573:8 210.496 +3 *573:8 *573:10 4.5 +4 *573:10 *573:11 107.762 +5 *573:11 *2471:sram_ro_data[12] 21.7077 +*END + +*D_NET *574 0.0501643 +*CONN +*I *2471:sram_ro_data[13] I *D housekeeping +*I *2478:sram_ro_data[13] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[13] 0.00188305 +2 *2478:sram_ro_data[13] 0.00145323 +3 *574:11 0.00415452 +4 *574:10 0.00227148 +5 *574:8 0.00167991 +6 *574:7 0.00313315 +7 *2471:sram_ro_data[13] *2471:sram_ro_data[14] 0 +8 *2471:sram_ro_data[11] *2471:sram_ro_data[13] 6.75696e-05 +9 *2471:sram_ro_data[12] *2471:sram_ro_data[13] 0.000205961 +10 *572:8 *574:8 0.0125195 +11 *572:11 *574:11 2.41483e-05 +12 *573:8 *574:8 0.0125231 +13 *573:11 *574:11 0.0102488 +*RES +1 *2478:sram_ro_data[13] *574:7 8.17437 +2 *574:7 *574:8 200.945 +3 *574:8 *574:10 4.5 +4 *574:10 *574:11 108.316 +5 *574:11 *2471:sram_ro_data[13] 27.7835 +*END + +*D_NET *575 0.0564003 +*CONN +*I *2471:sram_ro_data[14] I *D housekeeping +*I *2478:sram_ro_data[14] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[14] 0.00162501 +2 *2478:sram_ro_data[14] 0.00135775 +3 *575:11 0.00228962 +4 *575:10 0.000664604 +5 *575:8 0.00191989 +6 *575:7 0.00327763 +7 *2471:sram_ro_data[14] *2471:sram_ro_data[15] 7.77309e-06 +8 *2471:sram_ro_data[14] *2471:sram_ro_data[16] 0.000223845 +9 *575:8 *577:8 0 +10 *575:8 *594:8 0.00055148 +11 *575:8 *598:8 0.0098183 +12 *575:11 *576:11 0.010355 +13 *575:11 *577:11 0.0100982 +14 *2471:sram_ro_data[13] *2471:sram_ro_data[14] 0 +15 *69:8 *575:8 0.00198572 +16 *71:8 *575:8 0.000843849 +17 *571:8 *575:8 0.0108612 +18 *572:8 *575:8 0 +19 *573:8 *575:8 0.000520414 +*RES +1 *2478:sram_ro_data[14] *575:7 7.94489 +2 *575:7 *575:8 208.005 +3 *575:8 *575:10 4.5 +4 *575:10 *575:11 108.316 +5 *575:11 *2471:sram_ro_data[14] 17.6316 +*END + +*D_NET *576 0.0492264 +*CONN +*I *2471:sram_ro_data[15] I *D housekeeping +*I *2478:sram_ro_data[15] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[15] 0.00169961 +2 *2478:sram_ro_data[15] 0.000986281 +3 *576:11 0.0043147 +4 *576:10 0.00261509 +5 *576:8 0.00203102 +6 *576:7 0.0030173 +7 *2471:sram_ro_data[15] *2471:sram_ro_data[16] 0.000585414 +8 *576:8 *578:8 0.000184359 +9 *576:8 *579:8 0.0106463 +10 *576:8 *581:8 0.000543693 +11 *576:8 *584:8 0.00831413 +12 *576:8 *595:10 0.000978169 +13 *576:11 *577:11 2.41483e-05 +14 *2471:sram_ro_data[14] *2471:sram_ro_data[15] 7.77309e-06 +15 *569:14 *576:8 0.00292338 +16 *575:11 *576:11 0.010355 +*RES +1 *2478:sram_ro_data[15] *576:7 7.1035 +2 *576:7 *576:8 198.454 +3 *576:8 *576:10 4.5 +4 *576:10 *576:11 115.526 +5 *576:11 *2471:sram_ro_data[15] 23.7074 +*END + +*D_NET *577 0.0418686 +*CONN +*I *2471:sram_ro_data[16] I *D housekeeping +*I *2478:sram_ro_data[16] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[16] 0.00188048 +2 *2478:sram_ro_data[16] 0.00153308 +3 *577:11 0.00408289 +4 *577:10 0.00220241 +5 *577:8 0.00445356 +6 *577:7 0.00598664 +7 *2471:sram_ro_data[16] *2471:sram_ro_data[17] 0 +8 *2471:sram_ro_data[14] *2471:sram_ro_data[16] 0.000223845 +9 *2471:sram_ro_data[15] *2471:sram_ro_data[16] 0.000585414 +10 *71:8 *577:8 0 +11 *572:8 *577:8 0.010798 +12 *575:8 *577:8 0 +13 *575:11 *577:11 0.0100982 +14 *576:11 *577:11 2.41483e-05 +*RES +1 *2478:sram_ro_data[16] *577:7 8.32734 +2 *577:7 *577:8 190.149 +3 *577:8 *577:10 4.5 +4 *577:10 *577:11 106.098 +5 *577:11 *2471:sram_ro_data[16] 29.5975 +*END + +*D_NET *578 0.0489302 +*CONN +*I *2471:sram_ro_data[17] I *D housekeeping +*I *2478:sram_ro_data[17] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[17] 0.00158472 +2 *2478:sram_ro_data[17] 0.000915934 +3 *578:11 0.00417664 +4 *578:10 0.00259191 +5 *578:8 0.00205556 +6 *578:7 0.00297149 +7 *2471:sram_ro_data[17] *2471:sram_ro_data[18] 0.000332717 +8 *578:8 *579:8 0.000567649 +9 *578:8 *580:8 0.0111257 +10 *578:8 *582:8 0.0105047 +11 *578:8 *595:10 0.000694564 +12 *578:11 *579:11 0.0109831 +13 *2471:sram_ro_data[16] *2471:sram_ro_data[17] 0 +14 *78:10 *578:7 0.000241106 +15 *576:8 *578:8 0.000184359 +*RES +1 *2478:sram_ro_data[17] *578:7 6.87403 +2 *578:7 *578:8 197.208 +3 *578:8 *578:10 4.5 +4 *578:10 *578:11 117.19 +5 *578:11 *2471:sram_ro_data[17] 19.1397 +*END + +*D_NET *579 0.0485902 +*CONN +*I *2471:sram_ro_data[18] I *D housekeeping +*I *2478:sram_ro_data[18] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[18] 0.00183037 +2 *2478:sram_ro_data[18] 0.000978837 +3 *579:11 0.00432851 +4 *579:10 0.00249814 +5 *579:8 0.00174349 +6 *579:7 0.00272232 +7 *2471:sram_ro_data[18] *2471:sram_ro_data[19] 0 +8 *579:8 *582:8 0.0105293 +9 *579:8 *584:8 0.000508484 +10 *579:8 *595:10 0.000920971 +11 *2471:sram_ro_data[17] *2471:sram_ro_data[18] 0.000332717 +12 *576:8 *579:8 0.0106463 +13 *578:8 *579:8 0.000567649 +14 *578:11 *579:11 0.0109831 +*RES +1 *2478:sram_ro_data[18] *579:7 7.02701 +2 *579:7 *579:8 188.488 +3 *579:8 *579:10 4.5 +4 *579:10 *579:11 115.526 +5 *579:11 *2471:sram_ro_data[18] 25.4449 +*END + +*D_NET *580 0.0483932 +*CONN +*I *2471:sram_ro_data[19] I *D housekeeping +*I *2478:sram_ro_data[19] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[19] 0.00149171 +2 *2478:sram_ro_data[19] 0.000895947 +3 *580:11 0.00407307 +4 *580:10 0.00258136 +5 *580:8 0.0020045 +6 *580:7 0.00290044 +7 *2471:sram_ro_data[19] *2471:sram_ro_data[20] 4.11147e-05 +8 *2471:sram_ro_data[19] *2471:sram_ro_data[21] 1.66771e-05 +9 *580:8 *582:8 0.000500711 +10 *580:8 *583:8 0.0109799 +11 *580:8 *595:10 0.000694564 +12 *580:11 *582:11 0.0110275 +13 *580:11 *583:11 5.99691e-05 +14 *2471:sram_ro_data[18] *2471:sram_ro_data[19] 0 +15 *578:8 *580:8 0.0111257 +*RES +1 *2478:sram_ro_data[19] *580:7 6.79754 +2 *580:7 *580:8 195.962 +3 *580:8 *580:10 4.5 +4 *580:10 *580:11 117.745 +5 *580:11 *2471:sram_ro_data[19] 14.5719 +*END + +*D_NET *581 0.0561254 +*CONN +*I *2471:sram_ro_data[1] I *D housekeeping +*I *2478:sram_ro_data[1] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[1] 0.00160819 +2 *2478:sram_ro_data[1] 0.00105356 +3 *581:11 0.00418077 +4 *581:10 0.00257258 +5 *581:8 0.00229806 +6 *581:7 0.00335162 +7 *2471:sram_ro_data[1] *2471:sram_ro_data[2] 0.000410855 +8 *581:8 *584:8 0.00311078 +9 *581:8 *589:8 0.000197796 +10 *581:8 *595:10 0.00137177 +11 *581:8 *599:8 0.00029239 +12 *581:11 *592:11 0.0105222 +13 *2471:sram_ro_data[0] *2471:sram_ro_data[1] 0 +14 *566:14 *581:8 0.0130881 +15 *568:14 *581:8 0.000520414 +16 *569:14 *581:8 0.0109799 +17 *570:14 *2471:sram_ro_data[1] 2.2746e-05 +18 *576:8 *581:8 0.000543693 +*RES +1 *2478:sram_ro_data[1] *581:7 7.25648 +2 *581:7 *581:8 244.962 +3 *581:8 *581:10 4.5 +4 *581:10 *581:11 114.417 +5 *581:11 *2471:sram_ro_data[1] 20.3854 +*END + +*D_NET *582 0.0552248 +*CONN +*I *2471:sram_ro_data[20] I *D housekeeping +*I *2478:sram_ro_data[20] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[20] 0.00168581 +2 *2478:sram_ro_data[20] 0.000971448 +3 *582:11 0.00243897 +4 *582:10 0.000753163 +5 *582:8 0.00175434 +6 *582:7 0.00272579 +7 *2471:sram_ro_data[20] *2471:sram_ro_data[21] 0.000198188 +8 *582:8 *583:8 8.92568e-06 +9 *582:8 *584:8 0.000343271 +10 *582:8 *595:10 0.000718201 +11 *582:11 *583:11 0.0110233 +12 *2471:sram_ro_data[19] *2471:sram_ro_data[20] 4.11147e-05 +13 *578:8 *582:8 0.0105047 +14 *579:8 *582:8 0.0105293 +15 *580:8 *582:8 0.000500711 +16 *580:11 *582:11 0.0110275 +*RES +1 *2478:sram_ro_data[20] *582:7 6.95052 +2 *582:7 *582:8 186.412 +3 *582:8 *582:10 4.5 +4 *582:10 *582:11 116.081 +5 *582:11 *2471:sram_ro_data[20] 20.8772 +*END + +*D_NET *583 0.0464545 +*CONN +*I *2471:sram_ro_data[21] I *D housekeeping +*I *2478:sram_ro_data[21] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[21] 0.0018324 +2 *2478:sram_ro_data[21] 0.00087596 +3 *583:11 0.00447123 +4 *583:10 0.00263883 +5 *583:8 0.00178582 +6 *583:7 0.00266178 +7 *2471:sram_ro_data[21] *2471:sram_ro_data[22] 0 +8 *583:8 *584:8 0 +9 *583:8 *585:8 0.00990152 +10 *2471:sram_ro_data[19] *2471:sram_ro_data[21] 1.66771e-05 +11 *2471:sram_ro_data[20] *2471:sram_ro_data[21] 0.000198188 +12 *580:8 *583:8 0.0109799 +13 *580:11 *583:11 5.99691e-05 +14 *582:8 *583:8 8.92568e-06 +15 *582:11 *583:11 0.0110233 +*RES +1 *2478:sram_ro_data[21] *583:7 6.72105 +2 *583:7 *583:8 177.691 +3 *583:8 *583:10 4.5 +4 *583:10 *583:11 118.854 +5 *583:11 *2471:sram_ro_data[21] 26.1225 +*END + +*D_NET *584 0.0454655 +*CONN +*I *2471:sram_ro_data[22] I *D housekeeping +*I *2478:sram_ro_data[22] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[22] 0.00148907 +2 *2478:sram_ro_data[22] 0.00108273 +3 *584:11 0.00400243 +4 *584:10 0.00251336 +5 *584:8 0.00218376 +6 *584:7 0.00326649 +7 *2471:sram_ro_data[22] *2471:sram_ro_data[23] 0.000176441 +8 *2471:sram_ro_data[22] *2471:sram_ro_data[24] 0.000171753 +9 *584:8 *585:8 0 +10 *584:8 *587:8 0 +11 *584:8 *589:8 0.00129362 +12 *584:8 *595:10 0.000460046 +13 *584:11 *585:11 0.0108639 +14 *584:11 *586:11 2.41483e-05 +15 *2471:sram_ro_data[21] *2471:sram_ro_data[22] 0 +16 *68:14 *584:8 0.00566104 +17 *576:8 *584:8 0.00831413 +18 *579:8 *584:8 0.000508484 +19 *581:8 *584:8 0.00311078 +20 *582:8 *584:8 0.000343271 +21 *583:8 *584:8 0 +*RES +1 *2478:sram_ro_data[22] *584:7 7.17999 +2 *584:7 *584:8 185.166 +3 *584:8 *584:10 4.5 +4 *584:10 *584:11 114.972 +5 *584:11 *2471:sram_ro_data[22] 16.2329 +*END + +*D_NET *585 0.0529656 +*CONN +*I *2471:sram_ro_data[23] I *D housekeeping +*I *2478:sram_ro_data[23] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[23] 0.00172106 +2 *2478:sram_ro_data[23] 0.000866358 +3 *585:11 0.00255484 +4 *585:10 0.000833783 +5 *585:8 0.00190991 +6 *585:7 0.00277627 +7 *2471:sram_ro_data[23] *2471:sram_ro_data[24] 0.000180575 +8 *585:8 *586:8 0.00018896 +9 *585:8 *587:8 0.00975845 +10 *585:11 *586:11 0.0112335 +11 *2471:sram_ro_data[22] *2471:sram_ro_data[23] 0.000176441 +12 *583:8 *585:8 0.00990152 +13 *584:8 *585:8 0 +14 *584:11 *585:11 0.0108639 +*RES +1 *2478:sram_ro_data[23] *585:7 6.64456 +2 *585:7 *585:8 175.615 +3 *585:8 *585:10 4.5 +4 *585:10 *585:11 118.299 +5 *585:11 *2471:sram_ro_data[23] 22.5382 +*END + +*D_NET *586 0.0441384 +*CONN +*I *2471:sram_ro_data[24] I *D housekeeping +*I *2478:sram_ro_data[24] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[24] 0.00192718 +2 *2478:sram_ro_data[24] 0.000796011 +3 *586:11 0.00463911 +4 *586:10 0.00271193 +5 *586:8 0.00204492 +6 *586:7 0.00284093 +7 *2471:sram_ro_data[24] *2471:sram_ro_data[25] 0 +8 *586:8 *587:8 0.000296518 +9 *586:8 *588:8 0.00910878 +10 *586:8 *590:8 0.00797412 +11 *2471:sram_ro_data[22] *2471:sram_ro_data[24] 0.000171753 +12 *2471:sram_ro_data[23] *2471:sram_ro_data[24] 0.000180575 +13 *584:11 *586:11 2.41483e-05 +14 *585:8 *586:8 0.00018896 +15 *585:11 *586:11 0.0112335 +*RES +1 *2478:sram_ro_data[24] *586:7 6.41509 +2 *586:7 *586:8 166.064 +3 *586:8 *586:10 4.5 +4 *586:10 *586:11 121.072 +5 *586:11 *2471:sram_ro_data[24] 29.4445 +*END + +*D_NET *587 0.0454651 +*CONN +*I *2471:sram_ro_data[25] I *D housekeeping +*I *2478:sram_ro_data[25] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[25] 0.00157316 +2 *2478:sram_ro_data[25] 0.000858914 +3 *587:11 0.00420006 +4 *587:10 0.00262691 +5 *587:8 0.00178588 +6 *587:7 0.00264479 +7 *2471:sram_ro_data[25] *2471:sram_ro_data[26] 0.000280625 +8 *587:8 *588:8 0.0101597 +9 *587:8 *2270:8 0 +10 *587:11 *588:11 0.0112801 +11 *2471:sram_ro_data[24] *2471:sram_ro_data[25] 0 +12 *584:8 *587:8 0 +13 *585:8 *587:8 0.00975845 +14 *586:8 *587:8 0.000296518 +*RES +1 *2478:sram_ro_data[25] *587:7 6.56807 +2 *587:7 *587:8 173.954 +3 *587:8 *587:10 4.5 +4 *587:10 *587:11 119.409 +5 *587:11 *2471:sram_ro_data[25] 18.3092 +*END + +*D_NET *588 0.044908 +*CONN +*I *2471:sram_ro_data[26] I *D housekeeping +*I *2478:sram_ro_data[26] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[26] 0.00181254 +2 *2478:sram_ro_data[26] 0.000828542 +3 *588:11 0.00443944 +4 *588:10 0.0026269 +5 *588:8 0.00151301 +6 *588:7 0.00234155 +7 *2471:sram_ro_data[26] *2471:sram_ro_data[27] 0 +8 *588:8 *590:8 0.000516877 +9 *588:8 *2270:8 0 +10 *2471:sram_ro_data[25] *2471:sram_ro_data[26] 0.000280625 +11 *586:8 *588:8 0.00910878 +12 *587:8 *588:8 0.0101597 +13 *587:11 *588:11 0.0112801 +*RES +1 *2478:sram_ro_data[26] *588:7 6.49158 +2 *588:7 *588:8 164.403 +3 *588:8 *588:10 4.5 +4 *588:10 *588:11 119.409 +5 *588:11 *2471:sram_ro_data[26] 24.6144 +*END + +*D_NET *589 0.0438564 +*CONN +*I *2471:sram_ro_data[27] I *D housekeeping +*I *2478:sram_ro_data[27] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[27] 0.00146596 +2 *2478:sram_ro_data[27] 0.00122299 +3 *589:11 0.00394694 +4 *589:10 0.00248098 +5 *589:8 0.00184478 +6 *589:7 0.00306777 +7 *2471:sram_ro_data[27] *2471:sram_ro_data[28] 1.9101e-05 +8 *2471:sram_ro_data[27] *2471:sram_ro_data[29] 1.5714e-05 +9 *589:8 *593:8 0.0089279 +10 *589:8 *599:8 0.000816604 +11 *589:11 *591:11 0.0108151 +12 *2471:sram_ro_data[26] *2471:sram_ro_data[27] 0 +13 *68:14 *589:8 0.00774116 +14 *581:8 *589:8 0.000197796 +15 *584:8 *589:8 0.00129362 +*RES +1 *2478:sram_ro_data[27] *589:7 7.33297 +2 *589:7 *589:8 172.293 +3 *589:8 *589:10 4.5 +4 *589:10 *589:11 113.863 +5 *589:11 *2471:sram_ro_data[27] 13.7414 +*END + +*D_NET *590 0.0397968 +*CONN +*I *2471:sram_ro_data[28] I *D housekeeping +*I *2478:sram_ro_data[28] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[28] 0.00169916 +2 *2478:sram_ro_data[28] 0.000786409 +3 *590:11 0.00435925 +4 *590:10 0.00266009 +5 *590:8 0.00241544 +6 *590:7 0.00320185 +7 *2471:sram_ro_data[28] *2471:sram_ro_data[29] 0.000194296 +8 *590:8 *591:8 0.00454788 +9 *590:8 *2270:8 0 +10 *590:8 *2271:14 0 +11 *590:11 *591:11 0.0114223 +12 *2471:sram_ro_data[27] *2471:sram_ro_data[28] 1.9101e-05 +13 *586:8 *590:8 0.00797412 +14 *588:8 *590:8 0.000516877 +*RES +1 *2478:sram_ro_data[28] *590:7 6.3386 +2 *590:7 *590:8 162.327 +3 *590:8 *590:10 4.5 +4 *590:10 *590:11 120.518 +5 *590:11 *2471:sram_ro_data[28] 20.8772 +*END + +*D_NET *591 0.0422486 +*CONN +*I *2471:sram_ro_data[29] I *D housekeeping +*I *2478:sram_ro_data[29] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[29] 0.00183705 +2 *2478:sram_ro_data[29] 0.00073605 +3 *591:11 0.0028525 +4 *591:10 0.00101545 +5 *591:8 0.00403813 +6 *591:7 0.00477418 +7 *2471:sram_ro_data[29] *2471:sram_ro_data[30] 0 +8 *2471:sram_ro_data[27] *2471:sram_ro_data[29] 1.5714e-05 +9 *2471:sram_ro_data[28] *2471:sram_ro_data[29] 0.000194296 +10 *589:11 *591:11 0.0108151 +11 *590:8 *591:8 0.00454788 +12 *590:11 *591:11 0.0114223 +*RES +1 *2478:sram_ro_data[29] *591:7 6.18562 +2 *591:7 *591:8 153.607 +3 *591:8 *591:10 4.5 +4 *591:10 *591:11 122.736 +5 *591:11 *2471:sram_ro_data[29] 26.1225 +*END + +*D_NET *592 0.0545277 +*CONN +*I *2471:sram_ro_data[2] I *D housekeeping +*I *2478:sram_ro_data[2] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[2] 0.00152318 +2 *2478:sram_ro_data[2] 0.00121119 +3 *592:11 0.00389621 +4 *592:10 0.00237302 +5 *592:8 0.00257131 +6 *592:7 0.0037825 +7 *2471:sram_ro_data[2] *2471:sram_ro_data[3] 0.000835188 +8 *592:8 *595:10 0.00148256 +9 *592:8 *596:8 0.0135104 +10 *592:8 *597:8 0.00084199 +11 *592:8 *600:8 0.0111838 +12 *2471:sram_ro_data[1] *2471:sram_ro_data[2] 0.000410855 +13 *561:14 *592:8 0.000383211 +14 *568:14 *592:8 0 +15 *581:11 *592:11 0.0105222 +*RES +1 *2478:sram_ro_data[2] *592:7 7.71542 +2 *592:7 *592:8 235.411 +3 *592:8 *592:10 4.5 +4 *592:10 *592:11 110.535 +5 *592:11 *2471:sram_ro_data[2] 26.6907 +*END + +*D_NET *593 0.0429303 +*CONN +*I *2471:sram_ro_data[30] I *D housekeeping +*I *2478:sram_ro_data[30] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[30] 0.00159099 +2 *2478:sram_ro_data[30] 0.0012468 +3 *593:11 0.004042 +4 *593:10 0.00245101 +5 *593:8 0.00177402 +6 *593:7 0.00302081 +7 *2471:sram_ro_data[30] *2471:sram_ro_data[31] 0.000119662 +8 *593:7 *2471:sram_ro_data[3] 0 +9 *593:8 *594:8 0 +10 *593:8 *599:8 0.000585452 +11 *593:8 *600:8 0.000355947 +12 *2471:sram_ro_data[29] *2471:sram_ro_data[30] 0 +13 *68:10 *2471:sram_ro_data[30] 7.77309e-06 +14 *68:11 *593:11 0.0107111 +15 *68:14 *593:8 0.000486913 +16 *70:8 *593:8 0.00761 +17 *589:8 *593:8 0.0089279 +*RES +1 *2478:sram_ro_data[30] *593:7 7.40946 +2 *593:7 *593:8 161.081 +3 *593:8 *593:10 4.5 +4 *593:10 *593:11 112.753 +5 *593:11 *2471:sram_ro_data[30] 16.3094 +*END + +*D_NET *594 0.0413917 +*CONN +*I *2471:sram_ro_data[31] I *D housekeeping +*I *2478:sram_ro_data[31] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[31] 0.00161999 +2 *2478:sram_ro_data[31] 0.00137724 +3 *594:11 0.00398314 +4 *594:10 0.00236316 +5 *594:8 0.00198 +6 *594:7 0.00335723 +7 *594:8 *598:8 4.0143e-05 +8 *594:8 *600:8 0.000507437 +9 *594:8 *604:14 0.00597261 +10 *594:8 *2274:8 0.000266226 +11 *2471:sram_ro_data[30] *2471:sram_ro_data[31] 0.000119662 +12 *68:10 *2471:sram_ro_data[31] 0.000476542 +13 *68:11 *594:11 0.0105287 +14 *69:8 *594:8 0.0082482 +15 *70:8 *594:8 0 +16 *575:8 *594:8 0.00055148 +17 *593:8 *594:8 0 +*RES +1 *2478:sram_ro_data[31] *594:7 7.79191 +2 *594:7 *594:8 152.776 +3 *594:8 *594:10 4.5 +4 *594:10 *594:11 110.535 +5 *594:11 *2471:sram_ro_data[31] 21.2159 +*END + +*D_NET *595 0.0591792 +*CONN +*I *2471:sram_ro_data[3] I *D housekeeping +*I *2478:sram_ro_data[3] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[3] 0.00553816 +2 *2478:sram_ro_data[3] 0.0132008 +3 *595:10 0.0187389 +4 *2471:sram_ro_data[3] *596:11 0.00148867 +5 *2471:sram_ro_data[3] *597:11 0.00297733 +6 *595:10 *596:8 0.00161591 +7 *595:10 *597:8 0.00146267 +8 *595:10 *599:8 0.00135401 +9 *595:10 *600:8 0.00130945 +10 *2471:sram_ro_data[2] *2471:sram_ro_data[3] 0.000835188 +11 *565:14 *595:10 0.00016622 +12 *566:14 *595:10 0.00100807 +13 *568:14 *595:10 0.00111871 +14 *569:14 *595:10 0.000726473 +15 *570:8 *595:10 0.000317773 +16 *576:8 *595:10 0.000978169 +17 *578:8 *595:10 0.000694564 +18 *579:8 *595:10 0.000920971 +19 *580:8 *595:10 0.000694564 +20 *581:8 *595:10 0.00137177 +21 *582:8 *595:10 0.000718201 +22 *584:8 *595:10 0.000460046 +23 *592:8 *595:10 0.00148256 +24 *593:7 *2471:sram_ro_data[3] 0 +*RES +1 *2478:sram_ro_data[3] *595:10 38.0299 +2 *595:10 *2471:sram_ro_data[3] 21.6783 +*END + +*D_NET *596 0.0577625 +*CONN +*I *2471:sram_ro_data[4] I *D housekeeping +*I *2478:sram_ro_data[4] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[4] 0.00165315 +2 *2478:sram_ro_data[4] 0.00131012 +3 *596:11 0.00403884 +4 *596:10 0.00238569 +5 *596:8 0.00211389 +6 *596:7 0.00342401 +7 *2471:sram_ro_data[4] *2471:sram_ro_data[5] 0.00056452 +8 *596:8 *598:8 0.0133986 +9 *596:8 *600:8 0.000497294 +10 *596:11 *597:11 0.0104714 +11 *2471:sram_ro_data[3] *596:11 0.00148867 +12 *89:16 *596:7 0.000740377 +13 *561:14 *596:8 0.000549646 +14 *592:8 *596:8 0.0135104 +15 *595:10 *596:8 0.00161591 +*RES +1 *2478:sram_ro_data[4] *596:7 7.79191 +2 *596:7 *596:8 233.75 +3 *596:8 *596:10 4.5 +4 *596:10 *596:11 110.535 +5 *596:11 *2471:sram_ro_data[4] 22.4617 +*END + +*D_NET *597 0.0571822 +*CONN +*I *2471:sram_ro_data[5] I *D housekeeping +*I *2478:sram_ro_data[5] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[5] 0.00192528 +2 *2478:sram_ro_data[5] 0.00121788 +3 *597:11 0.00436198 +4 *597:10 0.0024367 +5 *597:8 0.00207983 +6 *597:7 0.00329771 +7 *2471:sram_ro_data[5] *2471:sram_ro_data[6] 0 +8 *597:8 *599:8 0.0128142 +9 *597:8 *600:8 0.0121934 +10 *2471:sram_ro_data[3] *597:11 0.00297733 +11 *2471:sram_ro_data[4] *2471:sram_ro_data[5] 0.00056452 +12 *568:14 *597:8 0.000537358 +13 *592:8 *597:8 0.00084199 +14 *595:10 *597:8 0.00146267 +15 *596:11 *597:11 0.0104714 +*RES +1 *2478:sram_ro_data[5] *597:7 7.56244 +2 *597:7 *597:8 224.2 +3 *597:8 *597:10 4.5 +4 *597:10 *597:11 111.644 +5 *597:11 *2471:sram_ro_data[5] 28.767 +*END + +*D_NET *598 0.0527002 +*CONN +*I *2471:sram_ro_data[6] I *D housekeeping +*I *2478:sram_ro_data[6] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[6] 0.00155511 +2 *2478:sram_ro_data[6] 0.00132511 +3 *598:11 0.00389697 +4 *598:10 0.00234186 +5 *598:8 0.00220216 +6 *598:7 0.00352727 +7 *2471:sram_ro_data[6] *2471:sram_ro_data[7] 0.000275937 +8 *598:8 *600:8 0.000344865 +9 *598:11 *599:11 0.010482 +10 *2471:sram_ro_data[5] *2471:sram_ro_data[6] 0 +11 *561:14 *598:8 0.00243941 +12 *571:8 *598:8 0.00105246 +13 *575:8 *598:8 0.0098183 +14 *594:8 *598:8 4.0143e-05 +15 *596:8 *598:8 0.0133986 +*RES +1 *2478:sram_ro_data[6] *598:7 7.8684 +2 *598:7 *598:8 232.505 +3 *598:8 *598:10 4.5 +4 *598:10 *598:11 109.98 +5 *598:11 *2471:sram_ro_data[6] 17.8939 +*END + +*D_NET *599 0.0529994 +*CONN +*I *2471:sram_ro_data[7] I *D housekeeping +*I *2478:sram_ro_data[7] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[7] 0.00176451 +2 *2478:sram_ro_data[7] 0.00118751 +3 *599:11 0.00426315 +4 *599:10 0.00249865 +5 *599:8 0.00212893 +6 *599:7 0.00331644 +7 *2471:sram_ro_data[7] *2471:sram_ro_data[8] 0 +8 *599:8 *600:8 0.000505754 +9 *2471:sram_ro_data[6] *2471:sram_ro_data[7] 0.000275937 +10 *568:14 *599:8 0.0107138 +11 *581:8 *599:8 0.00029239 +12 *589:8 *599:8 0.000816604 +13 *593:8 *599:8 0.000585452 +14 *595:10 *599:8 0.00135401 +15 *597:8 *599:8 0.0128142 +16 *598:11 *599:11 0.010482 +*RES +1 *2478:sram_ro_data[7] *599:7 7.48595 +2 *599:7 *599:8 222.954 +3 *599:8 *599:10 4.5 +4 *599:10 *599:11 113.308 +5 *599:11 *2471:sram_ro_data[7] 24.0462 +*END + +*D_NET *600 0.0522524 +*CONN +*I *2471:sram_ro_data[8] I *D housekeeping +*I *2478:sram_ro_data[8] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[8] 0.00153251 +2 *2478:sram_ro_data[8] 0.00126304 +3 *600:11 0.00395635 +4 *600:10 0.00242384 +5 *600:8 0.0022898 +6 *600:7 0.00355284 +7 *2471:sram_ro_data[8] *2471:sram_ro_data[9] 0 +8 *2471:sram_ro_data[10] *2471:sram_ro_data[8] 4.69495e-06 +9 *2471:sram_ro_data[7] *2471:sram_ro_data[8] 0 +10 *571:11 *600:11 0.0103314 +11 *592:8 *600:8 0.0111838 +12 *593:8 *600:8 0.000355947 +13 *594:8 *600:8 0.000507437 +14 *595:10 *600:8 0.00130945 +15 *596:8 *600:8 0.000497294 +16 *597:8 *600:8 0.0121934 +17 *598:8 *600:8 0.000344865 +18 *599:8 *600:8 0.000505754 +*RES +1 *2478:sram_ro_data[8] *600:7 7.63893 +2 *600:7 *600:8 229.598 +3 *600:8 *600:10 4.5 +4 *600:10 *600:11 111.09 +5 *600:11 *2471:sram_ro_data[8] 14.2331 +*END + +*D_NET *601 0.0369286 +*CONN +*I *2471:sram_ro_data[9] I *D housekeeping +*I *2478:sram_ro_data[9] O *D mgmt_core_wrapper +*CAP +1 *2471:sram_ro_data[9] 0.00159224 +2 *2478:sram_ro_data[9] 0.00182536 +3 *601:17 0.00363524 +4 *601:16 0.002043 +5 *601:14 0.00776181 +6 *601:13 0.00958716 +7 *2471:sram_ro_data[10] *2471:sram_ro_data[9] 0.000351464 +8 *2471:sram_ro_data[8] *2471:sram_ro_data[9] 0 +9 *567:14 *601:14 0.000726815 +10 *571:11 *601:17 0.00940553 +*RES +1 *2478:sram_ro_data[9] *601:13 40.0591 +2 *601:13 *601:14 221.085 +3 *601:14 *601:16 4.5 +4 *601:16 *601:17 98.3336 +5 *601:17 *2471:sram_ro_data[9] 19.1397 +*END + +*D_NET *602 0.0343516 +*CONN +*I *2478:irq[3] I *D mgmt_core_wrapper +*I *2471:irq[0] O *D housekeeping +*CAP +1 *2478:irq[3] 0.00152698 +2 *2471:irq[0] 0.00181899 +3 *602:14 0.00334013 +4 *602:13 0.00181315 +5 *602:11 0.00221685 +6 *602:10 0.00403584 +7 *602:10 *2471:trap 0.000384809 +8 *602:10 *603:10 0 +9 *602:11 *2274:11 0.0101979 +10 *602:14 *2229:8 0.00461661 +11 *602:14 *2230:8 0.00230153 +12 *602:14 *2273:8 0.00190982 +13 *71:8 *602:14 0.00018896 +*RES +1 *2471:irq[0] *602:10 25.4449 +2 *602:10 *602:11 106.653 +3 *602:11 *602:13 4.5 +4 *602:13 *602:14 111.251 +5 *602:14 *2478:irq[3] 8.25085 +*END + +*D_NET *603 0.0391706 +*CONN +*I *2478:irq[4] I *D mgmt_core_wrapper +*I *2471:irq[1] O *D housekeeping +*CAP +1 *2478:irq[4] 0.0013156 +2 *2471:irq[1] 0.00149775 +3 *603:14 0.00280101 +4 *603:13 0.00148541 +5 *603:11 0.00241143 +6 *603:10 0.00390918 +7 *603:10 *2471:spi_sdoenb 6.75696e-05 +8 *603:10 *604:10 9.32067e-05 +9 *603:11 *604:11 0.0105416 +10 *603:11 *2273:11 1.92172e-05 +11 *603:14 *604:14 0.000508826 +12 *603:14 *2274:8 0.00739229 +13 *603:14 *2275:8 0.00054535 +14 *70:8 *603:14 0.0063597 +15 *527:14 *603:14 0.000222466 +16 *602:10 *603:10 0 +*RES +1 *2471:irq[1] *603:10 15.4024 +2 *603:10 *603:11 112.199 +3 *603:11 *603:13 4.5 +4 *603:13 *603:14 136.997 +5 *603:14 *2478:irq[4] 7.56244 +*END + +*D_NET *604 0.0484233 +*CONN +*I *2478:irq[5] I *D mgmt_core_wrapper +*I *2471:irq[2] O *D housekeeping +*CAP +1 *2478:irq[5] 0.0013598 +2 *2471:irq[2] 0.0016829 +3 *604:14 0.00287857 +4 *604:13 0.00151877 +5 *604:11 0.000713068 +6 *604:10 0.00239597 +7 *604:10 *2471:spi_sdoenb 0.000172802 +8 *604:11 *2273:11 0.0102977 +9 *604:14 *2272:8 0.000158505 +10 *604:14 *2274:8 0.0080138 +11 *69:8 *604:14 0.000502815 +12 *526:14 *604:14 0.00161238 +13 *527:14 *604:14 0 +14 *594:8 *604:14 0.00597261 +15 *603:10 *604:10 9.32067e-05 +16 *603:11 *604:11 0.0105416 +17 *603:14 *604:14 0.000508826 +*RES +1 *2471:irq[2] *604:10 20.8772 +2 *604:10 *604:11 110.535 +3 *604:11 *604:13 4.5 +4 *604:13 *604:14 147.378 +5 *604:14 *2478:irq[5] 7.71542 +*END + +*D_NET *605 0.172336 +*CONN +*I *2478:la_input[0] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[0] O *D mgmt_protect +*CAP +1 *2478:la_input[0] 0.00105545 +2 *2472:la_data_in_mprj[0] 0.00143485 +3 *605:14 0.00820083 +4 *605:13 0.00870263 +5 *605:8 0.00275282 +6 *605:7 0.00263042 +7 *2478:la_input[0] *1117:7 0 +8 *2478:la_input[0] *1245:9 0 +9 *2478:la_input[0] *1552:27 0.000410798 +10 *2478:la_input[0] *1556:27 8.92568e-06 +11 *605:7 *2472:la_data_out_mprj[0] 0.000455073 +12 *605:8 *652:8 0.00535648 +13 *605:8 *896:10 0.000622721 +14 *605:8 *921:10 0 +15 *605:8 *1176:10 0 +16 *605:14 *677:14 0 +17 *605:14 *911:10 0.0690763 +18 *605:14 *933:10 0.065305 +19 *605:14 *1245:10 0.00295416 +20 *605:14 *2401:13 0.00336945 +*RES +1 *2472:la_data_in_mprj[0] *605:7 37.1828 +2 *605:7 *605:8 56.1838 +3 *605:8 *605:13 34.0861 +4 *605:13 *605:14 780.496 +5 *605:14 *2478:la_input[0] 36.4134 +*END + +*D_NET *606 0.0729689 +*CONN +*I *2478:la_input[100] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[100] O *D mgmt_protect +*CAP +1 *2478:la_input[100] 0.0012076 +2 *2472:la_data_in_mprj[100] 0.000628775 +3 *606:14 0.00166339 +4 *606:11 0.00206287 +5 *606:8 0.00429798 +6 *606:7 0.00331969 +7 *2478:la_input[100] *608:11 3.62682e-05 +8 *2478:la_input[100] *1118:9 0 +9 *2478:la_input[100] *1246:9 0 +10 *606:7 *2472:la_data_out_mprj[100] 0.000384624 +11 *606:7 *2472:la_oenb_mprj[99] 0 +12 *606:7 *1118:13 0 +13 *606:8 *728:8 0.0231329 +14 *606:8 *729:8 0.0249845 +15 *606:8 *730:8 0.000233938 +16 *606:8 *865:16 0.000656515 +17 *606:8 *1671:8 0.000618181 +18 *606:8 *2191:16 0.00033964 +19 *606:11 *2472:mprj_adr_o_core[4] 0.00191532 +20 *606:11 *1242:9 6.90376e-05 +21 *606:14 *1606:10 0.00420899 +22 *606:14 *1607:16 0 +23 *606:14 *1609:19 0.00304707 +24 *606:14 *1676:8 0.000161493 +*RES +1 *2472:la_data_in_mprj[100] *606:7 25.1405 +2 *606:7 *606:8 284.126 +3 *606:8 *606:11 45.781 +4 *606:11 *606:14 49.0371 +5 *606:14 *2478:la_input[100] 32.2608 +*END + +*D_NET *607 0.0716707 +*CONN +*I *2478:la_input[101] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[101] O *D mgmt_protect +*CAP +1 *2478:la_input[101] 0.00155995 +2 *2472:la_data_in_mprj[101] 0.000637874 +3 *607:14 0.00283506 +4 *607:13 0.00272642 +5 *607:8 0.00386974 +6 *607:7 0.00305631 +7 *2478:la_input[101] *1119:7 0 +8 *2478:la_input[101] *1247:9 0 +9 *2478:la_input[101] *1654:7 0 +10 *607:7 *2472:la_data_out_mprj[101] 0 +11 *607:7 *2472:la_oenb_mprj[100] 0 +12 *607:8 *608:8 0.000396844 +13 *607:8 *609:8 0.000329628 +14 *607:8 *610:8 6.75302e-05 +15 *607:8 *724:8 0.000143231 +16 *607:8 *866:16 0.0212002 +17 *607:8 *867:20 0.0203805 +18 *607:8 *1359:16 0.00263889 +19 *607:13 *2472:mprj_adr_o_core[1] 0 +20 *607:13 *2472:mprj_adr_o_core[2] 0 +21 *607:13 *2472:mprj_dat_o_core[1] 0.000677005 +22 *607:13 *2472:mprj_sel_o_core[1] 0.000699164 +23 *607:13 *1241:9 7.09666e-06 +24 *607:14 *609:14 0.00804223 +25 *607:14 *610:14 0.000228796 +26 *607:14 *862:10 0.00180637 +27 *607:14 *986:12 0.000212637 +28 *607:14 *1121:10 2.493e-05 +29 *607:14 *1714:16 0.000130331 +*RES +1 *2472:la_data_in_mprj[101] *607:7 21.4032 +2 *607:7 *607:8 254.732 +3 *607:8 *607:13 48.2047 +4 *607:13 *607:14 85.5777 +5 *607:14 *2478:la_input[101] 38.0744 +*END + +*D_NET *608 0.0737293 +*CONN +*I *2478:la_input[102] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[102] O *D mgmt_protect +*CAP +1 *2478:la_input[102] 0.00106541 +2 *2472:la_data_in_mprj[102] 0.000540007 +3 *608:14 0.00172004 +4 *608:11 0.00255258 +5 *608:10 0.00189795 +6 *608:8 0.00308613 +7 *608:7 0.00362614 +8 *2478:la_input[102] *2472:mprj_dat_o_core[16] 0 +9 *2478:la_input[102] *1120:9 0 +10 *2478:la_input[102] *1248:9 0 +11 *608:7 *2472:la_data_out_mprj[102] 0.00035459 +12 *608:7 *2472:la_oenb_mprj[101] 0 +13 *608:8 *610:8 0.0272842 +14 *608:8 *724:8 0.0138903 +15 *608:8 *730:8 1.5006e-05 +16 *608:8 *863:16 0.00319215 +17 *608:8 *1649:8 0.0070112 +18 *608:8 *2191:16 0.000159331 +19 *608:11 *2472:mprj_adr_o_core[11] 0.000173895 +20 *608:11 *1118:9 0 +21 *608:11 *1650:5 0 +22 *608:11 *1714:19 0.00192147 +23 *608:14 *613:8 0.000613464 +24 *608:14 *1251:10 0.00397947 +25 *608:14 *1656:8 4.62974e-05 +26 *608:14 *1720:10 0.000166542 +27 *2478:la_input[100] *608:11 3.62682e-05 +28 *607:8 *608:8 0.000396844 +*RES +1 *2472:la_data_in_mprj[102] *608:7 22.649 +2 *608:7 *608:8 309.638 +3 *608:8 *608:10 4.5 +4 *608:10 *608:11 47.0945 +5 *608:11 *608:14 46.8187 +6 *608:14 *2478:la_input[102] 28.9388 +*END + +*D_NET *609 0.0654342 +*CONN +*I *2478:la_input[103] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[103] O *D mgmt_protect +*CAP +1 *2478:la_input[103] 0.00153805 +2 *2472:la_data_in_mprj[103] 0.000601842 +3 *609:14 0.00294772 +4 *609:13 0.00297519 +5 *609:8 0.00699728 +6 *609:7 0.00603361 +7 *2478:la_input[103] *1121:9 0 +8 *2478:la_input[103] *1249:9 0 +9 *609:7 *2472:la_data_out_mprj[103] 0 +10 *609:7 *2472:la_oenb_mprj[102] 0 +11 *609:8 *630:8 0 +12 *609:8 *632:8 0 +13 *609:8 *635:8 5.13878e-05 +14 *609:8 *867:20 0.0195588 +15 *609:8 *1145:10 0 +16 *609:8 *1359:16 0.00104186 +17 *609:8 *1579:8 0.000133137 +18 *609:8 *1581:24 0.000115028 +19 *609:13 *2472:mprj_adr_o_core[1] 0 +20 *609:13 *2472:mprj_dat_o_core[0] 0.000642613 +21 *609:13 *2472:mprj_sel_o_core[0] 0.000138841 +22 *609:13 *730:11 0.000592538 +23 *609:14 *610:14 0.000989836 +24 *609:14 *862:10 4.91225e-06 +25 *609:14 *863:10 0.0100281 +26 *609:14 *1241:10 0.000791462 +27 *609:14 *1370:12 0.000212637 +28 *609:14 *1652:8 0.00120288 +29 *609:14 *1714:16 0.000464675 +30 *607:8 *609:8 0.000329628 +31 *607:14 *609:14 0.00804223 +*RES +1 *2472:la_data_in_mprj[103] *609:7 20.5727 +2 *609:7 *609:8 228.665 +3 *609:8 *609:13 49.4505 +4 *609:13 *609:14 135.492 +5 *609:14 *2478:la_input[103] 37.6591 +*END + +*D_NET *610 0.0767443 +*CONN +*I *2478:la_input[104] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[104] O *D mgmt_protect +*CAP +1 *2478:la_input[104] 0.00153937 +2 *2472:la_data_in_mprj[104] 0.00054024 +3 *610:14 0.00308912 +4 *610:13 0.00299844 +5 *610:8 0.00450686 +6 *610:7 0.00359842 +7 *2478:la_input[104] *1250:7 0 +8 *610:7 *2472:la_data_out_mprj[104] 0.000335368 +9 *610:7 *2472:la_oenb_mprj[103] 0 +10 *610:7 *1359:13 0 +11 *610:8 *866:16 0.0241284 +12 *610:8 *1581:24 0 +13 *610:8 *1612:36 0.00254977 +14 *610:8 *1649:8 0.000647488 +15 *610:13 *2472:mprj_adr_o_core[13] 0.00032249 +16 *610:13 *2472:mprj_dat_o_core[12] 0 +17 *610:13 *2472:mprj_dat_o_core[13] 0 +18 *610:13 *865:15 0.00167282 +19 *610:14 *1121:10 0.000986034 +20 *610:14 *1122:12 0.000352103 +21 *610:14 *1652:8 7.68065e-05 +22 *610:14 *1652:12 0 +23 *610:14 *1727:24 0.000284653 +24 *610:14 *1744:10 0.000545583 +25 *607:8 *610:8 6.75302e-05 +26 *607:14 *610:14 0.000228796 +27 *608:8 *610:8 0.0272842 +28 *609:14 *610:14 0.000989836 +*RES +1 *2472:la_data_in_mprj[104] *610:7 22.2337 +2 *610:7 *610:8 308.528 +3 *610:8 *610:13 46.5437 +4 *610:13 *610:14 67.8304 +5 *610:14 *2478:la_input[104] 37.7812 +*END + +*D_NET *611 0.0851568 +*CONN +*I *2478:la_input[105] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[105] O *D mgmt_protect +*CAP +1 *2478:la_input[105] 0.00182565 +2 *2472:la_data_in_mprj[105] 0.00100468 +3 *611:16 0.00222672 +4 *611:13 0.00187608 +5 *611:8 0.0046937 +6 *611:7 0.00422336 +7 *2478:la_input[105] *1123:13 0 +8 *2478:la_input[105] *1251:9 0 +9 *2478:la_input[105] *1667:7 0.000240764 +10 *2478:la_input[105] *1731:27 8.86708e-05 +11 *611:7 *2472:la_data_out_mprj[105] 0 +12 *611:7 *2472:la_iena_mprj[105] 0 +13 *611:7 *2472:la_oenb_mprj[104] 0 +14 *611:8 *723:8 0.000614281 +15 *611:8 *1250:10 0.034322 +16 *611:8 *1252:10 0.0329268 +17 *611:13 *2472:mprj_adr_o_core[23] 0 +18 *611:13 *1250:7 0 +19 *611:13 *1664:7 0 +20 *611:16 *867:10 0.000311854 +21 *611:16 *1658:8 0.000802325 +*RES +1 *2472:la_data_in_mprj[105] *611:7 28.4625 +2 *611:7 *611:8 367.871 +3 *611:8 *611:13 34.9166 +4 *611:13 *611:16 24.0799 +5 *611:16 *2478:la_input[105] 39.8031 +*END + +*D_NET *612 0.0627319 +*CONN +*I *2478:la_input[106] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[106] O *D mgmt_protect +*CAP +1 *2478:la_input[106] 0.000515937 +2 *2472:la_data_in_mprj[106] 0.00305108 +3 *612:8 0.00953773 +4 *612:7 0.00902179 +5 *612:5 0.00305108 +6 *2478:la_input[106] *1124:9 0 +7 *2478:la_input[106] *1252:7 0 +8 *612:5 *2472:la_data_out_mprj[106] 0.000421916 +9 *612:5 *2472:la_iena_mprj[106] 0 +10 *612:5 *2472:la_oenb_mprj[105] 0 +11 *612:5 *973:9 0 +12 *612:5 *1357:9 0 +13 *612:5 *1363:15 0 +14 *612:5 *1368:15 0.000397835 +15 *612:8 *614:8 0.0360134 +16 *470:19 *612:8 0.000721049 +*RES +1 *2472:la_data_in_mprj[106] *612:5 75.869 +2 *612:5 *612:7 4.5 +3 *612:7 *612:8 398.374 +4 *612:8 *2478:la_input[106] 18.1423 +*END + +*D_NET *613 0.071408 +*CONN +*I *2478:la_input[107] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[107] O *D mgmt_protect +*CAP +1 *2478:la_input[107] 0.000980703 +2 *2472:la_data_in_mprj[107] 0.00272204 +3 *613:8 0.00755669 +4 *613:7 0.00657599 +5 *613:5 0.00272204 +6 *2478:la_input[107] *1125:9 0 +7 *613:5 *2472:la_data_out_mprj[107] 0 +8 *613:5 *2472:la_iena_mprj[106] 0 +9 *613:5 *2472:la_oenb_mprj[106] 0 +10 *613:5 *973:9 0 +11 *613:5 *1230:9 0.000129352 +12 *613:5 *1367:15 0.000464812 +13 *613:8 *615:8 0.000366369 +14 *613:8 *617:8 0.0362827 +15 *613:8 *730:16 0.000598459 +16 *613:8 *1243:16 0.00024397 +17 *613:8 *1251:10 0.000639917 +18 *613:8 *1363:10 0.00132561 +19 *613:8 *1656:8 0.0101859 +20 *608:14 *613:8 0.000613464 +*RES +1 *2472:la_data_in_mprj[107] *613:5 66.7335 +2 *613:5 *613:7 4.5 +3 *613:7 *613:8 410.575 +4 *613:8 *2478:la_input[107] 27.2778 +*END + +*D_NET *614 0.0911014 +*CONN +*I *2478:la_input[108] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[108] O *D mgmt_protect +*CAP +1 *2478:la_input[108] 0.000530542 +2 *2472:la_data_in_mprj[108] 0.00291026 +3 *614:8 0.00415701 +4 *614:7 0.00362646 +5 *614:5 0.00291026 +6 *2478:la_input[108] *1126:9 0 +7 *2478:la_input[108] *1254:7 0 +8 *614:5 *2472:la_data_out_mprj[108] 0.000723931 +9 *614:5 *2472:la_iena_mprj[107] 0 +10 *614:5 *2472:la_iena_mprj[108] 0 +11 *614:5 *2472:la_oenb_mprj[107] 0 +12 *614:5 *2478:la_input[87] 0 +13 *614:5 *1358:9 0.000388524 +14 *470:19 *614:8 0.039841 +15 *612:8 *614:8 0.0360134 +*RES +1 *2472:la_data_in_mprj[108] *614:5 75.4538 +2 *614:5 *614:7 4.5 +3 *614:7 *614:8 421.668 +4 *614:8 *2478:la_input[108] 18.5575 +*END + +*D_NET *615 0.0955924 +*CONN +*I *2478:la_input[109] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[109] O *D mgmt_protect +*CAP +1 *2478:la_input[109] 0.000907626 +2 *2472:la_data_in_mprj[109] 0.00256659 +3 *615:8 0.00437386 +4 *615:7 0.00346623 +5 *615:5 0.00256659 +6 *2478:la_input[109] *1127:9 0 +7 *2478:la_input[109] *1255:7 0 +8 *615:5 *2472:la_data_out_mprj[109] 0 +9 *615:5 *2472:la_iena_mprj[108] 0 +10 *615:5 *2472:la_oenb_mprj[108] 0 +11 *615:5 *974:9 0 +12 *615:5 *1231:9 0 +13 *615:5 *1369:15 0.00184111 +14 *615:8 *617:8 0.040146 +15 *615:8 *618:8 0.039358 +16 *615:8 *1552:24 0 +17 *613:8 *615:8 0.000366369 +*RES +1 *2472:la_data_in_mprj[109] *615:5 67.564 +2 *615:5 *615:7 4.5 +3 *615:7 *615:8 434.423 +4 *615:8 *2478:la_input[109] 25.8855 +*END + +*D_NET *616 0.111544 +*CONN +*I *2478:la_input[10] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[10] O *D mgmt_protect +*CAP +1 *2478:la_input[10] 0.00158059 +2 *2472:la_data_in_mprj[10] 0.00211688 +3 *616:14 0.0123751 +4 *616:13 0.0151679 +5 *616:7 0.0064903 +6 *2478:la_input[10] *1128:7 0 +7 *2478:la_input[10] *1256:7 0 +8 *616:7 *2472:la_data_out_mprj[10] 0.000565095 +9 *616:7 *2472:la_oenb_mprj[9] 0 +10 *616:7 *668:13 0 +11 *616:13 *627:10 0.022285 +12 *616:13 *638:8 0.000283528 +13 *616:13 *663:8 0.00108613 +14 *616:13 *665:8 0.00103175 +15 *616:13 *667:8 0.000260662 +16 *616:13 *1151:10 0.00406948 +17 *616:13 *1301:7 7.17667e-05 +18 *616:14 *636:22 0.00780192 +19 *616:14 *677:14 0 +20 *616:14 *1149:10 0.00307947 +21 *616:14 *1155:10 0 +22 *616:14 *1159:10 0 +23 *616:14 *1161:10 0.000179601 +24 *616:14 *1163:10 0.000443728 +25 *616:14 *1165:10 0.00041958 +26 *616:14 *1233:10 0.000228912 +27 *616:14 *1267:10 0 +28 *616:14 *1287:10 0.000190613 +29 *616:14 *1289:10 0.000738221 +30 *616:14 *1291:10 0.0174567 +31 *616:14 *1293:10 0.0136208 +*RES +1 *2472:la_data_in_mprj[10] *616:7 49.9625 +2 *616:7 *616:13 41.2429 +3 *616:13 *616:14 514.286 +4 *616:14 *2478:la_input[10] 42.6421 +*END + +*D_NET *617 0.0948911 +*CONN +*I *2478:la_input[110] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[110] O *D mgmt_protect +*CAP +1 *2478:la_input[110] 0.000945585 +2 *2472:la_data_in_mprj[110] 0.00257235 +3 *617:8 0.00440961 +4 *617:7 0.00346402 +5 *617:5 0.00257235 +6 *2478:la_input[110] *1129:9 0 +7 *2478:la_input[110] *1257:9 0 +8 *617:5 *2472:la_data_out_mprj[110] 0.000559246 +9 *617:5 *2472:la_iena_mprj[109] 0 +10 *617:5 *2472:la_iena_mprj[110] 0 +11 *617:5 *2472:la_oenb_mprj[109] 0 +12 *617:5 *2478:la_input[88] 0.000549425 +13 *617:5 *1359:9 0 +14 *617:8 *618:8 0.00083916 +15 *617:8 *1656:8 0.00255066 +16 *613:8 *617:8 0.0362827 +17 *615:8 *617:8 0.040146 +*RES +1 *2472:la_data_in_mprj[110] *617:5 67.1487 +2 *617:5 *617:7 4.5 +3 *617:7 *617:8 445.515 +4 *617:8 *2478:la_input[110] 26.8626 +*END + +*D_NET *618 0.0983833 +*CONN +*I *2478:la_input[111] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[111] O *D mgmt_protect +*CAP +1 *2478:la_input[111] 0.000909563 +2 *2472:la_data_in_mprj[111] 0.00294899 +3 *618:8 0.00460112 +4 *618:7 0.00369156 +5 *618:5 0.00294899 +6 *2478:la_input[111] *1130:7 0 +7 *2478:la_input[111] *1258:7 0 +8 *618:5 *2472:la_data_out_mprj[111] 0 +9 *618:5 *2472:la_iena_mprj[110] 0 +10 *618:5 *2472:la_iena_mprj[111] 0 +11 *618:5 *2472:la_oenb_mprj[110] 0 +12 *618:5 *975:9 0.000447014 +13 *618:5 *1247:15 0 +14 *618:5 *1359:9 0 +15 *618:8 *619:8 0.0422998 +16 *618:8 *1552:24 0 +17 *618:8 *1656:8 0.000339015 +18 *615:8 *618:8 0.039358 +19 *617:8 *618:8 0.00083916 +*RES +1 *2472:la_data_in_mprj[111] *618:5 67.9792 +2 *618:5 *618:7 4.5 +3 *618:7 *618:8 457.162 +4 *618:8 *2478:la_input[111] 26.032 +*END + +*D_NET *619 0.101517 +*CONN +*I *2478:la_input[112] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[112] O *D mgmt_protect +*CAP +1 *2478:la_input[112] 0.000888127 +2 *2472:la_data_in_mprj[112] 0.00284501 +3 *619:8 0.00468372 +4 *619:7 0.00379559 +5 *619:5 0.00284501 +6 *2478:la_input[112] *1131:7 0 +7 *2478:la_input[112] *1259:9 0 +8 *619:5 *2472:la_data_out_mprj[112] 0.000572208 +9 *619:5 *2472:la_iena_mprj[111] 0 +10 *619:5 *2472:la_oenb_mprj[111] 0 +11 *619:5 *2478:la_input[89] 0 +12 *619:5 *1232:9 0 +13 *619:8 *620:8 0.0434618 +14 *619:8 *1552:24 0 +15 *619:8 *1656:8 0.000125334 +16 *618:8 *619:8 0.0422998 +*RES +1 *2472:la_data_in_mprj[112] *619:5 68.3945 +2 *619:5 *619:7 4.5 +3 *619:7 *619:8 468.809 +4 *619:8 *2478:la_input[112] 25.6168 +*END + +*D_NET *620 0.103236 +*CONN +*I *2478:la_input[113] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[113] O *D mgmt_protect +*CAP +1 *2478:la_input[113] 0.000796572 +2 *2472:la_data_in_mprj[113] 0.00303951 +3 *620:8 0.00481118 +4 *620:7 0.00401461 +5 *620:5 0.00303951 +6 *2478:la_input[113] *1260:7 0 +7 *620:5 *2472:la_data_out_mprj[113] 0 +8 *620:5 *2472:la_iena_mprj[112] 0 +9 *620:5 *2472:la_iena_mprj[113] 0 +10 *620:5 *2472:la_oenb_mprj[112] 0 +11 *620:5 *976:9 0 +12 *620:5 *1360:9 0.000315176 +13 *620:8 *621:10 0.000648604 +14 *620:8 *1262:10 0.0431094 +15 *620:8 *1552:24 0 +16 *620:8 *1656:8 0 +17 *619:8 *620:8 0.0434618 +*RES +1 *2472:la_data_in_mprj[113] *620:5 68.8097 +2 *620:5 *620:7 4.5 +3 *620:7 *620:8 482.119 +4 *620:8 *2478:la_input[113] 23.5161 +*END + +*D_NET *621 0.106469 +*CONN +*I *2478:la_input[114] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[114] O *D mgmt_protect +*CAP +1 *2478:la_input[114] 0.000823818 +2 *2472:la_data_in_mprj[114] 4.25268e-05 +3 *621:10 0.00466364 +4 *621:9 0.00383982 +5 *621:7 0.00256827 +6 *621:5 0.00261079 +7 *2478:la_input[114] *1133:7 0 +8 *2478:la_input[114] *1261:7 0 +9 *621:7 *2472:la_data_out_mprj[114] 0.00220578 +10 *621:7 *2472:la_iena_mprj[113] 0 +11 *621:7 *2472:la_oenb_mprj[113] 0 +12 *621:7 *1234:9 0.00021575 +13 *621:10 *1262:10 0.0448934 +14 *621:10 *1264:10 0.043428 +15 *621:10 *1552:24 0.000528711 +16 *620:8 *621:10 0.000648604 +*RES +1 *2472:la_data_in_mprj[114] *621:5 1.20912 +2 *621:5 *621:7 69.7257 +3 *621:7 *621:9 4.5 +4 *621:9 *621:10 490.993 +5 *621:10 *2478:la_input[114] 24.371 +*END + +*D_NET *622 0.0984133 +*CONN +*I *2478:la_input[115] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[115] O *D mgmt_protect +*CAP +1 *2478:la_input[115] 8.30061e-05 +2 *2472:la_data_in_mprj[115] 0.000178231 +3 *622:11 0.00436426 +4 *622:10 0.00428126 +5 *622:8 0.00523021 +6 *622:7 0.00540845 +7 *622:7 *2472:la_data_out_mprj[115] 0 +8 *622:7 *2472:la_iena_mprj[114] 0 +9 *622:7 *2472:la_oenb_mprj[114] 0 +10 *622:8 *1254:10 0.0341595 +11 *622:8 *1255:10 0.0360433 +12 *622:8 *1258:10 0.00175528 +13 *622:8 *1260:10 0.00102524 +14 *622:8 *1261:10 0.00525072 +15 *622:8 *1558:26 0.000633819 +16 *622:11 *1134:7 0 +17 *622:11 *1262:9 0 +*RES +1 *2472:la_data_in_mprj[115] *622:7 8.94564 +2 *622:7 *622:8 503.749 +3 *622:8 *622:10 4.5 +4 *622:10 *622:11 83.0138 +5 *622:11 *2478:la_input[115] 2.05183 +*END + +*D_NET *623 0.112084 +*CONN +*I *2478:la_input[116] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[116] O *D mgmt_protect +*CAP +1 *2478:la_input[116] 8.30061e-05 +2 *2472:la_data_in_mprj[116] 0.000219083 +3 *623:11 0.00414851 +4 *623:10 0.00406551 +5 *623:8 0.00454974 +6 *623:7 0.00476882 +7 *623:7 *2472:la_data_out_mprj[116] 0.000126215 +8 *623:7 *1262:13 0.000478162 +9 *623:8 *624:8 0.0475339 +10 *623:8 *625:8 0.000189342 +11 *623:8 *626:8 0.000269776 +12 *623:8 *1266:10 0.0453169 +13 *623:11 *1135:7 0 +14 *471:27 *623:8 0.000334676 +*RES +1 *2472:la_data_in_mprj[116] *623:7 13.0982 +2 *623:7 *623:8 515.396 +3 *623:8 *623:10 4.5 +4 *623:10 *623:11 78.8613 +5 *623:11 *2478:la_input[116] 2.05183 +*END + +*D_NET *624 0.115457 +*CONN +*I *2478:la_input[117] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[117] O *D mgmt_protect +*CAP +1 *2478:la_input[117] 8.30061e-05 +2 *2472:la_data_in_mprj[117] 0.000372171 +3 *624:11 0.00414089 +4 *624:10 0.00405789 +5 *624:8 0.00425799 +6 *624:7 0.00463016 +7 *624:7 *2472:la_data_out_mprj[117] 0 +8 *624:7 *2472:la_oenb_mprj[116] 0 +9 *624:8 *1266:10 0.000885943 +10 *624:11 *1136:9 0 +11 *624:11 *1264:9 0 +12 *624:11 *1604:29 0 +13 *471:27 *624:8 0.0494946 +14 *623:8 *624:8 0.0475339 +*RES +1 *2472:la_data_in_mprj[117] *624:7 12.6829 +2 *624:7 *624:8 527.597 +3 *624:8 *624:10 4.5 +4 *624:10 *624:11 79.2765 +5 *624:11 *2478:la_input[117] 2.05183 +*END + +*D_NET *625 0.116756 +*CONN +*I *2478:la_input[118] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[118] O *D mgmt_protect +*CAP +1 *2478:la_input[118] 8.30061e-05 +2 *2472:la_data_in_mprj[118] 0.000383329 +3 *625:11 0.0040548 +4 *625:10 0.0039718 +5 *625:8 0.004599 +6 *625:7 0.00498233 +7 *625:7 *2472:la_data_out_mprj[118] 0.000143764 +8 *625:7 *2472:la_oenb_mprj[117] 0 +9 *625:8 *626:8 0.0498095 +10 *625:8 *628:8 0.000334676 +11 *625:8 *629:8 0.0482041 +12 *625:11 *1137:7 0 +13 *625:11 *1265:9 0 +14 *623:8 *625:8 0.000189342 +*RES +1 *2472:la_data_in_mprj[118] *625:7 14.3439 +2 *625:7 *625:8 538.689 +3 *625:8 *625:10 4.5 +4 *625:10 *625:11 77.6155 +5 *625:11 *2478:la_input[118] 2.05183 +*END + +*D_NET *626 0.11974 +*CONN +*I *2478:la_input[119] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[119] O *D mgmt_protect +*CAP +1 *2478:la_input[119] 8.30061e-05 +2 *2472:la_data_in_mprj[119] 0.000429639 +3 *626:11 0.00403372 +4 *626:10 0.00395072 +5 *626:8 0.00434893 +6 *626:7 0.00477857 +7 *626:7 *2472:la_data_out_mprj[119] 0 +8 *626:7 *2472:la_oenb_mprj[118] 0 +9 *626:8 *629:8 0.000885943 +10 *626:8 *1266:10 0.0511501 +11 *626:11 *1138:7 0 +12 *626:11 *1266:7 0 +13 *626:11 *1608:29 0 +14 *626:11 *1610:29 0 +15 *623:8 *626:8 0.000269776 +16 *625:8 *626:8 0.0498095 +*RES +1 *2472:la_data_in_mprj[119] *626:7 13.9287 +2 *626:7 *626:8 550.89 +3 *626:8 *626:10 4.5 +4 *626:10 *626:11 78.0308 +5 *626:11 *2478:la_input[119] 2.05183 +*END + +*D_NET *627 0.112335 +*CONN +*I *2478:la_input[11] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[11] O *D mgmt_protect +*CAP +1 *2478:la_input[11] 0.00116602 +2 *2472:la_data_in_mprj[11] 0.00175449 +3 *627:14 0.0133439 +4 *627:13 0.0129521 +5 *627:10 0.00469079 +6 *627:7 0.00567106 +7 *2478:la_input[11] *1139:9 0 +8 *2478:la_input[11] *1267:9 0 +9 *627:7 *2472:la_data_out_mprj[11] 0.00214562 +10 *627:7 *2472:la_oenb_mprj[10] 0 +11 *627:7 *2478:la_input[46] 0 +12 *627:7 *637:11 0 +13 *627:10 *636:16 0.000222357 +14 *627:10 *645:8 0.0312542 +15 *627:10 *662:8 0.00148438 +16 *627:10 *664:8 0.0011814 +17 *627:10 *1151:10 0.00107588 +18 *627:13 *1170:9 5.48015e-06 +19 *627:14 *909:10 0 +20 *627:14 *911:10 0.0102571 +21 *627:14 *913:10 0 +22 *627:14 *1153:10 0.00284556 +23 *616:13 *627:10 0.022285 +*RES +1 *2472:la_data_in_mprj[11] *627:7 49.132 +2 *627:7 *627:10 43.1936 +3 *627:10 *627:13 18.1667 +4 *627:13 *627:14 419.449 +5 *627:14 *2478:la_input[11] 34.7523 +*END + +*D_NET *628 0.122419 +*CONN +*I *2478:la_input[120] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[120] O *D mgmt_protect +*CAP +1 *2478:la_input[120] 8.30061e-05 +2 *2472:la_data_in_mprj[120] 0.000430162 +3 *628:11 0.00395794 +4 *628:10 0.00387493 +5 *628:8 0.00444123 +6 *628:7 0.00487139 +7 *628:7 *2472:la_data_out_mprj[120] 0.000147773 +8 *628:7 *2472:la_iena_mprj[119] 0 +9 *628:7 *2472:la_oenb_mprj[119] 0 +10 *628:8 *629:8 0.0520658 +11 *628:8 *1140:10 0.052002 +12 *628:8 *1142:10 0.00020979 +13 *628:11 *1140:7 0 +14 *628:11 *1268:7 0 +15 *625:8 *628:8 0.000334676 +*RES +1 *2472:la_data_in_mprj[120] *628:7 15.1744 +2 *628:7 *628:8 561.982 +3 *628:8 *628:10 4.5 +4 *628:10 *628:11 76.785 +5 *628:11 *2478:la_input[120] 2.05183 +*END + +*D_NET *629 0.120907 +*CONN +*I *2478:la_input[121] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[121] O *D mgmt_protect +*CAP +1 *2478:la_input[121] 8.30061e-05 +2 *2472:la_data_in_mprj[121] 0.000479352 +3 *629:11 0.00395073 +4 *629:10 0.00386772 +5 *629:8 0.0051269 +6 *629:7 0.00560625 +7 *629:7 *2472:la_data_out_mprj[121] 0 +8 *629:7 *2472:la_oenb_mprj[120] 0 +9 *629:8 *1142:10 0.00051659 +10 *629:8 *1266:10 0.000120974 +11 *629:11 *1141:9 0 +12 *629:11 *1269:7 0 +13 *629:11 *1649:13 0 +14 *471:27 *629:8 0 +15 *625:8 *629:8 0.0482041 +16 *626:8 *629:8 0.000885943 +17 *628:8 *629:8 0.0520658 +*RES +1 *2472:la_data_in_mprj[121] *629:7 14.7592 +2 *629:7 *629:8 574.184 +3 *629:8 *629:10 4.5 +4 *629:10 *629:11 77.2003 +5 *629:11 *2478:la_input[121] 2.05183 +*END + +*D_NET *630 0.12546 +*CONN +*I *2478:la_input[122] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[122] O *D mgmt_protect +*CAP +1 *2478:la_input[122] 1.28869e-05 +2 *2472:la_data_in_mprj[122] 0.000489902 +3 *630:11 0.00378985 +4 *630:10 0.00377697 +5 *630:8 0.00493273 +6 *630:7 0.00542263 +7 *630:7 *2472:la_data_out_mprj[122] 0.000160708 +8 *630:7 *2472:la_oenb_mprj[121] 0 +9 *630:8 *632:8 0.000189342 +10 *630:8 *633:8 0.000512773 +11 *630:8 *1140:10 0.000156579 +12 *630:8 *1142:10 0.0542625 +13 *630:8 *1146:10 0.0517527 +14 *630:11 *1270:9 0 +15 *630:11 *1651:13 0 +16 *471:27 *630:8 0 +17 *609:8 *630:8 0 +*RES +1 *2472:la_data_in_mprj[122] *630:7 16.4202 +2 *630:7 *630:8 587.494 +3 *630:8 *630:10 4.5 +4 *630:10 *630:11 75.5393 +5 *630:11 *2478:la_input[122] 0.366399 +*END + +*D_NET *631 0.121621 +*CONN +*I *2478:la_input[123] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[123] O *D mgmt_protect +*CAP +1 *2478:la_input[123] 0.000780946 +2 *2472:la_data_in_mprj[123] 0.0032059 +3 *631:8 0.00689132 +4 *631:7 0.00611037 +5 *631:5 0.0032059 +6 *2478:la_input[123] *1143:9 0 +7 *2478:la_input[123] *1271:7 0 +8 *631:5 *2472:la_data_out_mprj[123] 0 +9 *631:5 *2472:la_oenb_mprj[122] 0 +10 *631:5 *981:9 0.000347135 +11 *631:5 *1121:15 0 +12 *631:5 *1238:9 0 +13 *631:8 *1264:10 0.0454268 +14 *631:8 *1272:10 0.055023 +15 *631:8 *1552:24 0.00062937 +16 *631:8 *1656:8 0 +*RES +1 *2472:la_data_in_mprj[123] *631:5 70.4707 +2 *631:5 *631:7 4.5 +3 *631:7 *631:8 597.477 +4 *631:8 *2478:la_input[123] 23.5405 +*END + +*D_NET *632 0.131467 +*CONN +*I *2478:la_input[124] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[124] O *D mgmt_protect +*CAP +1 *2478:la_input[124] 8.30061e-05 +2 *2472:la_data_in_mprj[124] 0.000539931 +3 *632:11 0.00360762 +4 *632:10 0.00352461 +5 *632:8 0.00475416 +6 *632:7 0.00529409 +7 *632:7 *2472:la_data_out_mprj[124] 0.000178257 +8 *632:7 *2472:la_oenb_mprj[123] 0 +9 *632:8 *633:8 0.0566572 +10 *632:8 *1145:10 0.0562411 +11 *632:11 *1144:7 0 +12 *632:11 *1272:9 0 +13 *632:11 *1655:17 0.000397377 +14 *609:8 *632:8 0 +15 *630:8 *632:8 0.000189342 +*RES +1 *2472:la_data_in_mprj[124] *632:7 17.6659 +2 *632:7 *632:8 609.124 +3 *632:8 *632:10 4.5 +4 *632:10 *632:11 74.2935 +5 *632:11 *2478:la_input[124] 2.05183 +*END + +*D_NET *633 0.13341 +*CONN +*I *2478:la_input[125] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[125] O *D mgmt_protect +*CAP +1 *2478:la_input[125] 8.30061e-05 +2 *2472:la_data_in_mprj[125] 0.000601129 +3 *633:11 0.00360101 +4 *633:10 0.003518 +5 *633:8 0.00464904 +6 *633:7 0.00525017 +7 *633:7 *2472:la_data_out_mprj[125] 0 +8 *633:7 *2472:la_oenb_mprj[124] 0 +9 *633:8 *635:8 0.000120974 +10 *633:8 *1145:10 0.000644459 +11 *633:8 *1146:10 0.0573798 +12 *633:11 *1145:7 0 +13 *633:11 *1273:7 0 +14 *633:11 *1657:13 0.000392227 +15 *630:8 *633:8 0.000512773 +16 *632:8 *633:8 0.0566572 +*RES +1 *2472:la_data_in_mprj[125] *633:7 17.2507 +2 *633:7 *633:8 620.77 +3 *633:8 *633:10 4.5 +4 *633:10 *633:11 74.7088 +5 *633:11 *2478:la_input[125] 2.05183 +*END + +*D_NET *634 0.131066 +*CONN +*I *2478:la_input[126] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[126] O *D mgmt_protect +*CAP +1 *2478:la_input[126] 0.00102823 +2 *2472:la_data_in_mprj[126] 0.00251748 +3 *634:8 0.00652349 +4 *634:7 0.00549526 +5 *634:5 0.00251748 +6 *2478:la_input[126] *1146:7 0 +7 *2478:la_input[126] *1274:7 0 +8 *634:5 *2472:la_data_out_mprj[126] 0.000368364 +9 *634:5 *2472:la_oenb_mprj[125] 0 +10 *634:5 *2478:la_input[95] 0 +11 *634:5 *864:15 0.00133537 +12 *634:5 *1239:9 0.000148202 +13 *634:8 *1270:10 0.0526084 +14 *634:8 *1367:10 0 +15 *634:8 *1369:10 0 +16 *634:8 *1371:12 0.000102215 +17 *634:8 *1592:24 0.0565657 +18 *634:8 *1722:10 0.00185525 +*RES +1 *2472:la_data_in_mprj[126] *634:5 63.8267 +2 *634:5 *634:7 4.5 +3 *634:7 *634:8 632.417 +4 *634:8 *2478:la_input[126] 30.1846 +*END + +*D_NET *635 0.140455 +*CONN +*I *2478:la_input[127] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[127] O *D mgmt_protect +*CAP +1 *2478:la_input[127] 3.626e-05 +2 *2472:la_data_in_mprj[127] 0.000672278 +3 *635:11 0.00319233 +4 *635:10 0.00315607 +5 *635:8 0.00530789 +6 *635:7 0.00598017 +7 *635:7 *2472:la_data_out_mprj[127] 0 +8 *635:7 *2472:la_oenb_mprj[126] 0 +9 *635:8 *1145:10 0.0564793 +10 *635:8 *1146:10 0.000276534 +11 *635:8 *1579:8 0.060097 +12 *635:8 *1741:24 0.00352548 +13 *635:11 *1275:7 0 +14 *635:11 *2191:15 0.00155927 +15 *609:8 *635:8 5.13878e-05 +16 *633:8 *635:8 0.000120974 +*RES +1 *2472:la_data_in_mprj[127] *635:7 18.4964 +2 *635:7 *635:8 645.173 +3 *635:8 *635:10 4.5 +4 *635:10 *635:11 73.463 +5 *635:11 *2478:la_input[127] 0.928211 +*END + +*D_NET *636 0.0799745 +*CONN +*I *2478:la_input[12] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[12] O *D mgmt_protect +*CAP +1 *2478:la_input[12] 0.0016077 +2 *2472:la_data_in_mprj[12] 0.00204202 +3 *636:22 0.010679 +4 *636:21 0.00918202 +5 *636:16 0.00506438 +6 *636:14 0.00503277 +7 *636:12 0.00303454 +8 *636:10 0.00297609 +9 *636:8 0.00137057 +10 *636:7 0.00134994 +11 *636:5 0.00204202 +12 *2478:la_input[12] *1148:7 0 +13 *636:5 *2472:la_data_out_mprj[12] 0.000388577 +14 *636:5 *2472:la_oenb_mprj[11] 0 +15 *636:5 *929:7 0.000462439 +16 *636:5 *1184:15 0.000158189 +17 *636:8 *668:14 0.00387062 +18 *636:8 *909:16 0.00061052 +19 *636:8 *915:16 0.000110701 +20 *636:8 *1151:10 0.000738553 +21 *636:8 *1296:10 0.0114002 +22 *636:12 *661:8 0 +23 *636:12 *662:8 0 +24 *636:12 *663:8 0 +25 *636:12 *665:8 0 +26 *636:12 *667:8 2.41916e-05 +27 *636:12 *909:16 0.000236373 +28 *636:12 *1151:10 0.000706329 +29 *636:12 *1296:10 0.00443635 +30 *636:12 *1305:13 0.00211272 +31 *636:16 *645:8 0.00014667 +32 *636:16 *654:8 0 +33 *636:16 *657:8 0 +34 *636:16 *659:8 0 +35 *636:16 *1165:10 0 +36 *636:16 *1168:10 0 +37 *636:16 *1296:10 0 +38 *636:21 *908:7 6.68802e-05 +39 *636:22 *1277:10 0.00209983 +40 *616:14 *636:22 0.00780192 +41 *627:10 *636:16 0.000222357 +*RES +1 *2472:la_data_in_mprj[12] *636:5 49.2929 +2 *636:5 *636:7 4.5 +3 *636:7 *636:8 121.072 +4 *636:8 *636:10 0.578717 +5 *636:10 *636:12 126.896 +6 *636:12 *636:14 2.21841 +7 *636:14 *636:16 132.996 +8 *636:16 *636:21 12.493 +9 *636:21 *636:22 316.847 +10 *636:22 *2478:la_input[12] 42.7643 +*END + +*D_NET *637 0.149969 +*CONN +*I *2478:la_input[13] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[13] O *D mgmt_protect +*CAP +1 *2478:la_input[13] 8.29983e-05 +2 *2472:la_data_in_mprj[13] 0.00341276 +3 *637:21 0.0021528 +4 *637:20 0.0020698 +5 *637:18 0.00394142 +6 *637:16 0.00396445 +7 *637:14 0.000823991 +8 *637:13 0.000800957 +9 *637:11 0.00341276 +10 *637:11 *2472:la_data_out_mprj[10] 0.000364941 +11 *637:11 *2472:la_data_out_mprj[13] 0 +12 *637:11 *2472:la_iena_mprj[10] 2.27175e-05 +13 *637:11 *2472:la_oenb_mprj[12] 0 +14 *637:11 *1256:10 0.000386033 +15 *637:14 *640:8 0.0079971 +16 *637:14 *710:8 0.000118134 +17 *637:14 *892:10 0.00107631 +18 *637:14 *892:14 0.00551661 +19 *637:18 *638:20 0.0528396 +20 *637:18 *640:8 1.41853e-05 +21 *637:18 *688:8 0.00613545 +22 *637:18 *892:10 0.0548361 +23 *637:21 *1149:9 0 +24 *637:21 *1277:9 0 +25 *627:7 *637:11 0 +*RES +1 *2472:la_data_in_mprj[13] *637:11 48.5909 +2 *637:11 *637:13 4.5 +3 *637:13 *637:14 85.5777 +4 *637:14 *637:16 0.578717 +5 *637:16 *637:18 576.402 +6 *637:18 *637:20 4.5 +7 *637:20 *637:21 48.5479 +8 *637:21 *2478:la_input[13] 2.05183 +*END + +*D_NET *638 0.150708 +*CONN +*I *2478:la_input[14] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[14] O *D mgmt_protect +*CAP +1 *2478:la_input[14] 8.29983e-05 +2 *2472:la_data_in_mprj[14] 0.00181575 +3 *638:23 0.00218612 +4 *638:22 0.00210312 +5 *638:20 0.00397398 +6 *638:19 0.00406581 +7 *638:16 0.00069475 +8 *638:8 0.00130612 +9 *638:7 0.000703201 +10 *638:5 0.00181575 +11 *638:5 *2472:la_data_out_mprj[14] 0.000401477 +12 *638:5 *2472:la_oenb_mprj[13] 0 +13 *638:5 *1314:7 0.00109711 +14 *638:8 *665:8 0.00839686 +15 *638:8 *667:8 0.00840527 +16 *638:16 *2478:la_input[43] 0 +17 *638:16 *883:10 0.00265596 +18 *638:16 *892:10 0.00254977 +19 *638:16 *892:14 3.58044e-05 +20 *638:16 *1182:7 0.000324719 +21 *638:19 *2472:la_data_out_mprj[0] 1.54479e-05 +22 *638:19 *872:15 7.09666e-06 +23 *638:19 *1308:15 8.72256e-06 +24 *638:20 *640:8 0.0490014 +25 *638:20 *688:8 0.00551756 +26 *638:20 *895:10 0.00041958 +27 *638:23 *1150:7 0 +28 *638:23 *1278:7 0 +29 *616:13 *638:8 0.000283528 +30 *637:18 *638:20 0.0528396 +*RES +1 *2472:la_data_in_mprj[14] *638:5 46.8014 +2 *638:5 *638:7 4.5 +3 *638:7 *638:8 89.46 +4 *638:8 *638:16 49.1838 +5 *638:16 *638:19 5.91674 +6 *638:19 *638:20 555.327 +7 *638:20 *638:22 4.5 +8 *638:22 *638:23 48.9631 +9 *638:23 *2478:la_input[14] 2.05183 +*END + +*D_NET *639 0.167253 +*CONN +*I *2478:la_input[15] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[15] O *D mgmt_protect +*CAP +1 *2478:la_input[15] 8.29983e-05 +2 *2472:la_data_in_mprj[15] 0.001577 +3 *639:11 0.00240232 +4 *639:10 0.00231933 +5 *639:8 0.00681015 +6 *639:7 0.00838716 +7 *639:7 *2472:la_data_out_mprj[15] 0 +8 *639:7 *2472:la_oenb_mprj[14] 0 +9 *639:8 *651:8 0.00350483 +10 *639:8 *653:8 0.00322218 +11 *639:8 *893:10 0.0701477 +12 *639:8 *894:10 0.000351128 +13 *639:8 *896:10 0.0684485 +14 *639:8 *897:10 0 +15 *639:8 *1150:10 0 +16 *639:8 *1152:10 0 +17 *639:11 *1151:9 0 +18 *639:11 *1279:9 0 +*RES +1 *2472:la_data_in_mprj[15] *639:7 37.505 +2 *639:7 *639:8 91.2262 +3 *639:8 *639:10 3.36879 +4 *639:10 *639:11 53.3233 +5 *639:11 *2478:la_input[15] 2.05183 +*END + +*D_NET *640 0.142802 +*CONN +*I *2478:la_input[16] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[16] O *D mgmt_protect +*CAP +1 *2478:la_input[16] 8.29983e-05 +2 *2472:la_data_in_mprj[16] 0.00154447 +3 *640:11 0.00223388 +4 *640:10 0.00215088 +5 *640:8 0.00463341 +6 *640:7 0.00617788 +7 *640:7 *2472:la_data_out_mprj[16] 0.000505105 +8 *640:7 *2472:la_oenb_mprj[15] 0 +9 *640:8 *641:8 0.000269759 +10 *640:8 *673:8 0.000204688 +11 *640:8 *674:8 0.000471507 +12 *640:8 *675:8 2.65831e-05 +13 *640:8 *710:8 0.00582041 +14 *640:8 *892:14 0.000665158 +15 *640:8 *895:10 0.0610027 +16 *640:11 *1152:7 0 +17 *640:11 *1280:7 0 +18 *637:14 *640:8 0.0079971 +19 *637:18 *640:8 1.41853e-05 +20 *638:20 *640:8 0.0490014 +*RES +1 *2472:la_data_in_mprj[16] *640:7 42.5811 +2 *640:7 *640:8 649.61 +3 *640:8 *640:10 4.5 +4 *640:10 *640:11 49.3784 +5 *640:11 *2478:la_input[16] 2.05183 +*END + +*D_NET *641 0.140928 +*CONN +*I *2478:la_input[17] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[17] O *D mgmt_protect +*CAP +1 *2478:la_input[17] 8.29983e-05 +2 *2472:la_data_in_mprj[17] 0.00158961 +3 *641:11 0.00230029 +4 *641:10 0.00221729 +5 *641:8 0.00463736 +6 *641:7 0.00622697 +7 *641:7 *2472:la_data_out_mprj[17] 0 +8 *641:7 *2472:la_iena_mprj[16] 0 +9 *641:7 *2472:la_oenb_mprj[16] 0 +10 *641:7 *925:15 8.62625e-06 +11 *641:7 *931:7 0.000292263 +12 *641:8 *642:8 0.0585555 +13 *641:8 *675:8 0.000151406 +14 *641:8 *721:8 0.00548963 +15 *641:8 *895:10 0.059106 +16 *641:11 *1153:9 0 +17 *641:11 *1281:9 0 +18 *640:8 *641:8 0.000269759 +*RES +1 *2472:la_data_in_mprj[17] *641:7 41.7506 +2 *641:7 *641:8 637.963 +3 *641:8 *641:10 4.5 +4 *641:10 *641:11 50.2089 +5 *641:11 *2478:la_input[17] 2.05183 +*END + +*D_NET *642 0.136378 +*CONN +*I *2478:la_input[18] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[18] O *D mgmt_protect +*CAP +1 *2478:la_input[18] 8.29983e-05 +2 *2472:la_data_in_mprj[18] 0.00145635 +3 *642:11 0.00223829 +4 *642:10 0.00215529 +5 *642:8 0.00475286 +6 *642:7 0.00620921 +7 *642:7 *2472:la_data_out_mprj[18] 0.000543865 +8 *642:7 *1188:7 0 +9 *642:7 *1281:13 0 +10 *642:8 *646:8 0.0536037 +11 *642:8 *675:8 1.75155e-06 +12 *642:8 *721:8 0.00439521 +13 *642:8 *899:10 0.00128892 +14 *642:8 *925:16 0.000776327 +15 *642:11 *677:13 0.000317956 +16 *642:11 *1282:7 0 +17 *641:8 *642:8 0.0585555 +*RES +1 *2472:la_data_in_mprj[18] *642:7 41.3353 +2 *642:7 *642:8 626.316 +3 *642:8 *642:10 4.5 +4 *642:10 *642:11 50.6241 +5 *642:11 *2478:la_input[18] 2.05183 +*END + +*D_NET *643 0.156177 +*CONN +*I *2478:la_input[19] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[19] O *D mgmt_protect +*CAP +1 *2478:la_input[19] 0.00193382 +2 *2472:la_data_in_mprj[19] 0.00174399 +3 *643:8 0.00798834 +4 *643:7 0.0077985 +5 *2478:la_input[19] *1155:9 0 +6 *2478:la_input[19] *1283:9 0 +7 *643:7 *2472:la_data_out_mprj[19] 0 +8 *643:7 *2472:la_iena_mprj[19] 0 +9 *643:7 *2472:la_oenb_mprj[18] 0 +10 *643:7 *1316:7 0.00115441 +11 *643:8 *645:8 0.064374 +12 *643:8 *657:8 0.00284564 +13 *643:8 *660:8 0.00248882 +14 *643:8 *898:10 0.0658491 +15 *643:8 *1151:10 0 +*RES +1 *2472:la_data_in_mprj[19] *643:7 47.471 +2 *643:7 *643:8 84.7245 +3 *643:8 *2478:la_input[19] 48.7778 +*END + +*D_NET *644 0.196057 +*CONN +*I *2478:la_input[1] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[1] O *D mgmt_protect +*CAP +1 *2478:la_input[1] 8.29983e-05 +2 *2472:la_data_in_mprj[1] 0.00208332 +3 *644:11 0.0014906 +4 *644:8 0.0155009 +5 *644:7 0.0161767 +6 *644:7 *2472:la_data_out_mprj[1] 0 +7 *644:7 *2472:la_oenb_mprj[0] 0 +8 *644:7 *966:15 0.00037536 +9 *644:8 *655:8 0.0068933 +10 *644:8 *861:10 0.0108374 +11 *644:8 *897:10 0.053281 +12 *644:8 *900:10 0.0884201 +13 *644:8 *1151:10 0 +14 *644:11 *1156:7 0 +15 *644:11 *1284:7 0 +16 *644:11 *1562:16 0.000915109 +*RES +1 *2472:la_data_in_mprj[1] *644:7 44.9795 +2 *644:7 *644:8 113.791 +3 *644:8 *644:11 49.2175 +4 *644:11 *2478:la_input[1] 2.05183 +*END + +*D_NET *645 0.135612 +*CONN +*I *2478:la_input[20] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[20] O *D mgmt_protect +*CAP +1 *2478:la_input[20] 0.00192266 +2 *2472:la_data_in_mprj[20] 0.00151935 +3 *645:8 0.0146984 +4 *645:7 0.0142951 +5 *2478:la_input[20] *1157:9 0 +6 *2478:la_input[20] *1285:9 0 +7 *645:7 *2472:la_data_out_mprj[20] 0.000643436 +8 *645:7 *2472:la_oenb_mprj[19] 0 +9 *645:7 *1190:7 0.00115462 +10 *645:8 *659:8 0.00239925 +11 *645:8 *661:8 0.00227617 +12 *645:8 *662:8 0.000291404 +13 *645:8 *663:8 0.000241979 +14 *645:8 *664:8 0.000267904 +15 *645:8 *665:8 0.000126544 +16 *645:8 *1151:10 0 +17 *627:10 *645:8 0.0312542 +18 *636:16 *645:8 0.00014667 +19 *643:8 *645:8 0.064374 +*RES +1 *2472:la_data_in_mprj[20] *645:7 48.3015 +2 *645:7 *645:8 83.1947 +3 *645:8 *2478:la_input[20] 47.9473 +*END + +*D_NET *646 0.132325 +*CONN +*I *2478:la_input[21] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[21] O *D mgmt_protect +*CAP +1 *2478:la_input[21] 5.96252e-05 +2 *2472:la_data_in_mprj[21] 0.00117934 +3 *646:11 0.00241573 +4 *646:10 0.0023561 +5 *646:8 0.00421521 +6 *646:7 0.00539455 +7 *646:7 *2472:la_oenb_mprj[20] 0 +8 *646:7 *902:13 0.00200392 +9 *646:8 *647:8 0.000594244 +10 *646:8 *732:8 0.00478076 +11 *646:8 *894:10 0.000101365 +12 *646:8 *899:10 0.0545847 +13 *646:8 *925:16 0.00103534 +14 *646:11 *1158:7 0 +15 *646:11 *1286:7 0 +16 *642:8 *646:8 0.0536037 +*RES +1 *2472:la_data_in_mprj[21] *646:7 40.9201 +2 *646:7 *646:8 591.376 +3 *646:8 *646:10 4.5 +4 *646:10 *646:11 51.0394 +5 *646:11 *2478:la_input[21] 1.49002 +*END + +*D_NET *647 0.128127 +*CONN +*I *2478:la_input[22] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[22] O *D mgmt_protect +*CAP +1 *2478:la_input[22] 8.29983e-05 +2 *2472:la_data_in_mprj[22] 0.00130596 +3 *647:11 0.00251151 +4 *647:10 0.00242851 +5 *647:8 0.00448385 +6 *647:7 0.00578981 +7 *647:7 *2472:la_data_out_mprj[22] 0.000534661 +8 *647:7 *2472:la_iena_mprj[21] 0 +9 *647:7 *2472:la_oenb_mprj[21] 0 +10 *647:7 *934:7 0.000261499 +11 *647:8 *648:8 0.0528825 +12 *647:8 *894:10 0.00447358 +13 *647:8 *899:10 0.0526284 +14 *647:8 *925:16 0.00014932 +15 *647:11 *1159:9 0 +16 *647:11 *1287:9 0 +17 *646:8 *647:8 0.000594244 +*RES +1 *2472:la_data_in_mprj[22] *647:7 40.0896 +2 *647:7 *647:8 579.73 +3 *647:8 *647:10 4.5 +4 *647:10 *647:11 51.8699 +5 *647:11 *2478:la_input[22] 2.05183 +*END + +*D_NET *648 0.125734 +*CONN +*I *2478:la_input[23] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[23] O *D mgmt_protect +*CAP +1 *2478:la_input[23] 8.29983e-05 +2 *2472:la_data_in_mprj[23] 0.00159928 +3 *648:11 0.00255083 +4 *648:10 0.00246783 +5 *648:8 0.0044121 +6 *648:7 0.00601138 +7 *648:7 *2472:la_data_out_mprj[23] 0 +8 *648:7 *2472:la_oenb_mprj[22] 0 +9 *648:8 *649:8 0.0517544 +10 *648:8 *894:10 0.00392454 +11 *648:8 *925:16 4.77564e-05 +12 *648:11 *1160:7 0 +13 *648:11 *1288:7 0 +14 *647:8 *648:8 0.0528825 +*RES +1 *2472:la_data_in_mprj[23] *648:7 39.6743 +2 *648:7 *648:8 567.528 +3 *648:8 *648:10 4.5 +4 *648:10 *648:11 52.2851 +5 *648:11 *2478:la_input[23] 2.05183 +*END + +*D_NET *649 0.124577 +*CONN +*I *2478:la_input[24] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[24] O *D mgmt_protect +*CAP +1 *2478:la_input[24] 8.29983e-05 +2 *2472:la_data_in_mprj[24] 0.00111214 +3 *649:11 0.00259598 +4 *649:10 0.00251298 +5 *649:8 0.00439564 +6 *649:7 0.00550778 +7 *649:7 *2472:la_iena_mprj[23] 0 +8 *649:7 *2472:la_oenb_mprj[23] 0 +9 *649:7 *905:13 0.00192257 +10 *649:7 *1319:7 0 +11 *649:8 *650:8 0.0506262 +12 *649:8 *893:10 0.00405615 +13 *649:8 *925:16 0 +14 *649:8 *925:20 1.01703e-05 +15 *649:11 *1161:9 0 +16 *649:11 *1289:9 0 +17 *648:8 *649:8 0.0517544 +*RES +1 *2472:la_data_in_mprj[24] *649:7 39.2591 +2 *649:7 *649:8 556.436 +3 *649:8 *649:10 4.5 +4 *649:10 *649:11 52.7004 +5 *649:11 *2478:la_input[24] 2.05183 +*END + +*D_NET *650 0.120722 +*CONN +*I *2478:la_input[25] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[25] O *D mgmt_protect +*CAP +1 *2478:la_input[25] 8.29983e-05 +2 *2472:la_data_in_mprj[25] 0.00149631 +3 *650:11 0.0026353 +4 *650:10 0.0025523 +5 *650:8 0.00435689 +6 *650:7 0.0058532 +7 *650:7 *2472:la_data_out_mprj[25] 0 +8 *650:7 *2472:la_oenb_mprj[24] 0 +9 *650:7 *1192:7 0.000146694 +10 *650:8 *651:8 0.0494385 +11 *650:8 *893:10 0.00353353 +12 *650:8 *925:20 0 +13 *650:11 *1162:7 0 +14 *650:11 *1290:7 0 +15 *649:8 *650:8 0.0506262 +*RES +1 *2472:la_data_in_mprj[25] *650:7 38.8438 +2 *650:7 *650:8 544.235 +3 *650:8 *650:10 4.5 +4 *650:10 *650:11 53.1156 +5 *650:11 *2478:la_input[25] 2.05183 +*END + +*D_NET *651 0.11777 +*CONN +*I *2478:la_input[26] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[26] O *D mgmt_protect +*CAP +1 *2478:la_input[26] 8.29983e-05 +2 *2472:la_data_in_mprj[26] 0.00114261 +3 *651:11 0.00268045 +4 *651:10 0.00259745 +5 *651:8 0.00435149 +6 *651:7 0.0054941 +7 *651:7 *2472:la_data_out_mprj[26] 0.000523125 +8 *651:7 *2472:la_oenb_mprj[25] 0 +9 *651:7 *1320:7 0.000665846 +10 *651:8 *652:8 0.000870853 +11 *651:8 *653:8 0.0464178 +12 *651:8 *925:20 0 +13 *651:11 *1163:9 0 +14 *651:11 *1291:9 0 +15 *639:8 *651:8 0.00350483 +16 *650:8 *651:8 0.0494385 +*RES +1 *2472:la_data_in_mprj[26] *651:7 38.4285 +2 *651:7 *651:8 532.588 +3 *651:8 *651:10 4.5 +4 *651:10 *651:11 53.5309 +5 *651:11 *2478:la_input[26] 2.05183 +*END + +*D_NET *652 0.0856327 +*CONN +*I *2478:la_input[27] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[27] O *D mgmt_protect +*CAP +1 *2478:la_input[27] 8.29983e-05 +2 *2472:la_data_in_mprj[27] 0.00149 +3 *652:11 0.00273896 +4 *652:10 0.00265596 +5 *652:8 0.0101659 +6 *652:7 0.0116559 +7 *652:7 *2472:la_data_out_mprj[27] 0 +8 *652:7 *2472:la_iena_mprj[27] 0 +9 *652:7 *2472:la_oenb_mprj[26] 0 +10 *652:7 *681:11 0 +11 *652:8 *653:8 0.0471929 +12 *652:8 *896:10 0.000800634 +13 *652:8 *921:10 0 +14 *652:8 *924:16 0 +15 *652:8 *966:16 0.000746177 +16 *652:8 *1176:10 0 +17 *652:8 *1267:18 0.00185279 +18 *652:8 *1308:16 2.31462e-05 +19 *652:11 *1164:7 0 +20 *652:11 *1292:7 0 +21 *605:8 *652:8 0.00535648 +22 *651:8 *652:8 0.000870853 +*RES +1 *2472:la_data_in_mprj[27] *652:7 37.598 +2 *652:7 *652:8 520.942 +3 *652:8 *652:10 4.5 +4 *652:10 *652:11 54.3614 +5 *652:11 *2478:la_input[27] 2.05183 +*END + +*D_NET *653 0.113657 +*CONN +*I *2478:la_input[28] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[28] O *D mgmt_protect +*CAP +1 *2478:la_input[28] 8.29983e-05 +2 *2472:la_data_in_mprj[28] 0.00127008 +3 *653:11 0.00272963 +4 *653:10 0.00264663 +5 *653:8 0.00414485 +6 *653:7 0.00541493 +7 *653:7 *2472:la_data_out_mprj[28] 0.000534618 +8 *653:7 *2472:la_oenb_mprj[27] 0 +9 *653:7 *681:11 0 +10 *653:8 *923:16 0 +11 *653:8 *924:16 0 +12 *653:8 *925:20 0 +13 *653:11 *1165:9 0 +14 *653:11 *1293:9 0 +15 *639:8 *653:8 0.00322218 +16 *651:8 *653:8 0.0464178 +17 *652:8 *653:8 0.0471929 +*RES +1 *2472:la_data_in_mprj[28] *653:7 38.0133 +2 *653:7 *653:8 509.295 +3 *653:8 *653:10 4.5 +4 *653:10 *653:11 53.9461 +5 *653:11 *2478:la_input[28] 2.05183 +*END + +*D_NET *654 0.108365 +*CONN +*I *2478:la_input[29] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[29] O *D mgmt_protect +*CAP +1 *2478:la_input[29] 8.29983e-05 +2 *2472:la_data_in_mprj[29] 0.00178947 +3 *654:11 0.0021596 +4 *654:8 0.00639287 +5 *654:7 0.00610574 +6 *654:7 *2472:la_data_out_mprj[29] 0 +7 *654:7 *2472:la_oenb_mprj[28] 0 +8 *654:7 *1304:15 0.00057315 +9 *654:8 *656:8 0.0450355 +10 *654:8 *657:8 0.000878398 +11 *654:8 *658:8 0.0411822 +12 *654:8 *677:8 0.000870853 +13 *654:8 *898:10 0.00329458 +14 *654:11 *1166:7 0 +15 *654:11 *1294:7 0 +16 *636:16 *654:8 0 +*RES +1 *2472:la_data_in_mprj[29] *654:7 47.1488 +2 *654:7 *654:8 497.648 +3 *654:8 *654:11 49.3106 +4 *654:11 *2478:la_input[29] 2.05183 +*END + +*D_NET *655 0.175196 +*CONN +*I *2478:la_input[2] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[2] O *D mgmt_protect +*CAP +1 *2478:la_input[2] 0.00184581 +2 *2472:la_data_in_mprj[2] 0.00190722 +3 *655:10 0.00184581 +4 *655:8 0.00649213 +5 *655:7 0.00839935 +6 *2478:la_input[2] *1295:9 0 +7 *2478:la_input[2] *2374:10 0 +8 *2478:la_input[2] *2401:10 0 +9 *655:7 *2472:la_data_out_mprj[2] 0.000520322 +10 *655:7 *2472:la_oenb_mprj[1] 0 +11 *655:7 *669:15 8.62625e-06 +12 *655:8 *666:8 0.000398957 +13 *655:8 *677:8 1.67988e-05 +14 *655:8 *699:8 0.0696327 +15 *655:8 *861:10 0.075809 +16 *655:8 *872:16 0.00142653 +17 *644:8 *655:8 0.0068933 +*RES +1 *2472:la_data_in_mprj[2] *655:7 45.4878 +2 *655:7 *655:8 813.218 +3 *655:8 *655:10 4.5 +4 *655:10 *2478:la_input[2] 48.5234 +*END + +*D_NET *656 0.110261 +*CONN +*I *2478:la_input[30] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[30] O *D mgmt_protect +*CAP +1 *2478:la_input[30] 8.29983e-05 +2 *2472:la_data_in_mprj[30] 0.00156395 +3 *656:11 0.00219785 +4 *656:8 0.00564956 +5 *656:7 0.00509866 +6 *656:7 *2472:la_data_out_mprj[30] 0.0003988 +7 *656:7 *2472:la_oenb_mprj[29] 0 +8 *656:7 *682:11 0.000441347 +9 *656:8 *658:8 0.000386373 +10 *656:8 *670:10 0.0208203 +11 *656:8 *677:8 0.0249642 +12 *656:8 *872:16 3.83172e-05 +13 *656:8 *897:10 0.00358358 +14 *656:11 *1168:9 0 +15 *656:11 *1296:9 0 +16 *654:8 *656:8 0.0450355 +*RES +1 *2472:la_data_in_mprj[30] *656:7 46.7336 +2 *656:7 *656:8 486.002 +3 *656:8 *656:11 49.7258 +4 *656:11 *2478:la_input[30] 2.05183 +*END + +*D_NET *657 0.102482 +*CONN +*I *2478:la_input[31] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[31] O *D mgmt_protect +*CAP +1 *2478:la_input[31] 8.29983e-05 +2 *2472:la_data_in_mprj[31] 0.00171845 +3 *657:11 0.0021377 +4 *657:8 0.00639719 +5 *657:7 0.00606093 +6 *657:7 *2472:la_data_out_mprj[31] 0 +7 *657:7 *2472:la_oenb_mprj[30] 0 +8 *657:7 *928:23 0.000295476 +9 *657:7 *932:21 0.000283365 +10 *657:8 *658:8 0.042805 +11 *657:8 *659:8 0.00070936 +12 *657:8 *660:8 0.0382677 +13 *657:11 *1169:7 0 +14 *657:11 *1297:7 0 +15 *636:16 *657:8 0 +16 *643:8 *657:8 0.00284564 +17 *654:8 *657:8 0.000878398 +*RES +1 *2472:la_data_in_mprj[31] *657:7 47.9793 +2 *657:7 *657:8 474.355 +3 *657:8 *657:11 48.4801 +4 *657:11 *2478:la_input[31] 2.05183 +*END + +*D_NET *658 0.103706 +*CONN +*I *2478:la_input[32] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[32] O *D mgmt_protect +*CAP +1 *2478:la_input[32] 8.29983e-05 +2 *2472:la_data_in_mprj[32] 0.00175433 +3 *658:11 0.00218498 +4 *658:8 0.00569243 +5 *658:7 0.00534478 +6 *658:7 *2472:la_data_out_mprj[32] 0.000429377 +7 *658:7 *2472:la_iena_mprj[31] 0 +8 *658:7 *2472:la_oenb_mprj[31] 0 +9 *658:7 *930:19 0 +10 *658:7 *1170:13 0 +11 *658:8 *660:8 0.000363723 +12 *658:8 *670:10 0.000384441 +13 *658:8 *898:10 0.00309526 +14 *658:11 *1170:9 0 +15 *658:11 *1298:9 0 +16 *654:8 *658:8 0.0411822 +17 *656:8 *658:8 0.000386373 +18 *657:8 *658:8 0.042805 +*RES +1 *2472:la_data_in_mprj[32] *658:7 47.5641 +2 *658:7 *658:8 462.708 +3 *658:8 *658:11 48.8953 +4 *658:11 *2478:la_input[32] 2.05183 +*END + +*D_NET *659 0.0984432 +*CONN +*I *2478:la_input[33] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[33] O *D mgmt_protect +*CAP +1 *2478:la_input[33] 0.000965545 +2 *2472:la_data_in_mprj[33] 0.00197888 +3 *659:16 0.00249044 +4 *659:8 0.00527387 +5 *659:7 0.00572785 +6 *2478:la_input[33] *1171:7 0 +7 *2478:la_input[33] *1299:7 0 +8 *659:7 *2472:la_data_out_mprj[33] 0 +9 *659:7 *2472:la_oenb_mprj[32] 0 +10 *659:8 *660:8 0.0398776 +11 *659:8 *661:8 0.038773 +12 *659:16 *907:10 6.41427e-05 +13 *659:16 *909:10 0 +14 *659:16 *915:9 0.000183244 +15 *659:16 *1172:7 0 +16 *659:16 *1299:7 0 +17 *636:16 *659:8 0 +18 *645:8 *659:8 0.00239925 +19 *657:8 *659:8 0.00070936 +*RES +1 *2472:la_data_in_mprj[33] *659:7 48.8099 +2 *659:7 *659:8 439.969 +3 *659:8 *659:16 46.3856 +4 *659:16 *2478:la_input[33] 23.1931 +*END + +*D_NET *660 0.097528 +*CONN +*I *2478:la_input[34] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[34] O *D mgmt_protect +*CAP +1 *2478:la_input[34] 0.000965545 +2 *2472:la_data_in_mprj[34] 0.00179063 +3 *660:16 0.0024501 +4 *660:8 0.00504417 +5 *660:7 0.00535025 +6 *2478:la_input[34] *1172:7 0 +7 *2478:la_input[34] *1300:9 0 +8 *660:7 *2472:la_data_out_mprj[34] 0.000445147 +9 *660:7 *2472:la_oenb_mprj[33] 0 +10 *660:7 *938:13 0 +11 *660:7 *939:11 0 +12 *660:8 *661:8 0.0003486 +13 *660:8 *670:10 9.10502e-05 +14 *660:16 *907:10 4.45957e-05 +15 *660:16 *915:10 0 +16 *660:16 *916:7 0 +17 *660:16 *1300:9 0 +18 *643:8 *660:8 0.00248882 +19 *657:8 *660:8 0.0382677 +20 *658:8 *660:8 0.000363723 +21 *659:8 *660:8 0.0398776 +*RES +1 *2472:la_data_in_mprj[34] *660:7 48.3946 +2 *660:7 *660:8 432.205 +3 *660:8 *660:16 43.4732 +4 *660:16 *2478:la_input[34] 23.1931 +*END + +*D_NET *661 0.0947283 +*CONN +*I *2478:la_input[35] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[35] O *D mgmt_protect +*CAP +1 *2478:la_input[35] 0.00206287 +2 *2472:la_data_in_mprj[35] 0.00179996 +3 *661:8 0.00600377 +4 *661:7 0.00574086 +5 *2478:la_input[35] *1173:9 0 +6 *661:7 *2472:la_data_out_mprj[35] 0 +7 *661:7 *2472:la_oenb_mprj[34] 0 +8 *661:7 *684:11 0.000569046 +9 *661:8 *662:8 0.037154 +10 *661:8 *670:10 0 +11 *636:12 *661:8 0 +12 *645:8 *661:8 0.00227617 +13 *659:8 *661:8 0.038773 +14 *660:8 *661:8 0.0003486 +*RES +1 *2472:la_data_in_mprj[35] *661:7 49.2251 +2 *661:7 *661:8 428.877 +3 *661:8 *2478:la_input[35] 47.6007 +*END + +*D_NET *662 0.0921201 +*CONN +*I *2478:la_input[36] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[36] O *D mgmt_protect +*CAP +1 *2478:la_input[36] 0.000967956 +2 *2472:la_data_in_mprj[36] 0.00145803 +3 *662:16 0.00248843 +4 *662:8 0.00497079 +5 *662:7 0.00490835 +6 *2478:la_input[36] *1302:9 0 +7 *662:7 *2472:la_data_out_mprj[36] 0.000458095 +8 *662:7 *2472:la_oenb_mprj[35] 0 +9 *662:7 *940:7 0.00151161 +10 *662:8 *663:8 0.00085425 +11 *662:8 *664:8 0.0352694 +12 *662:8 *670:10 0 +13 *662:16 *907:10 7.50226e-05 +14 *662:16 *917:10 0 +15 *662:16 *918:7 0.000228381 +16 *662:16 *1175:9 0 +17 *627:10 *662:8 0.00148438 +18 *636:12 *662:8 0 +19 *645:8 *662:8 0.000291404 +20 *661:8 *662:8 0.037154 +*RES +1 *2472:la_data_in_mprj[36] *662:7 49.6404 +2 *662:7 *662:8 403.92 +3 *662:8 *662:16 47.2189 +4 *662:16 *2478:la_input[36] 23.1931 +*END + +*D_NET *663 0.0883287 +*CONN +*I *2478:la_input[37] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[37] O *D mgmt_protect +*CAP +1 *2478:la_input[37] 0.00209961 +2 *2472:la_data_in_mprj[37] 0.00189613 +3 *663:8 0.00590263 +4 *663:7 0.00380302 +5 *663:5 0.00189613 +6 *2478:la_input[37] *1175:9 0 +7 *2478:la_input[37] *1303:10 0 +8 *663:5 *2472:la_data_out_mprj[37] 0 +9 *663:5 *2472:la_oenb_mprj[36] 0 +10 *663:5 *685:11 0.000373035 +11 *663:8 *664:8 0.0360618 +12 *663:8 *665:8 0.034114 +13 *616:13 *663:8 0.00108613 +14 *636:12 *663:8 0 +15 *645:8 *663:8 0.000241979 +16 *662:8 *663:8 0.00085425 +*RES +1 *2472:la_data_in_mprj[37] *663:5 45.9709 +2 *663:5 *663:7 4.5 +3 *663:7 *663:8 403.92 +4 *663:8 *2478:la_input[37] 48.0404 +*END + +*D_NET *664 0.0885058 +*CONN +*I *2478:la_input[38] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[38] O *D mgmt_protect +*CAP +1 *2478:la_input[38] 0.00213879 +2 *2472:la_data_in_mprj[38] 0.00158527 +3 *664:8 0.00555188 +4 *664:7 0.00341309 +5 *664:5 0.00158527 +6 *2478:la_input[38] *1176:7 0 +7 *2478:la_input[38] *1303:15 0 +8 *2478:la_input[38] *1304:9 0 +9 *664:5 *2472:la_data_out_mprj[38] 0.00070986 +10 *664:5 *2472:la_oenb_mprj[37] 0 +11 *664:5 *1185:15 0.000392459 +12 *664:8 *665:8 0.000348617 +13 *664:8 *670:10 0 +14 *627:10 *664:8 0.0011814 +15 *645:8 *664:8 0.000267904 +16 *662:8 *664:8 0.0352694 +17 *663:8 *664:8 0.0360618 +*RES +1 *2472:la_data_in_mprj[38] *664:5 45.5556 +2 *664:5 *664:7 4.5 +3 *664:7 *664:8 392.828 +4 *664:8 *2478:la_input[38] 48.4557 +*END + +*D_NET *665 0.0806904 +*CONN +*I *2478:la_input[39] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[39] O *D mgmt_protect +*CAP +1 *2478:la_input[39] 0.00210344 +2 *2472:la_data_in_mprj[39] 0.00207639 +3 *665:8 0.00582544 +4 *665:7 0.00372199 +5 *665:5 0.00207639 +6 *2478:la_input[39] *1177:9 0 +7 *2478:la_input[39] *1305:9 0 +8 *665:5 *2472:la_data_out_mprj[39] 0 +9 *665:5 *2472:la_oenb_mprj[38] 0 +10 *665:5 *941:7 0 +11 *665:5 *1177:17 0 +12 *665:8 *667:8 0.00361491 +13 *665:8 *920:16 0.0172541 +14 *616:13 *665:8 0.00103175 +15 *636:12 *665:8 0 +16 *638:8 *665:8 0.00839686 +17 *645:8 *665:8 0.000126544 +18 *663:8 *665:8 0.034114 +19 *664:8 *665:8 0.000348617 +*RES +1 *2472:la_data_in_mprj[39] *665:5 46.3861 +2 *665:5 *665:7 4.5 +3 *665:7 *665:8 380.627 +4 *665:8 *2478:la_input[39] 47.6252 +*END + +*D_NET *666 0.207298 +*CONN +*I *2478:la_input[3] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[3] O *D mgmt_protect +*CAP +1 *2478:la_input[3] 0.00100769 +2 *2472:la_data_in_mprj[3] 0.00206783 +3 *666:10 0.00100769 +4 *666:8 0.0079496 +5 *666:7 0.0100174 +6 *2478:la_input[3] *1553:27 0.00285028 +7 *666:7 *2472:la_data_out_mprj[3] 0 +8 *666:7 *2472:la_oenb_mprj[2] 0 +9 *666:7 *1182:7 0 +10 *666:8 *688:8 0.0826391 +11 *666:8 *872:10 0.00675766 +12 *666:8 *892:10 0.00564065 +13 *666:8 *897:10 0.000383642 +14 *666:8 *900:10 0.085656 +15 *113:20 *2478:la_input[3] 0.000431618 +16 *480:25 *2478:la_input[3] 0.000489405 +17 *485:41 *2478:la_input[3] 0 +18 *655:8 *666:8 0.000398957 +*RES +1 *2472:la_data_in_mprj[3] *666:7 43.3185 +2 *666:7 *666:8 110.808 +3 *666:8 *666:10 3.36879 +4 *666:10 *2478:la_input[3] 47.8761 +*END + +*D_NET *667 0.0745627 +*CONN +*I *2478:la_input[40] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[40] O *D mgmt_protect +*CAP +1 *2478:la_input[40] 0.00203548 +2 *2472:la_data_in_mprj[40] 0.00188574 +3 *667:8 0.00566692 +4 *667:7 0.00363144 +5 *667:5 0.00188574 +6 *2478:la_input[40] *909:15 0.000107579 +7 *2478:la_input[40] *1179:9 0 +8 *2478:la_input[40] *1307:9 0 +9 *667:5 *2472:la_data_out_mprj[40] 0.000571133 +10 *667:5 *2472:la_iena_mprj[40] 0 +11 *667:5 *2472:la_oenb_mprj[39] 0 +12 *667:5 *686:11 0 +13 *667:8 *672:8 0.0250891 +14 *667:8 *909:16 0.00412627 +15 *667:8 *920:16 0.0172583 +16 *616:13 *667:8 0.000260662 +17 *636:12 *667:8 2.41916e-05 +18 *638:8 *667:8 0.00840527 +19 *665:8 *667:8 0.00361491 +*RES +1 *2472:la_data_in_mprj[40] *667:5 47.2166 +2 *667:5 *667:7 4.5 +3 *667:7 *667:8 368.98 +4 *667:8 *2478:la_input[40] 46.7947 +*END + +*D_NET *668 0.0777365 +*CONN +*I *2478:la_input[41] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[41] O *D mgmt_protect +*CAP +1 *2478:la_input[41] 0.00203567 +2 *2472:la_data_in_mprj[41] 0.00189914 +3 *668:14 0.0030215 +4 *668:13 0.00141857 +5 *668:8 0.00278349 +6 *668:7 0.00424989 +7 *2478:la_input[41] *1308:12 0 +8 *668:7 *2472:la_data_out_mprj[41] 0 +9 *668:7 *942:7 0 +10 *668:7 *1307:23 0 +11 *668:8 *669:8 0.0245906 +12 *668:8 *670:10 0.0245948 +13 *668:8 *897:10 0.000241979 +14 *668:13 *2472:la_data_out_mprj[10] 8.62625e-06 +15 *668:14 *909:16 0.0087686 +16 *668:14 *1151:10 0.000253087 +17 *616:7 *668:13 0 +18 *636:8 *668:14 0.00387062 +*RES +1 *2472:la_data_in_mprj[41] *668:7 45.9031 +2 *668:7 *668:8 264.16 +3 *668:8 *668:13 16.2303 +4 *668:13 *668:14 93.3422 +5 *668:14 *2478:la_input[41] 45.5489 +*END + +*D_NET *669 0.0788729 +*CONN +*I *2478:la_input[42] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[42] O *D mgmt_protect +*CAP +1 *2478:la_input[42] 0.000104397 +2 *2472:la_data_in_mprj[42] 0.00148734 +3 *669:15 0.00248498 +4 *669:10 0.00238059 +5 *669:8 0.00303472 +6 *669:7 0.00452207 +7 *669:7 *2472:la_data_out_mprj[42] 0.000589004 +8 *669:7 *2472:la_iena_mprj[41] 0 +9 *669:7 *2472:la_oenb_mprj[41] 0 +10 *669:7 *687:11 0.000379451 +11 *669:8 *670:10 0.000392997 +12 *669:8 *671:8 0.0285494 +13 *669:8 *699:8 0.00317413 +14 *669:8 *872:16 0.00655097 +15 *669:8 *883:10 0.000127366 +16 *669:8 *897:10 0.000244978 +17 *669:15 *2472:la_data_out_mprj[2] 0.000251333 +18 *669:15 *924:15 0 +19 *669:15 *1181:12 0 +20 *669:15 *1309:12 0 +21 *655:7 *669:15 8.62625e-06 +22 *668:8 *669:8 0.0245906 +*RES +1 *2472:la_data_in_mprj[42] *669:7 45.4878 +2 *669:7 *669:8 343.468 +3 *669:8 *669:10 4.5 +4 *669:10 *669:15 48.499 +5 *669:15 *2478:la_input[42] 2.61365 +*END + +*D_NET *670 0.070405 +*CONN +*I *2478:la_input[43] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[43] O *D mgmt_protect +*CAP +1 *2478:la_input[43] 0.00222069 +2 *2472:la_data_in_mprj[43] 0.00163307 +3 *670:12 0.00222069 +4 *670:10 0.00450507 +5 *670:9 0.00613814 +6 *2478:la_input[43] *2472:la_data_out_mprj[4] 0.000690049 +7 *2478:la_input[43] *677:7 3.20069e-06 +8 *2478:la_input[43] *1182:7 0 +9 *2478:la_input[43] *1310:7 0 +10 *670:9 *2472:la_data_out_mprj[43] 0 +11 *670:9 *2472:la_oenb_mprj[42] 0 +12 *670:9 *943:7 0 +13 *670:9 *1195:15 0.000864827 +14 *670:9 *1196:15 0.000256938 +15 *670:10 *671:8 0.000159304 +16 *670:10 *872:16 0.00490087 +17 *670:10 *897:10 0.000528566 +18 *638:16 *2478:la_input[43] 0 +19 *656:8 *670:10 0.0208203 +20 *658:8 *670:10 0.000384441 +21 *660:8 *670:10 9.10502e-05 +22 *661:8 *670:10 0 +23 *662:8 *670:10 0 +24 *664:8 *670:10 0 +25 *668:8 *670:10 0.0245948 +26 *669:8 *670:10 0.000392997 +*RES +1 *2472:la_data_in_mprj[43] *670:9 48.1748 +2 *670:9 *670:10 332.376 +3 *670:10 *670:12 4.5 +4 *670:12 *2478:la_input[43] 47.6929 +*END + +*D_NET *671 0.0735311 +*CONN +*I *2478:la_input[44] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[44] O *D mgmt_protect +*CAP +1 *2478:la_input[44] 0.00217435 +2 *2472:la_data_in_mprj[44] 0.00160979 +3 *671:10 0.00217435 +4 *671:8 0.00305589 +5 *671:7 0.00466568 +6 *2478:la_input[44] *2472:la_data_out_mprj[6] 0.000145026 +7 *2478:la_input[44] *2472:la_iena_mprj[6] 0.000579101 +8 *2478:la_input[44] *1139:18 4.3116e-06 +9 *2478:la_input[44] *1183:9 0 +10 *2478:la_input[44] *1267:15 1.98891e-05 +11 *671:7 *2472:la_data_out_mprj[44] 0.000367669 +12 *671:7 *2472:la_iena_mprj[44] 0 +13 *671:7 *2472:la_oenb_mprj[43] 0 +14 *671:7 *1322:15 0.000150958 +15 *671:7 *1323:15 0 +16 *671:8 *673:8 0.000233938 +17 *671:8 *883:10 0.00376477 +18 *671:8 *892:14 2.50864e-05 +19 *671:8 *897:10 0.000118462 +20 *671:8 *1184:16 0.0257332 +21 *669:8 *671:8 0.0285494 +22 *670:10 *671:8 0.000159304 +*RES +1 *2472:la_data_in_mprj[44] *671:7 45.0726 +2 *671:7 *671:8 323.503 +3 *671:8 *671:10 4.5 +4 *671:10 *2478:la_input[44] 47.8151 +*END + +*D_NET *672 0.0614302 +*CONN +*I *2478:la_input[45] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[45] O *D mgmt_protect +*CAP +1 *2478:la_input[45] 0.00192654 +2 *2472:la_data_in_mprj[45] 0.00176973 +3 *672:8 0.00674221 +4 *672:7 0.00481567 +5 *672:5 0.00176973 +6 *2478:la_input[45] *2472:la_data_out_mprj[9] 0 +7 *2478:la_input[45] *2472:la_oenb_mprj[8] 0.000173926 +8 *2478:la_input[45] *1184:9 0 +9 *2478:la_input[45] *1312:9 0 +10 *672:5 *2472:la_data_out_mprj[45] 0 +11 *672:5 *2472:la_oenb_mprj[44] 0 +12 *672:5 *1199:15 0.00136581 +13 *672:8 *909:16 0.0156177 +14 *672:8 *915:16 0.00178054 +15 *672:8 *917:16 0.000266271 +16 *672:8 *1151:10 0.000112976 +17 *672:8 *1196:10 0 +18 *672:8 *1323:10 0 +19 *667:8 *672:8 0.0250891 +*RES +1 *2472:la_data_in_mprj[45] *672:5 47.6319 +2 *672:5 *672:7 4.5 +3 *672:7 *672:8 310.747 +4 *672:8 *2478:la_input[45] 46.3794 +*END + +*D_NET *673 0.0680631 +*CONN +*I *2478:la_input[46] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[46] O *D mgmt_protect +*CAP +1 *2478:la_input[46] 0.00244383 +2 *2472:la_data_in_mprj[46] 0.0015987 +3 *673:10 0.00244383 +4 *673:8 0.00289138 +5 *673:7 0.00449008 +6 *2478:la_input[46] *2472:la_data_out_mprj[11] 9.35753e-06 +7 *2478:la_input[46] *915:15 0 +8 *2478:la_input[46] *1184:15 0 +9 *2478:la_input[46] *1185:9 0 +10 *2478:la_input[46] *1313:9 0 +11 *673:7 *2472:la_data_out_mprj[46] 0.000370225 +12 *673:7 *2472:la_oenb_mprj[45] 0 +13 *673:7 *1318:15 0.000124484 +14 *673:7 *1327:15 0 +15 *673:8 *674:8 0.0259004 +16 *673:8 *892:14 0.00034957 +17 *673:8 *897:10 0.00011251 +18 *673:8 *1184:16 0.0268901 +19 *627:7 *2478:la_input[46] 0 +20 *640:8 *673:8 0.000204688 +21 *671:8 *673:8 0.000233938 +*RES +1 *2472:la_data_in_mprj[46] *673:7 44.2421 +2 *673:7 *673:8 299.1 +3 *673:8 *673:10 4.5 +4 *673:10 *2478:la_input[46] 49.7692 +*END + +*D_NET *674 0.0659774 +*CONN +*I *2478:la_input[47] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[47] O *D mgmt_protect +*CAP +1 *2478:la_input[47] 8.29983e-05 +2 *2472:la_data_in_mprj[47] 0.00157354 +3 *674:11 0.00230467 +4 *674:10 0.00222167 +5 *674:8 0.00291829 +6 *674:7 0.00449182 +7 *674:7 *2472:la_data_out_mprj[47] 0 +8 *674:7 *1313:13 0 +9 *674:7 *1315:18 0.000625622 +10 *674:7 *1329:15 0.000215788 +11 *674:8 *675:8 0.0247722 +12 *674:11 *2472:la_iena_mprj[13] 0.000206324 +13 *674:11 *2472:la_oenb_mprj[13] 0.000192538 +14 *674:11 *1186:7 0 +15 *674:11 *1314:7 0 +16 *640:8 *674:8 0.000471507 +17 *673:8 *674:8 0.0259004 +*RES +1 *2472:la_data_in_mprj[47] *674:7 43.8268 +2 *674:7 *674:8 286.899 +3 *674:8 *674:10 4.5 +4 *674:10 *674:11 48.1326 +5 *674:11 *2478:la_input[47] 2.05183 +*END + +*D_NET *675 0.0613414 +*CONN +*I *2478:la_input[48] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[48] O *D mgmt_protect +*CAP +1 *2478:la_input[48] 8.29983e-05 +2 *2472:la_data_in_mprj[48] 0.0016217 +3 *675:11 0.00220985 +4 *675:10 0.00212685 +5 *675:8 0.00307772 +6 *675:7 0.00469943 +7 *675:7 *2472:la_data_out_mprj[48] 0.000373236 +8 *675:7 *2472:la_oenb_mprj[47] 0 +9 *675:8 *676:8 0.000452929 +10 *675:8 *678:8 0.000840642 +11 *675:8 *679:8 0.019922 +12 *675:8 *925:16 0.000159221 +13 *675:11 *2472:la_oenb_mprj[15] 0.000103038 +14 *675:11 *917:15 0.000719833 +15 *675:11 *1187:9 0 +16 *675:11 *1315:9 0 +17 *640:8 *675:8 2.65831e-05 +18 *641:8 *675:8 0.000151406 +19 *642:8 *675:8 1.75155e-06 +20 *674:8 *675:8 0.0247722 +*RES +1 *2472:la_data_in_mprj[48] *675:7 43.4116 +2 *675:7 *675:8 275.807 +3 *675:8 *675:10 4.5 +4 *675:10 *675:11 48.5479 +5 *675:11 *2478:la_input[48] 2.05183 +*END + +*D_NET *676 0.0604006 +*CONN +*I *2478:la_input[49] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[49] O *D mgmt_protect +*CAP +1 *2478:la_input[49] 8.29983e-05 +2 *2472:la_data_in_mprj[49] 0.00167664 +3 *676:11 0.00240975 +4 *676:10 0.00232675 +5 *676:8 0.00259639 +6 *676:7 0.00427303 +7 *676:7 *2472:la_data_out_mprj[49] 0 +8 *676:7 *2472:la_oenb_mprj[48] 0 +9 *676:8 *678:8 0.0226427 +10 *676:8 *680:8 0.0188519 +11 *676:8 *925:16 0.0044495 +12 *676:8 *925:20 0.000519766 +13 *676:11 *2472:la_data_out_mprj[18] 0.000118323 +14 *676:11 *1188:7 0 +15 *676:11 *1316:7 0 +16 *675:8 *676:8 0.000452929 +*RES +1 *2472:la_data_in_mprj[49] *676:7 42.1658 +2 *676:7 *676:8 263.605 +3 *676:8 *676:10 4.5 +4 *676:10 *676:11 49.7936 +5 *676:11 *2478:la_input[49] 2.05183 +*END + +*D_NET *677 0.134649 +*CONN +*I *2478:la_input[4] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[4] O *D mgmt_protect +*CAP +1 *2478:la_input[4] 0.00139592 +2 *2472:la_data_in_mprj[4] 0.00191241 +3 *677:14 0.00946457 +4 *677:13 0.00849507 +5 *677:8 0.00703917 +6 *677:7 0.00852516 +7 *2478:la_input[4] *1189:9 0 +8 *2478:la_input[4] *1317:9 0 +9 *677:7 *2472:la_data_out_mprj[4] 0.000541451 +10 *677:7 *2472:la_oenb_mprj[3] 0 +11 *677:7 *1182:7 0 +12 *677:8 *861:10 0.0449551 +13 *677:8 *872:16 0.00303416 +14 *677:8 *897:10 0.00720221 +15 *677:13 *1282:7 0 +16 *677:14 *933:10 0 +17 *677:14 *955:10 0 +18 *677:14 *977:10 0.00110908 +19 *677:14 *1139:10 0.000427125 +20 *677:14 *1189:10 0.00125989 +21 *677:14 *1267:10 0.013081 +22 *677:14 *1361:10 3.40535e-05 +23 *2478:la_input[43] *677:7 3.20069e-06 +24 *605:14 *677:14 0 +25 *616:14 *677:14 0 +26 *642:11 *677:13 0.000317956 +27 *654:8 *677:8 0.000870853 +28 *655:8 *677:8 1.67988e-05 +29 *656:8 *677:8 0.0249642 +*RES +1 *2472:la_data_in_mprj[4] *677:7 46.3183 +2 *677:7 *677:8 504.858 +3 *677:8 *677:13 21.6286 +4 *677:13 *677:14 285.235 +5 *677:14 *2478:la_input[4] 39.7354 +*END + +*D_NET *678 0.0589467 +*CONN +*I *2478:la_input[50] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[50] O *D mgmt_protect +*CAP +1 *2478:la_input[50] 8.29983e-05 +2 *2472:la_data_in_mprj[50] 0.00150218 +3 *678:11 0.00195798 +4 *678:10 0.00187498 +5 *678:8 0.00235471 +6 *678:7 0.00385689 +7 *678:7 *2472:la_data_out_mprj[50] 0.000380675 +8 *678:7 *2472:la_iena_mprj[50] 0 +9 *678:7 *2472:la_oenb_mprj[49] 0 +10 *678:8 *679:8 0.0215661 +11 *678:8 *680:8 0.000381442 +12 *678:11 *2472:la_data_out_mprj[20] 0 +13 *678:11 *2472:la_iena_mprj[20] 0.000260423 +14 *678:11 *2472:la_oenb_mprj[20] 0.00124505 +15 *678:11 *902:13 0 +16 *678:11 *1318:9 0 +17 *675:8 *678:8 0.000840642 +18 *676:8 *678:8 0.0226427 +*RES +1 *2472:la_data_in_mprj[50] *678:7 42.5811 +2 *678:7 *678:8 252.513 +3 *678:8 *678:10 4.5 +4 *678:10 *678:11 49.3784 +5 *678:11 *2478:la_input[50] 2.05183 +*END + +*D_NET *679 0.0549208 +*CONN +*I *2478:la_input[51] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[51] O *D mgmt_protect +*CAP +1 *2478:la_input[51] 8.29983e-05 +2 *2472:la_data_in_mprj[51] 0.00164592 +3 *679:11 0.0021836 +4 *679:10 0.0021006 +5 *679:8 0.00259722 +6 *679:7 0.00424314 +7 *679:7 *2472:la_data_out_mprj[51] 0 +8 *679:7 *2472:la_iena_mprj[51] 0 +9 *679:7 *2472:la_oenb_mprj[50] 0 +10 *679:8 *680:8 0.000207893 +11 *679:11 *2472:la_data_out_mprj[23] 0.000371341 +12 *679:11 *2472:la_oenb_mprj[22] 0 +13 *679:11 *1191:9 0 +14 *679:11 *1319:7 0 +15 *675:8 *679:8 0.019922 +16 *678:8 *679:8 0.0215661 +*RES +1 *2472:la_data_in_mprj[51] *679:7 42.9963 +2 *679:7 *679:8 240.312 +3 *679:8 *679:10 4.5 +4 *679:10 *679:11 48.9631 +5 *679:11 *2478:la_input[51] 2.05183 +*END + +*D_NET *680 0.0483372 +*CONN +*I *2478:la_input[52] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[52] O *D mgmt_protect +*CAP +1 *2478:la_input[52] 8.29983e-05 +2 *2472:la_data_in_mprj[52] 0.00143476 +3 *680:11 0.00214404 +4 *680:10 0.00206104 +5 *680:8 0.00346997 +6 *680:7 0.00490473 +7 *680:7 *2472:la_data_out_mprj[52] 0.000336725 +8 *680:7 *2472:la_iena_mprj[51] 0 +9 *680:7 *2472:la_iena_mprj[52] 0 +10 *680:7 *2472:la_oenb_mprj[51] 0 +11 *680:8 *925:20 0.0134539 +12 *680:8 *926:26 0.000356178 +13 *680:11 *2472:la_data_out_mprj[25] 0.000651606 +14 *680:11 *1192:7 0 +15 *680:11 *1320:7 0 +16 *676:8 *680:8 0.0188519 +17 *678:8 *680:8 0.000381442 +18 *679:8 *680:8 0.000207893 +*RES +1 *2472:la_data_in_mprj[52] *680:7 41.7506 +2 *680:7 *680:8 229.22 +3 *680:8 *680:10 4.5 +4 *680:10 *680:11 50.2089 +5 *680:11 *2478:la_input[52] 2.05183 +*END + +*D_NET *681 0.051067 +*CONN +*I *2478:la_input[53] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[53] O *D mgmt_protect +*CAP +1 *2478:la_input[53] 3.62521e-05 +2 *2472:la_data_in_mprj[53] 0.000854545 +3 *681:11 0.00314389 +4 *681:10 0.00310763 +5 *681:8 0.00220531 +6 *681:7 0.00305985 +7 *681:7 *2472:la_data_out_mprj[53] 0 +8 *681:7 *2472:la_iena_mprj[53] 0 +9 *681:7 *2472:la_oenb_mprj[52] 0 +10 *681:8 *682:8 5.2472e-05 +11 *681:8 *934:10 0.0179958 +12 *681:8 *1192:10 0.0198137 +13 *681:8 *1198:16 0.000582801 +14 *681:8 *1316:12 0.000118134 +15 *681:8 *1320:10 9.65932e-05 +16 *681:11 *2472:la_data_out_mprj[28] 0 +17 *681:11 *923:15 0 +18 *681:11 *926:25 0 +19 *681:11 *1193:9 0 +20 *652:7 *681:11 0 +21 *653:7 *681:11 0 +*RES +1 *2472:la_data_in_mprj[53] *681:7 25.971 +2 *681:7 *681:8 218.128 +3 *681:8 *681:10 4.5 +4 *681:10 *681:11 65.9885 +5 *681:11 *2478:la_input[53] 0.928211 +*END + +*D_NET *682 0.0483186 +*CONN +*I *2478:la_input[54] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[54] O *D mgmt_protect +*CAP +1 *2478:la_input[54] 8.29983e-05 +2 *2472:la_data_in_mprj[54] 0.000652057 +3 *682:11 0.00299147 +4 *682:10 0.00290848 +5 *682:8 0.00242234 +6 *682:7 0.0030744 +7 *682:7 *2472:la_data_out_mprj[54] 0.000332413 +8 *682:7 *2472:la_iena_mprj[54] 0 +9 *682:7 *2472:la_oenb_mprj[53] 0 +10 *682:8 *683:8 0.0171784 +11 *682:8 *1169:10 0 +12 *682:8 *1198:16 0 +13 *682:8 *1297:10 0 +14 *682:8 *1320:10 0.0179829 +15 *682:11 *2472:la_data_out_mprj[30] 0.000199327 +16 *682:11 *2472:la_iena_mprj[30] 0 +17 *682:11 *1179:15 0 +18 *682:11 *1194:9 0 +19 *682:11 *1322:9 0 +20 *656:7 *682:11 0.000441347 +21 *681:8 *682:8 5.2472e-05 +*RES +1 *2472:la_data_in_mprj[54] *682:7 24.7252 +2 *682:7 *682:8 205.372 +3 *682:8 *682:10 4.5 +4 *682:10 *682:11 67.2342 +5 *682:11 *2478:la_input[54] 2.05183 +*END + +*D_NET *683 0.0464953 +*CONN +*I *2478:la_input[55] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[55] O *D mgmt_protect +*CAP +1 *2478:la_input[55] 8.29983e-05 +2 *2472:la_data_in_mprj[55] 0.000762974 +3 *683:11 0.00298636 +4 *683:10 0.00290337 +5 *683:8 0.00209164 +6 *683:7 0.00285462 +7 *683:7 *2472:la_data_out_mprj[55] 0 +8 *683:7 *2472:la_oenb_mprj[54] 0 +9 *683:8 *937:16 4.97617e-05 +10 *683:8 *939:14 0.017098 +11 *683:8 *1198:16 0 +12 *683:11 *2472:la_oenb_mprj[32] 0 +13 *683:11 *1170:13 0.000487207 +14 *683:11 *1195:9 0 +15 *683:11 *1323:9 0 +16 *682:8 *683:8 0.0171784 +*RES +1 *2472:la_data_in_mprj[55] *683:7 24.31 +2 *683:7 *683:8 193.725 +3 *683:8 *683:10 4.5 +4 *683:10 *683:11 67.6495 +5 *683:11 *2478:la_input[55] 2.05183 +*END + +*D_NET *684 0.0422836 +*CONN +*I *2478:la_input[56] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[56] O *D mgmt_protect +*CAP +1 *2478:la_input[56] 8.29983e-05 +2 *2472:la_data_in_mprj[56] 0.000546757 +3 *684:11 0.00269761 +4 *684:10 0.00261461 +5 *684:8 0.00205994 +6 *684:7 0.0026067 +7 *684:7 *2472:la_data_out_mprj[56] 0.000328102 +8 *684:7 *2472:la_iena_mprj[56] 0 +9 *684:7 *2472:la_oenb_mprj[55] 0 +10 *684:8 *685:8 0.0150553 +11 *684:8 *935:16 0.0130758 +12 *684:8 *936:16 0.000339591 +13 *684:8 *937:16 0.000786331 +14 *684:8 *938:16 0.000394687 +15 *684:8 *940:10 0.000242106 +16 *684:11 *2472:la_data_out_mprj[35] 0 +17 *684:11 *2472:la_oenb_mprj[34] 0.000884009 +18 *684:11 *938:13 0 +19 *684:11 *1173:25 0 +20 *684:11 *1196:9 0 +21 *684:11 *1324:9 0 +22 *661:7 *684:11 0.000569046 +*RES +1 *2472:la_data_in_mprj[56] *684:7 22.649 +2 *684:7 *684:8 182.079 +3 *684:8 *684:10 4.5 +4 *684:10 *684:11 69.3105 +5 *684:11 *2478:la_input[56] 2.05183 +*END + +*D_NET *685 0.04144 +*CONN +*I *2478:la_input[57] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[57] O *D mgmt_protect +*CAP +1 *2478:la_input[57] 8.29983e-05 +2 *2472:la_data_in_mprj[57] 0.000691874 +3 *685:11 0.00242038 +4 *685:10 0.00233738 +5 *685:8 0.00196234 +6 *685:7 0.00265421 +7 *685:7 *2472:la_data_out_mprj[57] 0 +8 *685:7 *2472:la_oenb_mprj[56] 0 +9 *685:8 *937:16 0.0128319 +10 *685:8 *939:14 0.000698398 +11 *685:8 *940:10 1.08799e-05 +12 *685:8 *1198:16 0 +13 *685:11 *2472:la_data_out_mprj[37] 0.00232141 +14 *685:11 *2472:la_oenb_mprj[36] 0 +15 *685:11 *1197:9 0 +16 *685:11 *1325:9 0 +17 *663:5 *685:11 0.000373035 +18 *684:8 *685:8 0.0150553 +*RES +1 *2472:la_data_in_mprj[57] *685:7 23.0642 +2 *685:7 *685:8 170.432 +3 *685:8 *685:10 4.5 +4 *685:10 *685:11 68.8952 +5 *685:11 *2478:la_input[57] 2.05183 +*END + +*D_NET *686 0.0394872 +*CONN +*I *2478:la_input[58] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[58] O *D mgmt_protect +*CAP +1 *2478:la_input[58] 8.29983e-05 +2 *2472:la_data_in_mprj[58] 0.000742585 +3 *686:11 0.00223438 +4 *686:10 0.00215138 +5 *686:8 0.00148287 +6 *686:7 0.00222546 +7 *686:7 *2472:la_data_out_mprj[58] 0.000483545 +8 *686:7 *2472:la_oenb_mprj[57] 0 +9 *686:8 *942:10 0.0139676 +10 *686:8 *943:10 0.01205 +11 *686:8 *1182:14 0.000459395 +12 *686:8 *1193:16 0 +13 *686:8 *1308:22 0.00176605 +14 *686:11 *2472:la_oenb_mprj[39] 8.08916e-05 +15 *686:11 *1177:17 0 +16 *686:11 *1193:15 4.87343e-05 +17 *686:11 *1194:15 0.00171137 +18 *686:11 *1198:9 0 +19 *686:11 *1326:9 0 +20 *667:5 *686:11 0 +*RES +1 *2472:la_data_in_mprj[58] *686:7 29.293 +2 *686:7 *686:8 158.785 +3 *686:8 *686:10 4.5 +4 *686:10 *686:11 62.6664 +5 *686:11 *2478:la_input[58] 2.05183 +*END + +*D_NET *687 0.0340939 +*CONN +*I *2478:la_input[59] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[59] O *D mgmt_protect +*CAP +1 *2478:la_input[59] 8.29983e-05 +2 *2472:la_data_in_mprj[59] 0.000967466 +3 *687:11 0.00248173 +4 *687:10 0.00239873 +5 *687:8 0.001611 +6 *687:7 0.00257846 +7 *687:7 *2472:la_data_out_mprj[59] 0 +8 *687:7 *2472:la_oenb_mprj[58] 0 +9 *687:8 *942:10 0.0131903 +10 *687:8 *943:10 0.000159317 +11 *687:8 *1193:16 0.000100757 +12 *687:8 *1195:16 4.41269e-05 +13 *687:8 *1196:16 0.00123909 +14 *687:8 *1197:22 0.00886047 +15 *687:8 *1326:16 0 +16 *687:11 *2472:la_data_out_mprj[41] 0 +17 *687:11 *2472:la_iena_mprj[41] 0 +18 *687:11 *1199:9 0 +19 *687:11 *1327:9 0 +20 *669:7 *687:11 0.000379451 +*RES +1 *2472:la_data_in_mprj[59] *687:7 30.1235 +2 *687:7 *687:8 147.139 +3 *687:8 *687:10 4.5 +4 *687:10 *687:11 61.8359 +5 *687:11 *2478:la_input[59] 2.05183 +*END + +*D_NET *688 0.198559 +*CONN +*I *2478:la_input[5] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[5] O *D mgmt_protect +*CAP +1 *2478:la_input[5] 8.29983e-05 +2 *2472:la_data_in_mprj[5] 0.0020116 +3 *688:11 0.00199414 +4 *688:10 0.00191114 +5 *688:8 0.00786456 +6 *688:7 0.00987617 +7 *688:7 *2472:la_data_out_mprj[5] 0 +8 *688:7 *2472:la_oenb_mprj[4] 0 +9 *688:8 *710:8 0.0800768 +10 *688:8 *892:10 0.000133887 +11 *688:8 *897:10 0.000315225 +12 *688:11 *1200:7 0 +13 *688:11 *1328:7 0 +14 *637:18 *688:8 0.00613545 +15 *638:20 *688:8 0.00551756 +16 *666:8 *688:8 0.0826391 +*RES +1 *2472:la_data_in_mprj[5] *688:7 42.488 +2 *688:7 *688:8 107.289 +3 *688:8 *688:10 3.36879 +4 *688:10 *688:11 48.3402 +5 *688:11 *2478:la_input[5] 2.05183 +*END + +*D_NET *689 0.0270566 +*CONN +*I *2478:la_input[60] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[60] O *D mgmt_protect +*CAP +1 *2478:la_input[60] 0.00112029 +2 *2472:la_data_in_mprj[60] 0.00186776 +3 *689:8 0.00320786 +4 *689:7 0.00208757 +5 *689:5 0.00186776 +6 *2478:la_input[60] *1198:15 0 +7 *2478:la_input[60] *1201:9 0 +8 *2478:la_input[60] *1329:9 0 +9 *689:5 *2472:la_data_out_mprj[60] 0.0011176 +10 *689:5 *2472:la_oenb_mprj[59] 0 +11 *689:5 *2478:la_input[67] 0 +12 *689:8 *2478:la_input[66] 0.00133965 +13 *689:8 *692:8 0.000120974 +14 *689:8 *1197:10 0 +15 *689:8 *1201:10 0 +16 *689:8 *1202:10 0.0114161 +17 *689:8 *1326:10 0.00049599 +18 *689:8 *1329:12 6.05863e-05 +19 *689:8 *1332:10 0.00235447 +*RES +1 *2472:la_data_in_mprj[60] *689:5 61.3352 +2 *689:5 *689:7 4.5 +3 *689:7 *689:8 135.492 +4 *689:8 *2478:la_input[60] 32.6761 +*END + +*D_NET *690 0.0262607 +*CONN +*I *2478:la_input[61] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[61] O *D mgmt_protect +*CAP +1 *2478:la_input[61] 0.00117661 +2 *2472:la_data_in_mprj[61] 0.00215684 +3 *690:8 0.00331798 +4 *690:7 0.00214137 +5 *690:5 0.00215684 +6 *2478:la_input[61] *1202:9 0 +7 *2478:la_input[61] *1326:15 0.000279872 +8 *2478:la_input[61] *1327:15 0 +9 *2478:la_input[61] *1330:9 0 +10 *690:5 *2472:la_data_out_mprj[61] 0 +11 *690:5 *2472:la_iena_mprj[60] 0 +12 *690:5 *2472:la_iena_mprj[61] 0 +13 *690:5 *2472:la_oenb_mprj[60] 0 +14 *690:5 *2478:la_input[67] 0 +15 *690:8 *1201:10 0.0112932 +16 *690:8 *1202:10 0 +17 *690:8 *1205:10 0 +18 *690:8 *1333:10 0.000180711 +19 *690:8 *1334:10 0.00355727 +*RES +1 *2472:la_data_in_mprj[61] *690:5 58.0132 +2 *690:5 *690:7 4.5 +3 *690:7 *690:8 123.291 +4 *690:8 *2478:la_input[61] 35.9981 +*END + +*D_NET *691 0.0263273 +*CONN +*I *2478:la_input[62] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[62] O *D mgmt_protect +*CAP +1 *2478:la_input[62] 0.00129554 +2 *2472:la_data_in_mprj[62] 0.00160002 +3 *691:8 0.00233801 +4 *691:7 0.00104247 +5 *691:5 0.00160002 +6 *2478:la_input[62] *1203:9 0 +7 *2478:la_input[62] *1331:9 0 +8 *691:5 *2472:la_data_out_mprj[62] 0.00111329 +9 *691:5 *2472:la_iena_mprj[62] 0 +10 *691:5 *2472:la_oenb_mprj[61] 0 +11 *691:8 *694:12 0.00486563 +12 *691:8 *1201:10 0.00113384 +13 *691:8 *1203:10 0.0106775 +14 *691:8 *1333:10 0.000661063 +*RES +1 *2472:la_data_in_mprj[62] *691:5 56.3522 +2 *691:5 *691:7 4.5 +3 *691:7 *691:8 112.199 +4 *691:8 *2478:la_input[62] 37.6591 +*END + +*D_NET *692 0.0261399 +*CONN +*I *2478:la_input[63] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[63] O *D mgmt_protect +*CAP +1 *2478:la_input[63] 0.00104917 +2 *2472:la_data_in_mprj[63] 0.0023321 +3 *692:8 0.00189602 +4 *692:7 0.000846845 +5 *692:5 0.0023321 +6 *2478:la_input[63] *1204:9 0 +7 *2478:la_input[63] *1332:9 0 +8 *692:5 *2472:la_data_out_mprj[63] 0 +9 *692:5 *2472:la_iena_mprj[62] 0 +10 *692:5 *2472:la_oenb_mprj[62] 0 +11 *692:5 *1209:9 0 +12 *692:8 *948:10 0.00854448 +13 *692:8 *1332:10 0.00901823 +14 *689:8 *692:8 0.000120974 +*RES +1 *2472:la_data_in_mprj[63] *692:5 62.5809 +2 *692:5 *692:7 4.5 +3 *692:7 *692:8 99.9974 +4 *692:8 *2478:la_input[63] 31.4303 +*END + +*D_NET *693 0.0213472 +*CONN +*I *2478:la_input[64] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[64] O *D mgmt_protect +*CAP +1 *2478:la_input[64] 0.00100536 +2 *2472:la_data_in_mprj[64] 0.00184299 +3 *693:8 0.00238223 +4 *693:7 0.00137687 +5 *693:5 0.00184299 +6 *2478:la_input[64] *1205:9 0 +7 *2478:la_input[64] *1333:9 0 +8 *693:5 *2472:la_data_out_mprj[64] 0.00128143 +9 *693:5 *2472:la_oenb_mprj[63] 0 +10 *693:5 *1337:9 0 +11 *693:8 *948:10 0.000395432 +12 *693:8 *949:10 0.00753767 +13 *693:8 *951:12 0.00359749 +14 *693:8 *1335:13 8.47539e-05 +*RES +1 *2472:la_data_in_mprj[64] *693:5 63.8267 +2 *693:5 *693:7 4.5 +3 *693:7 *693:8 88.9054 +4 *693:8 *2478:la_input[64] 30.1846 +*END + +*D_NET *694 0.0203019 +*CONN +*I *2478:la_input[65] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[65] O *D mgmt_protect +*CAP +1 *2478:la_input[65] 0.00132874 +2 *2472:la_data_in_mprj[65] 0.00201996 +3 *694:12 0.00169372 +4 *694:10 0.000921928 +5 *694:5 0.00257691 +6 *2478:la_input[65] *1206:9 0 +7 *2478:la_input[65] *1334:9 0 +8 *694:5 *2472:la_data_out_mprj[65] 0 +9 *694:5 *2472:la_oenb_mprj[64] 0 +10 *694:10 *1204:10 0.000108945 +11 *694:10 *1206:10 0 +12 *694:10 *1331:10 4.66876e-05 +13 *694:10 *1333:10 0.000235491 +14 *694:10 *1337:12 0.00104188 +15 *694:12 *1203:10 0.000169038 +16 *694:12 *1331:10 2.52287e-06 +17 *694:12 *1333:10 0.00529049 +18 *691:8 *694:12 0.00486563 +*RES +1 *2472:la_data_in_mprj[65] *694:5 55.9369 +2 *694:5 *694:10 26.7324 +3 *694:10 *694:12 55.6292 +4 *694:12 *2478:la_input[65] 37.2439 +*END + +*D_NET *695 0.0187923 +*CONN +*I *2478:la_input[66] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[66] O *D mgmt_protect +*CAP +1 *2478:la_input[66] 0.00121944 +2 *2472:la_data_in_mprj[66] 0.00170223 +3 *695:8 0.00167927 +4 *695:7 0.000459829 +5 *695:5 0.00170223 +6 *2478:la_input[66] *1202:10 1.67988e-05 +7 *2478:la_input[66] *1207:9 0 +8 *2478:la_input[66] *1332:10 0.00139276 +9 *2478:la_input[66] *1335:9 0 +10 *695:5 *2472:la_data_out_mprj[66] 0.00126906 +11 *695:5 *2472:la_oenb_mprj[65] 0 +12 *695:8 *1202:10 0.00117808 +13 *695:8 *1205:10 0.00105799 +14 *695:8 *1208:10 0.00473362 +15 *695:8 *1209:10 9.24467e-05 +16 *695:8 *1210:12 0.000910579 +17 *695:8 *1332:10 3.83172e-05 +18 *689:8 *2478:la_input[66] 0.00133965 +*RES +1 *2472:la_data_in_mprj[66] *695:5 61.3352 +2 *695:5 *695:7 4.5 +3 *695:7 *695:8 51.1923 +4 *695:8 *2478:la_input[66] 47.428 +*END + +*D_NET *696 0.0113514 +*CONN +*I *2478:la_input[67] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[67] O *D mgmt_protect +*CAP +1 *2478:la_input[67] 0.00153806 +2 *2472:la_data_in_mprj[67] 0.00178726 +3 *696:8 0.00379158 +4 *696:7 0.00225352 +5 *696:5 0.00178726 +6 *2478:la_input[67] *2472:la_iena_mprj[60] 0.000193765 +7 *2478:la_input[67] *1208:9 0 +8 *696:5 *2472:la_data_out_mprj[67] 0 +9 *696:5 *2472:la_oenb_mprj[66] 0 +10 *696:8 *1206:10 0 +11 *689:5 *2478:la_input[67] 0 +12 *690:5 *2478:la_input[67] 0 +*RES +1 *2472:la_data_in_mprj[67] *696:5 49.7081 +2 *696:5 *696:7 4.5 +3 *696:7 *696:8 55.0746 +4 *696:8 *2478:la_input[67] 42.6177 +*END + +*D_NET *697 0.0146365 +*CONN +*I *2478:la_input[68] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[68] O *D mgmt_protect +*CAP +1 *2478:la_input[68] 0.000996632 +2 *2472:la_data_in_mprj[68] 0.0016216 +3 *697:16 0.00120401 +4 *697:13 0.000764503 +5 *697:5 0.00217872 +6 *2478:la_input[68] *1209:9 0 +7 *2478:la_input[68] *1337:9 0 +8 *697:5 *2472:la_data_out_mprj[68] 0.00125177 +9 *697:5 *2472:la_oenb_mprj[67] 0 +10 *697:13 *2472:la_data_out_mprj[66] 0 +11 *697:13 *2472:la_oenb_mprj[66] 0 +12 *697:13 *954:9 1.77537e-06 +13 *697:13 *1207:10 5.04829e-06 +14 *697:13 *1209:10 0.00126077 +15 *697:13 *1337:12 0 +16 *697:16 *951:12 0.000749025 +17 *697:16 *951:16 1.41689e-05 +18 *697:16 *1335:18 0.00190029 +19 *697:16 *1336:10 0.00268822 +*RES +1 *2472:la_data_in_mprj[68] *697:5 59.6742 +2 *697:5 *697:13 32.1333 +3 *697:13 *697:16 32.9536 +4 *697:16 *2478:la_input[68] 24.8541 +*END + +*D_NET *698 0.0126227 +*CONN +*I *2478:la_input[69] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[69] O *D mgmt_protect +*CAP +1 *2478:la_input[69] 0.000953759 +2 *2472:la_data_in_mprj[69] 0.00189359 +3 *698:10 0.00144022 +4 *698:5 0.00238005 +5 *2478:la_input[69] *1210:9 0 +6 *2478:la_input[69] *1338:9 0 +7 *698:5 *2472:la_data_out_mprj[69] 0 +8 *698:5 *2472:la_iena_mprj[68] 0 +9 *698:5 *2472:la_oenb_mprj[68] 0 +10 *698:5 *956:7 0.00224262 +11 *698:5 *1340:10 0 +12 *698:10 *952:10 0.00142877 +13 *698:10 *954:12 0.000438797 +14 *698:10 *1336:10 0.00184493 +*RES +1 *2472:la_data_in_mprj[69] *698:5 65.4877 +2 *698:5 *698:10 39.1174 +3 *698:10 *2478:la_input[69] 24.0236 +*END + +*D_NET *699 0.163498 +*CONN +*I *2478:la_input[6] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[6] O *D mgmt_protect +*CAP +1 *2478:la_input[6] 0.00194479 +2 *2472:la_data_in_mprj[6] 0.00182386 +3 *699:10 0.00194479 +4 *699:8 0.00638598 +5 *699:7 0.00820984 +6 *2478:la_input[6] *1211:9 0 +7 *2478:la_input[6] *1339:9 0 +8 *699:7 *2472:la_data_out_mprj[6] 0.000539293 +9 *699:7 *2472:la_iena_mprj[5] 0 +10 *699:7 *2472:la_oenb_mprj[5] 0 +11 *699:8 *710:8 0.000317418 +12 *699:8 *872:10 0.000861794 +13 *699:8 *872:16 1.41689e-05 +14 *699:8 *883:10 0.0622378 +15 *699:8 *900:10 0.0064111 +16 *655:8 *699:8 0.0696327 +17 *669:8 *699:8 0.00317413 +*RES +1 *2472:la_data_in_mprj[6] *699:7 45.0726 +2 *699:7 *699:8 766.631 +3 *699:8 *699:10 4.5 +4 *699:10 *2478:la_input[6] 48.9387 +*END + +*D_NET *700 0.00996327 +*CONN +*I *2478:la_input[70] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[70] O *D mgmt_protect +*CAP +1 *2478:la_input[70] 0.00103915 +2 *2472:la_data_in_mprj[70] 0.00201707 +3 *700:10 0.00123049 +4 *700:5 0.00220841 +5 *2478:la_input[70] *2472:la_oenb_mprj[67] 5.54078e-05 +6 *2478:la_input[70] *1212:9 0 +7 *2478:la_input[70] *1340:10 0 +8 *700:5 *2472:la_data_out_mprj[70] 0.000604798 +9 *700:5 *2472:la_iena_mprj[70] 0 +10 *700:5 *2472:la_oenb_mprj[69] 0 +11 *700:5 *2478:la_input[71] 1.77537e-06 +12 *700:10 *953:16 0.00027329 +13 *700:10 *954:12 1.49927e-05 +14 *700:10 *1212:12 0.00173451 +15 *700:10 *1213:10 0.00033061 +16 *700:10 *1340:10 0.000452771 +*RES +1 *2472:la_data_in_mprj[70] *700:5 62.9962 +2 *700:5 *700:10 27.4707 +3 *700:10 *2478:la_input[70] 26.5151 +*END + +*D_NET *701 0.007914 +*CONN +*I *2478:la_input[71] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[71] O *D mgmt_protect +*CAP +1 *2478:la_input[71] 0.00118312 +2 *2472:la_data_in_mprj[71] 0.0018442 +3 *701:5 0.00302732 +4 *2478:la_input[71] *1212:12 0.000224395 +5 *2478:la_input[71] *1213:10 0.000636352 +6 *2478:la_input[71] *1341:7 0 +7 *701:5 *2472:la_data_out_mprj[71] 0 +8 *701:5 *2472:la_oenb_mprj[70] 0 +9 *701:5 *1341:7 0.00099685 +10 *700:5 *2478:la_input[71] 1.77537e-06 +*RES +1 *2472:la_data_in_mprj[71] *701:5 62.9962 +2 *701:5 *2478:la_input[71] 42.3391 +*END + +*D_NET *702 0.00769864 +*CONN +*I *2478:la_input[72] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[72] O *D mgmt_protect +*CAP +1 *2478:la_input[72] 3.626e-05 +2 *2472:la_data_in_mprj[72] 0.000913551 +3 *702:11 0.00177655 +4 *702:9 0.00265385 +5 *702:9 *2472:la_data_out_mprj[72] 0.00165986 +6 *702:9 *2472:la_iena_mprj[71] 0 +7 *702:9 *2472:la_iena_mprj[72] 0 +8 *702:9 *2472:la_oenb_mprj[71] 0 +9 *702:11 *2472:la_iena_mprj[72] 0.000658569 +10 *702:11 *2472:la_oenb_mprj[72] 0 +11 *702:11 *1214:12 0 +*RES +1 *2472:la_data_in_mprj[72] *702:9 35.3819 +2 *702:9 *702:11 54.6667 +3 *702:11 *2478:la_input[72] 0.928211 +*END + +*D_NET *703 0.00817763 +*CONN +*I *2478:la_input[73] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[73] O *D mgmt_protect +*CAP +1 *2478:la_input[73] 8.30061e-05 +2 *2472:la_data_in_mprj[73] 0.00097702 +3 *703:11 0.00262028 +4 *703:10 0.0035143 +5 *703:10 *2472:la_data_out_mprj[73] 0.000180727 +6 *703:10 *2472:la_oenb_mprj[72] 0 +7 *703:10 *2472:la_oenb_mprj[73] 0.000579046 +8 *703:11 *2472:la_data_out_mprj[74] 0 +9 *703:11 *704:7 0 +10 *703:11 *705:7 0 +11 *703:11 *1215:7 0 +12 *703:11 *1216:13 0.000223258 +13 *703:11 *1343:7 0 +*RES +1 *2472:la_data_in_mprj[73] *703:10 37.9974 +2 *703:10 *703:11 71.3867 +3 *703:11 *2478:la_input[73] 2.05183 +*END + +*D_NET *704 0.0103159 +*CONN +*I *2478:la_input[74] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[74] O *D mgmt_protect +*CAP +1 *2478:la_input[74] 8.30061e-05 +2 *2472:la_data_in_mprj[74] 0.00088765 +3 *704:11 0.00219344 +4 *704:10 0.00262186 +5 *704:7 0.00139908 +6 *704:7 *2472:la_data_out_mprj[74] 0.000585287 +7 *704:7 *2472:la_iena_mprj[73] 0 +8 *704:7 *2472:la_oenb_mprj[73] 0 +9 *704:10 *705:10 0.00151339 +10 *704:10 *960:16 0.000115035 +11 *704:10 *1217:12 1.08524e-05 +12 *704:10 *1345:12 0.000897691 +13 *704:11 *960:16 8.62625e-06 +14 *704:11 *1216:7 0 +15 *704:11 *1344:7 0 +16 *703:11 *704:7 0 +*RES +1 *2472:la_data_in_mprj[74] *704:7 35.1065 +2 *704:7 *704:10 29.0714 +3 *704:10 *704:11 56.8529 +4 *704:11 *2478:la_input[74] 2.05183 +*END + +*D_NET *705 0.0136768 +*CONN +*I *2478:la_input[75] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[75] O *D mgmt_protect +*CAP +1 *2478:la_input[75] 8.30061e-05 +2 *2472:la_data_in_mprj[75] 0.000994894 +3 *705:11 0.00220685 +4 *705:10 0.00245043 +5 *705:7 0.00132148 +6 *705:7 *2472:la_data_out_mprj[75] 0 +7 *705:7 *2472:la_oenb_mprj[74] 0 +8 *705:7 *1216:13 0 +9 *705:7 *1343:7 0.000402434 +10 *705:10 *960:16 0.00100354 +11 *705:10 *961:10 0.00325949 +12 *705:10 *1345:12 0.00044131 +13 *705:11 *1217:7 0 +14 *703:11 *705:7 0 +15 *704:10 *705:10 0.00151339 +*RES +1 *2472:la_data_in_mprj[75] *705:7 34.6913 +2 *705:7 *705:10 41.2726 +3 *705:10 *705:11 57.2682 +4 *705:11 *2478:la_input[75] 2.05183 +*END + +*D_NET *706 0.0132947 +*CONN +*I *2478:la_input[76] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[76] O *D mgmt_protect +*CAP +1 *2478:la_input[76] 8.30061e-05 +2 *2472:la_data_in_mprj[76] 0.000911888 +3 *706:11 0.00222242 +4 *706:10 0.00213941 +5 *706:8 0.000863643 +6 *706:7 0.00177553 +7 *706:7 *2472:la_data_out_mprj[76] 0.000424927 +8 *706:7 *2472:la_iena_mprj[76] 0 +9 *706:7 *2472:la_oenb_mprj[75] 0 +10 *706:7 *1216:7 0 +11 *706:8 *707:8 0.000168238 +12 *706:8 *711:8 0.000339355 +13 *706:8 *960:16 0.000738369 +14 *706:8 *961:10 0.00359748 +15 *706:8 *1218:12 3.04269e-05 +16 *706:11 *1218:7 0 +17 *706:11 *1346:7 0 +*RES +1 *2472:la_data_in_mprj[76] *706:7 33.8608 +2 *706:7 *706:8 47.8647 +3 *706:8 *706:10 4.5 +4 *706:10 *706:11 58.0987 +5 *706:11 *2478:la_input[76] 2.05183 +*END + +*D_NET *707 0.0162367 +*CONN +*I *2478:la_input[77] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[77] O *D mgmt_protect +*CAP +1 *2478:la_input[77] 8.30061e-05 +2 *2472:la_data_in_mprj[77] 0.00101561 +3 *707:11 0.00232713 +4 *707:10 0.00224412 +5 *707:8 0.000799955 +6 *707:7 0.00181556 +7 *707:7 *2472:la_data_out_mprj[77] 0 +8 *707:7 *2472:la_iena_mprj[76] 0 +9 *707:7 *2472:la_oenb_mprj[76] 0 +10 *707:7 *1344:7 0 +11 *707:8 *711:8 0.000743683 +12 *707:8 *713:8 0.00156231 +13 *707:8 *963:10 0.00547712 +14 *707:8 *1346:10 0 +15 *707:11 *2472:la_data_out_mprj[84] 0 +16 *707:11 *1219:7 0 +17 *707:11 *1347:7 0 +18 *706:8 *707:8 0.000168238 +*RES +1 *2472:la_data_in_mprj[77] *707:7 31.7845 +2 *707:7 *707:8 60.066 +3 *707:8 *707:10 4.5 +4 *707:10 *707:11 60.1749 +5 *707:11 *2478:la_input[77] 2.05183 +*END + +*D_NET *708 0.0223757 +*CONN +*I *2478:la_input[78] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[78] O *D mgmt_protect +*CAP +1 *2478:la_input[78] 8.30061e-05 +2 *2472:la_data_in_mprj[78] 0.000479125 +3 *708:11 0.00190314 +4 *708:10 0.00182013 +5 *708:8 0.000888038 +6 *708:7 0.00136716 +7 *708:7 *2472:la_data_out_mprj[78] 0.000328102 +8 *708:7 *2472:la_oenb_mprj[77] 0 +9 *708:8 *1219:10 0 +10 *708:8 *1220:10 0.00587929 +11 *708:8 *1348:10 0.00602151 +12 *708:11 *2472:la_data_out_mprj[86] 0.00273113 +13 *708:11 *2472:la_iena_mprj[86] 0.000875045 +14 *708:11 *1220:7 0 +15 *708:11 *1348:7 0 +*RES +1 *2472:la_data_in_mprj[78] *708:7 20.988 +2 *708:7 *708:8 71.1581 +3 *708:8 *708:10 4.5 +4 *708:10 *708:11 70.9715 +5 *708:11 *2478:la_input[78] 2.05183 +*END + +*D_NET *709 0.0228045 +*CONN +*I *2478:la_input[79] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[79] O *D mgmt_protect +*CAP +1 *2478:la_input[79] 8.30061e-05 +2 *2472:la_data_in_mprj[79] 0.000712347 +3 *709:11 0.00250335 +4 *709:10 0.00242035 +5 *709:8 0.000974774 +6 *709:7 0.00168712 +7 *709:7 *2472:la_data_out_mprj[79] 0 +8 *709:7 *2472:la_oenb_mprj[78] 0 +9 *709:8 *967:10 0.00673697 +10 *709:8 *1219:10 0.000248172 +11 *709:8 *1221:10 0.000250542 +12 *709:8 *1348:10 7.06933e-05 +13 *709:8 *1351:10 0.00632081 +14 *709:11 *2472:la_iena_mprj[88] 0.000796373 +15 *709:11 *720:7 0 +16 *709:11 *1221:7 0 +17 *709:11 *1349:7 0 +*RES +1 *2472:la_data_in_mprj[79] *709:7 23.0642 +2 *709:7 *709:8 83.3593 +3 *709:8 *709:10 4.5 +4 *709:10 *709:11 68.8952 +5 *709:11 *2478:la_input[79] 2.05183 +*END + +*D_NET *710 0.194365 +*CONN +*I *2478:la_input[7] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[7] O *D mgmt_protect +*CAP +1 *2478:la_input[7] 8.29983e-05 +2 *2472:la_data_in_mprj[7] 0.00195054 +3 *710:11 0.00206791 +4 *710:10 0.00198491 +5 *710:8 0.00734413 +6 *710:7 0.00929467 +7 *710:7 *2472:la_data_out_mprj[7] 0 +8 *710:7 *2472:la_oenb_mprj[6] 0 +9 *710:8 *721:8 0.0797605 +10 *710:8 *892:14 0.000108607 +11 *710:8 *895:10 0.00522793 +12 *710:8 *897:10 0.000209909 +13 *710:11 *1222:7 0 +14 *710:11 *1350:7 0 +15 *637:14 *710:8 0.000118134 +16 *640:8 *710:8 0.00582041 +17 *688:8 *710:8 0.0800768 +18 *699:8 *710:8 0.000317418 +*RES +1 *2472:la_data_in_mprj[7] *710:7 41.6575 +2 *710:7 *710:8 104.077 +3 *710:8 *710:10 3.36879 +4 *710:10 *710:11 49.1707 +5 *710:11 *2478:la_input[7] 2.05183 +*END + +*D_NET *711 0.0209002 +*CONN +*I *2478:la_input[80] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[80] O *D mgmt_protect +*CAP +1 *2478:la_input[80] 8.30061e-05 +2 *2472:la_data_in_mprj[80] 0.000977178 +3 *711:11 0.00241982 +4 *711:10 0.00233682 +5 *711:8 0.00138307 +6 *711:7 0.00236025 +7 *711:7 *2472:la_data_out_mprj[80] 0.000348619 +8 *711:7 *2472:la_iena_mprj[80] 0 +9 *711:7 *2472:la_oenb_mprj[79] 0 +10 *711:8 *713:8 0.00714893 +11 *711:8 *1353:10 0.00275944 +12 *711:11 *2472:la_data_out_mprj[91] 0 +13 *711:11 *2472:la_iena_mprj[90] 0 +14 *711:11 *2472:la_iena_mprj[91] 0 +15 *711:11 *1223:7 0 +16 *711:11 *1351:7 0 +17 *706:8 *711:8 0.000339355 +18 *707:8 *711:8 0.000743683 +*RES +1 *2472:la_data_in_mprj[80] *711:7 32.615 +2 *711:7 *711:8 94.4514 +3 *711:8 *711:10 4.5 +4 *711:10 *711:11 59.3444 +5 *711:11 *2478:la_input[80] 2.05183 +*END + +*D_NET *712 0.0282999 +*CONN +*I *2478:la_input[81] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[81] O *D mgmt_protect +*CAP +1 *2478:la_input[81] 1.28869e-05 +2 *2472:la_data_in_mprj[81] 0.000780061 +3 *712:11 0.00232342 +4 *712:10 0.00231054 +5 *712:8 0.00120178 +6 *712:7 0.00198184 +7 *712:7 *2472:la_data_out_mprj[81] 0 +8 *712:7 *2472:la_iena_mprj[80] 0 +9 *712:7 *2472:la_oenb_mprj[80] 0 +10 *712:8 *968:10 0.0014812 +11 *712:8 *1219:10 0.0019318 +12 *712:8 *1351:10 0.00027732 +13 *712:8 *1352:10 0.00938895 +14 *712:8 *1358:20 0.0046198 +15 *712:11 *2472:la_data_out_mprj[93] 0 +16 *712:11 *2472:la_iena_mprj[93] 0.00190271 +17 *712:11 *2472:la_oenb_mprj[93] 8.75713e-05 +18 *712:11 *1352:7 0 +*RES +1 *2472:la_data_in_mprj[81] *712:7 24.31 +2 *712:7 *712:8 108.316 +3 *712:8 *712:10 4.5 +4 *712:10 *712:11 67.6495 +5 *712:11 *2478:la_input[81] 0.366399 +*END + +*D_NET *713 0.0238791 +*CONN +*I *2478:la_input[82] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[82] O *D mgmt_protect +*CAP +1 *2478:la_input[82] 8.30061e-05 +2 *2472:la_data_in_mprj[82] 0.000957244 +3 *713:11 0.00250462 +4 *713:10 0.00242161 +5 *713:8 0.00235899 +6 *713:7 0.00331623 +7 *713:7 *2472:la_data_out_mprj[82] 0.000382795 +8 *713:7 *2472:la_iena_mprj[82] 0 +9 *713:7 *2472:la_oenb_mprj[81] 0 +10 *713:7 *1346:7 0.000108413 +11 *713:8 *722:8 3.32499e-05 +12 *713:8 *963:10 0.000396946 +13 *713:8 *964:10 0.000334651 +14 *713:8 *965:10 9.39152e-05 +15 *713:8 *1353:10 0.000909351 +16 *713:8 *1360:16 0 +17 *713:8 *1362:16 0.000143231 +18 *713:8 *1363:16 0.00038227 +19 *713:8 *1365:16 0.000683081 +20 *713:11 *2472:la_data_out_mprj[95] 0 +21 *713:11 *2472:la_data_out_mprj[96] 0 +22 *713:11 *2472:la_iena_mprj[95] 0 +23 *713:11 *2472:la_oenb_mprj[95] 5.82975e-05 +24 *713:11 *1225:7 0 +25 *713:11 *1353:7 0 +26 *707:8 *713:8 0.00156231 +27 *711:8 *713:8 0.00714893 +*RES +1 *2472:la_data_in_mprj[82] *713:7 32.1998 +2 *713:7 *713:8 118.299 +3 *713:8 *713:10 4.5 +4 *713:10 *713:11 59.7597 +5 *713:11 *2478:la_input[82] 2.05183 +*END + +*D_NET *714 0.0340351 +*CONN +*I *2478:la_input[83] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[83] O *D mgmt_protect +*CAP +1 *2478:la_input[83] 8.30061e-05 +2 *2472:la_data_in_mprj[83] 0.000634451 +3 *714:11 0.00250739 +4 *714:10 0.00242438 +5 *714:8 0.00140403 +6 *714:7 0.00203848 +7 *714:7 *2472:la_data_out_mprj[83] 0 +8 *714:7 *2472:la_oenb_mprj[82] 0.00135413 +9 *714:8 *715:8 0.0111726 +10 *714:8 *969:10 9.66259e-05 +11 *714:8 *1226:10 0.0111366 +12 *714:8 *1349:10 0.00014932 +13 *714:8 *1354:10 0.000194684 +14 *714:11 *2472:la_data_out_mprj[98] 0.000839472 +15 *714:11 *2472:la_iena_mprj[98] 0 +16 *714:11 *2472:la_oenb_mprj[97] 0 +17 *714:11 *1226:7 0 +18 *714:11 *1241:13 0 +19 *714:11 *1354:7 0 +*RES +1 *2472:la_data_in_mprj[83] *714:7 27.632 +2 *714:7 *714:8 129.946 +3 *714:8 *714:10 4.5 +4 *714:10 *714:11 64.3275 +5 *714:11 *2478:la_input[83] 2.05183 +*END + +*D_NET *715 0.0350352 +*CONN +*I *2478:la_input[84] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[84] O *D mgmt_protect +*CAP +1 *2478:la_input[84] 8.30061e-05 +2 *2472:la_data_in_mprj[84] 0.000801117 +3 *715:11 0.00257086 +4 *715:10 0.00248786 +5 *715:8 0.0016124 +6 *715:7 0.00241352 +7 *715:7 *2472:la_data_out_mprj[84] 0.00040604 +8 *715:7 *2472:la_iena_mprj[83] 0 +9 *715:7 *2472:la_oenb_mprj[83] 0 +10 *715:7 *1219:7 0 +11 *715:8 *731:8 0.000212979 +12 *715:8 *1227:10 0.0122492 +13 *715:8 *1349:10 9.27159e-05 +14 *715:8 *1354:10 0.000108598 +15 *715:8 *1357:16 0.00020979 +16 *715:8 *1358:16 1.40453e-05 +17 *715:11 *1118:13 0.000600483 +18 *715:11 *1227:7 0 +19 *714:8 *715:8 0.0111726 +*RES +1 *2472:la_data_in_mprj[84] *715:7 28.0472 +2 *715:7 *715:8 141.593 +3 *715:8 *715:10 4.5 +4 *715:10 *715:11 63.9122 +5 *715:11 *2478:la_input[84] 2.05183 +*END + +*D_NET *716 0.0298025 +*CONN +*I *2478:la_input[85] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[85] O *D mgmt_protect +*CAP +1 *2478:la_input[85] 0.00195309 +2 *2472:la_data_in_mprj[85] 0.00148598 +3 *716:10 0.00195309 +4 *716:8 0.00404831 +5 *716:7 0.00553429 +6 *2478:la_input[85] *2472:la_oenb_mprj[102] 0.00108477 +7 *2478:la_input[85] *1228:9 0 +8 *2478:la_input[85] *1356:9 0 +9 *716:7 *2472:la_data_out_mprj[85] 0 +10 *716:7 *2472:la_oenb_mprj[84] 0 +11 *716:7 *963:7 0.000372142 +12 *716:8 *717:8 0.0133709 +*RES +1 *2472:la_data_in_mprj[85] *716:7 44.2421 +2 *716:7 *716:8 153.239 +3 *716:8 *716:10 4.5 +4 *716:10 *2478:la_input[85] 49.7692 +*END + +*D_NET *717 0.0403345 +*CONN +*I *2478:la_input[86] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[86] O *D mgmt_protect +*CAP +1 *2478:la_input[86] 0.00209891 +2 *2472:la_data_in_mprj[86] 0.00115008 +3 *717:10 0.00209891 +4 *717:8 0.00181771 +5 *717:7 0.00296779 +6 *2478:la_input[86] *2472:la_iena_mprj[105] 8.8538e-05 +7 *2478:la_input[86] *1357:9 0 +8 *2478:la_input[86] *1360:15 0 +9 *2478:la_input[86] *1362:15 0 +10 *2478:la_input[86] *1363:15 0 +11 *717:7 *2472:la_data_out_mprj[86] 0.000721622 +12 *717:7 *2472:la_oenb_mprj[85] 0 +13 *717:7 *1220:7 0.000402864 +14 *717:8 *718:8 0.000203471 +15 *717:8 *719:8 0.0138811 +16 *717:8 *1121:16 0.00153273 +17 *716:8 *717:8 0.0133709 +*RES +1 *2472:la_data_in_mprj[86] *717:7 44.6573 +2 *717:7 *717:8 166.55 +3 *717:8 *717:10 4.5 +4 *717:10 *2478:la_input[86] 47.6685 +*END + +*D_NET *718 0.0324007 +*CONN +*I *2478:la_input[87] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[87] O *D mgmt_protect +*CAP +1 *2478:la_input[87] 0.0020995 +2 *2472:la_data_in_mprj[87] 0.0014497 +3 *718:10 0.0020995 +4 *718:8 0.00446766 +5 *718:7 0.00591736 +6 *2478:la_input[87] *2472:la_iena_mprj[107] 0.000253826 +7 *2478:la_input[87] *1230:9 0 +8 *2478:la_input[87] *1358:9 0 +9 *2478:la_input[87] *1365:15 0 +10 *718:7 *2472:la_data_out_mprj[87] 0 +11 *718:7 *2472:la_oenb_mprj[86] 0 +12 *718:7 *1348:7 0.000627745 +13 *718:8 *719:8 0.000332046 +14 *718:8 *720:8 0.0148556 +15 *718:8 *1123:14 9.43344e-05 +16 *614:5 *2478:la_input[87] 0 +17 *717:8 *718:8 0.000203471 +*RES +1 *2472:la_data_in_mprj[87] *718:7 45.9031 +2 *718:7 *718:8 177.087 +3 *718:8 *718:10 4.5 +4 *718:10 *2478:la_input[87] 47.5464 +*END + +*D_NET *719 0.0449176 +*CONN +*I *2478:la_input[88] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[88] O *D mgmt_protect +*CAP +1 *2478:la_input[88] 0.00205136 +2 *2472:la_data_in_mprj[88] 0.00118275 +3 *719:10 0.00205136 +4 *719:8 0.0018734 +5 *719:7 0.00305615 +6 *2478:la_input[88] *2472:la_iena_mprj[109] 0 +7 *2478:la_input[88] *1231:9 0 +8 *2478:la_input[88] *1359:9 0 +9 *719:7 *2472:la_data_out_mprj[88] 0.000348489 +10 *719:7 *2472:la_iena_mprj[87] 0 +11 *719:7 *2472:la_oenb_mprj[87] 0 +12 *719:7 *964:7 0 +13 *719:7 *1221:7 0.00131893 +14 *719:8 *720:8 0.0167182 +15 *719:8 *1121:16 0.00155451 +16 *617:5 *2478:la_input[88] 0.000549425 +17 *717:8 *719:8 0.0138811 +18 *718:8 *719:8 0.000332046 +*RES +1 *2472:la_data_in_mprj[88] *719:7 45.0726 +2 *719:7 *719:8 188.179 +3 *719:8 *719:10 4.5 +4 *719:10 *2478:la_input[88] 48.9387 +*END + +*D_NET *720 0.0471918 +*CONN +*I *2478:la_input[89] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[89] O *D mgmt_protect +*CAP +1 *2478:la_input[89] 0.00224055 +2 *2472:la_data_in_mprj[89] 0.00165116 +3 *720:10 0.00224055 +4 *720:8 0.00203949 +5 *720:7 0.00369064 +6 *2478:la_input[89] *1232:9 0 +7 *2478:la_input[89] *1360:9 0 +8 *720:7 *2472:la_iena_mprj[88] 0 +9 *720:7 *2472:la_oenb_mprj[88] 0 +10 *720:7 *976:13 0 +11 *720:7 *1349:7 0 +12 *720:8 *870:16 0.00327618 +13 *720:8 *1121:16 0.000479461 +14 *720:8 *1123:14 0 +15 *619:5 *2478:la_input[89] 0 +16 *709:11 *720:7 0 +17 *718:8 *720:8 0.0148556 +18 *719:8 *720:8 0.0167182 +*RES +1 *2472:la_data_in_mprj[89] *720:7 45.4878 +2 *720:7 *720:8 200.381 +3 *720:8 *720:10 4.5 +4 *720:10 *2478:la_input[89] 48.5234 +*END + +*D_NET *721 0.190228 +*CONN +*I *2478:la_input[8] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[8] O *D mgmt_protect +*CAP +1 *2478:la_input[8] 8.29983e-05 +2 *2472:la_data_in_mprj[8] 0.00171813 +3 *721:11 0.00211791 +4 *721:10 0.00203491 +5 *721:8 0.00700723 +6 *721:7 0.00872536 +7 *721:7 *2472:la_data_out_mprj[8] 0.000387405 +8 *721:7 *2472:la_iena_mprj[8] 0 +9 *721:7 *2472:la_oenb_mprj[7] 0 +10 *721:7 *927:7 0 +11 *721:7 *1308:21 0 +12 *721:8 *732:8 0.0785088 +13 *721:8 *897:10 0 +14 *721:11 *1233:9 0 +15 *721:11 *1361:9 0 +16 *641:8 *721:8 0.00548963 +17 *642:8 *721:8 0.00439521 +18 *710:8 *721:8 0.0797605 +*RES +1 *2472:la_data_in_mprj[8] *721:7 40.827 +2 *721:7 *721:8 102.547 +3 *721:8 *721:10 3.36879 +4 *721:10 *721:11 50.0013 +5 *721:11 *2478:la_input[8] 2.05183 +*END + +*D_NET *722 0.0459761 +*CONN +*I *2478:la_input[90] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[90] O *D mgmt_protect +*CAP +1 *2478:la_input[90] 1.28869e-05 +2 *2472:la_data_in_mprj[90] 0.00086679 +3 *722:11 0.00278449 +4 *722:10 0.00277161 +5 *722:8 0.00282523 +6 *722:7 0.00369202 +7 *722:7 *2472:la_data_out_mprj[90] 0.000446095 +8 *722:7 *2472:la_iena_mprj[89] 0 +9 *722:7 *2472:la_oenb_mprj[89] 0 +10 *722:7 *965:7 1.77537e-06 +11 *722:8 *723:8 0.000402073 +12 *722:8 *864:16 0 +13 *722:8 *868:24 0.00695434 +14 *722:8 *1257:16 0.000820031 +15 *722:8 *1360:16 0.0120208 +16 *722:8 *1362:16 0.0119275 +17 *722:8 *1363:16 1.65872e-05 +18 *722:8 *1365:16 0.000316073 +19 *722:8 *1369:16 8.46377e-05 +20 *722:11 *2472:la_data_out_mprj[114] 0 +21 *722:11 *2472:la_data_out_mprj[115] 0 +22 *722:11 *2472:la_iena_mprj[114] 0 +23 *722:11 *1362:9 0 +24 *713:8 *722:8 3.32499e-05 +*RES +1 *2472:la_data_in_mprj[90] *722:7 30.1235 +2 *722:7 *722:8 213.691 +3 *722:8 *722:10 4.5 +4 *722:10 *722:11 61.8359 +5 *722:11 *2478:la_input[90] 0.366399 +*END + +*D_NET *723 0.0509852 +*CONN +*I *2478:la_input[91] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[91] O *D mgmt_protect +*CAP +1 *2478:la_input[91] 8.30061e-05 +2 *2472:la_data_in_mprj[91] 0.00101093 +3 *723:11 0.00291274 +4 *723:10 0.00282974 +5 *723:8 0.00223164 +6 *723:7 0.00324257 +7 *723:7 *2472:la_data_out_mprj[91] 0 +8 *723:7 *2472:la_oenb_mprj[90] 0 +9 *723:8 *868:24 0.00866402 +10 *723:8 *1119:10 0 +11 *723:8 *1120:16 0.000328922 +12 *723:8 *1250:10 5.65165e-05 +13 *723:8 *1252:10 0.0082498 +14 *723:8 *1357:16 0.00910478 +15 *723:8 *1360:16 0.0112542 +16 *723:11 *2472:la_data_out_mprj[117] 0 +17 *723:11 *2472:la_iena_mprj[116] 0 +18 *723:11 *2472:la_oenb_mprj[116] 0 +19 *723:11 *1235:9 0 +20 *723:11 *1363:9 0 +21 *611:8 *723:8 0.000614281 +22 *722:8 *723:8 0.000402073 +*RES +1 *2472:la_data_in_mprj[91] *723:7 29.293 +2 *723:7 *723:8 223.674 +3 *723:8 *723:10 4.5 +4 *723:10 *723:11 62.6664 +5 *723:11 *2478:la_input[91] 2.05183 +*END + +*D_NET *724 0.0471403 +*CONN +*I *2478:la_input[92] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[92] O *D mgmt_protect +*CAP +1 *2478:la_input[92] 8.30061e-05 +2 *2472:la_data_in_mprj[92] 0.000559547 +3 *724:11 0.00290733 +4 *724:10 0.00282432 +5 *724:8 0.00369504 +6 *724:7 0.00425459 +7 *724:7 *2472:la_data_out_mprj[92] 0.000358915 +8 *724:7 *2472:la_oenb_mprj[91] 0 +9 *724:8 *728:8 0.000266298 +10 *724:8 *730:8 0.000426855 +11 *724:8 *862:16 0.000366352 +12 *724:8 *863:16 0.0145105 +13 *724:8 *967:10 0.000213725 +14 *724:8 *1224:10 9.44554e-05 +15 *724:8 *1352:10 0.000658933 +16 *724:8 *1358:16 0 +17 *724:8 *1358:20 0.000264175 +18 *724:8 *1359:16 0.00038789 +19 *724:11 *2472:la_data_out_mprj[119] 0.000975783 +20 *724:11 *2472:la_iena_mprj[119] 0.000259105 +21 *724:11 *1236:9 0 +22 *724:11 *1364:9 0 +23 *607:8 *724:8 0.000143231 +24 *608:8 *724:8 0.0138903 +*RES +1 *2472:la_data_in_mprj[92] *724:7 23.0642 +2 *724:7 *724:8 234.766 +3 *724:8 *724:10 4.5 +4 *724:10 *724:11 68.8952 +5 *724:11 *2478:la_input[92] 2.05183 +*END + +*D_NET *725 0.0560656 +*CONN +*I *2478:la_input[93] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[93] O *D mgmt_protect +*CAP +1 *2478:la_input[93] 0.00156814 +2 *2472:la_data_in_mprj[93] 0.00178015 +3 *725:8 0.00389463 +4 *725:7 0.00232648 +5 *725:5 0.00178015 +6 *2478:la_input[93] *1237:9 0 +7 *2478:la_input[93] *1251:15 0.000710928 +8 *2478:la_input[93] *1365:9 0 +9 *725:5 *2472:la_data_out_mprj[93] 0 +10 *725:5 *2472:la_oenb_mprj[92] 0 +11 *725:5 *1224:7 0.000594313 +12 *725:8 *726:8 0.000156579 +13 *725:8 *980:10 2.68003e-05 +14 *725:8 *1236:10 0.0208913 +15 *725:8 *1237:10 9.66124e-05 +16 *725:8 *1238:10 0.0220259 +17 *725:8 *1364:10 0.00021369 +*RES +1 *2472:la_data_in_mprj[93] *725:5 50.9539 +2 *725:5 *725:7 4.5 +3 *725:7 *725:8 246.967 +4 *725:8 *2478:la_input[93] 43.0574 +*END + +*D_NET *726 0.0598289 +*CONN +*I *2478:la_input[94] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[94] O *D mgmt_protect +*CAP +1 *2478:la_input[94] 0.00171474 +2 *2472:la_data_in_mprj[94] 0.00122134 +3 *726:8 0.00385789 +4 *726:7 0.00214315 +5 *726:5 0.00122134 +6 *2478:la_input[94] *1121:15 0 +7 *2478:la_input[94] *1238:9 0 +8 *2478:la_input[94] *1366:9 0 +9 *726:5 *2472:la_data_out_mprj[94] 0.000953098 +10 *726:5 *2472:la_oenb_mprj[93] 0 +11 *726:5 *1352:7 0.00190121 +12 *726:8 *985:12 0.000257169 +13 *726:8 *1237:10 0.0219239 +14 *726:8 *1238:10 0.0234447 +15 *726:8 *1239:10 0.00103384 +16 *725:8 *726:8 0.000156579 +*RES +1 *2472:la_data_in_mprj[94] *726:5 51.7844 +2 *726:5 *726:7 4.5 +3 *726:7 *726:8 258.059 +4 *726:8 *2478:la_input[94] 42.2269 +*END + +*D_NET *727 0.0628924 +*CONN +*I *2478:la_input[95] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[95] O *D mgmt_protect +*CAP +1 *2478:la_input[95] 0.00156702 +2 *2472:la_data_in_mprj[95] 0.00199519 +3 *727:8 0.00380342 +4 *727:7 0.0022364 +5 *727:5 0.00199519 +6 *2478:la_input[95] *1239:9 0 +7 *2478:la_input[95] *1367:9 0 +8 *727:5 *2472:la_data_out_mprj[95] 0 +9 *727:5 *2472:la_oenb_mprj[94] 0 +10 *727:5 *968:7 0 +11 *727:5 *1225:7 0.000592335 +12 *727:8 *970:10 0 +13 *727:8 *982:10 0.0244833 +14 *727:8 *983:16 5.07452e-05 +15 *727:8 *984:10 0.0244962 +16 *727:8 *985:12 0.00123647 +17 *727:8 *1121:10 0.000436184 +18 *634:5 *2478:la_input[95] 0 +*RES +1 *2472:la_data_in_mprj[95] *727:5 54.6912 +2 *727:5 *727:7 4.5 +3 *727:7 *727:8 270.815 +4 *727:8 *2478:la_input[95] 38.7583 +*END + +*D_NET *728 0.0645816 +*CONN +*I *2478:la_input[96] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[96] O *D mgmt_protect +*CAP +1 *2478:la_input[96] 8.30061e-05 +2 *2472:la_data_in_mprj[96] 0.00032194 +3 *728:11 0.00275642 +4 *728:10 0.00267341 +5 *728:8 0.0027005 +6 *728:7 0.00302244 +7 *728:7 *2472:la_data_out_mprj[96] 0.000399232 +8 *728:7 *2472:la_oenb_mprj[95] 0.00122938 +9 *728:8 *729:8 0.00105459 +10 *728:8 *730:8 0.0247319 +11 *728:8 *1358:16 0.000193373 +12 *728:11 *2472:mprj_we_o_core 0.00201616 +13 *728:11 *730:11 0 +14 *728:11 *1240:9 0 +15 *728:11 *1368:9 0 +16 *606:8 *728:8 0.0231329 +17 *724:8 *728:8 0.000266298 +*RES +1 *2472:la_data_in_mprj[96] *728:7 24.7252 +2 *728:7 *728:8 281.907 +3 *728:8 *728:10 4.5 +4 *728:10 *728:11 67.2342 +5 *728:11 *2478:la_input[96] 2.05183 +*END + +*D_NET *729 0.0665094 +*CONN +*I *2478:la_input[97] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[97] O *D mgmt_protect +*CAP +1 *2478:la_input[97] 8.30061e-05 +2 *2472:la_data_in_mprj[97] 0.000797537 +3 *729:11 0.00297989 +4 *729:10 0.00289689 +5 *729:8 0.00261968 +6 *729:7 0.00341722 +7 *729:7 *2472:la_data_out_mprj[97] 0 +8 *729:7 *2472:la_oenb_mprj[96] 0 +9 *729:8 *731:8 0.000450369 +10 *729:8 *865:16 0.0223765 +11 *729:8 *1358:16 0.00401097 +12 *729:11 *2472:mprj_adr_o_core[2] 0.000838174 +13 *729:11 *2472:mprj_dat_o_core[2] 0 +14 *729:11 *1241:9 0 +15 *729:11 *1369:9 0 +16 *606:8 *729:8 0.0249845 +17 *728:8 *729:8 0.00105459 +*RES +1 *2472:la_data_in_mprj[97] *729:7 25.5557 +2 *729:7 *729:8 293.554 +3 *729:8 *729:10 4.5 +4 *729:10 *729:11 66.4037 +5 *729:11 *2478:la_input[97] 2.05183 +*END + +*D_NET *730 0.0671442 +*CONN +*I *2478:la_input[98] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[98] O *D mgmt_protect +*CAP +1 *2478:la_input[98] 0.00105277 +2 *2472:la_data_in_mprj[98] 0.000583497 +3 *730:16 0.00159817 +4 *730:11 0.00234763 +5 *730:8 0.0042783 +6 *730:7 0.00305957 +7 *2478:la_input[98] *1242:9 0 +8 *730:7 *2472:la_data_out_mprj[98] 0.000390306 +9 *730:7 *2472:la_oenb_mprj[97] 0 +10 *730:8 *862:16 0.0219013 +11 *730:8 *863:16 0.000307881 +12 *730:8 *2191:16 0.000802118 +13 *730:11 *2472:mprj_dat_o_core[0] 0.000448313 +14 *730:11 *984:9 0 +15 *730:11 *1368:9 0.00046086 +16 *730:16 *1251:10 0.00331486 +17 *606:8 *730:8 0.000233938 +18 *608:8 *730:8 1.5006e-05 +19 *609:13 *730:11 0.000592538 +20 *613:8 *730:16 0.000598459 +21 *724:8 *730:8 0.000426855 +22 *728:8 *730:8 0.0247319 +23 *728:11 *730:11 0 +*RES +1 *2472:la_data_in_mprj[98] *730:7 24.31 +2 *730:7 *730:8 270.261 +3 *730:8 *730:11 49.9335 +4 *730:11 *730:16 44.1088 +5 *730:16 *2478:la_input[98] 24.4388 +*END + +*D_NET *731 0.0719394 +*CONN +*I *2478:la_input[99] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[99] O *D mgmt_protect +*CAP +1 *2478:la_input[99] 8.30061e-05 +2 *2472:la_data_in_mprj[99] 0.000847231 +3 *731:11 0.00270584 +4 *731:10 0.00262284 +5 *731:8 0.00300456 +6 *731:7 0.00385179 +7 *731:7 *2472:la_data_out_mprj[99] 0 +8 *731:7 *2472:la_oenb_mprj[98] 0 +9 *731:8 *865:16 0.0261415 +10 *731:8 *1119:10 0.027539 +11 *731:8 *1357:16 0 +12 *731:8 *1358:16 0.00240557 +13 *731:11 *2472:mprj_adr_o_core[8] 0.000418286 +14 *731:11 *2472:mprj_dat_o_core[7] 0.00165642 +15 *731:11 *1243:9 0 +16 *731:11 *1371:9 0 +17 *731:11 *1678:5 0 +18 *715:8 *731:8 0.000212979 +19 *729:8 *731:8 0.000450369 +*RES +1 *2472:la_data_in_mprj[99] *731:7 26.3862 +2 *731:7 *731:8 316.847 +3 *731:8 *731:10 4.5 +4 *731:10 *731:11 65.5732 +5 *731:11 *2478:la_input[99] 2.05183 +*END + +*D_NET *732 0.179978 +*CONN +*I *2478:la_input[9] I *D mgmt_core_wrapper +*I *2472:la_data_in_mprj[9] O *D mgmt_protect +*CAP +1 *2478:la_input[9] 8.29983e-05 +2 *2472:la_data_in_mprj[9] 0.00181013 +3 *732:11 0.00217229 +4 *732:10 0.00208929 +5 *732:8 0.0081031 +6 *732:7 0.00991323 +7 *732:7 *2472:la_data_out_mprj[9] 0 +8 *732:7 *2472:la_oenb_mprj[8] 0 +9 *732:8 *893:10 0.000852124 +10 *732:8 *894:10 0.067458 +11 *732:8 *897:10 0 +12 *732:8 *899:10 0.00420694 +13 *732:11 *1372:7 0 +14 *646:8 *732:8 0.00478076 +15 *721:8 *732:8 0.0785088 +*RES +1 *2472:la_data_in_mprj[9] *732:7 39.9965 +2 *732:7 *732:8 100.864 +3 *732:8 *732:10 3.36879 +4 *732:10 *732:11 50.8318 +5 *732:11 *2478:la_input[9] 2.05183 +*END + +*D_NET *733 0.0624824 +*CONN +*I *2473:la_data_in[0] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[0] O *D mgmt_protect +*CAP +1 *2473:la_data_in[0] 0.00215197 +2 *2472:la_data_in_core[0] 0.00107082 +3 *733:20 0.00282776 +4 *733:14 0.00243108 +5 *733:13 0.00296639 +6 *733:7 0.00228191 +7 *2473:la_data_in[0] *1645:9 0 +8 *733:7 *2472:la_data_out_core[0] 0 +9 *733:7 *794:11 0 +10 *733:7 *1373:7 0 +11 *733:7 *1769:7 0 +12 *733:13 *1373:8 0.00284978 +13 *733:13 *1633:7 0 +14 *733:13 *1766:8 0 +15 *733:14 *783:8 0.000318072 +16 *733:14 *1039:12 0.0198614 +17 *733:14 *1691:8 7.02172e-06 +18 *733:14 *1769:8 0.0206364 +19 *733:20 *1616:8 0.00012309 +20 *733:20 *1633:14 0.000877072 +21 *733:20 *1691:8 0.00398786 +22 *733:20 *1769:8 9.17756e-05 +*RES +1 *2472:la_data_in_core[0] *733:7 33.4455 +2 *733:7 *733:13 49.6697 +3 *733:13 *733:14 219.792 +4 *733:14 *733:20 48.2172 +5 *733:20 *2473:la_data_in[0] 45.9709 +*END + +*D_NET *734 0.223832 +*CONN +*I *2473:la_data_in[100] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[100] O *D mgmt_protect +*CAP +1 *2473:la_data_in[100] 0.0028871 +2 *2472:la_data_in_core[100] 0.000878096 +3 *734:10 0.0028871 +4 *734:8 0.00710371 +5 *734:7 0.00798181 +6 *734:7 *2472:la_data_out_core[100] 0 +7 *734:7 *1374:7 0 +8 *734:7 *1499:7 0 +9 *734:8 *858:8 0.0950108 +10 *734:8 *990:8 0.0988812 +11 *734:8 *1001:8 0.00328194 +12 *734:8 *1009:14 0.00472134 +13 *734:8 *1017:14 0.000198862 +*RES +1 *2472:la_data_in_core[100] *734:7 24.31 +2 *734:7 *734:8 1040.61 +3 *734:8 *734:10 4.5 +4 *734:10 *2473:la_data_in[100] 64.242 +*END + +*D_NET *735 0.228864 +*CONN +*I *2473:la_data_in[101] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[101] O *D mgmt_protect +*CAP +1 *2473:la_data_in[101] 0.00290817 +2 *2472:la_data_in_core[101] 0.000848886 +3 *735:10 0.00290817 +4 *735:8 0.00684187 +5 *735:7 0.00769076 +6 *735:7 *991:11 0 +7 *735:7 *1374:7 0 +8 *735:8 *736:8 8.90486e-05 +9 *735:8 *990:8 0.0990534 +10 *735:8 *992:8 0.099385 +11 *735:8 *1001:8 0.00344709 +12 *735:8 *1017:14 0.00569167 +*RES +1 *2472:la_data_in_core[101] *735:7 23.4795 +2 *735:7 *735:8 1053.92 +3 *735:8 *735:10 4.5 +4 *735:10 *2473:la_data_in[101] 65.0725 +*END + +*D_NET *736 0.234415 +*CONN +*I *2473:la_data_in[102] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[102] O *D mgmt_protect +*CAP +1 *2473:la_data_in[102] 0.00292922 +2 *2472:la_data_in_core[102] 0.000782501 +3 *736:10 0.00292922 +4 *736:8 0.00667624 +5 *736:7 0.00745874 +6 *736:7 *2472:la_data_out_core[102] 0 +7 *736:7 *2473:la_data_in[52] 8.07629e-05 +8 *736:7 *1375:5 0 +9 *736:8 *737:8 0.100932 +10 *736:8 *809:8 0 +11 *736:8 *992:8 0.101458 +12 *736:8 *1001:8 0.0110792 +13 *735:8 *736:8 8.90486e-05 +*RES +1 *2472:la_data_in_core[102] *736:7 22.649 +2 *736:7 *736:8 1067.23 +3 *736:8 *736:10 4.5 +4 *736:10 *2473:la_data_in[102] 65.903 +*END + +*D_NET *737 0.237148 +*CONN +*I *2473:la_data_in[103] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[103] O *D mgmt_protect +*CAP +1 *2473:la_data_in[103] 0.00293211 +2 *2472:la_data_in_core[103] 0.00079824 +3 *737:10 0.00293211 +4 *737:8 0.0069626 +5 *737:7 0.00776083 +6 *737:7 *993:11 0 +7 *737:7 *1376:7 0 +8 *737:8 *738:8 0.102166 +9 *737:8 *809:8 0 +10 *737:8 *992:8 0.000313928 +11 *737:8 *1001:8 0.0123504 +12 *736:8 *737:8 0.100932 +*RES +1 *2472:la_data_in_core[103] *737:7 22.2337 +2 *737:7 *737:8 1080.54 +3 *737:8 *737:10 4.5 +4 *737:10 *2473:la_data_in[103] 66.3182 +*END + +*D_NET *738 0.239322 +*CONN +*I *2473:la_data_in[104] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[104] O *D mgmt_protect +*CAP +1 *2473:la_data_in[104] 0.00293621 +2 *2472:la_data_in_core[104] 0.000783634 +3 *738:10 0.00293621 +4 *738:8 0.00703082 +5 *738:7 0.00781446 +6 *738:7 *2472:la_data_out_core[104] 0 +7 *738:7 *2473:la_data_in[53] 0 +8 *738:7 *1377:5 0 +9 *738:8 *809:8 0 +10 *738:8 *994:8 0.104018 +11 *738:8 *1003:8 0.0116368 +12 *737:8 *738:8 0.102166 +*RES +1 *2472:la_data_in_core[104] *738:7 21.8185 +2 *738:7 *738:8 1093.85 +3 *738:8 *738:10 4.5 +4 *738:10 *2473:la_data_in[104] 66.7335 +*END + +*D_NET *739 0.242278 +*CONN +*I *2473:la_data_in[105] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[105] O *D mgmt_protect +*CAP +1 *2473:la_data_in[105] 0.00296625 +2 *2472:la_data_in_core[105] 0.000747593 +3 *739:10 0.00296625 +4 *739:8 0.00708629 +5 *739:7 0.00783389 +6 *739:7 *995:11 0 +7 *739:7 *1378:7 0 +8 *739:8 *740:8 0.000113197 +9 *739:8 *748:8 0.011912 +10 *739:8 *810:8 0 +11 *739:8 *994:8 0.10419 +12 *739:8 *996:8 0.104462 +*RES +1 *2472:la_data_in_core[105] *739:7 20.988 +2 *739:7 *739:8 1107.16 +3 *739:8 *739:10 4.5 +4 *739:10 *2473:la_data_in[105] 67.564 +*END + +*D_NET *740 0.246191 +*CONN +*I *2473:la_data_in[106] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[106] O *D mgmt_protect +*CAP +1 *2473:la_data_in[106] 0.00299284 +2 *2472:la_data_in_core[106] 0.000718383 +3 *740:10 0.00299284 +4 *740:8 0.00691279 +5 *740:7 0.00763117 +6 *740:7 *2472:la_data_out_core[106] 0 +7 *740:7 *1379:5 0 +8 *740:8 *741:8 0.106062 +9 *740:8 *749:8 0.0121944 +10 *740:8 *810:8 4.41346e-05 +11 *740:8 *996:8 0.106529 +12 *739:8 *740:8 0.000113197 +*RES +1 *2472:la_data_in_core[106] *740:7 20.1574 +2 *740:7 *740:8 1120.47 +3 *740:8 *740:10 4.5 +4 *740:10 *2473:la_data_in[106] 68.3945 +*END + +*D_NET *741 0.249044 +*CONN +*I *2473:la_data_in[107] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[107] O *D mgmt_protect +*CAP +1 *2473:la_data_in[107] 0.00299351 +2 *2472:la_data_in_core[107] 0.000686986 +3 *741:10 0.00299351 +4 *741:8 0.00721645 +5 *741:7 0.00790344 +6 *741:7 *2472:la_data_out_core[107] 0 +7 *741:7 *2473:la_data_in[54] 4.90673e-05 +8 *741:7 *1380:7 0 +9 *741:8 *742:8 0.000113197 +10 *741:8 *749:8 0.0135713 +11 *741:8 *810:8 1.53125e-05 +12 *741:8 *811:8 4.08456e-05 +13 *741:8 *996:8 0.000313928 +14 *741:8 *998:8 0.107084 +15 *740:8 *741:8 0.106062 +*RES +1 *2472:la_data_in_core[107] *741:7 19.7422 +2 *741:7 *741:8 1134.33 +3 *741:8 *741:10 4.5 +4 *741:10 *2473:la_data_in[107] 68.8097 +*END + +*D_NET *742 0.25326 +*CONN +*I *2473:la_data_in[108] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[108] O *D mgmt_protect +*CAP +1 *2473:la_data_in[108] 0.00302492 +2 *2472:la_data_in_core[108] 0.000667736 +3 *742:10 0.00302492 +4 *742:8 0.00699474 +5 *742:7 0.00766248 +6 *742:7 *2472:la_data_out_core[108] 0 +7 *742:7 *1381:9 0 +8 *742:8 *743:8 0.108624 +9 *742:8 *750:8 0.0138706 +10 *742:8 *811:8 0.000179244 +11 *742:8 *998:8 0.109098 +12 *741:8 *742:8 0.000113197 +*RES +1 *2472:la_data_in_core[108] *742:7 18.9117 +2 *742:7 *742:8 1147.09 +3 *742:8 *742:10 4.5 +4 *742:10 *2473:la_data_in[108] 69.6402 +*END + +*D_NET *743 0.253872 +*CONN +*I *2473:la_data_in[109] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[109] O *D mgmt_protect +*CAP +1 *2473:la_data_in[109] 0.00302909 +2 *2472:la_data_in_core[109] 0.000653131 +3 *743:10 0.00302909 +4 *743:8 0.0072672 +5 *743:7 0.00792033 +6 *743:7 *2472:la_data_out_core[109] 0 +7 *743:7 *1382:7 0 +8 *743:8 *745:8 0.109912 +9 *743:8 *751:8 0.0128389 +10 *743:8 *811:8 0.00025559 +11 *743:8 *813:8 2.90905e-05 +12 *743:8 *998:8 0.000313928 +13 *742:8 *743:8 0.108624 +*RES +1 *2472:la_data_in_core[109] *743:7 18.4964 +2 *743:7 *743:8 1160.95 +3 *743:8 *743:10 4.5 +4 *743:10 *2473:la_data_in[109] 70.0555 +*END + +*D_NET *744 0.0370457 +*CONN +*I *2473:la_data_in[10] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[10] O *D mgmt_protect +*CAP +1 *2473:la_data_in[10] 0.00137063 +2 *2472:la_data_in_core[10] 0.00176041 +3 *744:8 0.00253779 +4 *744:7 0.00116715 +5 *744:5 0.00176041 +6 *744:5 *2472:la_data_out_core[10] 0 +7 *744:5 *1025:7 7.66121e-05 +8 *744:5 *1500:7 0 +9 *744:8 *755:8 0.0130715 +10 *744:8 *766:8 0.00419436 +11 *744:8 *766:14 9.42669e-05 +12 *744:8 *805:8 0.0105674 +13 *744:8 *1000:8 0.000131218 +14 *744:8 *1384:8 0.000313928 +*RES +1 *2472:la_data_in_core[10] *744:5 48.0471 +2 *744:5 *744:7 4.5 +3 *744:7 *744:8 158.785 +4 *744:8 *2473:la_data_in[10] 40.5048 +*END + +*D_NET *745 0.257862 +*CONN +*I *2473:la_data_in[110] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[110] O *D mgmt_protect +*CAP +1 *2473:la_data_in[110] 0.00303321 +2 *2472:la_data_in_core[110] 0.000549181 +3 *745:10 0.00303321 +4 *745:8 0.00742256 +5 *745:7 0.00797174 +6 *745:7 *2472:la_data_out_core[110] 0 +7 *745:7 *1383:9 0.000195317 +8 *745:8 *746:8 0.111199 +9 *745:8 *751:8 0.0143669 +10 *745:8 *813:8 0.000179244 +11 *743:8 *745:8 0.109912 +*RES +1 *2472:la_data_in_core[110] *745:7 18.0812 +2 *745:7 *745:8 1173.71 +3 *745:8 *745:10 4.5 +4 *745:10 *2473:la_data_in[110] 70.4707 +*END + +*D_NET *746 0.259735 +*CONN +*I *2473:la_data_in[111] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[111] O *D mgmt_protect +*CAP +1 *2473:la_data_in[111] 0.00303511 +2 *2472:la_data_in_core[111] 0.00061711 +3 *746:10 0.00303511 +4 *746:8 0.00745727 +5 *746:7 0.00807438 +6 *746:7 *2472:la_data_out_core[111] 0 +7 *746:7 *1385:7 0 +8 *746:8 *747:8 0.112487 +9 *746:8 *813:8 0.000339591 +10 *469:25 *746:8 0.0134906 +11 *745:8 *746:8 0.111199 +*RES +1 *2472:la_data_in_core[111] *746:7 17.6659 +2 *746:7 *746:8 1187.58 +3 *746:8 *746:10 4.5 +4 *746:10 *2473:la_data_in[111] 70.886 +*END + +*D_NET *747 0.264261 +*CONN +*I *2473:la_data_in[112] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[112] O *D mgmt_protect +*CAP +1 *2473:la_data_in[112] 0.00303927 +2 *2472:la_data_in_core[112] 0.000500804 +3 *747:10 0.00303927 +4 *747:8 0.00747774 +5 *747:7 0.00797854 +6 *747:7 *2472:la_data_out_core[112] 0 +7 *747:7 *1386:9 0.000285554 +8 *747:8 *813:8 0.00171517 +9 *747:8 *854:8 1.41689e-05 +10 *747:8 *1005:8 0.112751 +11 *469:25 *747:8 0.0149734 +12 *746:8 *747:8 0.112487 +*RES +1 *2472:la_data_in_core[112] *747:7 17.2507 +2 *747:7 *747:8 1200.33 +3 *747:8 *747:10 4.5 +4 *747:10 *2473:la_data_in[112] 71.3012 +*END + +*D_NET *748 0.312892 +*CONN +*I *2473:la_data_in[113] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[113] O *D mgmt_protect +*CAP +1 *2473:la_data_in[113] 0.00287298 +2 *2472:la_data_in_core[113] 0.000763705 +3 *748:10 0.00287298 +4 *748:8 0.00903581 +5 *748:7 0.00979951 +6 *748:7 *1004:17 0 +7 *748:7 *1387:5 0 +8 *748:8 *749:8 0.131454 +9 *748:8 *996:8 0.0132641 +10 *748:8 *1003:8 0.13076 +11 *469:25 *748:8 0.000157133 +12 *739:8 *748:8 0.011912 +*RES +1 *2472:la_data_in_core[113] *748:7 19.2339 +2 *748:7 *748:8 167.487 +3 *748:8 *748:10 3.36879 +4 *748:10 *2473:la_data_in[113] 68.1869 +*END + +*D_NET *749 0.315466 +*CONN +*I *2473:la_data_in[114] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[114] O *D mgmt_protect +*CAP +1 *2473:la_data_in[114] 0.00288361 +2 *2472:la_data_in_core[114] 0.000734985 +3 *749:10 0.00288361 +4 *749:8 0.0089419 +5 *749:7 0.00967689 +6 *749:7 *2472:la_data_out_core[114] 0 +7 *749:7 *813:11 0 +8 *749:7 *1388:7 0 +9 *749:8 *750:8 0.132935 +10 *469:25 *749:8 0.000190972 +11 *740:8 *749:8 0.0121944 +12 *741:8 *749:8 0.0135713 +13 *748:8 *749:8 0.131454 +*RES +1 *2472:la_data_in_core[114] *749:7 18.4034 +2 *749:7 *749:8 169.246 +3 *749:8 *749:10 3.36879 +4 *749:10 *2473:la_data_in[114] 69.0174 +*END + +*D_NET *750 0.319349 +*CONN +*I *2473:la_data_in[115] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[115] O *D mgmt_protect +*CAP +1 *2473:la_data_in[115] 0.00290601 +2 *2472:la_data_in_core[115] 0.00067796 +3 *750:10 0.00290601 +4 *750:8 0.00892436 +5 *750:7 0.00960232 +6 *750:7 *2472:la_data_out_core[115] 0 +7 *750:7 *1389:7 0 +8 *750:8 *751:8 0.13441 +9 *750:8 *998:8 0.012752 +10 *469:25 *750:8 0.000364076 +11 *742:8 *750:8 0.0138706 +12 *749:8 *750:8 0.132935 +*RES +1 *2472:la_data_in_core[115] *750:7 17.5729 +2 *750:7 *750:8 171.158 +3 *750:8 *750:10 3.36879 +4 *750:10 *2473:la_data_in[115] 69.8479 +*END + +*D_NET *751 0.323113 +*CONN +*I *2473:la_data_in[116] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[116] O *D mgmt_protect +*CAP +1 *2473:la_data_in[116] 0.00291805 +2 *2472:la_data_in_core[116] 0.000624307 +3 *751:10 0.00291805 +4 *751:8 0.00882727 +5 *751:7 0.00945157 +6 *751:7 *2472:la_data_out_core[116] 0 +7 *751:7 *814:16 2.99929e-05 +8 *751:7 *1390:5 0 +9 *751:7 *1391:5 0 +10 *469:25 *751:8 0.136728 +11 *743:8 *751:8 0.0128389 +12 *745:8 *751:8 0.0143669 +13 *750:8 *751:8 0.13441 +*RES +1 *2472:la_data_in_core[116] *751:7 16.7423 +2 *751:7 *751:8 172.918 +3 *751:8 *751:10 3.36879 +4 *751:10 *2473:la_data_in[116] 70.6784 +*END + +*D_NET *752 0.306596 +*CONN +*I *2473:la_data_in[117] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[117] O *D mgmt_protect +*CAP +1 *2473:la_data_in[117] 0.00102821 +2 *2472:la_data_in_core[117] 0.00323926 +3 *752:8 0.0171224 +4 *752:7 0.0160942 +5 *752:5 0.00323926 +6 *752:5 *2472:la_data_out_core[117] 0 +7 *752:5 *2473:la_oenb[57] 0.00050637 +8 *752:5 *1391:5 0 +9 *752:8 *754:8 0 +10 *752:8 *756:8 0 +11 *752:8 *758:8 0.000318524 +12 *752:8 *760:8 0.000425458 +13 *752:8 *762:8 0.129679 +14 *752:8 *835:10 0.000448922 +15 *752:8 *836:8 0.000209275 +16 *752:8 *837:8 0.000593739 +17 *752:8 *1006:8 0.13365 +18 *752:8 *1008:8 0 +19 *752:8 *1013:8 4.12367e-05 +*RES +1 *2472:la_data_in_core[117] *752:5 61.5428 +2 *752:5 *752:7 3.36879 +3 *752:7 *752:8 174.83 +4 *752:8 *2473:la_data_in[117] 25.8779 +*END + +*D_NET *753 0.217284 +*CONN +*I *2473:la_data_in[118] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[118] O *D mgmt_protect +*CAP +1 *2473:la_data_in[118] 0.00057528 +2 *2472:la_data_in_core[118] 4.25268e-05 +3 *753:10 0.035563 +4 *753:9 0.0349877 +5 *753:7 0.00376689 +6 *753:5 0.00380941 +7 *753:7 *2472:la_data_out_core[118] 0.000226575 +8 *753:7 *2473:la_data_in[58] 0 +9 *753:7 *1070:5 0 +10 *753:7 *1392:7 0 +11 *753:7 *1393:10 0 +12 *753:7 *1458:13 0.000116915 +13 *753:10 *842:10 0.000299281 +14 *753:10 *1008:8 0.137235 +15 *753:10 *1010:8 0.00066078 +*RES +1 *2472:la_data_in_core[118] *753:5 1.20912 +2 *753:5 *753:7 70.7639 +3 *753:7 *753:9 3.36879 +4 *753:9 *753:10 176.513 +5 *753:10 *2473:la_data_in[118] 16.7423 +*END + +*D_NET *754 0.325449 +*CONN +*I *2473:la_data_in[119] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[119] O *D mgmt_protect +*CAP +1 *2473:la_data_in[119] 0.00067194 +2 *2472:la_data_in_core[119] 0.00377192 +3 *754:8 0.0180771 +4 *754:7 0.0174052 +5 *754:5 0.00377192 +6 *754:5 *2472:la_data_out_core[119] 0 +7 *754:5 *1070:5 0 +8 *754:5 *1393:10 0 +9 *754:8 *756:8 0.000345464 +10 *754:8 *757:8 0.138669 +11 *754:8 *853:10 0.0010644 +12 *754:8 *855:8 0.00145706 +13 *754:8 *1008:8 0.000121359 +14 *754:8 *1010:8 0.140093 +15 *752:8 *754:8 0 +*RES +1 *2472:la_data_in_core[119] *754:5 68.1869 +2 *754:5 *754:7 3.36879 +3 *754:7 *754:8 178.501 +4 *754:8 *2473:la_data_in[119] 19.2339 +*END + +*D_NET *755 0.0352754 +*CONN +*I *2473:la_data_in[11] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[11] O *D mgmt_protect +*CAP +1 *2473:la_data_in[11] 0.00136967 +2 *2472:la_data_in_core[11] 0.00181085 +3 *755:8 0.00231494 +4 *755:7 0.000945266 +5 *755:5 0.00181085 +6 *755:5 *2472:la_data_out_core[11] 0 +7 *755:5 *2473:la_oenb[17] 0 +8 *755:5 *1384:5 0 +9 *755:8 *766:8 0.000307881 +10 *755:8 *1011:8 0.000105636 +11 *755:8 *1384:8 0.0135388 +12 *744:8 *755:8 0.0130715 +*RES +1 *2472:la_data_in_core[11] *755:5 48.4624 +2 *755:5 *755:7 4.5 +3 *755:7 *755:8 144.92 +4 *755:8 *2473:la_data_in[11] 40.0896 +*END + +*D_NET *756 0.332417 +*CONN +*I *2473:la_data_in[120] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[120] O *D mgmt_protect +*CAP +1 *2473:la_data_in[120] 0.000730361 +2 *2472:la_data_in_core[120] 0.00358246 +3 *756:8 0.0195893 +4 *756:7 0.018859 +5 *756:5 0.00358246 +6 *756:5 *2472:la_data_out_core[120] 0 +7 *756:5 *2473:la_oenb[58] 0 +8 *756:5 *815:11 0 +9 *756:5 *1393:13 0.000247277 +10 *756:5 *1394:7 0 +11 *756:8 *757:8 0.141036 +12 *756:8 *991:8 0.00178849 +13 *756:8 *993:8 0.00214228 +14 *756:8 *1013:8 0.140515 +15 *752:8 *756:8 0 +16 *754:8 *756:8 0.000345464 +*RES +1 *2472:la_data_in_core[120] *756:5 66.5258 +2 *756:5 *756:7 3.36879 +3 *756:7 *756:8 180.337 +4 *756:8 *2473:la_data_in[120] 20.8949 +*END + +*D_NET *757 0.329496 +*CONN +*I *2473:la_data_in[121] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[121] O *D mgmt_protect +*CAP +1 *2473:la_data_in[121] 0.000692122 +2 *2472:la_data_in_core[121] 0.00374413 +3 *757:8 0.0189161 +4 *757:7 0.018224 +5 *757:5 0.00374413 +6 *757:5 *815:11 0 +7 *757:5 *1013:11 0 +8 *757:5 *1071:7 0 +9 *757:5 *1075:13 0 +10 *757:5 *1396:7 0 +11 *757:8 *857:8 0.00142645 +12 *757:8 *859:8 0.00174848 +13 *757:8 *1010:8 0.000333928 +14 *757:8 *1013:8 0.000961946 +15 *754:8 *757:8 0.138669 +16 *756:8 *757:8 0.141036 +*RES +1 *2472:la_data_in_core[121] *757:5 67.3563 +2 *757:5 *757:7 3.36879 +3 *757:7 *757:8 182.173 +4 *757:8 *2473:la_data_in[121] 20.0644 +*END + +*D_NET *758 0.341661 +*CONN +*I *2473:la_data_in[122] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[122] O *D mgmt_protect +*CAP +1 *2473:la_data_in[122] 0.000781349 +2 *2472:la_data_in_core[122] 0.00338687 +3 *758:8 0.0211224 +4 *758:7 0.0203411 +5 *758:5 0.00338687 +6 *758:5 *2472:la_data_out_core[122] 0 +7 *758:5 *2473:la_oenb[59] 0.000584078 +8 *758:5 *1013:11 0 +9 *758:5 *1397:7 0 +10 *758:5 *1398:7 0 +11 *758:8 *760:8 0.143068 +12 *758:8 *999:8 0.00251258 +13 *758:8 *1002:8 0.00292201 +14 *758:8 *1013:8 0.143237 +15 *752:8 *758:8 0.000318524 +*RES +1 *2472:la_data_in_core[122] *758:5 64.8648 +2 *758:5 *758:7 3.36879 +3 *758:7 *758:8 184.009 +4 *758:8 *2473:la_data_in[122] 22.5559 +*END + +*D_NET *759 0.259972 +*CONN +*I *2473:la_data_in[123] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[123] O *D mgmt_protect +*CAP +1 *2473:la_data_in[123] 0.000786426 +2 *2472:la_data_in_core[123] 0.00169799 +3 *759:14 0.0137528 +4 *759:13 0.0148254 +5 *759:10 0.00355701 +6 *759:10 *2472:la_data_out_core[123] 0 +7 *759:10 *1396:8 0.000361243 +8 *759:10 *1398:7 0 +9 *759:10 *1475:8 0.000842351 +10 *759:13 *2473:la_oenb[60] 0 +11 *759:13 *760:5 0.000567275 +12 *759:13 *1400:7 0 +13 *759:14 *760:8 0.00393904 +14 *759:14 *1002:8 0.103379 +15 *759:14 *1004:8 0.107432 +16 *759:14 *1015:8 0.00883228 +*RES +1 *2472:la_data_in_core[123] *759:10 47.4032 +2 *759:10 *759:13 39.9674 +3 *759:13 *759:14 1337.87 +4 *759:14 *2473:la_data_in[123] 23.8947 +*END + +*D_NET *760 0.349176 +*CONN +*I *2473:la_data_in[124] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[124] O *D mgmt_protect +*CAP +1 *2473:la_data_in[124] 0.000808963 +2 *2472:la_data_in_core[124] 0.00339266 +3 *760:8 0.0227525 +4 *760:7 0.0219435 +5 *760:5 0.00339266 +6 *760:5 *2472:la_data_out_core[123] 0 +7 *760:5 *2472:la_data_out_core[124] 0 +8 *760:5 *1399:5 0 +9 *760:5 *1400:7 0 +10 *760:8 *762:8 0.000121359 +11 *760:8 *1004:8 0.00310315 +12 *760:8 *1018:8 0.145661 +13 *752:8 *760:8 0.000425458 +14 *758:8 *760:8 0.143068 +15 *759:13 *760:5 0.000567275 +16 *759:14 *760:8 0.00393904 +*RES +1 *2472:la_data_in_core[124] *760:5 64.0343 +2 *760:5 *760:7 3.36879 +3 *760:7 *760:8 187.68 +4 *760:8 *2473:la_data_in[124] 23.3864 +*END + +*D_NET *761 0.27472 +*CONN +*I *2473:la_data_in[125] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[125] O *D mgmt_protect +*CAP +1 *2473:la_data_in[125] 0.000834337 +2 *2472:la_data_in_core[125] 0.00314146 +3 *761:18 0.0093776 +4 *761:17 0.0089267 +5 *761:12 0.00196598 +6 *761:10 0.00160318 +7 *761:8 0.00149126 +8 *761:7 0.00147063 +9 *761:5 0.00314146 +10 *761:5 *2472:la_data_out_core[125] 0 +11 *761:5 *2473:la_oenb[60] 9.1098e-05 +12 *761:5 *1400:7 0 +13 *761:5 *1401:5 0 +14 *761:8 *820:8 0.00205052 +15 *761:8 *822:8 0.000733044 +16 *761:8 *1093:8 0.00135638 +17 *761:8 *1109:8 0.000282057 +18 *761:12 *822:8 0.00056729 +19 *761:12 *823:8 0.000371382 +20 *761:12 *824:8 0.000137013 +21 *761:12 *825:10 0 +22 *761:12 *1093:8 0.000367918 +23 *761:17 *2473:la_data_in[67] 0 +24 *761:17 *1080:7 0 +25 *761:18 *763:12 0.11756 +26 *761:18 *1015:8 0.114181 +27 *761:18 *1018:8 0.00506946 +*RES +1 *2472:la_data_in_core[125] *761:5 55.9369 +2 *761:5 *761:7 4.5 +3 *761:7 *761:8 78.0906 +4 *761:8 *761:10 0.578717 +5 *761:10 *761:12 58.1249 +6 *761:12 *761:17 16.2303 +7 *761:17 *761:18 1237.49 +8 *761:18 *2473:la_data_in[125] 25.1405 +*END + +*D_NET *762 0.346287 +*CONN +*I *2473:la_data_in[126] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[126] O *D mgmt_protect +*CAP +1 *2473:la_data_in[126] 0.000884418 +2 *2472:la_data_in_core[126] 0.00355393 +3 *762:8 0.0246956 +4 *762:7 0.0238112 +5 *762:5 0.00355393 +6 *762:5 *2472:la_data_out_core[126] 0 +7 *762:5 *1401:5 0 +8 *762:8 *763:12 0.00967383 +9 *762:8 *1018:8 0.150314 +10 *752:8 *762:8 0.129679 +11 *760:8 *762:8 0.000121359 +*RES +1 *2472:la_data_in_core[126] *762:5 62.3733 +2 *762:5 *762:7 3.36879 +3 *762:7 *762:8 191.352 +4 *762:8 *2473:la_data_in[126] 25.0474 +*END + +*D_NET *763 0.227607 +*CONN +*I *2473:la_data_in[127] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[127] O *D mgmt_protect +*CAP +1 *2473:la_data_in[127] 0.000844847 +2 *2472:la_data_in_core[127] 0.00361929 +3 *763:12 0.0259975 +4 *763:10 0.0251757 +5 *763:8 0.000563113 +6 *763:7 0.000540079 +7 *763:5 0.00361929 +8 *763:5 *2472:la_data_out_core[127] 0 +9 *763:5 *2473:la_oenb[61] 0 +10 *763:5 *1074:5 0 +11 *763:5 *1402:7 0 +12 *763:5 *1403:7 0 +13 *763:8 *819:10 0.00101035 +14 *763:8 *836:8 0.00217356 +15 *763:8 *1015:8 0.00587544 +16 *763:12 *836:8 0.0276026 +17 *763:12 *837:8 0.000897615 +18 *763:12 *1015:8 0.00245316 +19 *761:18 *763:12 0.11756 +20 *762:8 *763:12 0.00967383 +*RES +1 *2472:la_data_in_core[127] *763:5 63.4115 +2 *763:5 *763:7 4.5 +3 *763:7 *763:8 62.0071 +4 *763:8 *763:10 0.578717 +5 *763:10 *763:12 1338.15 +6 *763:12 *2473:la_data_in[127] 25.5557 +*END + +*D_NET *764 0.0314366 +*CONN +*I *2473:la_data_in[12] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[12] O *D mgmt_protect +*CAP +1 *2473:la_data_in[12] 0.00130442 +2 *2472:la_data_in_core[12] 0.0018771 +3 *764:8 0.0023003 +4 *764:7 0.00099588 +5 *764:5 0.0018771 +6 *764:5 *2472:la_data_out_core[12] 0 +7 *764:5 *1395:5 0 +8 *764:8 *765:10 0.010503 +9 *764:8 *766:8 0 +10 *764:8 *1000:8 0.0113189 +11 *764:8 *1011:8 0.000322987 +12 *764:8 *1395:8 0.000936869 +*RES +1 *2472:la_data_in_core[12] *764:5 50.1234 +2 *764:5 *764:7 4.5 +3 *764:7 *764:8 132.164 +4 *764:8 *2473:la_data_in[12] 38.4285 +*END + +*D_NET *765 0.0304463 +*CONN +*I *2473:la_data_in[13] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[13] O *D mgmt_protect +*CAP +1 *2473:la_data_in[13] 0.00130348 +2 *2472:la_data_in_core[13] 8.20467e-05 +3 *765:10 0.00229518 +4 *765:9 0.000991705 +5 *765:7 0.00137885 +6 *765:5 0.00146089 +7 *765:7 *2473:la_oenb[18] 0.000362171 +8 *765:7 *1021:11 0.00130407 +9 *765:7 *1404:5 0 +10 *765:10 *766:8 0 +11 *765:10 *1395:8 0.0102397 +12 *765:10 *1405:8 0.000525216 +13 *764:8 *765:10 0.010503 +*RES +1 *2472:la_data_in_core[13] *765:5 2.33274 +2 *765:5 *765:7 50.6241 +3 *765:7 *765:9 4.5 +4 *765:9 *765:10 120.518 +5 *765:10 *2473:la_data_in[13] 38.0133 +*END + +*D_NET *766 0.0228675 +*CONN +*I *2473:la_data_in[14] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[14] O *D mgmt_protect +*CAP +1 *2473:la_data_in[14] 0.00150324 +2 *2472:la_data_in_core[14] 0.0017756 +3 *766:14 0.00215749 +4 *766:8 0.00168974 +5 *766:7 0.0010355 +6 *766:5 0.0017756 +7 *2473:la_data_in[14] *794:11 0 +8 *766:5 *2472:la_data_out_core[14] 0 +9 *766:5 *2473:la_data_in[19] 4.84644e-05 +10 *766:5 *1405:5 0 +11 *766:8 *1011:8 4.4379e-05 +12 *766:8 *1023:10 0.000129927 +13 *766:8 *1023:12 0.00666787 +14 *766:8 *1405:8 0 +15 *766:14 *767:14 0.000301469 +16 *766:14 *772:8 2.65667e-05 +17 *766:14 *805:8 0.00107663 +18 *766:14 *1023:10 3.8519e-05 +19 *766:14 *1412:8 0 +20 *744:8 *766:8 0.00419436 +21 *744:8 *766:14 9.42669e-05 +22 *755:8 *766:8 0.000307881 +23 *764:8 *766:8 0 +24 *765:10 *766:8 0 +*RES +1 *2472:la_data_in_core[14] *766:5 47.6319 +2 *766:5 *766:7 4.5 +3 *766:7 *766:8 76.4268 +4 *766:8 *766:14 34.7741 +5 *766:14 *2473:la_data_in[14] 37.2506 +*END + +*D_NET *767 0.0203558 +*CONN +*I *2473:la_data_in[15] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[15] O *D mgmt_protect +*CAP +1 *2473:la_data_in[15] 0.00155292 +2 *2472:la_data_in_core[15] 0.0017457 +3 *767:14 0.00190193 +4 *767:8 0.00123282 +5 *767:7 0.000883813 +6 *767:5 0.0017457 +7 *2473:la_data_in[15] *805:5 0 +8 *767:5 *2472:la_data_out_core[15] 0 +9 *767:5 *1406:5 0 +10 *767:8 *1023:12 0.00318695 +11 *767:8 *1407:8 0.00722852 +12 *767:14 *1023:10 0.000552044 +13 *767:14 *1023:12 2.39581e-05 +14 *766:14 *767:14 0.000301469 +*RES +1 *2472:la_data_in_core[15] *767:5 46.3861 +2 *767:5 *767:7 4.5 +3 *767:7 *767:8 77.8133 +4 *767:8 *767:14 19.1126 +5 *767:14 *2473:la_data_in[15] 38.0811 +*END + +*D_NET *768 0.0130015 +*CONN +*I *2473:la_data_in[16] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[16] O *D mgmt_protect +*CAP +1 *2473:la_data_in[16] 0.00172723 +2 *2472:la_data_in_core[16] 0.00150215 +3 *768:8 0.00423779 +4 *768:7 0.0040127 +5 *768:7 *2472:la_data_out_core[16] 0 +6 *768:7 *1407:5 0 +7 *768:8 *1024:8 0 +8 *768:8 *1026:8 0 +9 *768:8 *1408:8 0.00152165 +10 *768:8 *1500:8 0 +*RES +1 *2472:la_data_in_core[16] *768:7 45.9031 +2 *768:7 *768:8 78.9225 +3 *768:8 *2473:la_data_in[16] 47.1488 +*END + +*D_NET *769 0.0185911 +*CONN +*I *2473:la_data_in[17] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[17] O *D mgmt_protect +*CAP +1 *2473:la_data_in[17] 0.00160264 +2 *2472:la_data_in_core[17] 0.00167116 +3 *769:8 0.00245012 +4 *769:7 0.00251864 +5 *769:7 *2472:la_data_out_core[17] 0 +6 *769:7 *1408:7 0 +7 *769:8 *773:10 9.18559e-06 +8 *769:8 *1024:8 0.00558864 +9 *769:8 *1025:8 3.90666e-05 +10 *769:8 *1408:8 0 +11 *769:8 *1409:8 0.0047116 +*RES +1 *2472:la_data_in_core[17] *769:7 49.6404 +2 *769:7 *769:8 65.0574 +3 *769:8 *2473:la_data_in[17] 43.4116 +*END + +*D_NET *770 0.0157605 +*CONN +*I *2473:la_data_in[18] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[18] O *D mgmt_protect +*CAP +1 *2473:la_data_in[18] 0.00153721 +2 *2472:la_data_in_core[18] 0.00157517 +3 *770:8 0.00217982 +4 *770:7 0.00221778 +5 *2473:la_data_in[18] *2472:la_data_out_core[11] 9.31064e-05 +6 *2473:la_data_in[18] *1395:5 0.000182519 +7 *770:7 *2472:la_data_out_core[18] 0 +8 *770:7 *1409:7 0 +9 *770:7 *1410:7 0 +10 *770:8 *771:10 0.00288131 +11 *770:8 *1025:8 0.00447607 +12 *770:8 *1409:8 0.000326185 +13 *770:8 *1410:10 0.000291294 +*RES +1 *2472:la_data_in_core[18] *770:7 47.9793 +2 *770:7 *770:8 52.3015 +3 *770:8 *2473:la_data_in[18] 45.0726 +*END + +*D_NET *771 0.0136046 +*CONN +*I *2473:la_data_in[19] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[19] O *D mgmt_protect +*CAP +1 *2473:la_data_in[19] 0.00147722 +2 *2472:la_data_in_core[19] 0.00158297 +3 *771:10 0.00192264 +4 *771:7 0.00202839 +5 *2473:la_data_in[19] *2472:la_data_out_core[14] 0.000243117 +6 *2473:la_data_in[19] *1405:5 0 +7 *771:7 *2472:la_data_out_core[19] 0 +8 *771:7 *1410:7 0 +9 *771:10 *1410:10 0.00340818 +10 *771:10 *1413:10 1.22938e-05 +11 *766:5 *2473:la_data_in[19] 4.84644e-05 +12 *770:8 *771:10 0.00288131 +*RES +1 *2472:la_data_in_core[19] *771:7 48.3946 +2 *771:7 *771:10 42.9364 +3 *771:10 *2473:la_data_in[19] 40.1573 +*END + +*D_NET *772 0.0447756 +*CONN +*I *2473:la_data_in[1] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[1] O *D mgmt_protect +*CAP +1 *2473:la_data_in[1] 0.0016862 +2 *2472:la_data_in_core[1] 0.00183008 +3 *772:8 0.00597189 +4 *772:7 0.00428569 +5 *772:5 0.00183008 +6 *2473:la_data_in[1] *2192:7 0 +7 *772:5 *2472:la_data_out_core[1] 0 +8 *772:5 *794:11 0 +9 *772:5 *1373:7 0 +10 *772:5 *1412:7 0 +11 *772:8 *794:14 0.00294144 +12 *772:8 *805:8 6.54746e-05 +13 *772:8 *1412:8 0 +14 *772:8 *1627:10 0.0176802 +15 *772:8 *1635:8 0.00219959 +16 *772:8 *1696:8 0.000540322 +17 *772:8 *1706:8 0.000549381 +18 *772:8 *1708:8 9.36702e-05 +19 *772:8 *1759:8 0.00470981 +20 *772:8 *1761:8 0.000262266 +21 *772:8 *1763:8 0.000102959 +22 *766:14 *772:8 2.65667e-05 +*RES +1 *2472:la_data_in_core[1] *772:5 45.9709 +2 *772:5 *772:7 4.5 +3 *772:7 *772:8 278.58 +4 *772:8 *2473:la_data_in[1] 42.5811 +*END + +*D_NET *773 0.00835705 +*CONN +*I *2473:la_data_in[20] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[20] O *D mgmt_protect +*CAP +1 *2473:la_data_in[20] 0.00142809 +2 *2472:la_data_in_core[20] 0.00169882 +3 *773:10 0.00222917 +4 *773:5 0.0024999 +5 *773:5 *2472:la_data_out_core[20] 0 +6 *773:5 *1411:5 0 +7 *773:10 *1409:8 3.40535e-05 +8 *773:10 *1410:10 0 +9 *773:10 *1413:10 0.000457836 +10 *769:8 *773:10 9.18559e-06 +*RES +1 *2472:la_data_in_core[20] *773:5 46.8014 +2 *773:5 *773:10 34.126 +3 *773:10 *2473:la_data_in[20] 37.2506 +*END + +*D_NET *774 0.00743092 +*CONN +*I *2473:la_data_in[21] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[21] O *D mgmt_protect +*CAP +1 *2473:la_data_in[21] 0.00146258 +2 *2472:la_data_in_core[21] 0.00136389 +3 *774:10 0.00194462 +4 *774:7 0.00184593 +5 *2473:la_data_in[21] *2472:la_data_out_core[19] 0.000330393 +6 *2473:la_data_in[21] *1411:5 0.000483512 +7 *774:7 *2472:la_data_out_core[21] 0 +8 *774:7 *1413:5 0 +*RES +1 *2472:la_data_in_core[21] *774:7 42.1658 +2 *774:7 *774:10 16.3155 +3 *774:10 *2473:la_data_in[21] 46.3861 +*END + +*D_NET *775 0.00640433 +*CONN +*I *2473:la_data_in[22] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[22] O *D mgmt_protect +*CAP +1 *2473:la_data_in[22] 0.000112537 +2 *2472:la_data_in_core[22] 0.00308963 +3 *775:5 0.00320216 +4 *775:5 *2472:la_data_out_core[22] 0 +5 *775:5 *1414:5 0 +*RES +1 *2472:la_data_in_core[22] *775:5 83.9664 +2 *775:5 *2473:la_data_in[22] 1.20912 +*END + +*D_NET *776 0.00752009 +*CONN +*I *2473:la_data_in[23] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[23] O *D mgmt_protect +*CAP +1 *2473:la_data_in[23] 0.0019518 +2 *2472:la_data_in_core[23] 0.00166174 +3 *776:10 0.0019518 +4 *776:8 0.00166174 +5 *2473:la_data_in[23] *2472:la_data_out_core[24] 0 +6 *2473:la_data_in[23] *778:7 0.000129716 +7 *2473:la_data_in[23] *1417:7 0.000163304 +8 *776:8 *2472:la_data_out_core[23] 0 +9 *776:8 *1415:7 0 +*RES +1 *2472:la_data_in_core[23] *776:8 48.4493 +2 *776:8 *776:10 4.5 +3 *776:10 *2473:la_data_in[23] 54.6912 +*END + +*D_NET *777 0.0113092 +*CONN +*I *2473:la_data_in[24] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[24] O *D mgmt_protect +*CAP +1 *2473:la_data_in[24] 0.00175037 +2 *2472:la_data_in_core[24] 0.00136069 +3 *777:10 0.00205435 +4 *777:7 0.00166466 +5 *2473:la_data_in[24] *781:7 0 +6 *777:7 *2472:la_data_out_core[24] 0 +7 *777:7 *2473:la_oenb[22] 8.62625e-06 +8 *777:7 *1416:7 0 +9 *777:10 *1032:10 6.44576e-05 +10 *777:10 *1033:10 0.00238891 +11 *777:10 *1416:10 0.00201716 +*RES +1 *2472:la_data_in_core[24] *777:7 41.7506 +2 *777:7 *777:10 32.399 +3 *777:10 *2473:la_data_in[24] 46.8014 +*END + +*D_NET *778 0.0128138 +*CONN +*I *2473:la_data_in[25] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[25] O *D mgmt_protect +*CAP +1 *2473:la_data_in[25] 0.00183609 +2 *2472:la_data_in_core[25] 0.00124441 +3 *778:10 0.00232759 +4 *778:7 0.00173591 +5 *778:7 *2472:la_data_out_core[25] 0 +6 *778:7 *1417:7 0 +7 *778:10 *781:8 1.75155e-06 +8 *778:10 *1032:10 0.000543039 +9 *778:10 *1033:10 0.000530719 +10 *778:10 *1034:8 0.00348482 +11 *778:10 *1037:14 3.42853e-05 +12 *778:10 *1038:14 0.000645042 +13 *778:10 *1417:10 0.000185642 +14 *778:10 *1421:10 0.000114773 +15 *2473:la_data_in[23] *778:7 0.000129716 +*RES +1 *2472:la_data_in_core[25] *778:7 40.0896 +2 *778:7 *778:10 45.7095 +3 *778:10 *2473:la_data_in[25] 48.4624 +*END + +*D_NET *779 0.0138226 +*CONN +*I *2473:la_data_in[26] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[26] O *D mgmt_protect +*CAP +1 *2473:la_data_in[26] 0.00216473 +2 *2472:la_data_in_core[26] 0.000967137 +3 *779:10 0.00216473 +4 *779:8 0.00128828 +5 *779:7 0.00225542 +6 *2473:la_data_in[26] *2472:la_data_out_core[32] 0 +7 *2473:la_data_in[26] *2472:la_data_out_core[33] 0 +8 *2473:la_data_in[26] *787:7 8.50881e-05 +9 *2473:la_data_in[26] *1426:7 0.000112532 +10 *779:7 *2472:la_data_out_core[26] 0 +11 *779:7 *1418:7 0 +12 *779:8 *1418:8 0.00449543 +13 *779:8 *1419:8 0.000289241 +14 *779:8 *1426:8 0 +*RES +1 *2472:la_data_in_core[26] *779:7 30.954 +2 *779:7 *779:8 55.0746 +3 *779:8 *779:10 4.5 +4 *779:10 *2473:la_data_in[26] 57.5979 +*END + +*D_NET *780 0.0167427 +*CONN +*I *2473:la_data_in[27] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[27] O *D mgmt_protect +*CAP +1 *2473:la_data_in[27] 0.00183808 +2 *2472:la_data_in_core[27] 0.000879506 +3 *780:10 0.00183808 +4 *780:8 0.00132603 +5 *780:7 0.00220554 +6 *2473:la_data_in[27] *2472:la_data_out_core[35] 0.00133273 +7 *2473:la_data_in[27] *789:7 0.000631259 +8 *2473:la_data_in[27] *790:5 0 +9 *2473:la_data_in[27] *1429:5 8.92568e-06 +10 *780:7 *2472:la_data_out_core[27] 0 +11 *780:7 *1419:7 0 +12 *780:7 *1420:7 0 +13 *780:8 *1036:8 5.04054e-06 +14 *780:8 *1419:8 0.00567179 +15 *780:8 *1420:8 0.00100572 +*RES +1 *2472:la_data_in_core[27] *780:7 28.4625 +2 *780:7 *780:8 67.8304 +3 *780:8 *780:10 4.5 +4 *780:10 *2473:la_data_in[27] 60.0894 +*END + +*D_NET *781 0.0154853 +*CONN +*I *2473:la_data_in[28] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[28] O *D mgmt_protect +*CAP +1 *2473:la_data_in[28] 0.00170466 +2 *2472:la_data_in_core[28] 0.0014389 +3 *781:10 0.00170466 +4 *781:8 0.00210793 +5 *781:7 0.00354683 +6 *2473:la_data_in[28] *2472:la_data_out_core[37] 0 +7 *2473:la_data_in[28] *2472:la_data_out_core[38] 0.000133726 +8 *2473:la_data_in[28] *791:13 0 +9 *2473:la_data_in[28] *792:7 0.000178851 +10 *2473:la_data_in[28] *1431:7 0 +11 *2473:la_data_in[28] *1432:5 0 +12 *781:7 *2472:la_data_out_core[28] 0 +13 *781:7 *1033:5 0 +14 *781:7 *1420:7 0 +15 *781:8 *1033:10 0.000118245 +16 *781:8 *1037:8 0.00216729 +17 *781:8 *1037:14 0.00238247 +18 *2473:la_data_in[24] *781:7 0 +19 *778:10 *781:8 1.75155e-06 +*RES +1 *2472:la_data_in_core[28] *781:7 42.1658 +2 *781:7 *781:8 81.6955 +3 *781:8 *781:10 4.5 +4 *781:10 *2473:la_data_in[28] 46.3861 +*END + +*D_NET *782 0.0256683 +*CONN +*I *2473:la_data_in[29] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[29] O *D mgmt_protect +*CAP +1 *2473:la_data_in[29] 0.0017199 +2 *2472:la_data_in_core[29] 0.00124857 +3 *782:16 0.0017199 +4 *782:14 0.000664636 +5 *782:13 0.00103562 +6 *782:7 0.00161956 +7 *2473:la_data_in[29] *1052:11 0 +8 *2473:la_data_in[29] *1435:5 0.00105786 +9 *782:7 *2472:la_data_out_core[29] 0 +10 *782:7 *1421:7 0 +11 *782:13 *784:8 6.44576e-05 +12 *782:13 *1034:5 8.62625e-06 +13 *782:13 *1034:8 1.7672e-05 +14 *782:13 *1035:14 0.00157297 +15 *782:13 *1040:10 0.000113197 +16 *782:13 *1417:10 2.93863e-05 +17 *782:13 *1422:8 4.4379e-05 +18 *782:13 *1424:8 0.000326398 +19 *782:14 *1038:8 0.00706051 +20 *782:14 *1038:14 9.16785e-05 +21 *782:14 *1422:8 0.00727297 +*RES +1 *2472:la_data_in_core[29] *782:7 37.598 +2 *782:7 *782:13 28.0541 +3 *782:13 *782:14 77.8133 +4 *782:14 *782:16 4.5 +5 *782:16 *2473:la_data_in[29] 48.8776 +*END + +*D_NET *783 0.0480957 +*CONN +*I *2473:la_data_in[2] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[2] O *D mgmt_protect +*CAP +1 *2473:la_data_in[2] 0.00200104 +2 *2472:la_data_in_core[2] 0.00153649 +3 *783:10 0.00200104 +4 *783:8 0.00297264 +5 *783:7 0.00450913 +6 *2473:la_data_in[2] *1635:5 7.08059e-05 +7 *2473:la_data_in[2] *1767:7 0 +8 *2473:la_data_in[2] *2188:5 0.000157739 +9 *783:7 *2472:la_data_out_core[2] 0 +10 *783:7 *1412:7 0 +11 *783:8 *1039:12 0.0242219 +12 *783:8 *1116:8 0.000167272 +13 *783:8 *1478:8 3.94365e-05 +14 *783:8 *1489:8 0.000223991 +15 *783:8 *1500:8 0.00427329 +16 *783:8 *1622:8 0.0031296 +17 *783:8 *1622:12 7.68538e-06 +18 *783:8 *1691:8 0.00246556 +19 *733:14 *783:8 0.000318072 +*RES +1 *2472:la_data_in_core[2] *783:7 42.9963 +2 *783:7 *783:8 265.269 +3 *783:8 *783:10 4.5 +4 *783:10 *2473:la_data_in[2] 45.5556 +*END + +*D_NET *784 0.0280935 +*CONN +*I *2473:la_data_in[30] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[30] O *D mgmt_protect +*CAP +1 *2473:la_data_in[30] 0.00202328 +2 *2472:la_data_in_core[30] 0.0012992 +3 *784:10 0.00202328 +4 *784:8 0.00101155 +5 *784:7 0.00231076 +6 *2473:la_data_in[30] *798:7 0 +7 *2473:la_data_in[30] *799:7 0 +8 *2473:la_data_in[30] *1040:7 0 +9 *2473:la_data_in[30] *1438:7 0 +10 *784:7 *2472:la_data_out_core[30] 0 +11 *784:7 *1422:7 0 +12 *784:8 *797:8 0 +13 *784:8 *798:8 0 +14 *784:8 *1040:10 0.00988967 +15 *784:8 *1422:8 0.00947126 +16 *782:13 *784:8 6.44576e-05 +*RES +1 *2472:la_data_in_core[30] *784:7 38.8438 +2 *784:7 *784:8 108.316 +3 *784:8 *784:10 4.5 +4 *784:10 *2473:la_data_in[30] 49.7081 +*END + +*D_NET *785 0.0306266 +*CONN +*I *2473:la_data_in[31] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[31] O *D mgmt_protect +*CAP +1 *2473:la_data_in[31] 0.00215772 +2 *2472:la_data_in_core[31] 0.00119793 +3 *785:10 0.00215772 +4 *785:8 0.00113977 +5 *785:7 0.0023377 +6 *2473:la_data_in[31] *2472:la_data_out_core[45] 0 +7 *2473:la_data_in[31] *2472:la_data_out_core[46] 0 +8 *2473:la_data_in[31] *1440:7 0 +9 *785:7 *2472:la_data_out_core[31] 0 +10 *785:7 *1424:7 0 +11 *785:8 *786:8 0.000113197 +12 *785:8 *1035:10 3.59302e-05 +13 *785:8 *1035:14 5.07314e-05 +14 *785:8 *1041:8 0.0110812 +15 *785:8 *1042:8 0.0103548 +*RES +1 *2472:la_data_in_core[31] *785:7 36.3523 +2 *785:7 *785:8 121.072 +3 *785:8 *785:10 4.5 +4 *785:10 *2473:la_data_in[31] 52.1996 +*END + +*D_NET *786 0.0343717 +*CONN +*I *2473:la_data_in[32] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[32] O *D mgmt_protect +*CAP +1 *2473:la_data_in[32] 0.00195988 +2 *2472:la_data_in_core[32] 0.00110627 +3 *786:10 0.00195988 +4 *786:8 0.00120856 +5 *786:7 0.00231483 +6 *2473:la_data_in[32] *2472:la_data_out_core[48] 0 +7 *2473:la_data_in[32] *2472:la_data_out_core[49] 0 +8 *2473:la_data_in[32] *1443:5 0.00109013 +9 *786:7 *2472:la_data_out_core[32] 0 +10 *786:7 *2473:la_oenb[25] 0.000267602 +11 *786:7 *1425:7 0 +12 *786:8 *787:8 0.0118626 +13 *786:8 *1042:8 0.012383 +14 *786:8 *1426:8 0.000105652 +15 *785:8 *786:8 0.000113197 +*RES +1 *2472:la_data_in_core[32] *786:7 35.5218 +2 *786:7 *786:8 134.937 +3 *786:8 *786:10 4.5 +4 *786:10 *2473:la_data_in[32] 53.0301 +*END + +*D_NET *787 0.0354554 +*CONN +*I *2473:la_data_in[33] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[33] O *D mgmt_protect +*CAP +1 *2473:la_data_in[33] 0.00222667 +2 *2472:la_data_in_core[33] 0.00111507 +3 *787:10 0.00222667 +4 *787:8 0.00143916 +5 *787:7 0.00255424 +6 *2473:la_data_in[33] *2472:la_data_out_core[51] 0.000137372 +7 *2473:la_data_in[33] *1446:5 0 +8 *787:7 *2472:la_data_out_core[33] 0 +9 *787:7 *1426:7 0 +10 *787:8 *788:8 0.000282235 +11 *787:8 *1042:8 0.000306383 +12 *787:8 *1060:8 0 +13 *787:8 *1426:8 0.0132199 +14 *787:8 *1444:8 0 +15 *2473:la_data_in[26] *787:7 8.50881e-05 +16 *786:8 *787:8 0.0118626 +*RES +1 *2472:la_data_in_core[33] *787:7 35.1065 +2 *787:7 *787:8 147.693 +3 *787:8 *787:10 4.5 +4 *787:10 *2473:la_data_in[33] 53.4454 +*END + +*D_NET *788 0.0376625 +*CONN +*I *2473:la_data_in[34] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[34] O *D mgmt_protect +*CAP +1 *2473:la_data_in[34] 0.00229327 +2 *2472:la_data_in_core[34] 0.00111709 +3 *788:10 0.00229327 +4 *788:8 0.00163906 +5 *788:7 0.00275614 +6 *2473:la_data_in[34] *2472:la_data_out_core[53] 0 +7 *2473:la_data_in[34] *1437:13 0 +8 *788:7 *2472:la_data_out_core[33] 0 +9 *788:7 *2472:la_data_out_core[34] 0 +10 *788:7 *1427:7 0 +11 *788:8 *796:8 0.000306383 +12 *788:8 *1063:8 0 +13 *788:8 *1065:8 0 +14 *788:8 *1426:8 0.012487 +15 *788:8 *1427:8 0.014488 +16 *788:8 *1444:8 0 +17 *787:8 *788:8 0.000282235 +*RES +1 *2472:la_data_in_core[34] *788:7 34.276 +2 *788:7 *788:8 161.558 +3 *788:8 *788:10 4.5 +4 *788:10 *2473:la_data_in[34] 54.2759 +*END + +*D_NET *789 0.0397966 +*CONN +*I *2473:la_data_in[35] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[35] O *D mgmt_protect +*CAP +1 *2473:la_data_in[35] 0.00147088 +2 *2472:la_data_in_core[35] 8.20467e-05 +3 *789:10 0.00300131 +4 *789:9 0.00153043 +5 *789:7 0.00147694 +6 *789:5 0.00155899 +7 *2473:la_data_in[35] *1452:7 8.62625e-06 +8 *789:7 *2472:la_data_out_core[35] 0.00048253 +9 *789:7 *1428:7 0 +10 *789:10 *790:8 0.000315426 +11 *789:10 *1044:8 0 +12 *789:10 *1045:8 2.20702e-05 +13 *789:10 *1429:8 0.00134929 +14 *789:10 *1430:10 0.0149773 +15 *789:10 *1433:8 0.0128895 +16 *2473:la_data_in[27] *789:7 0.000631259 +*RES +1 *2472:la_data_in_core[35] *789:5 2.33274 +2 *789:5 *789:7 48.1326 +3 *789:7 *789:9 4.5 +4 *789:9 *789:10 172.096 +5 *789:10 *2473:la_data_in[35] 40.5048 +*END + +*D_NET *790 0.038728 +*CONN +*I *2473:la_data_in[36] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[36] O *D mgmt_protect +*CAP +1 *2473:la_data_in[36] 0.00142801 +2 *2472:la_data_in_core[36] 0.00193225 +3 *790:8 0.0033195 +4 *790:7 0.00189149 +5 *790:5 0.00193225 +6 *2473:la_data_in[36] *1450:13 8.62625e-06 +7 *790:5 *1036:5 0 +8 *790:5 *1046:11 0 +9 *790:5 *1429:5 0 +10 *790:8 *1046:8 0.000762044 +11 *790:8 *1051:8 0.00346376 +12 *790:8 *1430:10 0.0170334 +13 *790:8 *1446:8 0.00664129 +14 *2473:la_data_in[27] *790:5 0 +15 *789:10 *790:8 0.000315426 +*RES +1 *2472:la_data_in_core[36] *790:5 48.8776 +2 *790:5 *790:7 4.5 +3 *790:7 *790:8 188.179 +4 *790:8 *2473:la_data_in[36] 39.6743 +*END + +*D_NET *791 0.0460783 +*CONN +*I *2473:la_data_in[37] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[37] O *D mgmt_protect +*CAP +1 *2473:la_data_in[37] 0.00157245 +2 *2472:la_data_in_core[37] 0.000275961 +3 *791:14 0.00318686 +4 *791:13 0.00251812 +5 *791:9 0.00117967 +6 *2473:la_data_in[37] *819:7 0 +7 *791:9 *2472:la_data_out_core[37] 7.1812e-05 +8 *791:9 *1430:7 0 +9 *791:13 *2472:la_data_out_core[37] 0.00252743 +10 *791:13 *2473:la_oenb[27] 0.000421307 +11 *791:13 *1430:7 0 +12 *791:14 *793:20 0.00177227 +13 *791:14 *1044:8 7.92757e-06 +14 *791:14 *1045:8 0.0152937 +15 *791:14 *1047:8 4.89898e-06 +16 *791:14 *1047:12 0.0135476 +17 *791:14 *1071:8 0.00204671 +18 *791:14 *1429:8 0.000313928 +19 *791:14 *1433:8 3.59302e-05 +20 *791:14 *1454:8 0.000233938 +21 *791:14 *1457:10 0.00106767 +22 *2473:la_data_in[28] *791:13 0 +*RES +1 *2472:la_data_in_core[37] *791:9 7.73102 +2 *791:9 *791:13 47.0389 +3 *791:13 *791:14 198.162 +4 *791:14 *2473:la_data_in[37] 42.1658 +*END + +*D_NET *792 0.0445727 +*CONN +*I *2473:la_data_in[38] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[38] O *D mgmt_protect +*CAP +1 *2473:la_data_in[38] 0.00175756 +2 *2472:la_data_in_core[38] 0.00165639 +3 *792:12 0.00345246 +4 *792:10 0.00173015 +5 *792:8 0.00122871 +6 *792:7 0.00284986 +7 *2473:la_data_in[38] *821:11 0 +8 *2473:la_data_in[38] *822:5 0 +9 *792:7 *2472:la_data_out_core[38] 0 +10 *792:7 *1431:7 0 +11 *792:8 *1428:8 0.010852 +12 *792:8 *1428:14 0.000562618 +13 *792:8 *1431:8 0.0130166 +14 *792:12 *1048:8 0.000344505 +15 *792:12 *1054:12 0.00639663 +16 *792:12 *1076:8 0 +17 *792:12 *1428:14 0.000536581 +18 *792:12 *1431:8 9.82896e-06 +19 *792:12 *1447:14 0 +20 *2473:la_data_in[28] *792:7 0.000178851 +*RES +1 *2472:la_data_in_core[38] *792:7 48.3946 +2 *792:7 *792:8 138.265 +3 *792:8 *792:10 0.988641 +4 *792:10 *792:12 76.7041 +5 *792:12 *2473:la_data_in[38] 45.4878 +*END + +*D_NET *793 0.0514997 +*CONN +*I *2473:la_data_in[39] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[39] O *D mgmt_protect +*CAP +1 *2473:la_data_in[39] 0.0016563 +2 *2472:la_data_in_core[39] 0.00167279 +3 *793:20 0.00248336 +4 *793:18 0.000977063 +5 *793:8 0.00113914 +6 *793:7 0.000989132 +7 *793:5 0.00167279 +8 *2473:la_data_in[39] *824:5 0 +9 *793:5 *2472:la_data_out_core[39] 0 +10 *793:5 *1037:5 0.000523544 +11 *793:5 *1432:5 0 +12 *793:8 *1044:8 0.0120886 +13 *793:8 *1047:12 0.0123477 +14 *793:8 *1048:14 9.16621e-05 +15 *793:8 *1431:8 1.19856e-05 +16 *793:18 *2473:la_oenb[34] 0 +17 *793:18 *1047:10 3.30786e-05 +18 *793:20 *1439:14 0.00905776 +19 *793:20 *1457:10 0.00135332 +20 *793:20 *1457:12 7.45396e-05 +21 *793:20 *1459:8 0.00355462 +22 *791:14 *793:20 0.00177227 +*RES +1 *2472:la_data_in_core[39] *793:5 45.5556 +2 *793:5 *793:7 4.5 +3 *793:7 *793:8 131.055 +4 *793:8 *793:18 11.6306 +5 *793:18 *793:20 96.1152 +6 *793:20 *2473:la_data_in[39] 42.9963 +*END + +*D_NET *794 0.0485333 +*CONN +*I *2473:la_data_in[3] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[3] O *D mgmt_protect +*CAP +1 *2473:la_data_in[3] 0.00153202 +2 *2472:la_data_in_core[3] 0.000947149 +3 *794:14 0.00394954 +4 *794:13 0.00241752 +5 *794:11 0.00174242 +6 *794:7 0.00268957 +7 *2473:la_data_in[3] *2190:5 0.000143931 +8 *794:7 *2472:la_data_out_core[3] 0 +9 *794:7 *1423:7 0 +10 *794:11 *849:8 0 +11 *794:11 *1028:8 0 +12 *794:11 *1373:7 7.75059e-05 +13 *794:11 *1373:8 0 +14 *794:14 *805:8 0.019861 +15 *794:14 *1708:8 0.00122278 +16 *794:14 *1710:8 0.000353776 +17 *794:14 *1759:8 0.0106547 +18 *2473:la_data_in[14] *794:11 0 +19 *733:7 *794:11 0 +20 *772:5 *794:11 0 +21 *772:8 *794:14 0.00294144 +*RES +1 *2472:la_data_in_core[3] *794:7 30.1235 +2 *794:7 *794:11 46.9532 +3 *794:11 *794:13 4.5 +4 *794:13 *794:14 231.438 +5 *794:14 *2473:la_data_in[3] 41.3353 +*END + +*D_NET *795 0.0473564 +*CONN +*I *2473:la_data_in[40] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[40] O *D mgmt_protect +*CAP +1 *2473:la_data_in[40] 0.00248631 +2 *2472:la_data_in_core[40] 0.00104016 +3 *795:10 0.00248631 +4 *795:8 0.00378109 +5 *795:7 0.00482125 +6 *2473:la_data_in[40] *2472:la_data_out_core[69] 0 +7 *2473:la_data_in[40] *828:7 0 +8 *795:7 *2472:la_data_out_core[40] 0 +9 *795:7 *1433:5 0 +10 *795:8 *796:8 0.0219261 +11 *795:8 *1054:18 0.00980994 +12 *795:8 *1081:8 0 +13 *795:8 *1427:8 0.000339591 +14 *795:8 *1437:8 0.000128915 +15 *795:8 *1448:8 0.00053673 +16 *795:8 *1464:8 0 +17 *795:8 *1465:10 0 +18 *795:8 *1466:8 0 +*RES +1 *2472:la_data_in_core[40] *795:7 33.0303 +2 *795:7 *795:8 241.421 +3 *795:8 *795:10 4.5 +4 *795:10 *2473:la_data_in[40] 55.5217 +*END + +*D_NET *796 0.0536407 +*CONN +*I *2473:la_data_in[41] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[41] O *D mgmt_protect +*CAP +1 *2473:la_data_in[41] 0.0023118 +2 *2472:la_data_in_core[41] 0.00106159 +3 *796:10 0.0023118 +4 *796:8 0.00308623 +5 *796:7 0.00414782 +6 *2473:la_data_in[41] *830:5 0 +7 *2473:la_data_in[41] *831:5 0 +8 *2473:la_data_in[41] *1470:9 0.00070507 +9 *796:7 *1052:11 0 +10 *796:7 *1435:5 0 +11 *796:8 *1065:8 0 +12 *796:8 *1067:10 0 +13 *796:8 *1069:12 0 +14 *796:8 *1070:14 0.000167409 +15 *796:8 *1075:14 0.00776607 +16 *796:8 *1084:8 0 +17 *796:8 *1086:8 0 +18 *796:8 *1427:8 0.00922354 +19 *796:8 *1453:8 3.40535e-05 +20 *796:8 *1455:8 0.000366401 +21 *796:8 *1458:8 0.000226377 +22 *796:8 *1466:8 0 +23 *796:8 *1469:8 0 +24 *788:8 *796:8 0.000306383 +25 *795:8 *796:8 0.0219261 +*RES +1 *2472:la_data_in_core[41] *796:7 33.4455 +2 *796:7 *796:8 254.732 +3 *796:8 *796:10 4.5 +4 *796:10 *2473:la_data_in[41] 55.1064 +*END + +*D_NET *797 0.0547136 +*CONN +*I *2473:la_data_in[42] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[42] O *D mgmt_protect +*CAP +1 *2473:la_data_in[42] 0.0018512 +2 *2472:la_data_in_core[42] 0.0014639 +3 *797:10 0.0018512 +4 *797:8 0.00369548 +5 *797:7 0.00515938 +6 *2473:la_data_in[42] *2472:la_data_out_core[75] 0.000284582 +7 *2473:la_data_in[42] *833:5 0.000312202 +8 *797:7 *2472:la_data_out_core[42] 0 +9 *797:7 *1436:5 0 +10 *797:8 *798:8 0.0244795 +11 *797:8 *1422:8 0 +12 *797:8 *1437:14 0.0156161 +13 *784:8 *797:8 0 +*RES +1 *2472:la_data_in_core[42] *797:7 42.1658 +2 *797:7 *797:8 268.042 +3 *797:8 *797:10 4.5 +4 *797:10 *2473:la_data_in[42] 46.3861 +*END + +*D_NET *798 0.0634456 +*CONN +*I *2473:la_data_in[43] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[43] O *D mgmt_protect +*CAP +1 *2473:la_data_in[43] 0.00174923 +2 *2472:la_data_in_core[43] 0.0014517 +3 *798:10 0.00174923 +4 *798:8 0.00227033 +5 *798:7 0.00372203 +6 *2473:la_data_in[43] *2472:la_data_out_core[77] 0 +7 *2473:la_data_in[43] *836:5 0.00136668 +8 *798:7 *2472:la_data_out_core[42] 0 +9 *798:7 *2472:la_data_out_core[43] 0 +10 *798:7 *2473:la_oenb[29] 0 +11 *798:7 *1437:7 0 +12 *798:7 *1438:7 0 +13 *798:8 *799:8 0.0257878 +14 *798:8 *1040:10 0 +15 *798:8 *1064:8 0.000167258 +16 *798:8 *1437:14 0.000612767 +17 *798:8 *1438:8 8.90486e-05 +18 *2473:la_data_in[30] *798:7 0 +19 *784:8 *798:8 0 +20 *797:8 *798:8 0.0244795 +*RES +1 *2472:la_data_in_core[43] *798:7 41.7506 +2 *798:7 *798:8 281.353 +3 *798:8 *798:10 4.5 +4 *798:10 *2473:la_data_in[43] 46.8014 +*END + +*D_NET *799 0.0662457 +*CONN +*I *2473:la_data_in[44] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[44] O *D mgmt_protect +*CAP +1 *2473:la_data_in[44] 0.00207625 +2 *2472:la_data_in_core[44] 0.00141235 +3 *799:14 0.00232626 +4 *799:8 0.00237039 +5 *799:7 0.00353273 +6 *2473:la_data_in[44] *840:5 0 +7 *2473:la_data_in[44] *1479:7 0 +8 *799:7 *2472:la_data_out_core[44] 0 +9 *799:7 *1040:7 8.22264e-05 +10 *799:7 *1438:7 0 +11 *799:8 *1064:8 0.000101869 +12 *799:8 *1438:8 0.0263656 +13 *799:14 *1056:14 1.75155e-06 +14 *799:14 *1064:8 0.00142007 +15 *799:14 *1438:8 0.000119063 +16 *799:14 *1477:10 0.000649254 +17 *2473:la_data_in[30] *799:7 0 +18 *798:8 *799:8 0.0257878 +*RES +1 *2472:la_data_in_core[44] *799:7 41.3353 +2 *799:7 *799:8 279.689 +3 *799:8 *799:14 21.0417 +4 *799:14 *2473:la_data_in[44] 45.9709 +*END + +*D_NET *800 0.0505619 +*CONN +*I *2473:la_data_in[45] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[45] O *D mgmt_protect +*CAP +1 *2473:la_data_in[45] 0.00287193 +2 *2472:la_data_in_core[45] 0.000645823 +3 *800:10 0.00287193 +4 *800:8 0.00738672 +5 *800:7 0.00803254 +6 *2473:la_data_in[45] *2472:la_data_out_core[83] 0.000596408 +7 *2473:la_data_in[45] *842:7 0 +8 *800:7 *2472:la_data_out_core[45] 0 +9 *800:7 *1439:7 0 +10 *800:8 *801:8 0.0281566 +11 *800:8 *1447:8 0 +*RES +1 *2472:la_data_in_core[45] *800:7 21.8185 +2 *800:7 *800:8 307.974 +3 *800:8 *800:10 4.5 +4 *800:10 *2473:la_data_in[45] 66.7335 +*END + +*D_NET *801 0.0711708 +*CONN +*I *2473:la_data_in[46] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[46] O *D mgmt_protect +*CAP +1 *2473:la_data_in[46] 0.0030867 +2 *2472:la_data_in_core[46] 0.000631218 +3 *801:10 0.0030867 +4 *801:8 0.00304416 +5 *801:7 0.00367537 +6 *2473:la_data_in[46] *2472:la_data_out_core[85] 0 +7 *2473:la_data_in[46] *2472:la_data_out_core[86] 0 +8 *2473:la_data_in[46] *1484:7 0.000144245 +9 *801:7 *2472:la_data_out_core[46] 0 +10 *801:7 *1440:7 0 +11 *801:8 *802:8 0.0293458 +12 *800:8 *801:8 0.0281566 +*RES +1 *2472:la_data_in_core[46] *801:7 21.4032 +2 *801:7 *801:8 321.284 +3 *801:8 *801:10 4.5 +4 *801:10 *2473:la_data_in[46] 67.1487 +*END + +*D_NET *802 0.0740897 +*CONN +*I *2473:la_data_in[47] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[47] O *D mgmt_protect +*CAP +1 *2473:la_data_in[47] 0.00294335 +2 *2472:la_data_in_core[47] 0.000616613 +3 *802:10 0.00294335 +4 *802:8 0.00315704 +5 *802:7 0.00377365 +6 *2473:la_data_in[47] *2472:la_data_out_core[88] 0.00016851 +7 *2473:la_data_in[47] *848:7 0 +8 *2473:la_data_in[47] *1487:7 0.000546668 +9 *802:7 *2472:la_data_out_core[47] 0 +10 *802:7 *1441:5 0 +11 *802:8 *803:8 0.0305946 +12 *801:8 *802:8 0.0293458 +*RES +1 *2472:la_data_in_core[47] *802:7 20.988 +2 *802:7 *802:8 334.595 +3 *802:8 *802:10 4.5 +4 *802:10 *2473:la_data_in[47] 67.564 +*END + +*D_NET *803 0.0781373 +*CONN +*I *2473:la_data_in[48] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[48] O *D mgmt_protect +*CAP +1 *2473:la_data_in[48] 0.00256471 +2 *2472:la_data_in_core[48] 0.000602008 +3 *803:10 0.00256471 +4 *803:8 0.0032548 +5 *803:7 0.00385681 +6 *2473:la_data_in[48] *851:7 0.00280314 +7 *2473:la_data_in[48] *1490:7 0 +8 *803:7 *2472:la_data_out_core[48] 0 +9 *803:7 *1442:7 0 +10 *803:8 *804:8 0.0318965 +11 *802:8 *803:8 0.0305946 +*RES +1 *2472:la_data_in_core[48] *803:7 20.5727 +2 *803:7 *803:8 347.905 +3 *803:8 *803:10 4.5 +4 *803:10 *2473:la_data_in[48] 67.9792 +*END + +*D_NET *804 0.0796996 +*CONN +*I *2473:la_data_in[49] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[49] O *D mgmt_protect +*CAP +1 *2473:la_data_in[49] 0.00318712 +2 *2472:la_data_in_core[49] 0.000587403 +3 *804:10 0.00318712 +4 *804:8 0.0033807 +5 *804:7 0.0039681 +6 *2473:la_data_in[49] *2472:la_data_out_core[94] 0 +7 *2473:la_data_in[49] *853:7 0 +8 *2473:la_data_in[49] *1109:11 0 +9 *2473:la_data_in[49] *1493:7 0.000413324 +10 *804:7 *2472:la_data_out_core[49] 0 +11 *804:7 *1443:5 0 +12 *804:8 *806:8 0.0330793 +13 *803:8 *804:8 0.0318965 +*RES +1 *2472:la_data_in_core[49] *804:7 20.1574 +2 *804:7 *804:8 361.216 +3 *804:8 *804:10 4.5 +4 *804:10 *2473:la_data_in[49] 68.3945 +*END + +*D_NET *805 0.0469001 +*CONN +*I *2473:la_data_in[4] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[4] O *D mgmt_protect +*CAP +1 *2473:la_data_in[4] 0.00151889 +2 *2472:la_data_in_core[4] 0.00184995 +3 *805:8 0.0044234 +4 *805:7 0.00290451 +5 *805:5 0.00184995 +6 *2473:la_data_in[4] *1641:5 0 +7 *805:5 *2472:la_data_out_core[4] 0 +8 *805:5 *1023:10 0 +9 *805:5 *1434:7 0 +10 *805:8 *1617:8 0.00199376 +11 *805:8 *1621:10 0 +12 *805:8 *1686:8 6.45664e-05 +13 *805:8 *1688:8 0 +14 *805:8 *1710:8 0.000538827 +15 *805:8 *1749:8 0.000114786 +16 *805:8 *1751:8 7.09348e-05 +17 *805:8 *1753:8 0 +18 *805:8 *1755:8 0 +19 *2473:la_data_in[15] *805:5 0 +20 *744:8 *805:8 0.0105674 +21 *766:14 *805:8 0.00107663 +22 *772:8 *805:8 6.54746e-05 +23 *794:14 *805:8 0.019861 +*RES +1 *2472:la_data_in_core[4] *805:5 47.6319 +2 *805:5 *805:7 4.5 +3 *805:7 *805:8 238.648 +4 *805:8 *2473:la_data_in[4] 40.9201 +*END + +*D_NET *806 0.0826264 +*CONN +*I *2473:la_data_in[50] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[50] O *D mgmt_protect +*CAP +1 *2473:la_data_in[50] 0.0030336 +2 *2472:la_data_in_core[50] 0.000572797 +3 *806:10 0.0030336 +4 *806:8 0.00349346 +5 *806:7 0.00406626 +6 *2473:la_data_in[50] *2472:la_data_out_core[96] 0.000248649 +7 *2473:la_data_in[50] *857:5 0 +8 *2473:la_data_in[50] *1496:5 0.000724021 +9 *806:7 *2472:la_data_out_core[50] 0 +10 *806:7 *1444:7 0 +11 *806:8 *807:8 0.0343748 +12 *804:8 *806:8 0.0330793 +*RES +1 *2472:la_data_in_core[50] *806:7 19.7422 +2 *806:7 *806:8 374.526 +3 *806:8 *806:10 4.5 +4 *806:10 *2473:la_data_in[50] 68.8097 +*END + +*D_NET *807 0.0860475 +*CONN +*I *2473:la_data_in[51] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[51] O *D mgmt_protect +*CAP +1 *2473:la_data_in[51] 0.00276887 +2 *2472:la_data_in_core[51] 0.000558192 +3 *807:10 0.00276887 +4 *807:8 0.00361769 +5 *807:7 0.00417588 +6 *2473:la_data_in[51] *859:5 0.00104653 +7 *2473:la_data_in[51] *1115:11 0 +8 *2473:la_data_in[51] *1449:17 0 +9 *2473:la_data_in[51] *1494:13 0.00117916 +10 *2473:la_data_in[51] *1498:17 0 +11 *807:7 *2472:la_data_out_core[51] 0 +12 *807:7 *1446:5 0 +13 *807:8 *808:8 0.0355576 +14 *806:8 *807:8 0.0343748 +*RES +1 *2472:la_data_in_core[51] *807:7 19.3269 +2 *807:7 *807:8 387.837 +3 *807:8 *807:10 4.5 +4 *807:10 *2473:la_data_in[51] 69.225 +*END + +*D_NET *808 0.0882702 +*CONN +*I *2473:la_data_in[52] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[52] O *D mgmt_protect +*CAP +1 *2473:la_data_in[52] 0.00316309 +2 *2472:la_data_in_core[52] 0.000543587 +3 *808:10 0.00316309 +4 *808:8 0.00374034 +5 *808:7 0.00428393 +6 *2473:la_data_in[52] *2472:la_data_out_core[102] 0 +7 *2473:la_data_in[52] *991:11 0.000205164 +8 *2473:la_data_in[52] *1375:5 0.000686056 +9 *808:7 *2472:la_data_out_core[52] 0 +10 *808:7 *1447:7 0 +11 *808:8 *809:8 0.0368466 +12 *736:7 *2473:la_data_in[52] 8.07629e-05 +13 *807:8 *808:8 0.0355576 +*RES +1 *2472:la_data_in_core[52] *808:7 18.9117 +2 *808:7 *808:8 401.147 +3 *808:8 *808:10 4.5 +4 *808:10 *2473:la_data_in[52] 69.6402 +*END + +*D_NET *809 0.0909098 +*CONN +*I *2473:la_data_in[53] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[53] O *D mgmt_protect +*CAP +1 *2473:la_data_in[53] 0.00346755 +2 *2472:la_data_in_core[53] 0.000528982 +3 *809:10 0.00346755 +4 *809:8 0.00386299 +5 *809:7 0.00439197 +6 *2473:la_data_in[53] *2472:la_data_out_core[104] 8.71463e-05 +7 *2473:la_data_in[53] *1378:7 0.000227718 +8 *809:7 *2472:la_data_out_core[53] 0 +9 *809:7 *1448:7 0 +10 *809:8 *810:8 0.0380294 +11 *809:8 *994:8 0 +12 *736:8 *809:8 0 +13 *737:8 *809:8 0 +14 *738:7 *2473:la_data_in[53] 0 +15 *738:8 *809:8 0 +16 *808:8 *809:8 0.0368466 +*RES +1 *2472:la_data_in_core[53] *809:7 18.4964 +2 *809:7 *809:8 414.458 +3 *809:8 *809:10 4.5 +4 *809:10 *2473:la_data_in[53] 70.0555 +*END + +*D_NET *810 0.0937655 +*CONN +*I *2473:la_data_in[54] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[54] O *D mgmt_protect +*CAP +1 *2473:la_data_in[54] 0.00340354 +2 *2472:la_data_in_core[54] 0.000514377 +3 *810:10 0.00340354 +4 *810:8 0.00395911 +5 *810:7 0.00447349 +6 *2473:la_data_in[54] *2472:la_data_out_core[107] 0.000555213 +7 *2473:la_data_in[54] *1380:7 0 +8 *810:7 *2472:la_data_out_core[54] 0 +9 *810:7 *1449:7 0 +10 *810:8 *811:8 0.0393184 +11 *810:8 *994:8 0 +12 *739:8 *810:8 0 +13 *740:8 *810:8 4.41346e-05 +14 *741:7 *2473:la_data_in[54] 4.90673e-05 +15 *741:8 *810:8 1.53125e-05 +16 *809:8 *810:8 0.0380294 +*RES +1 *2472:la_data_in_core[54] *810:7 18.0812 +2 *810:7 *810:8 427.768 +3 *810:8 *810:10 4.5 +4 *810:10 *2473:la_data_in[54] 70.4707 +*END + +*D_NET *811 0.076849 +*CONN +*I *2473:la_data_in[55] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[55] O *D mgmt_protect +*CAP +1 *2473:la_data_in[55] 0.00336367 +2 *2472:la_data_in_core[55] 0.000499772 +3 *811:10 0.00336367 +4 *811:8 0.00530789 +5 *811:7 0.00580766 +6 *2473:la_data_in[55] *2472:la_data_out_core[109] 0 +7 *2473:la_data_in[55] *1067:7 0 +8 *2473:la_data_in[55] *1383:9 0.00128379 +9 *811:7 *2472:la_data_out_core[55] 0 +10 *811:7 *1450:7 0 +11 *811:8 *813:8 0.0174285 +12 *741:8 *811:8 4.08456e-05 +13 *742:8 *811:8 0.000179244 +14 *743:8 *811:8 0.00025559 +15 *810:8 *811:8 0.0393184 +*RES +1 *2472:la_data_in_core[55] *811:7 17.6659 +2 *811:7 *811:8 441.079 +3 *811:8 *811:10 4.5 +4 *811:10 *2473:la_data_in[55] 70.886 +*END + +*D_NET *812 0.0976107 +*CONN +*I *2473:la_data_in[56] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[56] O *D mgmt_protect +*CAP +1 *2473:la_data_in[56] 0.00381121 +2 *2472:la_data_in_core[56] 0.000242468 +3 *812:10 0.00381121 +4 *812:8 0.00475951 +5 *812:7 0.00500198 +6 *2473:la_data_in[56] *2472:la_data_out_core[112] 0.000530985 +7 *2473:la_data_in[56] *1386:9 0 +8 *2473:la_data_in[56] *1387:5 0.000404197 +9 *812:7 *2472:la_data_out_core[56] 0 +10 *812:7 *1451:7 0 +11 *812:8 *814:8 0.000429692 +12 *812:8 *815:8 0.0401921 +13 *812:8 *1074:16 0.0384273 +14 *486:21 *812:8 0 +*RES +1 *2472:la_data_in_core[56] *812:7 10.6067 +2 *812:7 *812:8 454.389 +3 *812:8 *812:10 4.5 +4 *812:10 *2473:la_data_in[56] 77.9453 +*END + +*D_NET *813 0.066313 +*CONN +*I *2473:la_data_in[57] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[57] O *D mgmt_protect +*CAP +1 *2473:la_data_in[57] 0.000371404 +2 *2472:la_data_in_core[57] 0.000472006 +3 *813:11 0.00374455 +4 *813:10 0.00337314 +5 *813:8 0.00941203 +6 *813:7 0.00988404 +7 *813:7 *2472:la_data_out_core[57] 0 +8 *813:7 *1452:7 0 +9 *813:8 *832:8 0 +10 *813:8 *834:8 0 +11 *813:8 *839:8 0 +12 *813:8 *841:8 0 +13 *813:8 *843:8 0 +14 *813:8 *845:8 9.18958e-05 +15 *813:8 *847:8 0.00025618 +16 *813:8 *850:8 0.000372603 +17 *813:8 *852:8 0.000655 +18 *813:8 *854:8 0.0152855 +19 *813:11 *2472:la_data_out_core[114] 7.09666e-06 +20 *813:11 *2473:la_oenb[56] 0.00187058 +21 *813:11 *1381:15 0 +22 *813:11 *1388:7 0 +23 *813:11 *1389:7 0 +24 *112:33 *2473:la_data_in[57] 0.000101638 +25 *491:48 *2473:la_data_in[57] 0.000164024 +26 *493:48 *2473:la_data_in[57] 0.000297298 +27 *496:41 *2473:la_data_in[57] 0.000107951 +28 *497:34 *2473:la_data_in[57] 0.000154432 +29 *743:8 *813:8 2.90905e-05 +30 *745:8 *813:8 0.000179244 +31 *746:8 *813:8 0.000339591 +32 *747:8 *813:8 0.00171517 +33 *749:7 *813:11 0 +34 *811:8 *813:8 0.0174285 +*RES +1 *2472:la_data_in_core[57] *813:7 16.8354 +2 *813:7 *813:8 461.599 +3 *813:8 *813:10 4.5 +4 *813:10 *813:11 71.802 +5 *813:11 *2473:la_data_in[57] 6.26542 +*END + +*D_NET *814 0.0965181 +*CONN +*I *2473:la_data_in[58] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[58] O *D mgmt_protect +*CAP +1 *2473:la_data_in[58] 0.00389811 +2 *2472:la_data_in_core[58] 0.0003077 +3 *814:16 0.00406933 +4 *814:8 0.00663568 +5 *814:7 0.00677216 +6 *2473:la_data_in[58] *2472:la_data_out_core[117] 0 +7 *2473:la_data_in[58] *1392:7 0.00118109 +8 *2473:la_data_in[58] *1458:13 0 +9 *814:7 *2472:la_data_out_core[58] 0 +10 *814:7 *1453:7 0 +11 *814:8 *832:8 0.031691 +12 *814:8 *1074:16 0.000580091 +13 *814:8 *1077:8 0.000291294 +14 *814:8 *1078:8 0.0382149 +15 *814:16 *2472:la_data_out_core[116] 1.47102e-05 +16 *814:16 *1074:14 0.00120541 +17 *814:16 *1077:8 0.00119699 +18 *751:7 *814:16 2.99929e-05 +19 *753:7 *2473:la_data_in[58] 0 +20 *812:8 *814:8 0.000429692 +*RES +1 *2472:la_data_in_core[58] *814:7 12.2677 +2 *814:7 *814:8 468.254 +3 *814:8 *814:16 27.8414 +4 *814:16 *2473:la_data_in[58] 77.53 +*END + +*D_NET *815 0.0780131 +*CONN +*I *2473:la_data_in[59] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[59] O *D mgmt_protect +*CAP +1 *2473:la_data_in[59] 5.44382e-05 +2 *2472:la_data_in_core[59] 0.000227863 +3 *815:11 0.00436645 +4 *815:10 0.00431201 +5 *815:8 0.0108015 +6 *815:7 0.0110294 +7 *815:7 *2472:la_data_out_core[59] 0 +8 *815:7 *1454:5 0 +9 *815:8 *1074:8 0.000811595 +10 *815:8 *1074:14 0.00283866 +11 *815:8 *1074:16 0.000523547 +12 *815:11 *2472:la_data_out_core[120] 3.31882e-05 +13 *815:11 *1396:7 0 +14 *486:21 *815:8 0.00282239 +15 *756:5 *815:11 0 +16 *757:5 *815:11 0 +17 *812:8 *815:8 0.0401921 +*RES +1 *2472:la_data_in_core[59] *815:7 10.1914 +2 *815:7 *815:8 493.766 +3 *815:8 *815:10 4.5 +4 *815:10 *815:11 78.446 +5 *815:11 *2473:la_data_in[59] 0.647305 +*END + +*D_NET *816 0.0525565 +*CONN +*I *2473:la_data_in[5] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[5] O *D mgmt_protect +*CAP +1 *2473:la_data_in[5] 0.000170594 +2 *2472:la_data_in_core[5] 0.00116623 +3 *816:11 0.00233158 +4 *816:10 0.00216099 +5 *816:8 0.0017899 +6 *816:7 0.00295613 +7 *816:7 *2472:la_data_out_core[5] 0 +8 *816:7 *1445:7 0 +9 *816:8 *1061:8 0.0205416 +10 *816:8 *1072:8 0.0205481 +11 *816:8 *1445:8 0.000221463 +12 *816:11 *2472:mprj_dat_i_user[9] 0.00064738 +13 *816:11 *1072:5 0 +14 *816:11 *1644:7 2.25812e-05 +15 *816:11 *1759:13 0 +16 *816:11 *1775:7 0 +*RES +1 *2472:la_data_in_core[5] *816:7 36.3523 +2 *816:7 *816:8 223.674 +3 *816:8 *816:10 4.5 +4 *816:10 *816:11 52.2851 +5 *816:11 *2473:la_data_in[5] 1.77093 +*END + +*D_NET *817 0.0851696 +*CONN +*I *2473:la_data_in[60] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[60] O *D mgmt_protect +*CAP +1 *2473:la_data_in[60] 0.00103037 +2 *2472:la_data_in_core[60] 4.25268e-05 +3 *817:18 0.00210966 +4 *817:16 0.00109992 +5 *817:14 0.00195404 +6 *817:12 0.00195404 +7 *817:10 0.00251707 +8 *817:9 0.00249644 +9 *817:7 0.00236437 +10 *817:5 0.0024069 +11 *817:7 *1046:7 0.000812097 +12 *817:7 *1073:17 0 +13 *817:7 *1447:13 8.83765e-05 +14 *817:7 *1448:13 0.00051899 +15 *817:7 *1455:7 0 +16 *817:10 *818:8 0.0231415 +17 *817:10 *1073:14 0.0105174 +18 *817:14 *818:8 0.00770504 +19 *817:14 *999:8 0.000694957 +20 *817:14 *1073:14 0.0146589 +21 *817:18 *818:8 0.00681775 +22 *817:18 *999:8 0.000968322 +23 *817:18 *1002:8 7.92757e-06 +24 *817:18 *1004:8 0.001263 +*RES +1 *2472:la_data_in_core[60] *817:5 1.20912 +2 *817:5 *817:7 63.9122 +3 *817:7 *817:9 4.5 +4 *817:9 *817:10 251.127 +5 *817:10 *817:12 0.578717 +6 *817:12 *817:14 183.188 +7 *817:14 *817:16 0.578717 +8 *817:16 *817:18 72.5446 +9 *817:18 *2473:la_data_in[60] 24.7252 +*END + +*D_NET *818 0.104293 +*CONN +*I *2473:la_data_in[61] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[61] O *D mgmt_protect +*CAP +1 *2473:la_data_in[61] 0.00106483 +2 *2472:la_data_in_core[61] 0.00261857 +3 *818:8 0.0053861 +4 *818:7 0.00432127 +5 *818:5 0.00261857 +6 *818:5 *2472:la_data_out_core[61] 0 +7 *818:5 *2473:la_oenb[36] 0.000386365 +8 *818:5 *1073:17 0 +9 *818:5 *1457:5 0 +10 *818:5 *1458:7 0 +11 *818:8 *819:10 0.0482987 +12 *818:8 *1004:8 8.66138e-05 +13 *818:8 *1015:8 0.00184718 +14 *817:10 *818:8 0.0231415 +15 *817:14 *818:8 0.00770504 +16 *817:18 *818:8 0.00681775 +*RES +1 *2472:la_data_in_core[61] *818:5 63.4115 +2 *818:5 *818:7 4.5 +3 *818:7 *818:8 521.496 +4 *818:8 *2473:la_data_in[61] 25.1405 +*END + +*D_NET *819 0.108462 +*CONN +*I *2473:la_data_in[62] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[62] O *D mgmt_protect +*CAP +1 *2473:la_data_in[62] 0.00109622 +2 *2472:la_data_in_core[62] 8.20467e-05 +3 *819:10 0.00665851 +4 *819:9 0.00556229 +5 *819:7 0.00234938 +6 *819:5 0.00243143 +7 *819:7 *2472:la_data_out_core[62] 0.00169922 +8 *819:7 *1047:7 0 +9 *819:7 *1458:7 0 +10 *819:7 *1459:5 0 +11 *819:10 *825:10 0 +12 *819:10 *826:8 0 +13 *819:10 *828:10 0 +14 *819:10 *829:8 0 +15 *819:10 *830:8 0 +16 *819:10 *831:8 9.85339e-05 +17 *819:10 *833:8 0.00027844 +18 *819:10 *835:10 0.000171289 +19 *819:10 *836:8 0.0382921 +20 *819:10 *1015:8 0.000433749 +21 *2473:la_data_in[37] *819:7 0 +22 *763:8 *819:10 0.00101035 +23 *818:8 *819:10 0.0482987 +*RES +1 *2472:la_data_in_core[62] *819:5 2.33274 +2 *819:5 *819:7 63.0817 +3 *819:7 *819:9 4.5 +4 *819:9 *819:10 532.034 +5 *819:10 *2473:la_data_in[62] 25.5557 +*END + +*D_NET *820 0.0897629 +*CONN +*I *2473:la_data_in[63] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[63] O *D mgmt_protect +*CAP +1 *2473:la_data_in[63] 0.00144613 +2 *2472:la_data_in_core[63] 0.0024356 +3 *820:8 0.0122326 +4 *820:7 0.0107865 +5 *820:5 0.0024356 +6 *820:5 *2472:la_data_out_core[63] 0 +7 *820:5 *1047:7 0.000261642 +8 *820:5 *1459:5 0 +9 *820:8 *821:14 0.000202245 +10 *820:8 *822:8 0.0501965 +11 *820:8 *1002:14 0.00757942 +12 *820:8 *1004:14 2.18041e-06 +13 *820:8 *1049:8 0 +14 *820:8 *1053:8 0 +15 *820:8 *1091:8 0 +16 *820:8 *1093:8 0 +17 *820:8 *1109:8 0.000133943 +18 *820:8 *1432:8 0 +19 *820:8 *1435:8 0 +20 *761:8 *820:8 0.00205052 +*RES +1 *2472:la_data_in_core[63] *820:5 56.7674 +2 *820:5 *820:7 4.5 +3 *820:7 *820:8 548.117 +4 *820:8 *2473:la_data_in[63] 31.7845 +*END + +*D_NET *821 0.120864 +*CONN +*I *2473:la_data_in[64] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[64] O *D mgmt_protect +*CAP +1 *2473:la_data_in[64] 0.00140325 +2 *2472:la_data_in_core[64] 0.000247842 +3 *821:14 0.00568441 +4 *821:13 0.00428116 +5 *821:11 0.00215676 +6 *821:9 0.0024046 +7 *821:9 *2472:la_data_out_core[64] 0.000105145 +8 *821:9 *1460:7 0 +9 *821:11 *2472:la_data_out_core[64] 0.000178538 +10 *821:11 *2473:la_oenb[37] 0 +11 *821:11 *822:5 0 +12 *821:11 *1068:13 0.000588523 +13 *821:11 *1460:7 0 +14 *821:11 *1461:7 0 +15 *821:14 *822:8 0.0521995 +16 *821:14 *823:8 0.0514116 +17 *2473:la_data_in[38] *821:11 0 +18 *820:8 *821:14 0.000202245 +*RES +1 *2472:la_data_in_core[64] *821:9 7.16921 +2 *821:9 *821:11 53.7507 +3 *821:11 *821:13 4.5 +4 *821:13 *821:14 558.1 +5 *821:14 *2473:la_data_in[64] 30.954 +*END + +*D_NET *822 0.121573 +*CONN +*I *2473:la_data_in[65] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[65] O *D mgmt_protect +*CAP +1 *2473:la_data_in[65] 0.00141784 +2 *2472:la_data_in_core[65] 0.00256369 +3 *822:8 0.00584696 +4 *822:7 0.00442912 +5 *822:5 0.00256369 +6 *822:5 *2472:la_data_out_core[65] 0 +7 *822:5 *1048:7 0 +8 *822:5 *1066:13 0 +9 *822:5 *1461:7 0 +10 *822:5 *1462:7 0 +11 *822:8 *823:8 0.000921763 +12 *822:8 *1109:8 0.000133943 +13 *2473:la_data_in[38] *822:5 0 +14 *761:8 *822:8 0.000733044 +15 *761:12 *822:8 0.00056729 +16 *820:8 *822:8 0.0501965 +17 *821:11 *822:5 0 +18 *821:14 *822:8 0.0521995 +*RES +1 *2472:la_data_in_core[65] *822:5 57.1827 +2 *822:5 *822:7 4.5 +3 *822:7 *822:8 574.738 +4 *822:8 *2473:la_data_in[65] 31.3693 +*END + +*D_NET *823 0.124684 +*CONN +*I *2473:la_data_in[66] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[66] O *D mgmt_protect +*CAP +1 *2473:la_data_in[66] 0.00138182 +2 *2472:la_data_in_core[66] 0.00250005 +3 *823:8 0.00596153 +4 *823:7 0.00457971 +5 *823:5 0.00250005 +6 *823:5 *2472:la_data_out_core[66] 0 +7 *823:5 *2473:la_oenb[38] 0 +8 *823:5 *1048:7 0 +9 *823:5 *1064:13 0.000324473 +10 *823:5 *1066:13 0 +11 *823:5 *1462:7 0 +12 *823:5 *1463:7 0 +13 *823:8 *824:8 0.0547316 +14 *761:12 *823:8 0.000371382 +15 *821:14 *823:8 0.0514116 +16 *822:8 *823:8 0.000921763 +*RES +1 *2472:la_data_in_core[66] *823:5 58.0132 +2 *823:5 *823:7 4.5 +3 *823:7 *823:8 587.494 +4 *823:8 *2473:la_data_in[66] 30.5388 +*END + +*D_NET *824 0.128315 +*CONN +*I *2473:la_data_in[67] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[67] O *D mgmt_protect +*CAP +1 *2473:la_data_in[67] 0.00136038 +2 *2472:la_data_in_core[67] 0.0026417 +3 *824:8 0.00611758 +4 *824:7 0.0047572 +5 *824:5 0.0026417 +6 *824:5 *2472:la_data_out_core[67] 0 +7 *824:5 *2473:la_oenb[38] 0 +8 *824:5 *1463:7 0 +9 *824:5 *1464:7 0 +10 *824:8 *825:10 0.0557937 +11 *824:8 *1006:8 0.000134497 +12 *2473:la_data_in[39] *824:5 0 +13 *761:12 *824:8 0.000137013 +14 *761:17 *2473:la_data_in[67] 0 +15 *823:8 *824:8 0.0547316 +*RES +1 *2472:la_data_in_core[67] *824:5 58.4284 +2 *824:5 *824:7 4.5 +3 *824:7 *824:8 601.359 +4 *824:8 *2473:la_data_in[67] 30.1235 +*END + +*D_NET *825 0.131772 +*CONN +*I *2473:la_data_in[68] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[68] O *D mgmt_protect +*CAP +1 *2473:la_data_in[68] 0.00134722 +2 *2472:la_data_in_core[68] 8.20467e-05 +3 *825:10 0.00615006 +4 *825:9 0.00480283 +5 *825:7 0.00228997 +6 *825:5 0.00237202 +7 *825:7 *2472:la_data_out_core[68] 0.00140249 +8 *825:7 *826:5 0 +9 *825:7 *1049:7 0.000129499 +10 *825:7 *1464:7 0 +11 *825:7 *1465:10 0 +12 *825:10 *826:8 0.0572614 +13 *825:10 *1006:8 0.000141029 +14 *761:12 *825:10 0 +15 *819:10 *825:10 0 +16 *824:8 *825:10 0.0557937 +*RES +1 *2472:la_data_in_core[68] *825:5 2.33274 +2 *825:5 *825:7 58.9292 +3 *825:7 *825:9 4.5 +4 *825:9 *825:10 611.897 +5 *825:10 *2473:la_data_in[68] 29.7083 +*END + +*D_NET *826 0.134233 +*CONN +*I *2473:la_data_in[69] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[69] O *D mgmt_protect +*CAP +1 *2473:la_data_in[69] 0.00132579 +2 *2472:la_data_in_core[69] 0.00236729 +3 *826:8 0.0063207 +4 *826:7 0.00499491 +5 *826:5 0.00236729 +6 *826:5 *2472:la_data_out_core[69] 0 +7 *826:5 *2473:la_oenb[39] 0.000630902 +8 *826:5 *1465:10 0 +9 *826:5 *1465:13 0.000490751 +10 *826:8 *828:10 0.0583235 +11 *826:8 *1006:8 0.00015065 +12 *819:10 *826:8 0 +13 *825:7 *826:5 0 +14 *825:10 *826:8 0.0572614 +*RES +1 *2472:la_data_in_core[69] *826:5 59.2589 +2 *826:5 *826:7 4.5 +3 *826:7 *826:8 627.98 +4 *826:8 *2473:la_data_in[69] 29.293 +*END + +*D_NET *827 0.0480845 +*CONN +*I *2473:la_data_in[6] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[6] O *D mgmt_protect +*CAP +1 *2473:la_data_in[6] 0.00207152 +2 *2472:la_data_in_core[6] 0.00124849 +3 *827:10 0.00207152 +4 *827:8 0.00174688 +5 *827:7 0.00299537 +6 *2473:la_data_in[6] *2472:mprj_dat_i_user[12] 0 +7 *2473:la_data_in[6] *1616:7 0 +8 *2473:la_data_in[6] *1747:7 0.000437695 +9 *827:7 *2472:la_data_out_core[6] 0 +10 *827:7 *1456:7 0 +11 *827:8 *838:8 0.000306383 +12 *827:8 *1094:8 0.0173521 +13 *827:8 *1456:8 0.000590133 +14 *827:8 *1467:8 0.0186498 +15 *827:8 *1691:14 0.000301715 +16 *827:8 *1748:8 0.000312946 +*RES +1 *2472:la_data_in_core[6] *827:7 38.4285 +2 *827:7 *827:8 212.027 +3 *827:8 *827:10 4.5 +4 *827:10 *2473:la_data_in[6] 50.1234 +*END + +*D_NET *828 0.137423 +*CONN +*I *2473:la_data_in[70] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[70] O *D mgmt_protect +*CAP +1 *2473:la_data_in[70] 0.00130435 +2 *2472:la_data_in_core[70] 8.20467e-05 +3 *828:10 0.00627189 +4 *828:9 0.00496753 +5 *828:7 0.00238275 +6 *828:5 0.0024648 +7 *828:7 *2472:la_data_out_core[70] 0.00144949 +8 *828:7 *1051:7 0 +9 *828:7 *1466:7 0 +10 *828:7 *1468:7 0 +11 *828:10 *829:8 0.0598041 +12 *828:10 *1006:8 0.00037278 +13 *2473:la_data_in[40] *828:7 0 +14 *819:10 *828:10 0 +15 *826:8 *828:10 0.0583235 +*RES +1 *2472:la_data_in_core[70] *828:5 2.33274 +2 *828:5 *828:7 59.7597 +3 *828:7 *828:9 4.5 +4 *828:9 *828:10 638.518 +5 *828:10 *2473:la_data_in[70] 28.8777 +*END + +*D_NET *829 0.141061 +*CONN +*I *2473:la_data_in[71] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[71] O *D mgmt_protect +*CAP +1 *2473:la_data_in[71] 0.00128292 +2 *2472:la_data_in_core[71] 0.00200366 +3 *829:8 0.00639723 +4 *829:7 0.00511431 +5 *829:5 0.00200366 +6 *829:5 *2472:la_data_out_core[71] 0 +7 *829:5 *1051:7 0.000232269 +8 *829:5 *1468:7 0.00273745 +9 *829:8 *830:8 0.0610722 +10 *829:8 *1006:8 0.000412718 +11 *819:10 *829:8 0 +12 *828:10 *829:8 0.0598041 +*RES +1 *2472:la_data_in_core[71] *829:5 60.0894 +2 *829:5 *829:7 4.5 +3 *829:7 *829:8 654.601 +4 *829:8 *2473:la_data_in[71] 28.4625 +*END + +*D_NET *830 0.142323 +*CONN +*I *2473:la_data_in[72] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[72] O *D mgmt_protect +*CAP +1 *2473:la_data_in[72] 0.00125979 +2 *2472:la_data_in_core[72] 0.00277521 +3 *830:8 0.00645546 +4 *830:7 0.00519568 +5 *830:5 0.00277521 +6 *830:5 *2472:la_data_out_core[71] 0 +7 *830:5 *2472:la_data_out_core[72] 0 +8 *830:5 *2473:la_oenb[40] 0 +9 *830:5 *1469:7 0 +10 *830:5 *1470:9 0 +11 *830:8 *831:8 0.0623404 +12 *830:8 *1006:8 0.000448922 +13 *2473:la_data_in[41] *830:5 0 +14 *819:10 *830:8 0 +15 *829:8 *830:8 0.0610722 +*RES +1 *2472:la_data_in_core[72] *830:5 60.5047 +2 *830:5 *830:7 4.5 +3 *830:7 *830:8 667.911 +4 *830:8 *2473:la_data_in[72] 28.0472 +*END + +*D_NET *831 0.144461 +*CONN +*I *2473:la_data_in[73] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[73] O *D mgmt_protect +*CAP +1 *2473:la_data_in[73] 0.00123252 +2 *2472:la_data_in_core[73] 0.00280547 +3 *831:8 0.00656871 +4 *831:7 0.00533618 +5 *831:5 0.00280547 +6 *831:5 *2472:la_data_out_core[73] 0 +7 *831:5 *1052:7 0 +8 *831:5 *1470:9 0 +9 *831:8 *833:8 0.0628248 +10 *831:8 *1006:8 0.000448922 +11 *2473:la_data_in[41] *831:5 0 +12 *819:10 *831:8 9.85339e-05 +13 *830:8 *831:8 0.0623404 +*RES +1 *2472:la_data_in_core[73] *831:5 60.9199 +2 *831:5 *831:7 4.5 +3 *831:7 *831:8 680.667 +4 *831:8 *2473:la_data_in[73] 27.632 +*END + +*D_NET *832 0.149193 +*CONN +*I *2473:la_data_in[74] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[74] O *D mgmt_protect +*CAP +1 *2473:la_data_in[74] 0.00414198 +2 *2472:la_data_in_core[74] 0.00035647 +3 *832:10 0.00414198 +4 *832:8 0.00548327 +5 *832:7 0.00583974 +6 *832:7 *2472:la_data_out_core[74] 0 +7 *832:7 *1471:7 0 +8 *832:8 *834:8 0.0639076 +9 *832:8 *1007:14 0.0335707 +10 *832:8 *1078:8 5.9852e-05 +11 *813:8 *832:8 0 +12 *814:8 *832:8 0.031691 +*RES +1 *2472:la_data_in_core[74] *832:7 12.6829 +2 *832:7 *832:8 694.532 +3 *832:8 *832:10 4.5 +4 *832:10 *2473:la_data_in[74] 75.869 +*END + +*D_NET *833 0.148516 +*CONN +*I *2473:la_data_in[75] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[75] O *D mgmt_protect +*CAP +1 *2473:la_data_in[75] 0.00120526 +2 *2472:la_data_in_core[75] 0.002711 +3 *833:8 0.00697893 +4 *833:7 0.00577367 +5 *833:5 0.002711 +6 *833:5 *2472:la_data_out_core[75] 0 +7 *833:5 *2473:la_oenb[41] 0 +8 *833:5 *1472:7 0 +9 *833:8 *835:10 0.065308 +10 *833:8 *1006:8 0.000412718 +11 *2473:la_data_in[42] *833:5 0.000312202 +12 *819:10 *833:8 0.00027844 +13 *831:8 *833:8 0.0628248 +*RES +1 *2472:la_data_in_core[75] *833:5 61.3352 +2 *833:5 *833:7 4.5 +3 *833:7 *833:8 707.288 +4 *833:8 *2473:la_data_in[75] 27.2167 +*END + +*D_NET *834 0.151485 +*CONN +*I *2473:la_data_in[76] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[76] O *D mgmt_protect +*CAP +1 *2473:la_data_in[76] 0.00407633 +2 *2472:la_data_in_core[76] 0.000377906 +3 *834:10 0.00407633 +4 *834:8 0.00591092 +5 *834:7 0.00628883 +6 *834:7 *2472:la_data_out_core[76] 0 +7 *834:7 *1473:7 0 +8 *834:8 *839:8 0.0649875 +9 *834:8 *1007:14 0.00185942 +10 *813:8 *834:8 0 +11 *832:8 *834:8 0.0639076 +*RES +1 *2472:la_data_in_core[76] *834:7 13.0982 +2 *834:7 *834:8 721.153 +3 *834:8 *834:10 4.5 +4 *834:10 *2473:la_data_in[76] 75.4538 +*END + +*D_NET *835 0.154828 +*CONN +*I *2473:la_data_in[77] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[77] O *D mgmt_protect +*CAP +1 *2473:la_data_in[77] 0.001178 +2 *2472:la_data_in_core[77] 2.27669e-05 +3 *835:10 0.00703819 +4 *835:9 0.00586019 +5 *835:7 0.00226038 +6 *835:5 0.00228315 +7 *835:7 *2472:la_data_out_core[77] 0.000733893 +8 *835:7 *2473:la_oenb[42] 0.00127266 +9 *835:7 *1474:9 0 +10 *835:10 *836:8 0.000347135 +11 *835:10 *837:8 0.0679038 +12 *752:8 *835:10 0.000448922 +13 *819:10 *835:10 0.000171289 +14 *833:8 *835:10 0.065308 +*RES +1 *2472:la_data_in_core[77] *835:5 0.647305 +2 *835:5 *835:7 61.8359 +3 *835:7 *835:9 4.5 +4 *835:9 *835:10 733.355 +5 *835:10 *2473:la_data_in[77] 26.8015 +*END + +*D_NET *836 0.158082 +*CONN +*I *2473:la_data_in[78] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[78] O *D mgmt_protect +*CAP +1 *2473:la_data_in[78] 0.00112348 +2 *2472:la_data_in_core[78] 0.00258863 +3 *836:8 0.00647657 +4 *836:7 0.00535309 +5 *836:5 0.00258863 +6 *836:5 *2472:la_data_out_core[77] 0 +7 *836:5 *2472:la_data_out_core[78] 0 +8 *836:5 *1475:7 0 +9 *836:8 *837:8 0.0699599 +10 *2473:la_data_in[43] *836:5 0.00136668 +11 *752:8 *836:8 0.000209275 +12 *763:8 *836:8 0.00217356 +13 *763:12 *836:8 0.0276026 +14 *819:10 *836:8 0.0382921 +15 *835:10 *836:8 0.000347135 +*RES +1 *2472:la_data_in_core[78] *836:5 62.5809 +2 *836:5 *836:7 4.5 +3 *836:7 *836:8 747.774 +4 *836:8 *2473:la_data_in[78] 25.971 +*END + +*D_NET *837 0.159763 +*CONN +*I *2473:la_data_in[79] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[79] O *D mgmt_protect +*CAP +1 *2473:la_data_in[79] 0.00114246 +2 *2472:la_data_in_core[79] 0.00246133 +3 *837:8 0.00712999 +4 *837:7 0.00598753 +5 *837:5 0.00246133 +6 *837:5 *2472:la_data_out_core[79] 0 +7 *837:5 *2473:la_oenb[43] 0 +8 *837:5 *1054:7 0.000248404 +9 *837:5 *1476:7 0.000977067 +10 *752:8 *837:8 0.000593739 +11 *763:12 *837:8 0.000897615 +12 *835:10 *837:8 0.0679038 +13 *836:8 *837:8 0.0699599 +*RES +1 *2472:la_data_in_core[79] *837:5 62.1657 +2 *837:5 *837:7 4.5 +3 *837:7 *837:8 760.53 +4 *837:8 *2473:la_data_in[79] 26.3862 +*END + +*D_NET *838 0.0467053 +*CONN +*I *2473:la_data_in[7] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[7] O *D mgmt_protect +*CAP +1 *2473:la_data_in[7] 0.00201718 +2 *2472:la_data_in_core[7] 0.0012787 +3 *838:10 0.00201718 +4 *838:8 0.0014545 +5 *838:7 0.00273319 +6 *2473:la_data_in[7] *1619:5 0 +7 *2473:la_data_in[7] *1686:11 0.000188291 +8 *838:7 *2472:la_data_out_core[6] 0 +9 *838:7 *2472:la_data_out_core[7] 0 +10 *838:7 *1467:7 0 +11 *838:8 *860:8 0.0147145 +12 *838:8 *1083:8 0.000103234 +13 *838:8 *1094:8 0.0180849 +14 *838:8 *1691:14 0.00380725 +15 *827:8 *838:8 0.000306383 +*RES +1 *2472:la_data_in_core[7] *838:7 39.2591 +2 *838:7 *838:8 198.717 +3 *838:8 *838:10 4.5 +4 *838:10 *2473:la_data_in[7] 49.2929 +*END + +*D_NET *839 0.160196 +*CONN +*I *2473:la_data_in[80] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[80] O *D mgmt_protect +*CAP +1 *2473:la_data_in[80] 0.00396991 +2 *2472:la_data_in_core[80] 0.000399342 +3 *839:10 0.00396991 +4 *839:8 0.00632441 +5 *839:7 0.00672375 +6 *839:7 *2472:la_data_out_core[80] 0 +7 *839:7 *1477:9 0 +8 *839:8 *841:8 0.0716517 +9 *839:8 *1007:14 0.0021697 +10 *813:8 *839:8 0 +11 *834:8 *839:8 0.0649875 +*RES +1 *2472:la_data_in_core[80] *839:7 13.5134 +2 *839:7 *839:8 774.395 +3 *839:8 *839:10 4.5 +4 *839:10 *2473:la_data_in[80] 75.0385 +*END + +*D_NET *840 0.12368 +*CONN +*I *2473:la_data_in[81] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[81] O *D mgmt_protect +*CAP +1 *2473:la_data_in[81] 0.000552744 +2 *2472:la_data_in_core[81] 0.0027219 +3 *840:8 0.0164208 +4 *840:7 0.015868 +5 *840:5 0.0027219 +6 *840:5 *2472:la_data_out_core[81] 0 +7 *840:5 *1055:5 0 +8 *840:5 *1056:11 0.000901806 +9 *840:5 *1479:7 0.000979934 +10 *840:8 *842:10 0.0732882 +11 *840:8 *1073:8 0.0102246 +12 *2473:la_data_in[44] *840:5 0 +*RES +1 *2472:la_data_in_core[81] *840:5 71.3012 +2 *840:5 *840:7 4.5 +3 *840:7 *840:8 787.151 +4 *840:8 *2473:la_data_in[81] 17.2507 +*END + +*D_NET *841 0.167755 +*CONN +*I *2473:la_data_in[82] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[82] O *D mgmt_protect +*CAP +1 *2473:la_data_in[82] 0.0039091 +2 *2472:la_data_in_core[82] 0.000420779 +3 *841:10 0.0039091 +4 *841:8 0.00621676 +5 *841:7 0.00663754 +6 *841:7 *2472:la_data_out_core[82] 0 +7 *841:7 *1480:7 0 +8 *841:8 *843:8 0.0742331 +9 *841:8 *1007:14 0.000777216 +10 *813:8 *841:8 0 +11 *839:8 *841:8 0.0716517 +*RES +1 *2472:la_data_in_core[82] *841:7 13.9287 +2 *841:7 *841:8 801.016 +3 *841:8 *841:10 4.5 +4 *841:10 *2473:la_data_in[82] 74.6233 +*END + +*D_NET *842 0.171314 +*CONN +*I *2473:la_data_in[83] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[83] O *D mgmt_protect +*CAP +1 *2473:la_data_in[83] 0.000571443 +2 *2472:la_data_in_core[83] 6.22868e-05 +3 *842:10 0.00694844 +4 *842:9 0.006377 +5 *842:7 0.00261091 +6 *842:5 0.0026732 +7 *842:7 *2472:la_data_out_core[83] 0 +8 *842:7 *2473:la_oenb[44] 0 +9 *842:7 *1481:7 0.00281809 +10 *842:10 *844:10 0.0756651 +11 *2473:la_data_in[45] *842:7 0 +12 *753:10 *842:10 0.000299281 +13 *840:8 *842:10 0.0732882 +*RES +1 *2472:la_data_in_core[83] *842:5 1.77093 +2 *842:5 *842:7 70.9715 +3 *842:7 *842:9 4.5 +4 *842:9 *842:10 815.991 +5 *842:10 *2473:la_data_in[83] 17.6659 +*END + +*D_NET *843 0.172925 +*CONN +*I *2473:la_data_in[84] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[84] O *D mgmt_protect +*CAP +1 *2473:la_data_in[84] 0.00384339 +2 *2472:la_data_in_core[84] 0.000442215 +3 *843:10 0.00384339 +4 *843:8 0.00651351 +5 *843:7 0.00695573 +6 *843:7 *2472:la_data_out_core[84] 0 +7 *843:7 *1482:7 0 +8 *843:8 *845:8 0.0768145 +9 *843:8 *1007:14 0.00027925 +10 *813:8 *843:8 0 +11 *841:8 *843:8 0.0742331 +*RES +1 *2472:la_data_in_core[84] *843:7 14.3439 +2 *843:7 *843:8 827.637 +3 *843:8 *843:10 4.5 +4 *843:10 *2473:la_data_in[84] 74.208 +*END + +*D_NET *844 0.176284 +*CONN +*I *2473:la_data_in[85] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[85] O *D mgmt_protect +*CAP +1 *2473:la_data_in[85] 0.000590507 +2 *2472:la_data_in_core[85] 2.27669e-05 +3 *844:10 0.0070704 +4 *844:9 0.00647989 +5 *844:7 0.00257237 +6 *844:5 0.00259514 +7 *844:7 *2472:la_data_out_core[85] 0 +8 *844:7 *2473:la_oenb[45] 0.00190798 +9 *844:7 *1483:9 0.000783419 +10 *844:10 *846:8 0.0782416 +11 *844:10 *1008:8 0.000354801 +12 *842:10 *844:10 0.0756651 +*RES +1 *2472:la_data_in_core[85] *844:5 0.647305 +2 *844:5 *844:7 70.5562 +3 *844:7 *844:9 4.5 +4 *844:9 *844:10 840.393 +5 *844:10 *2473:la_data_in[85] 18.0812 +*END + +*D_NET *845 0.183058 +*CONN +*I *2473:la_data_in[86] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[86] O *D mgmt_protect +*CAP +1 *2473:la_data_in[86] 0.00377859 +2 *2472:la_data_in_core[86] 0.000463651 +3 *845:10 0.00377859 +4 *845:8 0.00670104 +5 *845:7 0.00716469 +6 *845:7 *2472:la_data_out_core[86] 0 +7 *845:7 *1484:7 0 +8 *845:8 *847:8 0.0793959 +9 *845:8 *1007:14 0 +10 *469:25 *845:8 0.00486911 +11 *813:8 *845:8 9.18958e-05 +12 *843:8 *845:8 0.0768145 +*RES +1 *2472:la_data_in_core[86] *845:7 14.7592 +2 *845:7 *845:8 854.258 +3 *845:8 *845:10 4.5 +4 *845:10 *2473:la_data_in[86] 73.7928 +*END + +*D_NET *846 0.180874 +*CONN +*I *2473:la_data_in[87] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[87] O *D mgmt_protect +*CAP +1 *2473:la_data_in[87] 0.000609627 +2 *2472:la_data_in_core[87] 0.00333416 +3 *846:8 0.0072844 +4 *846:7 0.00667477 +5 *846:5 0.00333416 +6 *846:5 *2472:la_data_out_core[87] 0 +7 *846:5 *2473:la_oenb[46] 0 +8 *846:5 *1057:7 0 +9 *846:5 *1485:7 0 +10 *846:8 *848:10 0.0807183 +11 *846:8 *1008:8 0.000677329 +12 *844:10 *846:8 0.0782416 +*RES +1 *2472:la_data_in_core[87] *846:5 70.0555 +2 *846:5 *846:7 4.5 +3 *846:7 *846:8 867.569 +4 *846:8 *2473:la_data_in[87] 18.4964 +*END + +*D_NET *847 0.189346 +*CONN +*I *2473:la_data_in[88] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[88] O *D mgmt_protect +*CAP +1 *2473:la_data_in[88] 0.00372178 +2 *2472:la_data_in_core[88] 0.000485087 +3 *847:10 0.00372178 +4 *847:8 0.00675507 +5 *847:7 0.00724016 +6 *847:7 *2472:la_data_out_core[88] 0 +7 *847:7 *1486:7 0 +8 *847:8 *850:8 0.0819708 +9 *847:8 *1007:14 0 +10 *469:25 *847:8 0.00579944 +11 *813:8 *847:8 0.00025618 +12 *845:8 *847:8 0.0793959 +*RES +1 *2472:la_data_in_core[88] *847:7 15.1744 +2 *847:7 *847:8 880.879 +3 *847:8 *847:10 4.5 +4 *847:10 *2473:la_data_in[88] 73.3775 +*END + +*D_NET *848 0.186249 +*CONN +*I *2473:la_data_in[89] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[89] O *D mgmt_protect +*CAP +1 *2473:la_data_in[89] 0.000628746 +2 *2472:la_data_in_core[89] 2.27669e-05 +3 *848:10 0.00749391 +4 *848:9 0.00686517 +5 *848:7 0.00335283 +6 *848:5 0.0033756 +7 *848:7 *2472:la_data_out_core[89] 0 +8 *848:7 *1058:5 0 +9 *848:7 *1487:7 0 +10 *848:10 *851:10 0.0830888 +11 *848:10 *1010:8 0.000702361 +12 *2473:la_data_in[47] *848:7 0 +13 *846:8 *848:10 0.0807183 +*RES +1 *2472:la_data_in_core[89] *848:5 0.647305 +2 *848:5 *848:7 69.7257 +3 *848:7 *848:9 4.5 +4 *848:9 *848:10 893.635 +5 *848:10 *2473:la_data_in[89] 18.9117 +*END + +*D_NET *849 0.0315572 +*CONN +*I *2473:la_data_in[8] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[8] O *D mgmt_protect +*CAP +1 *2473:la_data_in[8] 0.00238238 +2 *2472:la_data_in_core[8] 0.000823496 +3 *849:10 0.00238238 +4 *849:8 0.00516613 +5 *849:7 0.00598963 +6 *2473:la_data_in[8] *2472:mprj_dat_i_user[17] 0 +7 *2473:la_data_in[8] *1621:7 0.0014842 +8 *2473:la_data_in[8] *1753:5 0 +9 *849:7 *2472:la_data_out_core[8] 0 +10 *849:7 *1478:7 0 +11 *849:8 *989:8 0.0115076 +12 *849:8 *1765:8 0.00182139 +13 *794:11 *849:8 0 +*RES +1 *2472:la_data_in_core[8] *849:7 26.8015 +2 *849:7 *849:8 185.406 +3 *849:8 *849:10 4.5 +4 *849:10 *2473:la_data_in[8] 61.7504 +*END + +*D_NET *850 0.195713 +*CONN +*I *2473:la_data_in[90] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[90] O *D mgmt_protect +*CAP +1 *2473:la_data_in[90] 0.00365698 +2 *2472:la_data_in_core[90] 0.000506524 +3 *850:10 0.00365698 +4 *850:8 0.00683441 +5 *850:7 0.00734093 +6 *850:7 *2472:la_data_out_core[90] 0 +7 *850:7 *1488:7 0 +8 *850:8 *852:8 0.0845522 +9 *469:25 *850:8 0.00682183 +10 *813:8 *850:8 0.000372603 +11 *847:8 *850:8 0.0819708 +*RES +1 *2472:la_data_in_core[90] *850:7 15.5897 +2 *850:7 *850:8 907.5 +3 *850:8 *850:10 4.5 +4 *850:10 *2473:la_data_in[90] 72.9623 +*END + +*D_NET *851 0.194852 +*CONN +*I *2473:la_data_in[91] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[91] O *D mgmt_protect +*CAP +1 *2473:la_data_in[91] 0.000647866 +2 *2472:la_data_in_core[91] 8.20467e-05 +3 *851:10 0.00762733 +4 *851:9 0.00697947 +5 *851:7 0.00199526 +6 *851:5 0.00207731 +7 *851:7 *2472:la_data_out_core[91] 0.00276308 +8 *851:7 *1490:7 0 +9 *851:10 *853:10 0.0857248 +10 *851:10 *1010:8 0.00106325 +11 *2473:la_data_in[48] *851:7 0.00280314 +12 *848:10 *851:10 0.0830888 +*RES +1 *2472:la_data_in_core[91] *851:5 2.33274 +2 *851:5 *851:7 69.3105 +3 *851:7 *851:9 4.5 +4 *851:9 *851:10 918.592 +5 *851:10 *2473:la_data_in[91] 19.3269 +*END + +*D_NET *852 0.20222 +*CONN +*I *2473:la_data_in[92] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[92] O *D mgmt_protect +*CAP +1 *2473:la_data_in[92] 0.00359297 +2 *2472:la_data_in_core[92] 0.00052796 +3 *852:10 0.00359297 +4 *852:8 0.00686814 +5 *852:7 0.0073961 +6 *852:7 *2472:la_data_out_core[92] 0 +7 *852:7 *1491:7 0 +8 *852:8 *854:8 0.0871336 +9 *469:25 *852:8 0.007901 +10 *813:8 *852:8 0.000655 +11 *850:8 *852:8 0.0845522 +*RES +1 *2472:la_data_in_core[92] *852:7 16.0049 +2 *852:7 *852:8 934.121 +3 *852:8 *852:10 4.5 +4 *852:10 *2473:la_data_in[92] 72.547 +*END + +*D_NET *853 0.200269 +*CONN +*I *2473:la_data_in[93] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[93] O *D mgmt_protect +*CAP +1 *2473:la_data_in[93] 0.000666985 +2 *2472:la_data_in_core[93] 4.25268e-05 +3 *853:10 0.00782966 +4 *853:9 0.00716267 +5 *853:7 0.00189931 +6 *853:5 0.00194184 +7 *853:5 *1492:9 0 +8 *853:7 *2473:la_oenb[48] 0.000255256 +9 *853:7 *1109:11 0.0028024 +10 *853:7 *1492:9 7.1812e-05 +11 *853:7 *1492:11 0.00245299 +12 *853:10 *855:8 0.0883544 +13 *853:10 *1073:14 0 +14 *2473:la_data_in[49] *853:7 0 +15 *754:8 *853:10 0.0010644 +16 *851:10 *853:10 0.0857248 +*RES +1 *2472:la_data_in_core[93] *853:5 1.20912 +2 *853:5 *853:7 68.8952 +3 *853:7 *853:9 4.5 +4 *853:9 *853:10 946.323 +5 *853:10 *2473:la_data_in[93] 19.7422 +*END + +*D_NET *854 0.209724 +*CONN +*I *2473:la_data_in[94] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[94] O *D mgmt_protect +*CAP +1 *2473:la_data_in[94] 0.00352773 +2 *2472:la_data_in_core[94] 0.000549396 +3 *854:10 0.00352773 +4 *854:8 0.00693721 +5 *854:7 0.0074866 +6 *854:7 *2472:la_data_out_core[94] 0 +7 *854:7 *1493:7 0 +8 *854:8 *1005:8 0.0759493 +9 *469:25 *854:8 0.0093123 +10 *747:8 *854:8 1.41689e-05 +11 *813:8 *854:8 0.0152855 +12 *852:8 *854:8 0.0871336 +*RES +1 *2472:la_data_in_core[94] *854:7 16.4202 +2 *854:7 *854:8 961.297 +3 *854:8 *854:10 4.5 +4 *854:10 *2473:la_data_in[94] 72.1318 +*END + +*D_NET *855 0.203585 +*CONN +*I *2473:la_data_in[95] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[95] O *D mgmt_protect +*CAP +1 *2473:la_data_in[95] 0.000686105 +2 *2472:la_data_in_core[95] 0.00339768 +3 *855:8 0.00804671 +4 *855:7 0.0073606 +5 *855:5 0.00339768 +6 *855:5 *2472:la_data_out_core[94] 0 +7 *855:5 *2472:la_data_out_core[95] 0 +8 *855:5 *2473:la_oenb[49] 0 +9 *855:5 *1060:5 0 +10 *855:5 *1494:10 0 +11 *855:8 *857:8 0.0908843 +12 *855:8 *1073:14 0 +13 *754:8 *855:8 0.00145706 +14 *853:10 *855:8 0.0883544 +*RES +1 *2472:la_data_in_core[95] *855:5 68.3945 +2 *855:5 *855:7 4.5 +3 *855:7 *855:8 974.053 +4 *855:8 *2473:la_data_in[95] 20.1574 +*END + +*D_NET *856 0.151461 +*CONN +*I *2473:la_data_in[96] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[96] O *D mgmt_protect +*CAP +1 *2473:la_data_in[96] 0.0029524 +2 *2472:la_data_in_core[96] 0.000920969 +3 *856:10 0.0029524 +4 *856:8 0.0202517 +5 *856:7 0.0211726 +6 *856:7 *2472:la_data_out_core[96] 0 +7 *856:7 *1495:7 0 +8 *856:8 *858:8 0.092423 +9 *856:8 *1001:8 0.00244324 +10 *856:8 *1009:14 0.000765341 +11 *856:8 *1014:16 0.00334801 +12 *856:8 *1017:14 0.000586436 +13 *856:8 *1070:8 0.000351226 +14 *856:8 *1079:8 0 +15 *856:8 *1081:8 0 +16 *856:8 *1393:10 0.000842351 +17 *856:8 *1449:12 0.000703115 +18 *856:8 *1451:12 0.000394741 +19 *856:8 *1458:14 0.00108523 +20 *856:8 *1460:14 0 +21 *856:8 *1460:18 0.000268186 +22 *856:8 *1462:8 0 +23 *856:8 *1464:8 0 +*RES +1 *2472:la_data_in_core[96] *856:7 25.1405 +2 *856:7 *856:8 987.918 +3 *856:8 *856:10 4.5 +4 *856:10 *2473:la_data_in[96] 63.4115 +*END + +*D_NET *857 0.209015 +*CONN +*I *2473:la_data_in[97] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[97] O *D mgmt_protect +*CAP +1 *2473:la_data_in[97] 0.000705225 +2 *2472:la_data_in_core[97] 0.00340843 +3 *857:8 0.00823681 +4 *857:7 0.00753159 +5 *857:5 0.00340843 +6 *857:5 *1062:5 0 +7 *857:5 *1113:11 0 +8 *857:5 *1496:5 0 +9 *857:8 *859:8 0.0934141 +10 *857:8 *1073:14 0 +11 *2473:la_data_in[50] *857:5 0 +12 *757:8 *857:8 0.00142645 +13 *855:8 *857:8 0.0908843 +*RES +1 *2472:la_data_in_core[97] *857:5 67.9792 +2 *857:5 *857:7 4.5 +3 *857:7 *857:8 1000.67 +4 *857:8 *2473:la_data_in[97] 20.5727 +*END + +*D_NET *858 0.217553 +*CONN +*I *2473:la_data_in[98] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[98] O *D mgmt_protect +*CAP +1 *2473:la_data_in[98] 0.00292111 +2 *2472:la_data_in_core[98] 0.000899533 +3 *858:10 0.00292111 +4 *858:8 0.00722157 +5 *858:7 0.0081211 +6 *858:7 *2472:la_data_out_core[98] 0 +7 *858:7 *1497:7 0 +8 *858:8 *1001:8 0.00285582 +9 *858:8 *1009:14 0.000830646 +10 *858:8 *1014:16 0.00373348 +11 *858:8 *1017:14 0.000614913 +12 *734:8 *858:8 0.0950108 +13 *856:8 *858:8 0.092423 +*RES +1 *2472:la_data_in_core[98] *858:7 24.7252 +2 *858:7 *858:8 1013.98 +3 *858:8 *858:10 4.5 +4 *858:10 *2473:la_data_in[98] 63.8267 +*END + +*D_NET *859 0.214803 +*CONN +*I *2473:la_data_in[99] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[99] O *D mgmt_protect +*CAP +1 *2473:la_data_in[99] 0.000724344 +2 *2472:la_data_in_core[99] 0.00305591 +3 *859:8 0.00850792 +4 *859:7 0.00778357 +5 *859:5 0.00305591 +6 *859:5 *2472:la_data_out_core[98] 0 +7 *859:5 *1115:11 0 +8 *859:5 *1498:13 0 +9 *859:8 *991:8 0.0954659 +10 *859:8 *1073:14 0 +11 *2473:la_data_in[51] *859:5 0.00104653 +12 *757:8 *859:8 0.00174848 +13 *857:8 *859:8 0.0934141 +*RES +1 *2472:la_data_in_core[99] *859:5 67.564 +2 *859:5 *859:7 4.5 +3 *859:7 *859:8 1027.29 +4 *859:8 *2473:la_data_in[99] 20.988 +*END + +*D_NET *860 0.0403879 +*CONN +*I *2473:la_data_in[9] I *D user_analog_project_wrapper +*I *2472:la_data_in_core[9] O *D mgmt_protect +*CAP +1 *2473:la_data_in[9] 0.00156978 +2 *2472:la_data_in_core[9] 0.00127626 +3 *860:10 0.00156978 +4 *860:8 0.00150623 +5 *860:7 0.00278249 +6 *2473:la_data_in[9] *1625:7 0.000964996 +7 *2473:la_data_in[9] *1755:5 0.000916502 +8 *860:7 *2472:la_data_out_core[9] 0 +9 *860:7 *1489:7 0 +10 *860:8 *1083:8 0.0142387 +11 *860:8 *1094:8 0.000113197 +12 *860:8 *1105:8 0.000685211 +13 *860:8 *1116:8 1.59204e-05 +14 *860:8 *1489:8 3.42853e-05 +15 *838:8 *860:8 0.0147145 +*RES +1 *2472:la_data_in_core[9] *860:7 39.6743 +2 *860:7 *860:8 171.541 +3 *860:8 *860:10 4.5 +4 *860:10 *2473:la_data_in[9] 48.8776 +*END + +*D_NET *861 0.162303 +*CONN +*I *2472:la_data_out_mprj[0] I *D mgmt_protect +*I *2478:la_output[0] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[0] 0.00197154 +2 *2478:la_output[0] 0.00177641 +3 *861:10 0.0133011 +4 *861:9 0.0113296 +5 *861:7 0.00177641 +6 *2472:la_data_out_mprj[0] *2472:la_iena_mprj[0] 0 +7 *2472:la_data_out_mprj[0] *2472:la_oenb_mprj[0] 0 +8 *2472:la_data_out_mprj[0] *872:15 7.60356e-05 +9 *2472:la_data_out_mprj[0] *1308:15 0 +10 *861:7 *1156:7 0 +11 *861:7 *1245:9 0 +12 *605:7 *2472:la_data_out_mprj[0] 0.000455073 +13 *638:19 *2472:la_data_out_mprj[0] 1.54479e-05 +14 *644:8 *861:10 0.0108374 +15 *655:8 *861:10 0.075809 +16 *677:8 *861:10 0.0449551 +*RES +1 *2478:la_output[0] *861:7 47.5464 +2 *861:7 *861:9 4.5 +3 *861:9 *861:10 828.747 +4 *861:10 *2472:la_data_out_mprj[0] 45.9031 +*END + +*D_NET *862 0.0681 +*CONN +*I *2472:la_data_out_mprj[100] I *D mgmt_protect +*I *2478:la_output[100] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[100] 0.000571307 +2 *2478:la_output[100] 0.00157804 +3 *862:16 0.00255958 +4 *862:15 0.00342209 +5 *862:10 0.00308481 +6 *862:9 0.00322904 +7 *2472:la_data_out_mprj[100] *1118:13 0 +8 *862:9 *2472:mprj_dat_o_core[12] 4.67024e-05 +9 *862:9 *865:15 0 +10 *862:9 *1119:7 0 +11 *862:9 *1246:9 0 +12 *862:9 *1652:5 4.18029e-05 +13 *862:10 *984:10 0.00205091 +14 *862:10 *985:12 0.00020476 +15 *862:10 *1121:10 0.00426871 +16 *862:10 *1241:10 0.000114773 +17 *862:10 *1742:30 0.000252021 +18 *862:10 *2186:24 0.000209972 +19 *862:15 *2472:la_data_out_mprj[127] 0.000276424 +20 *862:15 *2472:la_iena_mprj[127] 0.000661124 +21 *862:16 *863:16 0.0210644 +22 *606:7 *2472:la_data_out_mprj[100] 0.000384624 +23 *607:14 *862:10 0.00180637 +24 *609:14 *862:10 4.91225e-06 +25 *724:8 *862:16 0.000366352 +26 *730:8 *862:16 0.0219013 +*RES +1 *2478:la_output[100] *862:9 39.3201 +2 *862:9 *862:10 102.77 +3 *862:10 *862:15 44.4674 +4 *862:15 *862:16 234.212 +5 *862:16 *2472:la_data_out_mprj[100] 23.8947 +*END + +*D_NET *863 0.0732308 +*CONN +*I *2472:la_data_out_mprj[101] I *D mgmt_protect +*I *2478:la_output[101] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[101] 0.000723537 +2 *2478:la_output[101] 0.00144693 +3 *863:16 0.0029451 +4 *863:15 0.00386239 +5 *863:10 0.00288837 +6 *863:9 0.00269447 +7 *2472:la_data_out_mprj[101] *2472:la_iena_mprj[101] 0 +8 *2472:la_data_out_mprj[101] *2472:la_oenb_mprj[100] 0 +9 *863:9 *1120:9 0 +10 *863:9 *1656:5 0 +11 *863:10 *987:12 0.00020273 +12 *863:10 *1241:10 5.49209e-05 +13 *863:10 *1242:10 0.00369876 +14 *863:10 *1243:10 0.000816494 +15 *863:10 *1247:10 0.000820045 +16 *863:10 *1368:10 0.000838111 +17 *863:10 *1652:8 0.00219313 +18 *863:10 *1714:16 0.000141764 +19 *863:10 *1716:10 0.000101365 +20 *863:15 *2472:mprj_cyc_o_core 0.000626404 +21 *863:15 *2472:mprj_stb_o_core 1.44467e-05 +22 *863:15 *2472:mprj_we_o_core 0 +23 *863:15 *1240:9 5.88009e-05 +24 *607:7 *2472:la_data_out_mprj[101] 0 +25 *608:8 *863:16 0.00319215 +26 *609:14 *863:10 0.0100281 +27 *724:8 *863:16 0.0145105 +28 *730:8 *863:16 0.000307881 +29 *862:16 *863:16 0.0210644 +*RES +1 *2478:la_output[101] *863:9 35.5584 +2 *863:9 *863:10 117.19 +3 *863:10 *863:15 46.9589 +4 *863:15 *863:16 233.102 +5 *863:16 *2472:la_data_out_mprj[101] 23.4795 +*END + +*D_NET *864 0.05078 +*CONN +*I *2472:la_data_out_mprj[102] I *D mgmt_protect +*I *2478:la_output[102] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[102] 0.00100731 +2 *2478:la_output[102] 0.00156363 +3 *864:16 0.00543351 +4 *864:15 0.0051529 +5 *864:10 0.00469848 +6 *864:9 0.00553541 +7 *2472:la_data_out_mprj[102] *2472:la_iena_mprj[102] 0 +8 *2472:la_data_out_mprj[102] *2472:la_oenb_mprj[101] 0 +9 *2472:la_data_out_mprj[102] *2472:la_oenb_mprj[102] 0 +10 *2472:la_data_out_mprj[102] *1357:15 5.41467e-05 +11 *2472:la_data_out_mprj[102] *1358:15 0 +12 *864:9 *2472:mprj_dat_o_core[18] 0.000592024 +13 *864:9 *1121:9 0 +14 *864:9 *1248:9 0 +15 *864:10 *985:12 0.00011282 +16 *864:10 *1120:15 0 +17 *864:10 *1121:10 0.00615173 +18 *864:10 *1122:12 0.000691691 +19 *864:10 *1658:8 0 +20 *864:10 *1738:10 0.000101365 +21 *864:10 *1740:12 0.000511918 +22 *864:15 *2472:la_data_out_mprj[126] 0.000288213 +23 *864:16 *1136:16 0.000245858 +24 *864:16 *1257:16 0.000276368 +25 *864:16 *1259:16 0.00140664 +26 *864:16 *1263:16 0.00755784 +27 *864:16 *1265:16 0.000713488 +28 *864:16 *1268:16 0.00433832 +29 *864:16 *1367:16 0.000250133 +30 *864:16 *1369:16 0.00240627 +31 *608:7 *2472:la_data_out_mprj[102] 0.00035459 +32 *634:5 *864:15 0.00133537 +33 *722:8 *864:16 0 +*RES +1 *2478:la_output[102] *864:9 40.9811 +2 *864:9 *864:10 154.903 +3 *864:10 *864:15 33.6709 +4 *864:15 *864:16 205.372 +5 *864:16 *2472:la_data_out_mprj[102] 33.0303 +*END + +*D_NET *865 0.0803157 +*CONN +*I *2472:la_data_out_mprj[103] I *D mgmt_protect +*I *2478:la_output[103] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[103] 0.000865806 +2 *2478:la_output[103] 0.00144969 +3 *865:16 0.00390634 +4 *865:15 0.00410288 +5 *865:10 0.00160941 +6 *865:9 0.00199676 +7 *2472:la_data_out_mprj[103] *2472:la_iena_mprj[103] 0 +8 *2472:la_data_out_mprj[103] *2472:la_oenb_mprj[102] 0 +9 *865:9 *1122:9 0 +10 *865:9 *1249:9 0 +11 *865:9 *1607:15 3.479e-05 +12 *865:10 *1247:10 0.000995201 +13 *865:10 *1607:16 0.0019047 +14 *865:10 *1652:8 0.00528779 +15 *865:10 *1718:10 0.000267904 +16 *865:15 *2472:mprj_adr_o_core[14] 0 +17 *865:15 *1119:7 0.00173403 +18 *865:16 *1119:10 0.00144738 +19 *865:16 *1671:8 2.50997e-05 +20 *865:16 *1675:8 0.000294016 +21 *865:16 *1677:8 0.000525232 +22 *865:16 *1679:8 0.00302127 +23 *606:8 *865:16 0.000656515 +24 *609:7 *2472:la_data_out_mprj[103] 0 +25 *610:13 *865:15 0.00167282 +26 *729:8 *865:16 0.0223765 +27 *731:8 *865:16 0.0261415 +28 *862:9 *865:15 0 +*RES +1 *2478:la_output[103] *865:9 36.4134 +2 *865:9 *865:10 56.1838 +3 *865:10 *865:15 45.2979 +4 *865:15 *865:16 315.738 +5 *865:16 *2472:la_data_out_mprj[103] 25.971 +*END + +*D_NET *866 0.0844795 +*CONN +*I *2472:la_data_out_mprj[104] I *D mgmt_protect +*I *2478:la_output[104] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[104] 0.000512646 +2 *2478:la_output[104] 0.00200276 +3 *866:16 0.00307926 +4 *866:15 0.00363134 +5 *866:10 0.00214597 +6 *866:9 0.00308401 +7 *2472:la_data_out_mprj[104] *2472:la_iena_mprj[104] 0 +8 *2472:la_data_out_mprj[104] *1359:13 5.05252e-05 +9 *866:9 *1136:15 8.62625e-06 +10 *866:9 *1250:7 0 +11 *866:9 *1666:5 8.06219e-05 +12 *866:10 *868:14 0.000404686 +13 *866:10 *868:18 0.00914234 +14 *866:10 *888:10 0.000203074 +15 *866:10 *1124:10 0.00100215 +16 *866:10 *1129:10 0.00167496 +17 *866:10 *1249:10 0.00944613 +18 *866:15 *2472:mprj_adr_o_core[6] 0.000309256 +19 *866:15 *2472:mprj_dat_o_core[5] 0.0014697 +20 *866:16 *867:20 0.000567482 +21 *866:16 *1581:24 0 +22 *607:8 *866:16 0.0212002 +23 *610:7 *2472:la_data_out_mprj[104] 0.000335368 +24 *610:8 *866:16 0.0241284 +*RES +1 *2478:la_output[104] *866:9 47.2099 +2 *866:9 *866:10 124.955 +3 *866:10 *866:15 38.6539 +4 *866:15 *866:16 258.614 +5 *866:16 *2472:la_data_out_mprj[104] 21.8185 +*END + +*D_NET *867 0.0758457 +*CONN +*I *2472:la_data_out_mprj[105] I *D mgmt_protect +*I *2478:la_output[105] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[105] 0.000623268 +2 *2478:la_output[105] 0.00185625 +3 *867:20 0.00289002 +4 *867:19 0.00334987 +5 *867:14 0.0026513 +6 *867:12 0.00158881 +7 *867:10 0.00219558 +8 *867:9 0.0040312 +9 *2472:la_data_out_mprj[105] *2472:la_iena_mprj[105] 0 +10 *867:9 *2472:mprj_adr_o_core[28] 8.5712e-05 +11 *867:9 *1124:9 0 +12 *867:9 *1251:9 0 +13 *867:9 *1669:5 0.00039899 +14 *867:10 *868:14 0.00453562 +15 *867:10 *868:18 0.00199208 +16 *867:10 *1120:15 0.000229186 +17 *867:10 *1647:10 0.000101537 +18 *867:10 *1658:8 0 +19 *867:10 *1659:8 0 +20 *867:14 *868:18 0.00622836 +21 *867:19 *2472:mprj_dat_o_core[3] 0.000343352 +22 *867:19 *1674:5 0.00157513 +23 *867:20 *1581:24 0.000350848 +24 *607:8 *867:20 0.0203805 +25 *609:8 *867:20 0.0195588 +26 *611:7 *2472:la_data_out_mprj[105] 0 +27 *611:16 *867:10 0.000311854 +28 *866:16 *867:20 0.000567482 +*RES +1 *2478:la_output[105] *867:9 45.9642 +2 *867:9 *867:10 96.3925 +3 *867:10 *867:12 0.578717 +4 *867:12 *867:14 66.4439 +5 *867:14 *867:19 40.3149 +6 *867:19 *867:20 232.548 +7 *867:20 *2472:la_data_out_mprj[105] 20.988 +*END + +*D_NET *868 0.0737216 +*CONN +*I *2472:la_data_out_mprj[106] I *D mgmt_protect +*I *2478:la_output[106] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[106] 0.000888853 +2 *2478:la_output[106] 0.00206858 +3 *868:24 0.00383844 +4 *868:23 0.0039773 +5 *868:18 0.00258408 +6 *868:16 0.001577 +7 *868:14 0.000813155 +8 *868:12 0.0028611 +9 *2472:la_data_out_mprj[106] *2472:la_iena_mprj[106] 0 +10 *868:12 *2472:mprj_dat_o_core[30] 0 +11 *868:12 *1125:9 0 +12 *868:12 *1129:10 8.29362e-06 +13 *868:12 *1252:7 0 +14 *868:12 *1257:10 7.32024e-06 +15 *868:14 *1129:10 0.00549653 +16 *868:14 *1257:10 0.000269426 +17 *868:14 *1659:8 0 +18 *868:18 *1249:10 0.00136247 +19 *868:23 *2472:mprj_adr_o_core[1] 0 +20 *868:23 *2472:mprj_dat_o_core[1] 0.000408405 +21 *868:24 *1252:10 0.00468174 +22 *868:24 *1257:16 0.000953041 +23 *868:24 *1269:16 0.000810095 +24 *868:24 *1273:16 0.000698398 +25 *868:24 *1275:20 0.00207401 +26 *612:5 *2472:la_data_out_mprj[106] 0.000421916 +27 *722:8 *868:24 0.00695434 +28 *723:8 *868:24 0.00866402 +29 *866:10 *868:14 0.000404686 +30 *866:10 *868:18 0.00914234 +31 *867:10 *868:14 0.00453562 +32 *867:10 *868:18 0.00199208 +33 *867:14 *868:18 0.00622836 +*RES +1 *2478:la_output[106] *868:12 46.989 +2 *868:12 *868:14 68.6623 +3 *868:14 *868:16 0.578717 +4 *868:16 *868:18 131.055 +5 *868:18 *868:23 31.1794 +6 *868:23 *868:24 207.591 +7 *868:24 *2472:la_data_out_mprj[106] 29.7083 +*END + +*D_NET *869 0.0941292 +*CONN +*I *2472:la_data_out_mprj[107] I *D mgmt_protect +*I *2478:la_output[107] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[107] 0.00140351 +2 *2478:la_output[107] 0.00216684 +3 *869:10 0.00467935 +4 *869:9 0.00544268 +5 *2472:la_data_out_mprj[107] *2472:la_iena_mprj[107] 0 +6 *2472:la_data_out_mprj[107] *1365:15 0.00110887 +7 *2472:la_data_out_mprj[107] *1367:15 0.000888202 +8 *869:9 *1126:9 0 +9 *869:9 *1253:7 0 +10 *869:10 *888:10 0.0013625 +11 *869:10 *1123:14 0.000163429 +12 *869:10 *1124:10 8.90486e-05 +13 *869:10 *1125:10 0.0377856 +14 *869:10 *1126:10 0.000371267 +15 *869:10 *1127:10 0.0375902 +16 *869:10 *1143:10 0.000279817 +17 *869:10 *1259:10 0.00079792 +18 *613:5 *2472:la_data_out_mprj[107] 0 +*RES +1 *2478:la_output[107] *869:9 48.8709 +2 *869:9 *869:10 418.34 +3 *869:10 *2472:la_data_out_mprj[107] 49.6404 +*END + +*D_NET *870 0.0934568 +*CONN +*I *2472:la_data_out_mprj[108] I *D mgmt_protect +*I *2478:la_output[108] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[108] 0.00139999 +2 *2478:la_output[108] 0.00141303 +3 *870:16 0.00524932 +4 *870:15 0.00403584 +5 *870:7 0.00159954 +6 *2472:la_data_out_mprj[108] *2472:la_iena_mprj[108] 0 +7 *870:7 *1577:8 0.000849418 +8 *870:7 *1578:12 0.00231348 +9 *870:7 *2194:8 0 +10 *870:15 *1130:14 0.00073191 +11 *870:15 *1147:10 0.000736108 +12 *870:15 *1265:15 2.05972e-05 +13 *870:15 *1597:29 7.09666e-06 +14 *870:16 *874:10 0.0373543 +15 *870:16 *879:14 0.000830101 +16 *870:16 *890:10 0.000235438 +17 *870:16 *1121:16 0.000580087 +18 *870:16 *1123:14 0.000111438 +19 *870:16 *1129:16 0.000111068 +20 *870:16 *1137:16 0.0314684 +21 *870:16 *1605:24 0.000409538 +22 *614:5 *2472:la_data_out_mprj[108] 0.000723931 +23 *720:8 *870:16 0.00327618 +*RES +1 *2478:la_output[108] *870:7 46.4472 +2 *870:7 *870:15 23.2652 +3 *870:15 *870:16 422.777 +4 *870:16 *2472:la_data_out_mprj[108] 45.9031 +*END + +*D_NET *871 0.0991049 +*CONN +*I *2472:la_data_out_mprj[109] I *D mgmt_protect +*I *2478:la_output[109] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[109] 0.00167658 +2 *2478:la_output[109] 0.00222667 +3 *871:10 0.00501123 +4 *871:9 0.00333465 +5 *871:7 0.00222667 +6 *2472:la_data_out_mprj[109] *2472:la_iena_mprj[109] 0 +7 *2472:la_data_out_mprj[109] *1369:15 0.000316275 +8 *871:7 *1255:7 0 +9 *871:10 *889:10 0.00159877 +10 *871:10 *1123:14 0.032718 +11 *871:10 *1126:10 0.0382613 +12 *871:10 *1127:10 0.00037641 +13 *871:10 *1130:14 5.51483e-06 +14 *871:10 *1147:10 0.00884108 +15 *871:10 *1265:10 0.00251177 +16 *615:5 *2472:la_data_out_mprj[109] 0 +*RES +1 *2478:la_output[109] *871:7 45.6167 +2 *871:7 *871:9 4.5 +3 *871:9 *871:10 441.633 +4 *871:10 *2472:la_data_out_mprj[109] 48.3946 +*END + +*D_NET *872 0.156195 +*CONN +*I *2472:la_data_out_mprj[10] I *D mgmt_protect +*I *2478:la_output[10] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[10] 0.00163649 +2 *2478:la_output[10] 0.00204683 +3 *872:16 0.00233808 +4 *872:15 0.000796014 +5 *872:10 0.00468323 +6 *872:9 0.0045888 +7 *872:7 0.00204683 +8 *2472:la_data_out_mprj[10] *2472:la_iena_mprj[10] 0 +9 *872:7 *1139:9 0 +10 *872:7 *1256:7 0 +11 *872:10 *883:10 0.0576273 +12 *872:10 *892:10 0.0556816 +13 *872:16 *897:10 0.000143882 +14 *2472:la_data_out_mprj[0] *872:15 7.60356e-05 +15 *616:7 *2472:la_data_out_mprj[10] 0.000565095 +16 *637:11 *2472:la_data_out_mprj[10] 0.000364941 +17 *638:19 *872:15 7.09666e-06 +18 *655:8 *872:16 0.00142653 +19 *656:8 *872:16 3.83172e-05 +20 *666:8 *872:10 0.00675766 +21 *668:13 *2472:la_data_out_mprj[10] 8.62625e-06 +22 *669:8 *872:16 0.00655097 +23 *670:10 *872:16 0.00490087 +24 *677:8 *872:16 0.00303416 +25 *699:8 *872:10 0.000861794 +26 *699:8 *872:16 1.41689e-05 +*RES +1 *2478:la_output[10] *872:7 49.7692 +2 *872:7 *872:9 4.5 +3 *872:9 *872:10 625.762 +4 *872:10 *872:15 10.832 +5 *872:15 *872:16 85.5777 +6 *872:16 *2472:la_data_out_mprj[10] 45.9031 +*END + +*D_NET *873 0.0753114 +*CONN +*I *2472:la_data_out_mprj[110] I *D mgmt_protect +*I *2478:la_output[110] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[110] 0.00115634 +2 *2478:la_output[110] 1.28869e-05 +3 *873:10 0.00926447 +4 *873:9 0.00810813 +5 *873:7 0.00281427 +6 *873:5 0.00282715 +7 *2472:la_data_out_mprj[110] *2472:la_iena_mprj[110] 0 +8 *873:7 *1130:7 0 +9 *873:7 *1132:15 2.51488e-05 +10 *873:10 *875:10 5.24855e-05 +11 *873:10 *1131:10 0.0409782 +12 *873:10 *1136:16 0 +13 *873:10 *1141:16 0 +14 *873:10 *1147:16 0.0042523 +15 *873:10 *1582:16 0.000897843 +16 *873:10 *1598:24 0.000594511 +17 *873:10 *1598:28 0.00376841 +18 *617:5 *2472:la_data_out_mprj[110] 0.000559246 +*RES +1 *2478:la_output[110] *873:5 0.366399 +2 *873:5 *873:7 54.3614 +3 *873:7 *873:9 4.5 +4 *873:9 *873:10 456.053 +5 *873:10 *2472:la_data_out_mprj[110] 37.598 +*END + +*D_NET *874 0.102609 +*CONN +*I *2472:la_data_out_mprj[111] I *D mgmt_protect +*I *2478:la_output[111] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[111] 0.00173945 +2 *2478:la_output[111] 0.00232741 +3 *874:10 0.00530406 +4 *874:9 0.00356461 +5 *874:7 0.00232741 +6 *2472:la_data_out_mprj[111] *2472:la_iena_mprj[111] 0 +7 *874:7 *1131:7 0 +8 *874:7 *1258:7 0 +9 *874:10 *890:10 0.00161466 +10 *874:10 *1129:16 5.65165e-05 +11 *874:10 *1130:12 0.000111708 +12 *874:10 *1130:14 0.000735234 +13 *874:10 *1132:16 0.0404023 +14 *874:10 *1137:16 1.41853e-05 +15 *874:10 *1269:10 0.000636915 +16 *874:10 *1597:24 0.00642016 +17 *618:5 *2472:la_data_out_mprj[111] 0 +18 *870:16 *874:10 0.0373543 +*RES +1 *2478:la_output[111] *874:7 47.6929 +2 *874:7 *874:9 4.5 +3 *874:9 *874:10 464.927 +4 *874:10 *2472:la_data_out_mprj[111] 46.3183 +*END + +*D_NET *875 0.104947 +*CONN +*I *2472:la_data_out_mprj[112] I *D mgmt_protect +*I *2478:la_output[112] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[112] 0.00121501 +2 *2478:la_output[112] 8.30061e-05 +3 *875:10 0.00527436 +4 *875:9 0.00405934 +5 *875:7 0.00271559 +6 *875:5 0.00279859 +7 *2472:la_data_out_mprj[112] *2472:la_iena_mprj[112] 0 +8 *875:7 *1132:7 0 +9 *875:7 *1259:9 0 +10 *875:10 *876:10 0.0440031 +11 *875:10 *877:10 0 +12 *875:10 *1131:10 0.000282235 +13 *875:10 *1133:10 0.000366369 +14 *875:10 *1134:10 0.0421852 +15 *875:10 *1584:24 0.00133953 +16 *619:5 *2472:la_data_out_mprj[112] 0.000572208 +17 *873:10 *875:10 5.24855e-05 +*RES +1 *2478:la_output[112] *875:5 2.05183 +2 *875:5 *875:7 53.1156 +3 *875:7 *875:9 4.5 +4 *875:9 *875:10 477.128 +5 *875:10 *2472:la_data_out_mprj[112] 38.8438 +*END + +*D_NET *876 0.107933 +*CONN +*I *2472:la_data_out_mprj[113] I *D mgmt_protect +*I *2478:la_output[113] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[113] 0.00145514 +2 *2478:la_output[113] 8.30061e-05 +3 *876:10 0.00542399 +4 *876:9 0.00396885 +5 *876:7 0.0027341 +6 *876:5 0.0028171 +7 *2472:la_data_out_mprj[113] *2472:la_iena_mprj[113] 0 +8 *876:7 *1133:7 0 +9 *876:7 *1137:15 1.24189e-05 +10 *876:7 *1260:7 0 +11 *876:10 *1131:10 0.042565 +12 *876:10 *1134:10 0.000473003 +13 *876:10 *1271:10 0.000724449 +14 *876:10 *1273:10 0.000954996 +15 *876:10 *1274:10 0.000904135 +16 *876:10 *1583:24 0.00181421 +17 *876:10 *1598:24 0 +18 *620:5 *2472:la_data_out_mprj[113] 0 +19 *875:10 *876:10 0.0440031 +*RES +1 *2478:la_output[113] *876:5 2.05183 +2 *876:5 *876:7 53.5309 +3 *876:7 *876:9 4.5 +4 *876:9 *876:10 488.775 +5 *876:10 *2472:la_data_out_mprj[113] 38.4285 +*END + +*D_NET *877 0.106225 +*CONN +*I *2472:la_data_out_mprj[114] I *D mgmt_protect +*I *2478:la_output[114] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[114] 0.00112109 +2 *2478:la_output[114] 8.30061e-05 +3 *877:10 0.00569852 +4 *877:9 0.00457742 +5 *877:7 0.00242687 +6 *877:5 0.00250988 +7 *2472:la_data_out_mprj[114] *2472:la_iena_mprj[114] 0 +8 *877:7 *1134:7 0 +9 *877:7 *1261:7 0 +10 *877:10 *878:16 0 +11 *877:10 *880:14 0 +12 *877:10 *881:14 0.000189695 +13 *877:10 *884:14 0.0422668 +14 *877:10 *886:10 0.0406227 +15 *877:10 *1121:16 0.000120718 +16 *877:10 *1133:10 0 +17 *877:10 *1138:20 0.00015542 +18 *877:10 *1251:16 0.00271912 +19 *877:10 *1595:24 0.0015278 +20 *621:7 *2472:la_data_out_mprj[114] 0.00220578 +21 *722:11 *2472:la_data_out_mprj[114] 0 +22 *875:10 *877:10 0 +*RES +1 *2478:la_output[114] *877:5 2.05183 +2 *877:5 *877:7 48.9631 +3 *877:7 *877:9 4.5 +4 *877:9 *877:10 500.421 +5 *877:10 *2472:la_data_out_mprj[114] 42.9963 +*END + +*D_NET *878 0.112249 +*CONN +*I *2472:la_data_out_mprj[115] I *D mgmt_protect +*I *2478:la_output[115] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[115] 0.00155017 +2 *2478:la_output[115] 0.00224503 +3 *878:16 0.0052187 +4 *878:15 0.00407048 +5 *878:10 0.000965124 +6 *878:9 0.00056318 +7 *878:7 0.00224503 +8 *2472:la_data_out_mprj[115] *2472:la_iena_mprj[115] 0 +9 *878:7 *1135:7 0 +10 *878:7 *1262:9 0 +11 *878:10 *890:10 0.000677329 +12 *878:10 *1137:10 0.00400945 +13 *878:10 *1269:10 0.00718301 +14 *878:10 *1597:24 0.00142889 +15 *878:15 *1131:7 9.04208e-05 +16 *878:16 *880:14 0.0394687 +17 *878:16 *1133:10 0.0410764 +18 *878:16 *1585:24 0.00145702 +19 *622:7 *2472:la_data_out_mprj[115] 0 +20 *722:11 *2472:la_data_out_mprj[115] 0 +21 *877:10 *878:16 0 +*RES +1 *2478:la_output[115] *878:7 46.7159 +2 *878:7 *878:9 4.5 +3 *878:9 *878:10 75.5949 +4 *878:10 *878:15 15.815 +5 *878:15 *878:16 437.196 +6 *878:16 *2472:la_data_out_mprj[115] 40.0896 +*END + +*D_NET *879 0.115219 +*CONN +*I *2472:la_data_out_mprj[116] I *D mgmt_protect +*I *2478:la_output[116] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[116] 0.00170948 +2 *2478:la_output[116] 0.00233431 +3 *879:14 0.00526791 +4 *879:12 0.00357712 +5 *879:10 0.000383364 +6 *879:9 0.00036467 +7 *879:7 0.00233431 +8 *2472:la_data_out_mprj[116] *2472:la_iena_mprj[116] 0 +9 *2472:la_data_out_mprj[116] *1262:13 0 +10 *879:7 *1136:9 0 +11 *879:7 *1263:9 0 +12 *879:10 *1135:12 0.00338505 +13 *879:10 *1135:14 1.67988e-05 +14 *879:10 *1597:16 0.00485917 +15 *879:10 *1598:18 0.000513755 +16 *879:10 *1602:24 0.000277488 +17 *879:10 *1605:24 0.000195504 +18 *879:12 *1135:12 9.95922e-06 +19 *879:12 *1597:22 9.95922e-06 +20 *879:14 *890:10 0.000203074 +21 *879:14 *1121:16 9.16785e-05 +22 *879:14 *1135:14 0.0443422 +23 *879:14 *1137:16 0.0417171 +24 *879:14 *1597:16 1.67988e-05 +25 *879:14 *1597:22 0.000906101 +26 *879:14 *1597:24 1.41853e-05 +27 *879:14 *1600:24 0.00173269 +28 *623:7 *2472:la_data_out_mprj[116] 0.000126215 +29 *870:16 *879:14 0.000830101 +*RES +1 *2478:la_output[116] *879:7 48.5234 +2 *879:7 *879:9 4.5 +3 *879:9 *879:10 51.1923 +4 *879:10 *879:12 0.578717 +5 *879:12 *879:14 472.691 +6 *879:14 *2472:la_data_out_mprj[116] 45.0726 +*END + +*D_NET *880 0.115484 +*CONN +*I *2472:la_data_out_mprj[117] I *D mgmt_protect +*I *2478:la_output[117] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[117] 0.00159211 +2 *2478:la_output[117] 8.30061e-05 +3 *880:14 0.00507098 +4 *880:12 0.0035019 +5 *880:10 0.000862172 +6 *880:9 0.000839138 +7 *880:7 0.00258331 +8 *880:5 0.00266632 +9 *2472:la_data_out_mprj[117] *2472:la_iena_mprj[117] 0 +10 *2472:la_data_out_mprj[117] *2472:la_oenb_mprj[116] 0 +11 *2472:la_data_out_mprj[117] *2472:la_oenb_mprj[117] 0 +12 *880:7 *1137:7 0 +13 *880:7 *1264:9 0 +14 *880:10 *882:14 0.00465318 +15 *880:10 *882:18 0.00245579 +16 *880:10 *1133:10 0.00294008 +17 *880:10 *1134:10 1.41853e-05 +18 *880:10 *1275:14 0.00717656 +19 *880:10 *1585:24 0.000910857 +20 *880:14 *881:14 0.000373913 +21 *880:14 *882:18 0.0386691 +22 *880:14 *1133:10 0.000364336 +23 *880:14 *1586:24 0.00125879 +24 *624:7 *2472:la_data_out_mprj[117] 0 +25 *723:11 *2472:la_data_out_mprj[117] 0 +26 *877:10 *880:14 0 +27 *878:16 *880:14 0.0394687 +*RES +1 *2478:la_output[117] *880:5 2.05183 +2 *880:5 *880:7 51.8699 +3 *880:7 *880:9 4.5 +4 *880:9 *880:10 106.93 +5 *880:10 *880:12 0.578717 +6 *880:12 *880:14 428.6 +7 *880:14 *2472:la_data_out_mprj[117] 40.5048 +*END + +*D_NET *881 0.121064 +*CONN +*I *2472:la_data_out_mprj[118] I *D mgmt_protect +*I *2478:la_output[118] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[118] 0.00157744 +2 *2478:la_output[118] 8.30061e-05 +3 *881:14 0.00531517 +4 *881:12 0.00375713 +5 *881:10 0.00046581 +6 *881:9 0.000446411 +7 *881:7 0.00251306 +8 *881:5 0.00259607 +9 *2472:la_data_out_mprj[118] *2472:la_iena_mprj[118] 0 +10 *2472:la_data_out_mprj[118] *2472:la_oenb_mprj[117] 0 +11 *881:7 *1265:9 0 +12 *881:10 *882:14 0.00649905 +13 *881:10 *885:10 0.00639284 +14 *881:10 *885:14 9.82896e-06 +15 *881:10 *1138:20 1.92172e-05 +16 *881:10 *1586:24 0.000690326 +17 *881:12 *882:16 9.95922e-06 +18 *881:12 *885:12 4.31603e-06 +19 *881:14 *882:14 1.67988e-05 +20 *881:14 *882:18 0.044178 +21 *881:14 *1138:20 0.0440273 +22 *881:14 *1586:24 0.000118134 +23 *881:14 *1588:24 0.0016364 +24 *625:7 *2472:la_data_out_mprj[118] 0.000143764 +25 *877:10 *881:14 0.000189695 +26 *880:14 *881:14 0.000373913 +*RES +1 *2478:la_output[118] *881:5 2.05183 +2 *881:5 *881:7 51.0394 +3 *881:7 *881:9 4.5 +4 *881:9 *881:10 68.385 +5 *881:10 *881:12 0.578717 +6 *881:12 *881:14 478.792 +7 *881:14 *2472:la_data_out_mprj[118] 41.3353 +*END + +*D_NET *882 0.121146 +*CONN +*I *2472:la_data_out_mprj[119] I *D mgmt_protect +*I *2478:la_output[119] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[119] 0.00140193 +2 *2478:la_output[119] 8.30061e-05 +3 *882:18 0.00510708 +4 *882:16 0.00372601 +5 *882:14 0.000558118 +6 *882:12 0.000676048 +7 *882:7 0.00264901 +8 *882:5 0.00259323 +9 *2472:la_data_out_mprj[119] *2472:la_iena_mprj[119] 0 +10 *2472:la_data_out_mprj[119] *2472:la_oenb_mprj[118] 0 +11 *882:7 *1140:7 0 +12 *882:7 *1266:7 0 +13 *882:12 *885:10 0.00142652 +14 *882:12 *1275:14 9.82896e-06 +15 *882:12 *1608:24 0.00137341 +16 *882:14 *885:10 0.00023152 +17 *882:14 *1275:14 0.00110066 +18 *882:14 *1586:24 0.000719048 +19 *882:18 *1586:24 0.00203317 +20 *626:7 *2472:la_data_out_mprj[119] 0 +21 *724:11 *2472:la_data_out_mprj[119] 0.000975783 +22 *880:10 *882:14 0.00465318 +23 *880:10 *882:18 0.00245579 +24 *880:14 *882:18 0.0386691 +25 *881:10 *882:14 0.00649905 +26 *881:12 *882:16 9.95922e-06 +27 *881:14 *882:14 1.67988e-05 +28 *881:14 *882:18 0.044178 +*RES +1 *2478:la_output[119] *882:5 2.05183 +2 *882:5 *882:7 51.0394 +3 *882:7 *882:12 20.2218 +4 *882:12 *882:14 74.4857 +5 *882:14 *882:16 0.578717 +6 *882:16 *882:18 469.363 +7 *882:18 *2472:la_data_out_mprj[119] 40.9201 +*END + +*D_NET *883 0.15312 +*CONN +*I *2472:la_data_out_mprj[11] I *D mgmt_protect +*I *2478:la_output[11] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[11] 0.0014588 +2 *2478:la_output[11] 0.00205392 +3 *883:10 0.00651724 +4 *883:9 0.00505844 +5 *883:7 0.00205392 +6 *2472:la_data_out_mprj[11] *2472:la_iena_mprj[11] 0 +7 *883:7 *1148:7 0 +8 *883:7 *1267:9 0 +9 *883:10 *892:10 1.41853e-05 +10 *883:10 *892:14 0.00150102 +11 *883:10 *900:10 0.00589407 +12 *2478:la_input[46] *2472:la_data_out_mprj[11] 9.35753e-06 +13 *627:7 *2472:la_data_out_mprj[11] 0.00214562 +14 *638:16 *883:10 0.00265596 +15 *669:8 *883:10 0.000127366 +16 *671:8 *883:10 0.00376477 +17 *699:8 *883:10 0.0622378 +18 *872:10 *883:10 0.0576273 +*RES +1 *2478:la_output[11] *883:7 49.3539 +2 *883:7 *883:9 4.5 +3 *883:9 *883:10 698.415 +4 *883:10 *2472:la_data_out_mprj[11] 46.5138 +*END + +*D_NET *884 0.121321 +*CONN +*I *2472:la_data_out_mprj[120] I *D mgmt_protect +*I *2478:la_output[120] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[120] 0.00166377 +2 *2478:la_output[120] 5.96331e-05 +3 *884:14 0.00566984 +4 *884:12 0.00402911 +5 *884:10 0.000526111 +6 *884:9 0.000503077 +7 *884:7 0.00242692 +8 *884:5 0.00248655 +9 *2472:la_data_out_mprj[120] *2472:la_iena_mprj[120] 0 +10 *2472:la_data_out_mprj[120] *2472:la_oenb_mprj[120] 0 +11 *884:7 *1141:9 0 +12 *884:7 *1612:29 3.60933e-06 +13 *884:10 *885:10 0.00029642 +14 *884:10 *1138:10 0.00161884 +15 *884:10 *1589:16 0.00211887 +16 *884:10 *1591:28 0.000238938 +17 *884:10 *1610:24 0.0026351 +18 *884:10 *1612:24 0.000167076 +19 *884:14 *885:10 9.82896e-06 +20 *884:14 *885:14 0.0427232 +21 *884:14 *886:10 0.000159075 +22 *884:14 *1138:10 0.00240578 +23 *884:14 *1138:20 0.000381458 +24 *884:14 *1589:16 0.00635887 +25 *884:14 *1591:28 0.00242457 +26 *628:7 *2472:la_data_out_mprj[120] 0.000147773 +27 *877:10 *884:14 0.0422668 +*RES +1 *2478:la_output[120] *884:5 1.49002 +2 *884:5 *884:7 49.7936 +3 *884:7 *884:9 4.5 +4 *884:9 *884:10 52.0242 +5 *884:10 *884:12 0.578717 +6 *884:12 *884:14 519.555 +7 *884:14 *2472:la_data_out_mprj[120] 42.5811 +*END + +*D_NET *885 0.12704 +*CONN +*I *2472:la_data_out_mprj[121] I *D mgmt_protect +*I *2478:la_output[121] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[121] 0.00173147 +2 *2478:la_output[121] 8.30061e-05 +3 *885:14 0.00517088 +4 *885:12 0.00348285 +5 *885:10 0.00100623 +6 *885:9 0.000962783 +7 *885:7 0.00246269 +8 *885:5 0.0025457 +9 *2472:la_data_out_mprj[121] *2472:la_iena_mprj[121] 0 +10 *2472:la_data_out_mprj[121] *2472:la_oenb_mprj[120] 0 +11 *885:7 *1142:7 0 +12 *885:7 *1269:7 0 +13 *885:10 *1138:10 0.00696638 +14 *885:10 *1588:24 0.000781986 +15 *885:10 *1608:24 0.0015295 +16 *885:10 *1610:24 0.00448322 +17 *885:10 *1649:14 0.000436811 +18 *885:14 *1138:20 0.0426659 +19 *885:14 *1591:28 0.0016364 +20 *629:7 *2472:la_data_out_mprj[121] 0 +21 *881:10 *885:10 0.00639284 +22 *881:10 *885:14 9.82896e-06 +23 *881:12 *885:12 4.31603e-06 +24 *882:12 *885:10 0.00142652 +25 *882:14 *885:10 0.00023152 +26 *884:10 *885:10 0.00029642 +27 *884:14 *885:10 9.82896e-06 +28 *884:14 *885:14 0.0427232 +*RES +1 *2478:la_output[121] *885:5 2.05183 +2 *885:5 *885:7 50.6241 +3 *885:7 *885:9 4.5 +4 *885:9 *885:10 128.282 +5 *885:10 *885:12 0.988641 +6 *885:12 *885:14 453.835 +7 *885:14 *2472:la_data_out_mprj[121] 42.1658 +*END + +*D_NET *886 0.131783 +*CONN +*I *2472:la_data_out_mprj[122] I *D mgmt_protect +*I *2478:la_output[122] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[122] 0.00174789 +2 *2478:la_output[122] 8.30061e-05 +3 *886:10 0.00612712 +4 *886:9 0.00437923 +5 *886:7 0.0023274 +6 *886:5 0.0024104 +7 *2472:la_data_out_mprj[122] *2472:la_iena_mprj[122] 0 +8 *2472:la_data_out_mprj[122] *2472:la_oenb_mprj[121] 0 +9 *2472:la_data_out_mprj[122] *2472:la_oenb_mprj[122] 0 +10 *886:7 *1143:9 0 +11 *886:7 *1270:9 0 +12 *886:10 *887:10 0.0552174 +13 *886:10 *1121:16 0.000371284 +14 *886:10 *1589:16 0.0150653 +15 *886:10 *1595:24 0.00311094 +16 *630:7 *2472:la_data_out_mprj[122] 0.000160708 +17 *877:10 *886:10 0.0406227 +18 *884:14 *886:10 0.000159075 +*RES +1 *2478:la_output[122] *886:5 2.05183 +2 *886:5 *886:7 48.5479 +3 *886:7 *886:9 4.5 +4 *886:9 *886:10 594.149 +5 *886:10 *2472:la_data_out_mprj[122] 43.4116 +*END + +*D_NET *887 0.133026 +*CONN +*I *2472:la_data_out_mprj[123] I *D mgmt_protect +*I *2478:la_output[123] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[123] 0.00184313 +2 *2478:la_output[123] 8.30061e-05 +3 *887:10 0.00630661 +4 *887:9 0.00446348 +5 *887:7 0.00228408 +6 *887:5 0.00236709 +7 *2472:la_data_out_mprj[123] *2472:la_iena_mprj[123] 0 +8 *887:7 *1144:7 0 +9 *887:7 *1271:7 0 +10 *887:10 *1121:16 0.000330596 +11 *887:10 *1135:14 0.00029642 +12 *887:10 *1144:10 0.0561203 +13 *887:10 *1589:16 0.00085425 +14 *887:10 *1599:24 0.00286007 +15 *631:5 *2472:la_data_out_mprj[123] 0 +16 *886:10 *887:10 0.0552174 +*RES +1 *2478:la_output[123] *887:5 2.05183 +2 *887:5 *887:7 48.1326 +3 *887:7 *887:9 4.5 +4 *887:9 *887:10 605.241 +5 *887:10 *2472:la_data_out_mprj[123] 43.8268 +*END + +*D_NET *888 0.140037 +*CONN +*I *2472:la_data_out_mprj[124] I *D mgmt_protect +*I *2478:la_output[124] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[124] 0.0020777 +2 *2478:la_output[124] 0.00200099 +3 *888:10 0.0281778 +4 *888:9 0.0281011 +5 *2472:la_data_out_mprj[124] *2472:la_iena_mprj[124] 0 +6 *2472:la_data_out_mprj[124] *2472:la_oenb_mprj[123] 0 +7 *2472:la_data_out_mprj[124] *2472:la_oenb_mprj[124] 0 +8 *888:9 *1145:7 0 +9 *888:10 *889:10 0.0658165 +10 *888:10 *1124:10 0.000490499 +11 *888:10 *1125:10 0.000977475 +12 *888:10 *1126:10 0.00106437 +13 *888:10 *1127:10 0.00158577 +14 *888:10 *1129:10 0.000107595 +15 *888:10 *1136:10 0.000547189 +16 *888:10 *1141:10 0.00151249 +17 *888:10 *1143:10 0.0015042 +18 *888:10 *1249:10 0.000686944 +19 *888:10 *1259:10 0.000789243 +20 *888:10 *1263:10 0.00134678 +21 *888:10 *1265:10 0.00150607 +22 *888:10 *1647:10 0 +23 *632:7 *2472:la_data_out_mprj[124] 0.000178257 +24 *866:10 *888:10 0.000203074 +25 *869:10 *888:10 0.0013625 +*RES +1 *2478:la_output[124] *888:9 46.8237 +2 *888:9 *888:10 85.3364 +3 *888:10 *2472:la_data_out_mprj[124] 48.3015 +*END + +*D_NET *889 0.163307 +*CONN +*I *2472:la_data_out_mprj[125] I *D mgmt_protect +*I *2478:la_output[125] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[125] 0.00212188 +2 *2478:la_output[125] 0.00207695 +3 *889:10 0.0106426 +4 *889:9 0.0105976 +5 *2472:la_data_out_mprj[125] *2472:la_iena_mprj[125] 0 +6 *2472:la_data_out_mprj[125] *2472:la_oenb_mprj[124] 0 +7 *2472:la_data_out_mprj[125] *2472:la_oenb_mprj[125] 0 +8 *889:9 *1146:7 0 +9 *889:9 *1273:7 0 +10 *889:10 *890:10 0.000410988 +11 *889:10 *891:10 0.066005 +12 *889:10 *1123:14 0.000716813 +13 *889:10 *1132:10 0.000338665 +14 *889:10 *1147:10 0.00298101 +15 *889:10 *1647:10 0 +16 *633:7 *2472:la_data_out_mprj[125] 0 +17 *871:10 *889:10 0.00159877 +18 *888:10 *889:10 0.0658165 +*RES +1 *2478:la_output[125] *889:9 48.7778 +2 *889:9 *889:10 86.7133 +3 *889:10 *2472:la_data_out_mprj[125] 47.471 +*END + +*D_NET *890 0.157606 +*CONN +*I *2472:la_data_out_mprj[126] I *D mgmt_protect +*I *2478:la_output[126] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[126] 0.00178568 +2 *2478:la_output[126] 0.00214563 +3 *890:10 0.0159965 +4 *890:9 0.0142109 +5 *890:7 0.00214563 +6 *2472:la_data_out_mprj[126] *2472:la_iena_mprj[126] 0 +7 *2472:la_data_out_mprj[126] *2472:la_oenb_mprj[126] 0 +8 *890:7 *1147:7 0 +9 *890:7 *1274:7 0 +10 *890:10 *891:10 0.0682488 +11 *890:10 *1129:16 0.000114589 +12 *890:10 *1130:14 0.000124641 +13 *890:10 *1132:16 0.00192168 +14 *890:10 *1137:10 0.000572015 +15 *890:10 *1137:16 0.000216928 +16 *890:10 *1583:24 0 +17 *890:10 *1584:24 0 +18 *890:10 *1585:24 0 +19 *890:10 *1586:24 0 +20 *890:10 *1588:24 0 +21 *890:10 *1591:28 0.00024367 +22 *890:10 *1595:24 0.000499706 +23 *890:10 *1599:24 0.000145207 +24 *890:10 *1600:24 0.00115066 +25 *890:10 *1605:24 0.0442856 +26 *634:5 *2472:la_data_out_mprj[126] 0.000368364 +27 *864:15 *2472:la_data_out_mprj[126] 0.000288213 +28 *870:16 *890:10 0.000235438 +29 *874:10 *890:10 0.00161466 +30 *878:10 *890:10 0.000677329 +31 *879:14 *890:10 0.000203074 +32 *889:10 *890:10 0.000410988 +*RES +1 *2478:la_output[126] *890:7 47.0701 +2 *890:7 *890:9 3.36879 +3 *890:9 *890:10 88.396 +4 *890:10 *2472:la_data_out_mprj[126] 45.81 +*END + +*D_NET *891 0.166094 +*CONN +*I *2472:la_data_out_mprj[127] I *D mgmt_protect +*I *2478:la_output[127] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[127] 0.00200317 +2 *2478:la_output[127] 0.00210614 +3 *891:10 0.0104753 +4 *891:9 0.0105782 +5 *2472:la_data_out_mprj[127] *2472:la_iena_mprj[127] 0 +6 *2472:la_data_out_mprj[127] *2472:la_oenb_mprj[126] 0 +7 *891:9 *1275:7 0 +8 *891:10 *1129:16 0.000724555 +9 *891:10 *1130:14 0.00137573 +10 *891:10 *1147:10 0.000283528 +11 *891:10 *1268:10 0.0015988 +12 *891:10 *1269:10 0.00150354 +13 *891:10 *1605:24 0.000915019 +14 *891:10 *1647:10 0 +15 *635:7 *2472:la_data_out_mprj[127] 0 +16 *862:15 *2472:la_data_out_mprj[127] 0.000276424 +17 *889:10 *891:10 0.066005 +18 *890:10 *891:10 0.0682488 +*RES +1 *2478:la_output[127] *891:9 49.6083 +2 *891:9 *891:10 90.0023 +3 *891:10 *2472:la_data_out_mprj[127] 46.6405 +*END + +*D_NET *892 0.147199 +*CONN +*I *2472:la_data_out_mprj[12] I *D mgmt_protect +*I *2478:la_output[12] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[12] 0.00149753 +2 *2478:la_output[12] 8.29983e-05 +3 *892:14 0.00266698 +4 *892:12 0.00119249 +5 *892:10 0.00417789 +6 *892:9 0.00415485 +7 *892:7 0.00202934 +8 *892:5 0.00211234 +9 *2472:la_data_out_mprj[12] *2472:la_iena_mprj[12] 0 +10 *2472:la_data_out_mprj[12] *929:7 0.000761087 +11 *892:7 *1149:9 0 +12 *892:7 *1276:7 0 +13 *636:5 *2472:la_data_out_mprj[12] 0.000388577 +14 *637:14 *892:10 0.00107631 +15 *637:14 *892:14 0.00551661 +16 *637:18 *892:10 0.0548361 +17 *638:16 *892:10 0.00254977 +18 *638:16 *892:14 3.58044e-05 +19 *640:8 *892:14 0.000665158 +20 *666:8 *892:10 0.00564065 +21 *671:8 *892:14 2.50864e-05 +22 *673:8 *892:14 0.00034957 +23 *688:8 *892:10 0.000133887 +24 *710:8 *892:14 0.000108607 +25 *872:10 *892:10 0.0556816 +26 *883:10 *892:10 1.41853e-05 +27 *883:10 *892:14 0.00150102 +*RES +1 *2478:la_output[12] *892:5 2.05183 +2 *892:5 *892:7 48.1326 +3 *892:7 *892:9 4.5 +4 *892:9 *892:10 613.006 +5 *892:10 *892:12 0.578717 +6 *892:12 *892:14 75.0403 +7 *892:14 *2472:la_data_out_mprj[12] 43.4116 +*END + +*D_NET *893 0.171082 +*CONN +*I *2472:la_data_out_mprj[13] I *D mgmt_protect +*I *2478:la_output[13] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[13] 0.00163736 +2 *2478:la_output[13] 8.29983e-05 +3 *893:10 0.00820612 +4 *893:9 0.00656876 +5 *893:7 0.00225368 +6 *893:5 0.00233668 +7 *2472:la_data_out_mprj[13] *2472:la_iena_mprj[13] 0 +8 *2472:la_data_out_mprj[13] *2472:la_oenb_mprj[12] 0 +9 *2472:la_data_out_mprj[13] *1186:7 0 +10 *893:7 *1150:7 0 +11 *893:7 *1277:9 0 +12 *893:10 *894:10 0.0714069 +13 *893:10 *1150:10 0 +14 *893:10 *1276:10 0 +15 *637:11 *2472:la_data_out_mprj[13] 0 +16 *639:8 *893:10 0.0701477 +17 *649:8 *893:10 0.00405615 +18 *650:8 *893:10 0.00353353 +19 *732:8 *893:10 0.000852124 +*RES +1 *2478:la_output[13] *893:5 2.05183 +2 *893:5 *893:7 52.4928 +3 *893:7 *893:9 3.36879 +4 *893:9 *893:10 93.3679 +5 *893:10 *2472:la_data_out_mprj[13] 38.3355 +*END + +*D_NET *894 0.172115 +*CONN +*I *2472:la_data_out_mprj[14] I *D mgmt_protect +*I *2478:la_output[14] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[14] 0.00144269 +2 *2478:la_output[14] 1.28869e-05 +3 *894:10 0.0095996 +4 *894:9 0.00815691 +5 *894:7 0.0022299 +6 *894:5 0.00224278 +7 *2472:la_data_out_mprj[14] *2472:la_iena_mprj[14] 0 +8 *2472:la_data_out_mprj[14] *1314:7 0.000202496 +9 *894:7 *1278:7 0 +10 *894:10 *897:10 0 +11 *894:10 *899:10 0.000110257 +12 *638:5 *2472:la_data_out_mprj[14] 0.000401477 +13 *639:8 *894:10 0.000351128 +14 *646:8 *894:10 0.000101365 +15 *647:8 *894:10 0.00447358 +16 *648:8 *894:10 0.00392454 +17 *732:8 *894:10 0.067458 +18 *893:10 *894:10 0.0714069 +*RES +1 *2478:la_output[14] *894:5 0.366399 +2 *894:5 *894:7 51.6623 +3 *894:7 *894:9 3.36879 +4 *894:9 *894:10 91.9146 +5 *894:10 *2472:la_data_out_mprj[14] 39.166 +*END + +*D_NET *895 0.143378 +*CONN +*I *2472:la_data_out_mprj[15] I *D mgmt_protect +*I *2478:la_output[15] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[15] 0.00174637 +2 *2478:la_output[15] 8.29983e-05 +3 *895:10 0.00655507 +4 *895:9 0.0048087 +5 *895:7 0.00217278 +6 *895:5 0.00225578 +7 *2472:la_data_out_mprj[15] *2472:la_iena_mprj[15] 0 +8 *895:7 *1152:7 0 +9 *895:7 *1279:9 0 +10 *638:20 *895:10 0.00041958 +11 *639:7 *2472:la_data_out_mprj[15] 0 +12 *640:8 *895:10 0.0610027 +13 *641:8 *895:10 0.059106 +14 *710:8 *895:10 0.00522793 +*RES +1 *2478:la_output[15] *895:5 2.05183 +2 *895:5 *895:7 49.7936 +3 *895:7 *895:9 4.5 +4 *895:9 *895:10 652.937 +5 *895:10 *2472:la_data_out_mprj[15] 42.1658 +*END + +*D_NET *896 0.111948 +*CONN +*I *2472:la_data_out_mprj[16] I *D mgmt_protect +*I *2478:la_output[16] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[16] 0.0013002 +2 *2478:la_output[16] 8.29983e-05 +3 *896:10 0.0114378 +4 *896:9 0.0101376 +5 *896:7 0.0023814 +6 *896:5 0.0024644 +7 *2472:la_data_out_mprj[16] *2472:la_iena_mprj[16] 0 +8 *896:7 *1153:9 0 +9 *896:7 *1280:7 0 +10 *896:10 *1139:18 0.00187111 +11 *896:10 *1152:10 0.000326136 +12 *896:10 *1154:10 0.0115692 +13 *605:8 *896:10 0.000622721 +14 *639:8 *896:10 0.0684485 +15 *640:7 *2472:la_data_out_mprj[16] 0.000505105 +16 *652:8 *896:10 0.000800634 +*RES +1 *2478:la_output[16] *896:5 2.05183 +2 *896:5 *896:7 54.1538 +3 *896:7 *896:9 3.36879 +4 *896:9 *896:10 88.4725 +5 *896:10 *2472:la_data_out_mprj[16] 36.6745 +*END + +*D_NET *897 0.160704 +*CONN +*I *2472:la_data_out_mprj[17] I *D mgmt_protect +*I *2478:la_output[17] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[17] 0.00180585 +2 *2478:la_output[17] 0.00199225 +3 *897:10 0.0118065 +4 *897:9 0.0100006 +5 *897:7 0.00199225 +6 *2472:la_data_out_mprj[17] *2472:la_iena_mprj[17] 0 +7 *2472:la_data_out_mprj[17] *931:7 0.000400773 +8 *897:7 *1154:7 0 +9 *897:7 *1281:9 0 +10 *897:10 *898:10 0.0661244 +11 *897:10 *900:10 0.000102747 +12 *897:10 *1151:10 0 +13 *897:10 *1184:16 0.000112976 +14 *639:8 *897:10 0 +15 *641:7 *2472:la_data_out_mprj[17] 0 +16 *644:8 *897:10 0.053281 +17 *656:8 *897:10 0.00358358 +18 *666:8 *897:10 0.000383642 +19 *668:8 *897:10 0.000241979 +20 *669:8 *897:10 0.000244978 +21 *670:10 *897:10 0.000528566 +22 *671:8 *897:10 0.000118462 +23 *673:8 *897:10 0.00011251 +24 *677:8 *897:10 0.00720221 +25 *688:8 *897:10 0.000315225 +26 *710:8 *897:10 0.000209909 +27 *721:8 *897:10 0 +28 *732:8 *897:10 0 +29 *872:16 *897:10 0.000143882 +30 *894:10 *897:10 0 +*RES +1 *2478:la_output[17] *897:7 47.0701 +2 *897:7 *897:9 3.36879 +3 *897:9 *897:10 86.8662 +4 *897:10 *2472:la_data_out_mprj[17] 45.81 +*END + +*D_NET *898 0.15825 +*CONN +*I *2472:la_data_out_mprj[18] I *D mgmt_protect +*I *2478:la_output[18] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[18] 0.00173117 +2 *2478:la_output[18] 0.00195217 +3 *898:10 0.00765998 +4 *898:9 0.00788098 +5 *2472:la_data_out_mprj[18] *2472:la_iena_mprj[18] 0 +6 *2472:la_data_out_mprj[18] *1188:7 0 +7 *898:9 *1155:9 0 +8 *898:9 *1282:7 0 +9 *898:10 *1151:10 0 +10 *642:7 *2472:la_data_out_mprj[18] 0.000543865 +11 *643:8 *898:10 0.0658491 +12 *654:8 *898:10 0.00329458 +13 *658:8 *898:10 0.00309526 +14 *676:11 *2472:la_data_out_mprj[18] 0.000118323 +15 *897:10 *898:10 0.0661244 +*RES +1 *2478:la_output[18] *898:9 49.6083 +2 *898:9 *898:10 85.2599 +3 *898:10 *2472:la_data_out_mprj[18] 46.6405 +*END + +*D_NET *899 0.130864 +*CONN +*I *2472:la_data_out_mprj[19] I *D mgmt_protect +*I *2478:la_output[19] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[19] 0.00163729 +2 *2478:la_output[19] 8.29983e-05 +3 *899:10 0.00659554 +4 *899:9 0.00495824 +5 *899:7 0.00234407 +6 *899:5 0.00242707 +7 *2472:la_data_out_mprj[19] *2472:la_iena_mprj[19] 0 +8 *899:7 *1157:9 0 +9 *899:7 *1283:9 0 +10 *642:8 *899:10 0.00128892 +11 *643:7 *2472:la_data_out_mprj[19] 0 +12 *646:8 *899:10 0.0545847 +13 *647:8 *899:10 0.0526284 +14 *732:8 *899:10 0.00420694 +15 *894:10 *899:10 0.000110257 +*RES +1 *2478:la_output[19] *899:5 2.05183 +2 *899:5 *899:7 51.4546 +3 *899:7 *899:9 4.5 +4 *899:9 *899:10 606.351 +5 *899:10 *2472:la_data_out_mprj[19] 40.5048 +*END + +*D_NET *900 0.209594 +*CONN +*I *2472:la_data_out_mprj[1] I *D mgmt_protect +*I *2478:la_output[1] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[1] 0.00211347 +2 *2478:la_output[1] 0.00184622 +3 *900:10 0.0096765 +4 *900:9 0.00756304 +5 *900:7 0.00184622 +6 *2472:la_data_out_mprj[1] *2472:la_iena_mprj[1] 0 +7 *2472:la_data_out_mprj[1] *966:15 6.41995e-05 +8 *900:7 *1167:9 0 +9 *900:7 *2347:16 0 +10 *644:7 *2472:la_data_out_mprj[1] 0 +11 *644:8 *900:10 0.0884201 +12 *666:8 *900:10 0.085656 +13 *699:8 *900:10 0.0064111 +14 *883:10 *900:10 0.00589407 +15 *897:10 *900:10 0.000102747 +*RES +1 *2478:la_output[1] *900:7 48.7311 +2 *900:7 *900:9 3.36879 +3 *900:9 *900:10 112.643 +4 *900:10 *2472:la_data_out_mprj[1] 44.149 +*END + +*D_NET *901 0.127931 +*CONN +*I *2472:la_data_out_mprj[20] I *D mgmt_protect +*I *2478:la_output[20] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[20] 0.00311076 +2 *2478:la_output[20] 0.000759502 +3 *901:12 0.00311076 +4 *901:10 0.00455614 +5 *901:9 0.00531564 +6 *2472:la_data_out_mprj[20] *2472:la_iena_mprj[20] 0 +7 *2472:la_data_out_mprj[20] *1190:7 0 +8 *901:9 *1158:7 0 +9 *901:10 *902:10 0.0542457 +10 *901:10 *1552:24 0.0561891 +11 *645:7 *2472:la_data_out_mprj[20] 0.000643436 +12 *678:11 *2472:la_data_out_mprj[20] 0 +*RES +1 *2478:la_output[20] *901:9 23.1253 +2 *901:9 *901:10 594.704 +3 *901:10 *901:12 4.5 +4 *901:12 *2472:la_data_out_mprj[20] 70.886 +*END + +*D_NET *902 0.125937 +*CONN +*I *2472:la_data_out_mprj[21] I *D mgmt_protect +*I *2478:la_output[21] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[21] 6.22868e-05 +2 *2478:la_output[21] 0.000778517 +3 *902:13 0.00283679 +4 *902:12 0.00277451 +5 *902:10 0.00448229 +6 *902:9 0.00526081 +7 *902:9 *1159:9 0 +8 *902:9 *1286:7 0 +9 *902:10 *903:10 0.052984 +10 *902:10 *1552:24 0.000306383 +11 *902:13 *2472:la_iena_mprj[21] 0 +12 *902:13 *2472:la_oenb_mprj[20] 0 +13 *902:13 *1318:9 0.000201529 +14 *646:7 *902:13 0.00200392 +15 *678:11 *902:13 0 +16 *901:10 *902:10 0.0542457 +*RES +1 *2478:la_output[21] *902:9 23.5405 +2 *902:9 *902:10 581.393 +3 *902:10 *902:12 4.5 +4 *902:12 *902:13 70.5562 +5 *902:13 *2472:la_data_out_mprj[21] 1.77093 +*END + +*D_NET *903 0.124037 +*CONN +*I *2472:la_data_out_mprj[22] I *D mgmt_protect +*I *2478:la_output[22] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[22] 0.00237 +2 *2478:la_output[22] 0.000799953 +3 *903:12 0.00237 +4 *903:10 0.00444304 +5 *903:9 0.005243 +6 *2472:la_data_out_mprj[22] *934:7 0 +7 *2472:la_data_out_mprj[22] *1159:13 0.00290936 +8 *2472:la_data_out_mprj[22] *1191:9 0 +9 *903:9 *1160:7 0 +10 *903:9 *1287:9 0 +11 *903:10 *904:10 0.0521408 +12 *903:10 *1552:24 0.000241948 +13 *647:7 *2472:la_data_out_mprj[22] 0.000534661 +14 *902:10 *903:10 0.052984 +*RES +1 *2478:la_output[22] *903:9 23.9558 +2 *903:9 *903:10 570.856 +3 *903:10 *903:12 4.5 +4 *903:12 *2472:la_data_out_mprj[22] 70.0555 +*END + +*D_NET *904 0.120232 +*CONN +*I *2472:la_data_out_mprj[23] I *D mgmt_protect +*I *2478:la_output[23] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[23] 0.00311932 +2 *2478:la_output[23] 0.000774643 +3 *904:12 0.00311932 +4 *904:10 0.00442834 +5 *904:9 0.00520299 +6 *2472:la_data_out_mprj[23] *2472:la_iena_mprj[23] 0 +7 *2472:la_data_out_mprj[23] *2472:la_oenb_mprj[22] 0 +8 *2472:la_data_out_mprj[23] *1319:7 0 +9 *904:9 *1288:7 0 +10 *904:10 *905:10 0.0509257 +11 *904:10 *1552:24 0.00014932 +12 *648:7 *2472:la_data_out_mprj[23] 0 +13 *679:11 *2472:la_data_out_mprj[23] 0.000371341 +14 *903:10 *904:10 0.0521408 +*RES +1 *2478:la_output[23] *904:9 23.2474 +2 *904:9 *904:10 560.873 +3 *904:10 *904:12 4.5 +4 *904:12 *2472:la_data_out_mprj[23] 69.6402 +*END + +*D_NET *905 0.118634 +*CONN +*I *2472:la_data_out_mprj[24] I *D mgmt_protect +*I *2478:la_output[24] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[24] 4.25268e-05 +2 *2478:la_output[24] 0.000842826 +3 *905:13 0.00279014 +4 *905:12 0.00274761 +5 *905:10 0.00432208 +6 *905:9 0.00516491 +7 *905:9 *1162:7 0 +8 *905:9 *1289:9 0 +9 *905:10 *906:10 0.0497172 +10 *905:10 *1552:24 4.49334e-05 +11 *905:13 *2472:la_iena_mprj[24] 0 +12 *905:13 *935:9 0.000113953 +13 *905:13 *1319:7 0 +14 *649:7 *905:13 0.00192257 +15 *904:10 *905:10 0.0509257 +*RES +1 *2478:la_output[24] *905:9 24.7863 +2 *905:9 *905:10 546.453 +3 *905:10 *905:12 4.5 +4 *905:12 *905:13 69.3105 +5 *905:13 *2472:la_data_out_mprj[24] 1.20912 +*END + +*D_NET *906 0.115439 +*CONN +*I *2472:la_data_out_mprj[25] I *D mgmt_protect +*I *2478:la_output[25] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[25] 0.00298278 +2 *2478:la_output[25] 0.000864262 +3 *906:12 0.00298278 +4 *906:10 0.00433081 +5 *906:9 0.00519507 +6 *2472:la_data_out_mprj[25] *2472:la_iena_mprj[25] 0 +7 *2472:la_data_out_mprj[25] *1192:7 0 +8 *906:9 *1163:9 0 +9 *906:9 *1290:7 0 +10 *906:10 *907:10 0.0487146 +11 *906:10 *1552:24 0 +12 *650:7 *2472:la_data_out_mprj[25] 0 +13 *680:11 *2472:la_data_out_mprj[25] 0.000651606 +14 *905:10 *906:10 0.0497172 +*RES +1 *2478:la_output[25] *906:9 25.2015 +2 *906:9 *906:10 536.47 +3 *906:10 *906:12 4.5 +4 *906:12 *2472:la_data_out_mprj[25] 68.8097 +*END + +*D_NET *907 0.080989 +*CONN +*I *2472:la_data_out_mprj[26] I *D mgmt_protect +*I *2478:la_output[26] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[26] 0.00297223 +2 *2478:la_output[26] 0.000885698 +3 *907:12 0.00297223 +4 *907:10 0.0118224 +5 *907:9 0.0127081 +6 *2472:la_data_out_mprj[26] *2472:la_iena_mprj[26] 0 +7 *2472:la_data_out_mprj[26] *926:20 0 +8 *2472:la_data_out_mprj[26] *936:9 0 +9 *2472:la_data_out_mprj[26] *1320:7 0 +10 *907:9 *1164:7 0 +11 *907:9 *1291:9 0 +12 *907:10 *920:10 0 +13 *907:10 *925:10 0 +14 *907:10 *928:10 0 +15 *907:10 *928:16 1.73913e-05 +16 *907:10 *928:18 0 +17 *907:10 *1184:12 0.000189477 +18 *907:10 *1303:10 0 +19 *907:10 *1307:12 0 +20 *907:10 *1552:24 0 +21 *651:7 *2472:la_data_out_mprj[26] 0.000523125 +22 *659:16 *907:10 6.41427e-05 +23 *660:16 *907:10 4.45957e-05 +24 *662:16 *907:10 7.50226e-05 +25 *906:10 *907:10 0.0487146 +*RES +1 *2478:la_output[26] *907:9 25.6168 +2 *907:9 *907:10 524.269 +3 *907:10 *907:12 4.5 +4 *907:12 *2472:la_data_out_mprj[26] 68.3945 +*END + +*D_NET *908 0.113501 +*CONN +*I *2472:la_data_out_mprj[27] I *D mgmt_protect +*I *2478:la_output[27] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[27] 0.000711424 +2 *2478:la_output[27] 8.29983e-05 +3 *908:10 0.00490354 +4 *908:9 0.00419211 +5 *908:7 0.00342228 +6 *908:5 0.00350527 +7 *2472:la_data_out_mprj[27] *2472:la_iena_mprj[27] 0 +8 *908:7 *1165:9 0 +9 *908:7 *1292:7 0 +10 *908:10 *1128:10 0.00335543 +11 *908:10 *1164:10 0.000517688 +12 *908:10 *1166:10 0.000378828 +13 *908:10 *1290:10 0.0472339 +14 *908:10 *1294:10 0.0451305 +15 *636:21 *908:7 6.68802e-05 +16 *652:7 *2472:la_data_out_mprj[27] 0 +*RES +1 *2478:la_output[27] *908:5 2.05183 +2 *908:5 *908:7 69.3105 +3 *908:7 *908:9 4.5 +4 *908:9 *908:10 513.177 +5 *908:10 *2472:la_data_out_mprj[27] 22.649 +*END + +*D_NET *909 0.0883545 +*CONN +*I *2472:la_data_out_mprj[28] I *D mgmt_protect +*I *2478:la_output[28] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[28] 0.00197936 +2 *2478:la_output[28] 0.00110964 +3 *909:18 0.00197936 +4 *909:16 0.00255345 +5 *909:15 0.00343436 +6 *909:10 0.00538373 +7 *909:9 0.00561246 +8 *2472:la_data_out_mprj[28] *2472:la_iena_mprj[28] 0 +9 *909:9 *1166:7 0 +10 *909:9 *1293:9 0 +11 *909:10 *913:10 0.0164837 +12 *909:10 *915:10 0.00563354 +13 *909:10 *1283:10 0.000760262 +14 *909:15 *1305:13 0 +15 *909:16 *915:16 0.0133045 +16 *909:16 *1151:10 0.000118462 +17 *2478:la_input[40] *909:15 0.000107579 +18 *627:14 *909:10 0 +19 *636:8 *909:16 0.00061052 +20 *636:12 *909:16 0.000236373 +21 *653:7 *2472:la_data_out_mprj[28] 0.000534618 +22 *659:16 *909:10 0 +23 *667:8 *909:16 0.00412627 +24 *668:14 *909:16 0.0087686 +25 *672:8 *909:16 0.0156177 +26 *681:11 *2472:la_data_out_mprj[28] 0 +*RES +1 *2478:la_output[28] *909:9 31.4303 +2 *909:9 *909:10 235.321 +3 *909:10 *909:15 23.7048 +4 *909:15 *909:16 265.824 +5 *909:16 *909:18 4.5 +6 *909:18 *2472:la_data_out_mprj[28] 48.0471 +*END + +*D_NET *910 0.107469 +*CONN +*I *2472:la_data_out_mprj[29] I *D mgmt_protect +*I *2478:la_output[29] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[29] 0.000625679 +2 *2478:la_output[29] 8.29983e-05 +3 *910:10 0.00488904 +4 *910:9 0.00426336 +5 *910:7 0.00359034 +6 *910:5 0.00367334 +7 *2472:la_data_out_mprj[29] *2472:la_iena_mprj[29] 0 +8 *910:7 *1168:9 0 +9 *910:10 *1166:10 0.00107913 +10 *910:10 *1169:10 0.0437088 +11 *910:10 *1297:10 0.0426891 +12 *910:10 *1372:10 0.00286711 +13 *654:7 *2472:la_data_out_mprj[29] 0 +*RES +1 *2478:la_output[29] *910:5 2.05183 +2 *910:5 *910:7 70.9715 +3 *910:7 *910:9 4.5 +4 *910:9 *910:10 489.329 +5 *910:10 *2472:la_data_out_mprj[29] 20.988 +*END + +*D_NET *911 0.141618 +*CONN +*I *2472:la_data_out_mprj[2] I *D mgmt_protect +*I *2478:la_output[2] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[2] 0.00284936 +2 *2478:la_output[2] 0.000451774 +3 *911:12 0.00284936 +4 *911:10 0.0112278 +5 *911:9 0.0116796 +6 *2472:la_data_out_mprj[2] *2472:la_iena_mprj[2] 0 +7 *2472:la_data_out_mprj[2] *924:15 0 +8 *911:9 *1295:9 0 +9 *911:9 *1550:29 0.00151239 +10 *911:9 *1550:31 1.3813e-05 +11 *911:9 *1574:19 0.00161652 +12 *911:10 *913:10 0 +13 *911:10 *933:10 0.00107821 +14 *911:10 *1167:10 0.000277664 +15 *911:10 *1170:10 0.00041958 +16 *911:10 *1179:10 0.0046892 +17 *911:10 *1245:10 0.00349683 +18 *911:10 *1298:10 0.019116 +19 *480:25 *911:10 0 +20 *480:28 *911:9 0.000234966 +21 *605:14 *911:10 0.0690763 +22 *627:14 *911:10 0.0102571 +23 *655:7 *2472:la_data_out_mprj[2] 0.000520322 +24 *669:15 *2472:la_data_out_mprj[2] 0.000251333 +*RES +1 *2478:la_output[2] *911:9 35.9981 +2 *911:9 *911:10 804.899 +3 *911:10 *911:12 4.5 +4 *911:12 *2472:la_data_out_mprj[2] 58.0132 +*END + +*D_NET *912 0.105479 +*CONN +*I *2472:la_data_out_mprj[30] I *D mgmt_protect +*I *2478:la_output[30] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[30] 0.000832955 +2 *2478:la_output[30] 8.29983e-05 +3 *912:10 0.00509743 +4 *912:9 0.00426448 +5 *912:7 0.00308615 +6 *912:5 0.00316915 +7 *2472:la_data_out_mprj[30] *2472:la_iena_mprj[30] 0 +8 *912:7 *1169:7 0 +9 *912:7 *1296:9 0 +10 *912:10 *914:10 0.0412046 +11 *912:10 *922:10 0.000962914 +12 *912:10 *1117:10 0.0212292 +13 *912:10 *1156:10 0.00349207 +14 *912:10 *1182:12 0.00384522 +15 *912:10 *1182:14 1.41689e-05 +16 *912:10 *1186:12 0.000118134 +17 *912:10 *1200:16 4.52739e-05 +18 *912:10 *1308:22 0.0174359 +19 *656:7 *2472:la_data_out_mprj[30] 0.0003988 +20 *682:11 *2472:la_data_out_mprj[30] 0.000199327 +*RES +1 *2478:la_output[30] *912:5 2.05183 +2 *912:5 *912:7 62.6664 +3 *912:7 *912:9 4.5 +4 *912:9 *912:10 477.682 +5 *912:10 *2472:la_data_out_mprj[30] 29.293 +*END + +*D_NET *913 0.0902071 +*CONN +*I *2472:la_data_out_mprj[31] I *D mgmt_protect +*I *2478:la_output[31] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[31] 0.00161907 +2 *2478:la_output[31] 0.00113683 +3 *913:18 0.00161907 +4 *913:16 0.00142667 +5 *913:15 0.0020016 +6 *913:10 0.00495699 +7 *913:9 0.0055189 +8 *2472:la_data_out_mprj[31] *2472:la_iena_mprj[31] 0 +9 *2472:la_data_out_mprj[31] *930:19 0.000604059 +10 *2472:la_data_out_mprj[31] *932:21 0.00149277 +11 *913:9 *1170:9 0 +12 *913:9 *1297:7 0 +13 *913:10 *915:10 0.000582262 +14 *913:10 *919:10 0.0036655 +15 *913:10 *924:10 0.000653519 +16 *913:10 *1170:10 0 +17 *913:10 *1175:10 9.34933e-05 +18 *913:10 *1181:12 0.00116323 +19 *913:10 *1181:16 0.00776873 +20 *913:10 *1285:10 0.00152789 +21 *913:10 *1300:10 0 +22 *913:10 *1307:16 0.00771785 +23 *913:10 *1308:12 0.00019069 +24 *913:10 *1309:12 0.000164843 +25 *913:10 *1309:14 1.67988e-05 +26 *913:15 *929:7 0.000648461 +27 *913:16 *915:16 0.0153393 +28 *913:16 *917:16 0.0123615 +29 *913:16 *1151:10 0.000137341 +30 *913:16 *1296:10 0.0013161 +31 *627:14 *913:10 0 +32 *657:7 *2472:la_data_out_mprj[31] 0 +33 *909:10 *913:10 0.0164837 +34 *911:10 *913:10 0 +*RES +1 *2478:la_output[31] *913:9 31.8456 +2 *913:9 *913:10 302.428 +3 *913:10 *913:15 22.4591 +4 *913:15 *913:16 163.777 +5 *913:16 *913:18 4.5 +6 *913:18 *2472:la_data_out_mprj[31] 48.8776 +*END + +*D_NET *914 0.102784 +*CONN +*I *2472:la_data_out_mprj[32] I *D mgmt_protect +*I *2478:la_output[32] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[32] 0.000568125 +2 *2478:la_output[32] 5.96252e-05 +3 *914:10 0.00421222 +4 *914:9 0.0036441 +5 *914:7 0.00310876 +6 *914:5 0.00316839 +7 *2472:la_data_out_mprj[32] *1170:13 0.00143683 +8 *914:7 *1171:7 0 +9 *914:7 *1298:9 0 +10 *914:10 *1148:10 0.00242587 +11 *914:10 *1171:10 0.000451273 +12 *914:10 *1186:12 0.000118134 +13 *914:10 *1299:10 0.0412657 +14 *914:10 *1308:22 0.000690837 +15 *658:7 *2472:la_data_out_mprj[32] 0.000429377 +16 *912:10 *914:10 0.0412046 +*RES +1 *2478:la_output[32] *914:5 1.49002 +2 *914:5 *914:7 62.2512 +3 *914:7 *914:9 4.5 +4 *914:9 *914:10 454.944 +5 *914:10 *2472:la_data_out_mprj[32] 29.7083 +*END + +*D_NET *915 0.0873334 +*CONN +*I *2472:la_data_out_mprj[33] I *D mgmt_protect +*I *2478:la_output[33] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[33] 0.00177081 +2 *2478:la_output[33] 0.00103651 +3 *915:18 0.00177081 +4 *915:16 0.00171948 +5 *915:15 0.00248691 +6 *915:10 0.0036805 +7 *915:9 0.00394959 +8 *2472:la_data_out_mprj[33] *2472:la_iena_mprj[33] 0 +9 *2472:la_data_out_mprj[33] *2472:la_oenb_mprj[32] 0 +10 *2472:la_data_out_mprj[33] *936:13 0.00164051 +11 *915:9 *1172:7 0 +12 *915:9 *1299:7 0 +13 *915:10 *917:10 0.020545 +14 *915:10 *924:10 0.00158586 +15 *915:10 *1283:10 0.00126286 +16 *915:10 *1308:12 0.000436825 +17 *915:10 *1309:12 1.67988e-05 +18 *915:10 *1309:14 0.00742277 +19 *915:15 *1184:15 9.12633e-05 +20 *915:15 *1313:9 0.000108139 +21 *915:16 *917:16 0.000718419 +22 *915:16 *1151:10 0.000126544 +23 *915:16 *1296:10 2.97286e-05 +24 *2478:la_input[46] *915:15 0 +25 *636:8 *915:16 0.000110701 +26 *659:7 *2472:la_data_out_mprj[33] 0 +27 *659:16 *915:9 0.000183244 +28 *660:16 *915:10 0 +29 *672:8 *915:16 0.00178054 +30 *909:10 *915:10 0.00563354 +31 *909:16 *915:16 0.0133045 +32 *913:10 *915:10 0.000582262 +33 *913:16 *915:16 0.0153393 +*RES +1 *2478:la_output[33] *915:9 30.5998 +2 *915:9 *915:10 257.505 +3 *915:10 *915:15 24.1201 +4 *915:15 *915:16 185.406 +5 *915:16 *915:18 4.5 +6 *915:18 *2472:la_data_out_mprj[33] 48.4624 +*END + +*D_NET *916 0.0965372 +*CONN +*I *2472:la_data_out_mprj[34] I *D mgmt_protect +*I *2478:la_output[34] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[34] 0.000958128 +2 *2478:la_output[34] 8.29983e-05 +3 *916:10 0.00465958 +4 *916:9 0.00370146 +5 *916:7 0.00304892 +6 *916:5 0.00313192 +7 *2472:la_data_out_mprj[34] *2472:la_iena_mprj[34] 0 +8 *2472:la_data_out_mprj[34] *938:13 0.000185686 +9 *916:7 *1173:9 0 +10 *916:7 *1300:9 0 +11 *916:10 *1154:10 0.00023039 +12 *916:10 *1172:10 0.00105498 +13 *916:10 *1174:10 0.0381297 +14 *916:10 *1278:10 0.00192462 +15 *916:10 *1301:10 0.0389836 +16 *660:7 *2472:la_data_out_mprj[34] 0.000445147 +17 *660:16 *916:7 0 +*RES +1 *2478:la_output[34] *916:5 2.05183 +2 *916:5 *916:7 60.1749 +3 *916:7 *916:9 4.5 +4 *916:9 *916:10 431.096 +5 *916:10 *2472:la_data_out_mprj[34] 31.7845 +*END + +*D_NET *917 0.0844646 +*CONN +*I *2472:la_data_out_mprj[35] I *D mgmt_protect +*I *2478:la_output[35] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[35] 0.00221482 +2 *2478:la_output[35] 0.00105318 +3 *917:18 0.00221482 +4 *917:16 0.00194165 +5 *917:15 0.00247754 +6 *917:10 0.00316148 +7 *917:9 0.00367876 +8 *2472:la_data_out_mprj[35] *2472:la_iena_mprj[35] 0 +9 *2472:la_data_out_mprj[35] *1173:25 0 +10 *917:9 *1174:7 0 +11 *917:9 *1301:7 0 +12 *917:10 *920:10 0.00171001 +13 *917:10 *923:10 0.0143363 +14 *917:10 *1283:10 0.000948525 +15 *917:10 *1303:10 0.00174097 +16 *917:10 *1309:14 0.00150433 +17 *917:15 *2472:la_oenb_mprj[15] 0.000196083 +18 *917:15 *1187:9 0 +19 *917:16 *1195:10 0.000324724 +20 *917:16 *1196:10 0.000342009 +21 *917:16 *1296:10 0.0119517 +22 *917:16 *1302:10 5.6766e-05 +23 *661:7 *2472:la_data_out_mprj[35] 0 +24 *662:16 *917:10 0 +25 *672:8 *917:16 0.000266271 +26 *675:11 *917:15 0.000719833 +27 *684:11 *2472:la_data_out_mprj[35] 0 +28 *913:16 *917:16 0.0123615 +29 *915:10 *917:10 0.020545 +30 *915:16 *917:16 0.000718419 +*RES +1 *2478:la_output[35] *917:9 30.1846 +2 *917:9 *917:10 253.068 +3 *917:10 *917:15 23.7048 +4 *917:15 *917:16 166.55 +5 *917:16 *917:18 4.5 +6 *917:18 *2472:la_data_out_mprj[35] 49.2929 +*END + +*D_NET *918 0.0898438 +*CONN +*I *2472:la_data_out_mprj[36] I *D mgmt_protect +*I *2478:la_output[36] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[36] 0.00108417 +2 *2478:la_output[36] 8.29983e-05 +3 *918:10 0.00486816 +4 *918:9 0.00378398 +5 *918:7 0.00293156 +6 *918:5 0.00301456 +7 *2472:la_data_out_mprj[36] *2472:la_iena_mprj[36] 0 +8 *2472:la_data_out_mprj[36] *2472:la_oenb_mprj[36] 0 +9 *918:7 *1175:9 0 +10 *918:7 *1302:9 0 +11 *918:10 *1152:10 0.00124078 +12 *918:10 *1176:10 0.0357521 +13 *918:10 *1301:10 0.00144135 +14 *918:10 *1303:16 0.0349577 +15 *662:7 *2472:la_data_out_mprj[36] 0.000458095 +16 *662:16 *918:7 0.000228381 +*RES +1 *2478:la_output[36] *918:5 2.05183 +2 *918:5 *918:7 58.9292 +3 *918:7 *918:9 4.5 +4 *918:9 *918:10 407.248 +5 *918:10 *2472:la_data_out_mprj[36] 33.0303 +*END + +*D_NET *919 0.0855776 +*CONN +*I *2472:la_data_out_mprj[37] I *D mgmt_protect +*I *2478:la_output[37] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[37] 0.00199699 +2 *2478:la_output[37] 0.0012077 +3 *919:22 0.00199699 +4 *919:20 0.000482182 +5 *919:19 0.000681946 +6 *919:10 0.00301748 +7 *919:9 0.00402541 +8 *2472:la_data_out_mprj[37] *2472:la_iena_mprj[37] 0 +9 *2472:la_data_out_mprj[37] *1187:15 0.000508068 +10 *2472:la_data_out_mprj[37] *1325:9 0 +11 *919:9 *1176:7 0 +12 *919:9 *1303:10 0 +13 *919:10 *1157:10 0.0012995 +14 *919:10 *1175:10 0.000342605 +15 *919:10 *1177:10 0.000535391 +16 *919:10 *1181:12 0.00116323 +17 *919:10 *1304:10 0.0292736 +18 *919:10 *1307:16 0.0224405 +19 *919:19 *1322:9 1.9101e-05 +20 *919:20 *1177:14 0.00529574 +21 *919:20 *1307:20 0.00530418 +22 *663:5 *2472:la_data_out_mprj[37] 0 +23 *685:11 *2472:la_data_out_mprj[37] 0.00232141 +24 *913:10 *919:10 0.0036655 +*RES +1 *2478:la_output[37] *919:9 32.6761 +2 *919:9 *919:10 337.368 +3 *919:10 *919:19 12.1755 +4 *919:19 *919:20 56.7384 +5 *919:20 *919:22 4.5 +6 *919:22 *2472:la_data_out_mprj[37] 61.7504 +*END + +*D_NET *920 0.0814899 +*CONN +*I *2472:la_data_out_mprj[38] I *D mgmt_protect +*I *2478:la_output[38] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[38] 0.00168478 +2 *2478:la_output[38] 0.00102638 +3 *920:18 0.00168478 +4 *920:16 0.00158485 +5 *920:15 0.00251678 +6 *920:10 0.00316292 +7 *920:9 0.00325737 +8 *2472:la_data_out_mprj[38] *2472:la_iena_mprj[38] 0 +9 *2472:la_data_out_mprj[38] *941:7 0.000286776 +10 *2472:la_data_out_mprj[38] *1185:15 0 +11 *920:9 *1177:9 0 +12 *920:10 *923:10 0.0150096 +13 *920:10 *925:10 0.000498026 +14 *920:10 *926:10 0.00924286 +15 *920:10 *1283:10 0.000421804 +16 *920:10 *1307:12 0.00409858 +17 *920:15 *925:15 0 +18 *920:15 *1315:9 8.20611e-05 +19 *664:5 *2472:la_data_out_mprj[38] 0.00070986 +20 *665:8 *920:16 0.0172541 +21 *667:8 *920:16 0.0172583 +22 *907:10 *920:10 0 +23 *917:10 *920:10 0.00171001 +*RES +1 *2478:la_output[38] *920:9 29.3541 +2 *920:9 *920:10 199.271 +3 *920:10 *920:15 27.0268 +4 *920:15 *920:16 184.852 +5 *920:16 *920:18 4.5 +6 *920:18 *2472:la_data_out_mprj[38] 46.8014 +*END + +*D_NET *921 0.0817617 +*CONN +*I *2472:la_data_out_mprj[39] I *D mgmt_protect +*I *2478:la_output[39] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[39] 0.000963033 +2 *2478:la_output[39] 8.29983e-05 +3 *921:10 0.00498443 +4 *921:9 0.00402139 +5 *921:7 0.0030213 +6 *921:5 0.0031043 +7 *2472:la_data_out_mprj[39] *1177:17 0.00167515 +8 *921:7 *1179:9 0 +9 *921:7 *1305:9 0 +10 *921:10 *924:16 0.0293792 +11 *921:10 *1154:10 0.000275651 +12 *921:10 *1176:10 0.000431815 +13 *921:10 *1305:16 0.0331342 +14 *921:10 *1308:16 0.000688207 +15 *605:8 *921:10 0 +16 *652:8 *921:10 0 +17 *665:5 *2472:la_data_out_mprj[39] 0 +*RES +1 *2478:la_output[39] *921:5 2.05183 +2 *921:5 *921:7 57.2682 +3 *921:7 *921:9 4.5 +4 *921:9 *921:10 372.862 +5 *921:10 *2472:la_data_out_mprj[39] 34.6913 +*END + +*D_NET *922 0.174812 +*CONN +*I *2472:la_data_out_mprj[3] I *D mgmt_protect +*I *2478:la_output[3] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[3] 0.00110813 +2 *2478:la_output[3] 8.29983e-05 +3 *922:10 0.00631275 +4 *922:9 0.00520463 +5 *922:7 0.00243614 +6 *922:5 0.00251913 +7 *2472:la_data_out_mprj[3] *2472:la_iena_mprj[3] 0 +8 *922:7 *1189:9 0 +9 *922:7 *1306:7 0 +10 *922:10 *1117:10 0.0734873 +11 *922:10 *1178:10 0.00031861 +12 *922:10 *1200:10 0.0716134 +13 *922:10 *1200:16 0.000731606 +14 *922:10 *1284:10 0.0100346 +15 *666:7 *2472:la_data_out_mprj[3] 0 +16 *912:10 *922:10 0.000962914 +*RES +1 *2478:la_output[3] *922:5 2.05183 +2 *922:5 *922:7 63.4969 +3 *922:7 *922:9 4.5 +4 *922:9 *922:10 793.252 +5 *922:10 *2472:la_data_out_mprj[3] 28.4625 +*END + +*D_NET *923 0.0698852 +*CONN +*I *2472:la_data_out_mprj[40] I *D mgmt_protect +*I *2478:la_output[40] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[40] 0.00138057 +2 *2478:la_output[40] 0.00105464 +3 *923:16 0.00356712 +4 *923:15 0.00356401 +5 *923:10 0.00380996 +6 *923:9 0.00348715 +7 *2472:la_data_out_mprj[40] *2472:la_iena_mprj[40] 0 +8 *923:9 *1180:7 0 +9 *923:9 *1307:9 0 +10 *923:10 *926:10 0.00253276 +11 *923:10 *926:20 0.00217724 +12 *923:10 *932:10 0.00278364 +13 *923:10 *935:10 1.15389e-05 +14 *923:10 *936:10 0.000636366 +15 *923:10 *1283:10 0.000346617 +16 *923:10 *1309:14 0.000743184 +17 *923:15 *2472:la_oenb_mprj[26] 0 +18 *923:15 *932:16 5.56367e-05 +19 *923:15 *1193:9 0.000117032 +20 *923:16 *925:20 0.000178097 +21 *923:16 *926:26 0.00982019 +22 *923:16 *1181:26 0.00370249 +23 *923:16 *1304:16 0 +24 *653:8 *923:16 0 +25 *667:5 *2472:la_data_out_mprj[40] 0.000571133 +26 *681:11 *923:15 0 +27 *917:10 *923:10 0.0143363 +28 *920:10 *923:10 0.0150096 +*RES +1 *2478:la_output[40] *923:9 29.7693 +2 *923:9 *923:10 250.295 +3 *923:10 *923:15 37.4081 +4 *923:15 *923:16 110.535 +5 *923:16 *2472:la_data_out_mprj[40] 40.5048 +*END + +*D_NET *924 0.0679512 +*CONN +*I *2472:la_data_out_mprj[41] I *D mgmt_protect +*I *2478:la_output[41] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[41] 0.00141137 +2 *2478:la_output[41] 0.00129125 +3 *924:16 0.00720558 +4 *924:15 0.00732204 +5 *924:10 0.00281908 +6 *2472:la_data_out_mprj[41] *2472:la_iena_mprj[41] 0 +7 *924:10 *1181:12 0 +8 *924:10 *1308:12 0 +9 *924:10 *1308:15 7.09666e-06 +10 *924:10 *1309:12 0.000113968 +11 *924:15 *2472:la_iena_mprj[2] 0.00121598 +12 *924:15 *2472:la_oenb_mprj[2] 0.000216869 +13 *924:15 *1181:15 1.24189e-05 +14 *924:15 *1307:15 2.55661e-06 +15 *924:15 *1309:12 7.26959e-06 +16 *924:16 *928:24 0.00826566 +17 *924:16 *1154:10 0.000108607 +18 *924:16 *1191:18 0.000364342 +19 *924:16 *1191:20 0.000481047 +20 *924:16 *1267:18 0.000466074 +21 *924:16 *1304:16 0.000266261 +22 *924:16 *1305:16 3.07561e-05 +23 *924:16 *1308:16 0.0047245 +24 *2472:la_data_out_mprj[2] *924:15 0 +25 *652:8 *924:16 0 +26 *653:8 *924:16 0 +27 *668:7 *2472:la_data_out_mprj[41] 0 +28 *669:15 *924:15 0 +29 *687:11 *2472:la_data_out_mprj[41] 0 +30 *913:10 *924:10 0.000653519 +31 *915:10 *924:10 0.00158586 +32 *921:10 *924:16 0.0293792 +*RES +1 *2478:la_output[41] *924:10 47.822 +2 *924:10 *924:15 41.5607 +3 *924:15 *924:16 332.931 +4 *924:16 *2472:la_data_out_mprj[41] 35.1065 +*END + +*D_NET *925 0.0631168 +*CONN +*I *2472:la_data_out_mprj[42] I *D mgmt_protect +*I *2478:la_output[42] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[42] 0.0014022 +2 *2478:la_output[42] 0.00098015 +3 *925:20 0.00337567 +4 *925:18 0.00199411 +5 *925:16 0.00102299 +6 *925:15 0.00217156 +7 *925:10 0.00365928 +8 *925:9 0.00347022 +9 *2472:la_data_out_mprj[42] *2472:la_iena_mprj[42] 0 +10 *2472:la_data_out_mprj[42] *1195:15 0 +11 *925:9 *1182:7 0 +12 *925:9 *1309:12 0 +13 *925:10 *926:10 0.00171001 +14 *925:10 *928:10 0.00566601 +15 *925:10 *1184:12 0.00294309 +16 *925:15 *931:7 0.00142284 +17 *925:20 *926:26 0.0114236 +18 *641:7 *925:15 8.62625e-06 +19 *642:8 *925:16 0.000776327 +20 *646:8 *925:16 0.00103534 +21 *647:8 *925:16 0.00014932 +22 *648:8 *925:16 4.77564e-05 +23 *649:8 *925:16 0 +24 *649:8 *925:20 1.01703e-05 +25 *650:8 *925:20 0 +26 *651:8 *925:20 0 +27 *653:8 *925:20 0 +28 *669:7 *2472:la_data_out_mprj[42] 0.000589004 +29 *675:8 *925:16 0.000159221 +30 *676:8 *925:16 0.0044495 +31 *676:8 *925:20 0.000519766 +32 *680:8 *925:20 0.0134539 +33 *907:10 *925:10 0 +34 *920:10 *925:10 0.000498026 +35 *920:15 *925:15 0 +36 *923:16 *925:20 0.000178097 +*RES +1 *2478:la_output[42] *925:9 28.1083 +2 *925:9 *925:10 121.072 +3 *925:10 *925:15 37.8234 +4 *925:15 *925:16 58.6795 +5 *925:16 *925:18 0.578717 +6 *925:18 *925:20 157.954 +7 *925:20 *2472:la_data_out_mprj[42] 41.3353 +*END + +*D_NET *926 0.0679406 +*CONN +*I *2472:la_data_out_mprj[43] I *D mgmt_protect +*I *2478:la_output[43] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[43] 0.00152824 +2 *2478:la_output[43] 0.00101858 +3 *926:26 0.00297146 +4 *926:25 0.0029203 +5 *926:20 0.00197438 +6 *926:10 0.00193977 +7 *926:9 0.00246105 +8 *2472:la_data_out_mprj[43] *2472:la_iena_mprj[43] 0 +9 *2472:la_data_out_mprj[43] *943:7 0.000310166 +10 *926:9 *1183:9 0 +11 *926:10 *928:10 0.0111635 +12 *926:20 *928:16 4.42311e-05 +13 *926:20 *928:18 0.00109722 +14 *926:20 *932:16 0.000483488 +15 *926:20 *935:10 0.0018874 +16 *926:20 *936:9 7.14746e-05 +17 *926:25 *1173:15 0 +18 *926:25 *1321:9 0 +19 *926:26 *1181:26 0.000792362 +20 *926:26 *1309:24 1.41291e-05 +21 *2472:la_data_out_mprj[26] *926:20 0 +22 *670:9 *2472:la_data_out_mprj[43] 0 +23 *680:8 *926:26 0.000356178 +24 *681:11 *926:25 0 +25 *920:10 *926:10 0.00924286 +26 *923:10 *926:10 0.00253276 +27 *923:10 *926:20 0.00217724 +28 *923:16 *926:26 0.00982019 +29 *925:10 *926:10 0.00171001 +30 *925:20 *926:26 0.0114236 +*RES +1 *2478:la_output[43] *926:9 28.9388 +2 *926:9 *926:10 159.063 +3 *926:10 *926:20 45.9958 +4 *926:20 *926:25 38.6539 +5 *926:25 *926:26 132.164 +6 *926:26 *2472:la_data_out_mprj[43] 40.9201 +*END + +*D_NET *927 0.071325 +*CONN +*I *2472:la_data_out_mprj[44] I *D mgmt_protect +*I *2478:la_output[44] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[44] 0.000861112 +2 *2478:la_output[44] 8.29983e-05 +3 *927:10 0.00394419 +4 *927:9 0.00308308 +5 *927:7 0.00337535 +6 *927:5 0.00345835 +7 *2472:la_data_out_mprj[44] *2472:la_iena_mprj[44] 0 +8 *927:7 *2472:la_data_out_mprj[7] 0 +9 *927:7 *1184:9 0 +10 *927:7 *1311:9 0 +11 *927:10 *929:10 0.0255425 +12 *927:10 *931:10 0.0215779 +13 *927:10 *977:18 0.000183145 +14 *927:10 *1188:12 0.000108607 +15 *927:10 *1280:10 0.00724722 +16 *927:10 *1282:10 0.000127366 +17 *927:10 *1310:12 9.82896e-06 +18 *927:10 *1310:14 0.00135572 +19 *671:7 *2472:la_data_out_mprj[44] 0.000367669 +20 *721:7 *927:7 0 +*RES +1 *2478:la_output[44] *927:5 2.05183 +2 *927:5 *927:7 64.7427 +3 *927:7 *927:9 4.5 +4 *927:9 *927:10 314.074 +5 *927:10 *2472:la_data_out_mprj[44] 27.2167 +*END + +*D_NET *928 0.055063 +*CONN +*I *2472:la_data_out_mprj[45] I *D mgmt_protect +*I *2478:la_output[45] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[45] 0.00140642 +2 *2478:la_output[45] 0.00100841 +3 *928:24 0.00420568 +4 *928:23 0.00409508 +5 *928:18 0.00212162 +6 *928:16 0.000980622 +7 *928:10 0.00195442 +8 *928:9 0.002808 +9 *2472:la_data_out_mprj[45] *2472:la_iena_mprj[45] 0 +10 *2472:la_data_out_mprj[45] *1197:15 7.52542e-05 +11 *2472:la_data_out_mprj[45] *1199:15 3.82989e-05 +12 *928:9 *1185:9 0 +13 *928:9 *1312:9 0 +14 *928:18 *932:16 0.0010731 +15 *928:18 *935:10 0.0053747 +16 *928:23 *2472:la_oenb_mprj[30] 0.00042048 +17 *928:23 *932:21 0 +18 *928:23 *1179:15 0 +19 *928:23 *1322:9 0.000248358 +20 *928:24 *1191:20 0.000633643 +21 *928:24 *1304:16 0.00151568 +22 *928:24 *1309:24 0 +23 *928:24 *1321:16 0.000225363 +24 *928:24 *1322:16 0.000328385 +25 *657:7 *928:23 0.000295476 +26 *672:5 *2472:la_data_out_mprj[45] 0 +27 *907:10 *928:10 0 +28 *907:10 *928:16 1.73913e-05 +29 *907:10 *928:18 0 +30 *924:16 *928:24 0.00826566 +31 *925:10 *928:10 0.00566601 +32 *926:10 *928:10 0.0111635 +33 *926:20 *928:16 4.42311e-05 +34 *926:20 *928:18 0.00109722 +*RES +1 *2478:la_output[45] *928:9 28.5236 +2 *928:9 *928:10 118.577 +3 *928:10 *928:16 5.19639 +4 *928:16 *928:18 57.293 +5 *928:18 *928:23 43.6369 +6 *928:23 *928:24 123.845 +7 *928:24 *2472:la_data_out_mprj[45] 35.5218 +*END + +*D_NET *929 0.0682467 +*CONN +*I *2472:la_data_out_mprj[46] I *D mgmt_protect +*I *2478:la_output[46] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[46] 0.000886474 +2 *2478:la_output[46] 1.28869e-05 +3 *929:10 0.00380926 +4 *929:9 0.00292278 +5 *929:7 0.00273541 +6 *929:5 0.00274829 +7 *2472:la_data_out_mprj[46] *2472:la_iena_mprj[46] 0 +8 *2472:la_data_out_mprj[46] *1313:13 0 +9 *2472:la_data_out_mprj[46] *1327:15 2.1203e-06 +10 *929:7 *1184:15 0 +11 *929:7 *1313:9 0 +12 *929:10 *931:10 0.000151514 +13 *929:10 *943:10 0.000245158 +14 *929:10 *1188:12 0.000118134 +15 *929:10 *1198:16 0.00124365 +16 *929:10 *1199:16 0.000406926 +17 *929:10 *1310:14 0.0251794 +18 *2472:la_data_out_mprj[12] *929:7 0.000761087 +19 *636:5 *929:7 0.000462439 +20 *673:7 *2472:la_data_out_mprj[46] 0.000370225 +21 *913:15 *929:7 0.000648461 +22 *927:10 *929:10 0.0255425 +*RES +1 *2478:la_output[46] *929:5 0.366399 +2 *929:5 *929:7 64.3275 +3 *929:7 *929:9 4.5 +4 *929:9 *929:10 292.999 +5 *929:10 *2472:la_data_out_mprj[46] 27.632 +*END + +*D_NET *930 0.0605003 +*CONN +*I *2472:la_data_out_mprj[47] I *D mgmt_protect +*I *2478:la_output[47] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[47] 0.00460466 +2 *2478:la_output[47] 0.00118886 +3 *930:19 0.00646517 +4 *930:16 0.00232954 +5 *930:10 0.00134212 +6 *930:9 0.00206195 +7 *2472:la_data_out_mprj[47] *2472:la_data_out_mprj[49] 0.0144873 +8 *2472:la_data_out_mprj[47] *2472:la_iena_mprj[47] 0 +9 *2472:la_data_out_mprj[47] *2472:la_iena_mprj[48] 0.00363344 +10 *930:9 *1187:9 0 +11 *930:10 *1181:16 0.0103339 +12 *930:10 *1181:20 4.89898e-06 +13 *930:10 *1307:16 0.0103763 +14 *930:16 *937:12 0.000848219 +15 *930:16 *938:12 6.50727e-05 +16 *930:16 *1181:18 1.09551e-05 +17 *930:16 *1181:20 0.000264018 +18 *930:16 *1307:16 0.00107068 +19 *930:16 *1307:20 0.000629908 +20 *930:19 *932:21 0.000179263 +21 *930:19 *1195:9 0 +22 *2472:la_data_out_mprj[31] *930:19 0.000604059 +23 *658:7 *930:19 0 +24 *674:7 *2472:la_data_out_mprj[47] 0 +*RES +1 *2478:la_output[47] *930:9 31.8456 +2 *930:9 *930:10 110.535 +3 *930:10 *930:16 37.4145 +4 *930:16 *930:19 46.9336 +5 *930:19 *2472:la_data_out_mprj[47] 41.4223 +*END + +*D_NET *931 0.0627314 +*CONN +*I *2472:la_data_out_mprj[48] I *D mgmt_protect +*I *2478:la_output[48] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[48] 0.00081301 +2 *2478:la_output[48] 8.29983e-05 +3 *931:10 0.00346345 +4 *931:9 0.00265044 +5 *931:7 0.00257315 +6 *931:5 0.00265615 +7 *2472:la_data_out_mprj[48] *2472:la_iena_mprj[48] 0 +8 *2472:la_data_out_mprj[48] *2472:la_oenb_mprj[48] 0 +9 *931:7 *2472:la_iena_mprj[17] 0 +10 *931:7 *1188:7 0 +11 *931:7 *1315:9 0 +12 *931:10 *934:10 0.0209729 +13 *931:10 *1158:10 0.000947792 +14 *931:10 *1160:10 7.83176e-05 +15 *931:10 *1190:12 0.000118134 +16 *931:10 *1198:16 0.00285553 +17 *931:10 *1282:10 0.00130097 +18 *2472:la_data_out_mprj[17] *931:7 0.000400773 +19 *641:7 *931:7 0.000292263 +20 *675:7 *2472:la_data_out_mprj[48] 0.000373236 +21 *925:15 *931:7 0.00142284 +22 *927:10 *931:10 0.0215779 +23 *929:10 *931:10 0.000151514 +*RES +1 *2478:la_output[48] *931:5 2.05183 +2 *931:5 *931:7 65.158 +3 *931:7 *931:9 4.5 +4 *931:9 *931:10 267.488 +5 *931:10 *2472:la_data_out_mprj[48] 26.8015 +*END + +*D_NET *932 0.0613779 +*CONN +*I *2472:la_data_out_mprj[49] I *D mgmt_protect +*I *2478:la_output[49] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[49] 0.00416361 +2 *2478:la_output[49] 0.00109188 +3 *932:21 0.00585655 +4 *932:18 0.00169294 +5 *932:16 0.000957161 +6 *932:10 0.00167468 +7 *932:9 0.0018094 +8 *2472:la_data_out_mprj[49] *2472:la_iena_mprj[48] 0.00026726 +9 *2472:la_data_out_mprj[49] *2472:la_iena_mprj[49] 0 +10 *2472:la_data_out_mprj[49] *1319:12 0.0164967 +11 *932:9 *1190:7 0 +12 *932:9 *1316:7 0 +13 *932:10 *1309:14 0.00631775 +14 *932:16 *935:10 6.66012e-05 +15 *932:16 *1193:9 6.60196e-05 +16 *932:21 *938:9 7.87271e-05 +17 *932:21 *1322:9 0 +18 *2472:la_data_out_mprj[31] *932:21 0.00149277 +19 *2472:la_data_out_mprj[47] *2472:la_data_out_mprj[49] 0.0144873 +20 *657:7 *932:21 0.000283365 +21 *676:7 *2472:la_data_out_mprj[49] 0 +22 *923:10 *932:10 0.00278364 +23 *923:15 *932:16 5.56367e-05 +24 *926:20 *932:16 0.000483488 +25 *928:18 *932:16 0.0010731 +26 *928:23 *932:21 0 +27 *930:19 *932:21 0.000179263 +*RES +1 *2478:la_output[49] *932:9 30.5998 +2 *932:9 *932:10 67.2758 +3 *932:10 *932:16 45.5228 +4 *932:16 *932:18 4.5 +5 *932:18 *932:21 49.8404 +6 *932:21 *2472:la_data_out_mprj[49] 44.8535 +*END + +*D_NET *933 0.167951 +*CONN +*I *2472:la_data_out_mprj[4] I *D mgmt_protect +*I *2478:la_output[4] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[4] 0.00264205 +2 *2478:la_output[4] 0.00127242 +3 *933:12 0.00264205 +4 *933:10 0.00603138 +5 *933:9 0.0073038 +6 *2472:la_data_out_mprj[4] *2472:la_iena_mprj[4] 0 +7 *2472:la_data_out_mprj[4] *1310:7 0 +8 *933:9 *1200:7 0 +9 *933:9 *1317:9 0 +10 *933:10 *955:10 0.0701924 +11 *933:10 *1167:10 0.00393788 +12 *933:10 *1179:10 0.00631397 +13 *2478:la_input[43] *2472:la_data_out_mprj[4] 0.000690049 +14 *605:14 *933:10 0.065305 +15 *677:7 *2472:la_data_out_mprj[4] 0.000541451 +16 *677:14 *933:10 0 +17 *911:10 *933:10 0.00107821 +*RES +1 *2478:la_output[4] *933:9 36.8286 +2 *933:9 *933:10 781.605 +3 *933:10 *933:12 4.5 +4 *933:12 *2472:la_data_out_mprj[4] 57.1827 +*END + +*D_NET *934 0.0549683 +*CONN +*I *2472:la_data_out_mprj[50] I *D mgmt_protect +*I *2478:la_output[50] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[50] 0.000749153 +2 *2478:la_output[50] 8.29983e-05 +3 *934:10 0.00333929 +4 *934:9 0.00259014 +5 *934:7 0.00302436 +6 *934:5 0.00310736 +7 *2472:la_data_out_mprj[50] *2472:la_iena_mprj[50] 0 +8 *934:7 *1191:9 0 +9 *934:7 *1318:9 0 +10 *934:10 *1160:10 0.000560858 +11 *934:10 *1162:10 4.72904e-05 +12 *934:10 *1190:12 0.000108607 +13 *934:10 *1192:10 0.000994607 +14 *934:10 *1198:16 0.000718419 +15 *934:10 *1288:10 3.42853e-05 +16 *2472:la_data_out_mprj[22] *934:7 0 +17 *647:7 *934:7 0.000261499 +18 *678:7 *2472:la_data_out_mprj[50] 0.000380675 +19 *681:8 *934:10 0.0179958 +20 *931:10 *934:10 0.0209729 +*RES +1 *2478:la_output[50] *934:5 2.05183 +2 *934:5 *934:7 65.5732 +3 *934:7 *934:9 4.5 +4 *934:9 *934:10 243.64 +5 *934:10 *2472:la_data_out_mprj[50] 26.3862 +*END + +*D_NET *935 0.0550254 +*CONN +*I *2472:la_data_out_mprj[51] I *D mgmt_protect +*I *2478:la_output[51] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[51] 0.000683157 +2 *2478:la_output[51] 0.000963291 +3 *935:16 0.00224177 +4 *935:15 0.00155861 +5 *935:13 0.00128356 +6 *935:12 0.00128356 +7 *935:10 0.000954525 +8 *935:9 0.00191782 +9 *2472:la_data_out_mprj[51] *2472:la_iena_mprj[51] 0 +10 *935:9 *1192:7 0 +11 *935:9 *1319:7 0 +12 *935:10 *936:10 0.00543847 +13 *935:10 *1309:18 4.33655e-05 +14 *935:13 *2472:la_iena_mprj[33] 0.000393005 +15 *935:13 *936:13 6.02152e-05 +16 *935:13 *937:15 0.00234425 +17 *935:13 *939:11 0.000873537 +18 *935:16 *936:16 0.0141949 +19 *935:16 *937:16 0.000261323 +20 *679:7 *2472:la_data_out_mprj[51] 0 +21 *684:8 *935:16 0.0130758 +22 *905:13 *935:9 0.000113953 +23 *923:10 *935:10 1.15389e-05 +24 *926:20 *935:10 0.0018874 +25 *928:18 *935:10 0.0053747 +26 *932:16 *935:10 6.66012e-05 +*RES +1 *2478:la_output[51] *935:9 28.9388 +2 *935:9 *935:10 79.4771 +3 *935:10 *935:12 4.5 +4 *935:12 *935:13 47.5097 +5 *935:13 *935:15 4.5 +6 *935:15 *935:16 153.239 +7 *935:16 *2472:la_data_out_mprj[51] 22.2337 +*END + +*D_NET *936 0.0540413 +*CONN +*I *2472:la_data_out_mprj[52] I *D mgmt_protect +*I *2478:la_output[52] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[52] 0.000520676 +2 *2478:la_output[52] 0.000983065 +3 *936:16 0.00225369 +4 *936:15 0.00173301 +5 *936:13 0.00128549 +6 *936:12 0.00128549 +7 *936:10 0.000452577 +8 *936:9 0.00143564 +9 *2472:la_data_out_mprj[52] *2472:la_iena_mprj[52] 0 +10 *936:9 *1193:9 0 +11 *936:9 *1320:7 0 +12 *936:10 *1309:14 7.02172e-06 +13 *936:10 *1309:18 0.00476095 +14 *936:13 *2472:la_iena_mprj[33] 5.66868e-06 +15 *936:13 *2472:la_oenb_mprj[32] 0 +16 *936:13 *937:15 0.00234779 +17 *936:13 *1323:9 0 +18 *936:16 *937:16 3.99086e-06 +19 *936:16 *938:16 0.014248 +20 *2472:la_data_out_mprj[26] *936:9 0 +21 *2472:la_data_out_mprj[33] *936:13 0.00164051 +22 *680:7 *2472:la_data_out_mprj[52] 0.000336725 +23 *684:8 *936:16 0.000339591 +24 *923:10 *936:10 0.000636366 +25 *926:20 *936:9 7.14746e-05 +26 *935:10 *936:10 0.00543847 +27 *935:13 *936:13 6.02152e-05 +28 *935:16 *936:16 0.0141949 +*RES +1 *2478:la_output[52] *936:9 29.3541 +2 *936:9 *936:10 57.8476 +3 *936:10 *936:12 4.5 +4 *936:12 *936:13 47.5097 +5 *936:13 *936:15 4.5 +6 *936:15 *936:16 162.668 +7 *936:16 *2472:la_data_out_mprj[52] 21.8185 +*END + +*D_NET *937 0.0504266 +*CONN +*I *2472:la_data_out_mprj[53] I *D mgmt_protect +*I *2478:la_output[53] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[53] 0.000733783 +2 *2478:la_output[53] 0.00106092 +3 *937:16 0.0025508 +4 *937:15 0.00282532 +5 *937:12 0.0013679 +6 *937:9 0.00142051 +7 *2472:la_data_out_mprj[53] *2472:la_iena_mprj[53] 0 +8 *937:9 *1194:9 0 +9 *937:9 *1321:9 0 +10 *937:12 *938:12 0.0016814 +11 *937:12 *1181:20 0.00361193 +12 *937:16 *939:14 0.0157005 +13 *681:7 *2472:la_data_out_mprj[53] 0 +14 *683:8 *937:16 4.97617e-05 +15 *684:8 *937:16 0.000786331 +16 *685:8 *937:16 0.0128319 +17 *930:16 *937:12 0.000848219 +18 *935:13 *937:15 0.00234425 +19 *935:16 *937:16 0.000261323 +20 *936:13 *937:15 0.00234779 +21 *936:16 *937:16 3.99086e-06 +*RES +1 *2478:la_output[53] *937:9 30.5998 +2 *937:9 *937:12 42.9364 +3 *937:12 *937:15 49.103 +4 *937:15 *937:16 170.987 +5 *937:16 *2472:la_data_out_mprj[53] 23.4795 +*END + +*D_NET *938 0.0453455 +*CONN +*I *2472:la_data_out_mprj[54] I *D mgmt_protect +*I *2478:la_output[54] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[54] 0.000501101 +2 *2478:la_output[54] 0.00104416 +3 *938:16 0.00246207 +4 *938:15 0.00196097 +5 *938:13 0.00195026 +6 *938:12 0.00226745 +7 *938:9 0.00136135 +8 *2472:la_data_out_mprj[54] *2472:la_iena_mprj[54] 0 +9 *938:9 *1195:9 0 +10 *938:9 *1322:9 0 +11 *938:12 *1181:20 0.000339591 +12 *938:12 *1307:20 0.00104548 +13 *938:13 *2472:la_iena_mprj[34] 0.000166653 +14 *938:13 *2472:la_oenb_mprj[34] 0 +15 *938:13 *1196:9 0.000693825 +16 *938:16 *940:10 0.0145667 +17 *2472:la_data_out_mprj[34] *938:13 0.000185686 +18 *660:7 *938:13 0 +19 *682:7 *2472:la_data_out_mprj[54] 0.000332413 +20 *684:8 *938:16 0.000394687 +21 *684:11 *938:13 0 +22 *930:16 *938:12 6.50727e-05 +23 *932:21 *938:9 7.87271e-05 +24 *936:16 *938:16 0.014248 +25 *937:12 *938:12 0.0016814 +*RES +1 *2478:la_output[54] *938:9 31.0151 +2 *938:9 *938:12 30.7352 +3 *938:12 *938:13 46.264 +4 *938:13 *938:15 4.5 +5 *938:15 *938:16 170.987 +6 *938:16 *2472:la_data_out_mprj[54] 21.4032 +*END + +*D_NET *939 0.045334 +*CONN +*I *2472:la_data_out_mprj[55] I *D mgmt_protect +*I *2478:la_output[55] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[55] 0.000741538 +2 *2478:la_output[55] 0.000916095 +3 *939:14 0.0025608 +4 *939:13 0.00181926 +5 *939:11 0.0018307 +6 *939:9 0.00274679 +7 *2472:la_data_out_mprj[55] *2472:la_iena_mprj[55] 0 +8 *939:9 *1323:9 0 +9 *939:11 *2472:la_iena_mprj[33] 0.000302412 +10 *939:11 *2472:la_oenb_mprj[33] 4.59609e-05 +11 *939:11 *1323:9 0 +12 *939:14 *1198:16 0 +13 *660:7 *939:11 0 +14 *683:7 *2472:la_data_out_mprj[55] 0 +15 *683:8 *939:14 0.017098 +16 *685:8 *939:14 0.000698398 +17 *935:13 *939:11 0.000873537 +18 *937:16 *939:14 0.0157005 +*RES +1 *2478:la_output[55] *939:9 23.4251 +2 *939:9 *939:11 46.4716 +3 *939:11 *939:13 4.5 +4 *939:13 *939:14 186.516 +5 *939:14 *2472:la_data_out_mprj[55] 23.8947 +*END + +*D_NET *940 0.037057 +*CONN +*I *2472:la_data_out_mprj[56] I *D mgmt_protect +*I *2478:la_output[56] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[56] 0.000481526 +2 *2478:la_output[56] 5.96252e-05 +3 *940:10 0.0038593 +4 *940:9 0.00337777 +5 *940:7 0.00276439 +6 *940:5 0.00282402 +7 *2472:la_data_out_mprj[56] *2472:la_iena_mprj[56] 0 +8 *940:7 *2472:la_iena_mprj[35] 0 +9 *940:7 *2472:la_oenb_mprj[35] 0.000121802 +10 *940:7 *1173:25 0 +11 *940:7 *1197:9 0 +12 *940:7 *1309:23 0 +13 *940:7 *1324:9 0 +14 *940:10 *1185:16 0.00690921 +15 *662:7 *940:7 0.00151161 +16 *684:7 *2472:la_data_out_mprj[56] 0.000328102 +17 *684:8 *940:10 0.000242106 +18 *685:8 *940:10 1.08799e-05 +19 *938:16 *940:10 0.0145667 +*RES +1 *2478:la_output[56] *940:5 1.49002 +2 *940:5 *940:7 70.9715 +3 *940:7 *940:9 4.5 +4 *940:9 *940:10 174.314 +5 *940:10 *2472:la_data_out_mprj[56] 20.988 +*END + +*D_NET *941 0.0333894 +*CONN +*I *2472:la_data_out_mprj[57] I *D mgmt_protect +*I *2478:la_output[57] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[57] 0.00107805 +2 *2478:la_output[57] 8.29983e-05 +3 *941:14 0.00187031 +4 *941:12 0.000812888 +5 *941:10 0.00138146 +6 *941:9 0.00136083 +7 *941:7 0.00237147 +8 *941:5 0.00245446 +9 *2472:la_data_out_mprj[57] *2472:la_iena_mprj[57] 0 +10 *2472:la_data_out_mprj[57] *2472:la_oenb_mprj[56] 0 +11 *2472:la_data_out_mprj[57] *2472:la_oenb_mprj[57] 0 +12 *941:7 *2472:la_iena_mprj[38] 5.56367e-05 +13 *941:7 *2472:la_oenb_mprj[38] 0 +14 *941:7 *1185:15 0 +15 *941:7 *1198:9 0 +16 *941:7 *1325:9 0 +17 *941:10 *1176:10 2.16355e-05 +18 *941:10 *1180:10 0.000180714 +19 *941:10 *1180:16 0.000702331 +20 *941:10 *1193:16 0.00193805 +21 *941:10 *1194:16 0.000547129 +22 *941:10 *1197:21 0.00119477 +23 *941:10 *1308:22 0 +24 *941:10 *1323:16 0.00184646 +25 *941:14 *1193:16 0.00558111 +26 *941:14 *1195:16 0.000725963 +27 *941:14 *1196:16 0.000205749 +28 *941:14 *1197:22 0.000111055 +29 *941:14 *1323:16 1.41689e-05 +30 *941:14 *1326:16 0.00856535 +31 *2472:la_data_out_mprj[38] *941:7 0.000286776 +32 *665:5 *941:7 0 +33 *685:7 *2472:la_data_out_mprj[57] 0 +*RES +1 *2478:la_output[57] *941:5 2.05183 +2 *941:5 *941:7 59.3444 +3 *941:7 *941:9 4.5 +4 *941:9 *941:10 71.1581 +5 *941:10 *941:12 0.578717 +6 *941:12 *941:14 91.1238 +7 *941:14 *2472:la_data_out_mprj[57] 32.1998 +*END + +*D_NET *942 0.0373296 +*CONN +*I *2472:la_data_out_mprj[58] I *D mgmt_protect +*I *2478:la_output[58] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[58] 0.000760596 +2 *2478:la_output[58] 8.29983e-05 +3 *942:10 0.00209089 +4 *942:9 0.0013303 +5 *942:7 0.00229965 +6 *942:5 0.00238265 +7 *2472:la_data_out_mprj[58] *2472:la_iena_mprj[58] 0 +8 *2472:la_data_out_mprj[58] *2472:la_oenb_mprj[58] 0 +9 *942:7 *1199:9 0 +10 *942:7 *1307:23 0.000617068 +11 *942:7 *1326:9 0 +12 *942:10 *943:10 6.75138e-05 +13 *942:10 *1193:16 5.64284e-05 +14 *668:7 *942:7 0 +15 *686:7 *2472:la_data_out_mprj[58] 0.000483545 +16 *686:8 *942:10 0.0139676 +17 *687:8 *942:10 0.0131903 +*RES +1 *2478:la_output[58] *942:5 2.05183 +2 *942:5 *942:7 62.2512 +3 *942:7 *942:9 4.5 +4 *942:9 *942:10 150.466 +5 *942:10 *2472:la_data_out_mprj[58] 29.7083 +*END + +*D_NET *943 0.0344298 +*CONN +*I *2472:la_data_out_mprj[59] I *D mgmt_protect +*I *2478:la_output[59] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[59] 0.00091684 +2 *2478:la_output[59] 8.29983e-05 +3 *943:10 0.00218664 +4 *943:9 0.0012698 +5 *943:7 0.00225711 +6 *943:5 0.00234011 +7 *2472:la_data_out_mprj[59] *2472:la_iena_mprj[59] 0 +8 *943:7 *1195:15 0 +9 *943:7 *1196:15 0.000638245 +10 *943:7 *1201:9 0 +11 *943:7 *1327:9 0 +12 *943:10 *1182:14 0.000324166 +13 *943:10 *1199:16 0.0114852 +14 *943:10 *1310:14 9.65932e-05 +15 *2472:la_data_out_mprj[43] *943:7 0.000310166 +16 *670:9 *943:7 0 +17 *686:8 *943:10 0.01205 +18 *687:7 *2472:la_data_out_mprj[59] 0 +19 *687:8 *943:10 0.000159317 +20 *929:10 *943:10 0.000245158 +21 *942:10 *943:10 6.75138e-05 +*RES +1 *2478:la_output[59] *943:5 2.05183 +2 *943:5 *943:7 63.0817 +3 *943:7 *943:9 4.5 +4 *943:9 *943:10 138.82 +5 *943:10 *2472:la_data_out_mprj[59] 28.8777 +*END + +*D_NET *944 0.156107 +*CONN +*I *2472:la_data_out_mprj[5] I *D mgmt_protect +*I *2478:la_output[5] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[5] 0.00102872 +2 *2478:la_output[5] 8.29983e-05 +3 *944:10 0.00900991 +4 *944:9 0.0079812 +5 *944:7 0.00255175 +6 *944:5 0.00263475 +7 *2472:la_data_out_mprj[5] *2472:la_iena_mprj[5] 0 +8 *944:7 *1211:9 0 +9 *944:7 *1328:7 0 +10 *944:10 *966:10 0.0662309 +11 *944:10 *1178:10 0.00636505 +12 *944:10 *1200:10 0.00175438 +13 *944:10 *1200:16 0.00331837 +14 *944:10 *1280:10 0.0526241 +15 *944:10 *1328:10 0.00252463 +16 *688:7 *2472:la_data_out_mprj[5] 0 +*RES +1 *2478:la_output[5] *944:5 2.05183 +2 *944:5 *944:7 64.7427 +3 *944:7 *944:9 4.5 +4 *944:9 *944:10 769.959 +5 *944:10 *2472:la_data_out_mprj[5] 27.2167 +*END + +*D_NET *945 0.0278369 +*CONN +*I *2472:la_data_out_mprj[60] I *D mgmt_protect +*I *2478:la_output[60] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[60] 0.00148666 +2 *2478:la_output[60] 0.0014309 +3 *945:12 0.00148666 +4 *945:10 0.00162034 +5 *945:9 0.00305124 +6 *2472:la_data_out_mprj[60] *2472:la_iena_mprj[60] 0 +7 *945:9 *2472:la_oenb_mprj[45] 0 +8 *945:9 *1197:15 0 +9 *945:9 *1199:15 0 +10 *945:9 *1202:9 0 +11 *945:9 *1329:9 0 +12 *945:10 *946:10 0.000375299 +13 *945:10 *947:10 0.00827962 +14 *945:10 *1201:10 0 +15 *945:10 *1204:10 0.00780587 +16 *945:10 *1315:10 1.44742e-05 +17 *945:10 *1324:10 0.000237769 +18 *945:10 *1325:10 0.000401978 +19 *945:10 *1330:10 0.000108945 +20 *945:10 *1331:10 0.00041958 +21 *689:5 *2472:la_data_out_mprj[60] 0.0011176 +*RES +1 *2478:la_output[60] *945:9 40.1506 +2 *945:9 *945:10 127.173 +3 *945:10 *945:12 4.5 +4 *945:12 *2472:la_data_out_mprj[60] 53.8607 +*END + +*D_NET *946 0.029289 +*CONN +*I *2472:la_data_out_mprj[61] I *D mgmt_protect +*I *2478:la_output[61] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[61] 0.00201122 +2 *2478:la_output[61] 0.00134857 +3 *946:12 0.00201122 +4 *946:10 0.00080611 +5 *946:9 0.00215468 +6 *2472:la_data_out_mprj[61] *2472:la_iena_mprj[61] 0 +7 *946:9 *1203:9 0 +8 *946:9 *1329:15 0 +9 *946:10 *1330:10 0.0110068 +10 *946:10 *1331:10 0.00957509 +11 *690:5 *2472:la_data_out_mprj[61] 0 +12 *945:10 *946:10 0.000375299 +*RES +1 *2478:la_output[61] *946:9 38.9049 +2 *946:9 *946:10 115.526 +3 *946:10 *946:12 4.5 +4 *946:12 *2472:la_data_out_mprj[61] 55.1064 +*END + +*D_NET *947 0.0214542 +*CONN +*I *2472:la_data_out_mprj[62] I *D mgmt_protect +*I *2478:la_output[62] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[62] 0.00146709 +2 *2478:la_output[62] 0.00144115 +3 *947:12 0.00146709 +4 *947:10 0.00155402 +5 *947:9 0.00299516 +6 *2472:la_data_out_mprj[62] *2472:la_iena_mprj[62] 0 +7 *947:9 *1204:9 0 +8 *947:9 *1331:9 0 +9 *947:10 *1204:10 0.000695785 +10 *947:10 *1206:10 0.00244103 +11 *691:5 *2472:la_data_out_mprj[62] 0.00111329 +12 *945:10 *947:10 0.00827962 +*RES +1 *2478:la_output[62] *947:9 40.5659 +2 *947:9 *947:10 103.88 +3 *947:10 *947:12 4.5 +4 *947:12 *2472:la_data_out_mprj[62] 53.4454 +*END + +*D_NET *948 0.0242955 +*CONN +*I *2472:la_data_out_mprj[63] I *D mgmt_protect +*I *2478:la_output[63] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[63] 0.00234568 +2 *2478:la_output[63] 0.00103457 +3 *948:12 0.00234568 +4 *948:10 0.000796198 +5 *948:9 0.00183077 +6 *2472:la_data_out_mprj[63] *2472:la_iena_mprj[63] 0 +7 *2472:la_data_out_mprj[63] *2472:la_oenb_mprj[63] 0 +8 *2472:la_data_out_mprj[63] *1337:9 0 +9 *948:9 *1205:9 0 +10 *948:9 *1332:9 0 +11 *948:10 *949:10 0.00691104 +12 *948:10 *1332:10 9.16621e-05 +13 *692:5 *2472:la_data_out_mprj[63] 0 +14 *692:8 *948:10 0.00854448 +15 *693:8 *948:10 0.000395432 +*RES +1 *2478:la_output[63] *948:9 31.0151 +2 *948:9 *948:10 92.233 +3 *948:10 *948:12 4.5 +4 *948:12 *2472:la_data_out_mprj[63] 62.9962 +*END + +*D_NET *949 0.0228812 +*CONN +*I *2472:la_data_out_mprj[64] I *D mgmt_protect +*I *2478:la_output[64] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[64] 0.00180151 +2 *2478:la_output[64] 0.000980039 +3 *949:12 0.00180151 +4 *949:10 0.000611067 +5 *949:9 0.00159111 +6 *2472:la_data_out_mprj[64] *2472:la_iena_mprj[64] 0 +7 *2472:la_data_out_mprj[64] *953:12 5.92342e-05 +8 *949:9 *1333:9 0 +9 *949:10 *951:12 1.67988e-05 +10 *949:10 *951:16 0.000122378 +11 *949:10 *1208:10 6.05863e-05 +12 *949:10 *1332:10 0.000106845 +13 *693:5 *2472:la_data_out_mprj[64] 0.00128143 +14 *693:8 *949:10 0.00753767 +15 *948:10 *949:10 0.00691104 +*RES +1 *2478:la_output[64] *949:9 29.4762 +2 *949:9 *949:10 81.1409 +3 *949:10 *949:12 4.5 +4 *949:12 *2472:la_data_out_mprj[64] 63.4115 +*END + +*D_NET *950 0.0152883 +*CONN +*I *2472:la_data_out_mprj[65] I *D mgmt_protect +*I *2478:la_output[65] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[65] 0.00217768 +2 *2478:la_output[65] 0.00120602 +3 *950:12 0.00217768 +4 *950:10 0.000780503 +5 *950:9 0.00198652 +6 *2472:la_data_out_mprj[65] *2472:la_iena_mprj[65] 0 +7 *2472:la_data_out_mprj[65] *2472:la_oenb_mprj[64] 0 +8 *2472:la_data_out_mprj[65] *2472:la_oenb_mprj[65] 0 +9 *950:9 *1207:9 0 +10 *950:9 *1334:9 0 +11 *950:10 *1205:10 0.00194244 +12 *950:10 *1207:10 0.0026991 +13 *950:10 *1209:10 0.00219735 +14 *950:10 *1334:10 0.000120974 +15 *694:5 *2472:la_data_out_mprj[65] 0 +*RES +1 *2478:la_output[65] *950:9 34.3371 +2 *950:9 *950:10 68.9396 +3 *950:10 *950:12 4.5 +4 *950:12 *2472:la_data_out_mprj[65] 59.6742 +*END + +*D_NET *951 0.0167198 +*CONN +*I *2472:la_data_out_mprj[66] I *D mgmt_protect +*I *2478:la_output[66] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[66] 0.00179488 +2 *2478:la_output[66] 0.000999976 +3 *951:16 0.00194905 +4 *951:12 0.00057372 +5 *951:9 0.00141952 +6 *2472:la_data_out_mprj[66] *2472:la_iena_mprj[66] 0 +7 *2472:la_data_out_mprj[66] *2472:la_oenb_mprj[66] 0 +8 *2472:la_data_out_mprj[66] *1338:9 4.41474e-05 +9 *951:9 *1208:9 0 +10 *951:9 *1335:9 0 +11 *951:12 *1335:13 0.000362922 +12 *951:12 *1336:10 0.000661063 +13 *951:16 *953:12 4.5421e-05 +14 *951:16 *953:16 0.00140641 +15 *951:16 *1208:10 1.75155e-06 +16 *951:16 *1335:18 0.00169207 +17 *693:8 *951:12 0.00359749 +18 *695:5 *2472:la_data_out_mprj[66] 0.00126906 +19 *697:13 *2472:la_data_out_mprj[66] 0 +20 *697:16 *951:12 0.000749025 +21 *697:16 *951:16 1.41689e-05 +22 *949:10 *951:12 1.67988e-05 +23 *949:10 *951:16 0.000122378 +*RES +1 *2478:la_output[66] *951:9 29.7693 +2 *951:9 *951:12 39.0152 +3 *951:12 *951:16 22.9707 +4 *951:16 *2472:la_data_out_mprj[66] 63.8267 +*END + +*D_NET *952 0.0126583 +*CONN +*I *2472:la_data_out_mprj[67] I *D mgmt_protect +*I *2478:la_output[67] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[67] 0.00238443 +2 *2478:la_output[67] 0.000932333 +3 *952:12 0.00238443 +4 *952:10 0.00106029 +5 *952:9 0.00199262 +6 *2472:la_data_out_mprj[67] *2472:la_iena_mprj[67] 0 +7 *2472:la_data_out_mprj[67] *2472:la_oenb_mprj[66] 0 +8 *2472:la_data_out_mprj[67] *2472:la_oenb_mprj[67] 0 +9 *2472:la_data_out_mprj[67] *1212:9 0.000195139 +10 *952:9 *1209:9 0 +11 *952:9 *1336:9 0 +12 *952:10 *1335:13 0.00179828 +13 *952:10 *1336:10 0.000482046 +14 *696:5 *2472:la_data_out_mprj[67] 0 +15 *698:10 *952:10 0.00142877 +*RES +1 *2478:la_output[67] *952:9 28.1083 +2 *952:9 *952:10 45.6463 +3 *952:10 *952:12 4.5 +4 *952:12 *2472:la_data_out_mprj[67] 65.903 +*END + +*D_NET *953 0.0112725 +*CONN +*I *2472:la_data_out_mprj[68] I *D mgmt_protect +*I *2478:la_output[68] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[68] 0.00178957 +2 *2478:la_output[68] 0.00113049 +3 *953:16 0.00235261 +4 *953:12 0.00169353 +5 *2472:la_data_out_mprj[68] *2472:la_iena_mprj[68] 0 +6 *2472:la_data_out_mprj[68] *2472:la_oenb_mprj[67] 0 +7 *953:12 *1208:10 8.69538e-05 +8 *953:12 *1210:9 0 +9 *953:12 *1335:13 2.652e-05 +10 *953:12 *1337:9 0 +11 *953:16 *954:12 0.000300204 +12 *953:16 *1208:10 0.000236012 +13 *953:16 *1212:12 0.000202245 +14 *953:16 *1335:18 0.000180711 +15 *953:16 *1338:12 0.0002375 +16 *2472:la_data_out_mprj[64] *953:12 5.92342e-05 +17 *697:5 *2472:la_data_out_mprj[68] 0.00125177 +18 *700:10 *953:16 0.00027329 +19 *951:16 *953:12 4.5421e-05 +20 *951:16 *953:16 0.00140641 +*RES +1 *2478:la_output[68] *953:12 33.9671 +2 *953:12 *953:16 36.5585 +3 *953:16 *2472:la_data_out_mprj[68] 63.4115 +*END + +*D_NET *954 0.00962518 +*CONN +*I *2472:la_data_out_mprj[69] I *D mgmt_protect +*I *2478:la_output[69] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[69] 0.00204663 +2 *2478:la_output[69] 0.000998286 +3 *954:12 0.00242839 +4 *954:9 0.00138005 +5 *2472:la_data_out_mprj[69] *2472:la_iena_mprj[69] 0 +6 *2472:la_data_out_mprj[69] *2472:la_oenb_mprj[69] 0 +7 *2472:la_data_out_mprj[69] *956:7 0.000732828 +8 *2472:la_data_out_mprj[69] *1213:10 0 +9 *954:9 *1212:9 0 +10 *954:9 *1338:9 0 +11 *954:12 *1335:18 6.50727e-05 +12 *954:12 *1336:10 0.000897677 +13 *954:12 *1340:10 0.000320474 +14 *697:13 *954:9 1.77537e-06 +15 *698:5 *2472:la_data_out_mprj[69] 0 +16 *698:10 *954:12 0.000438797 +17 *700:10 *954:12 1.49927e-05 +18 *953:16 *954:12 0.000300204 +*RES +1 *2478:la_output[69] *954:9 29.3541 +2 *954:9 *954:12 26.8529 +3 *954:12 *2472:la_data_out_mprj[69] 64.6572 +*END + +*D_NET *955 0.163347 +*CONN +*I *2472:la_data_out_mprj[6] I *D mgmt_protect +*I *2478:la_output[6] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[6] 0.00270487 +2 *2478:la_output[6] 0.00129968 +3 *955:12 0.00270487 +4 *955:10 0.00575417 +5 *955:9 0.00705386 +6 *2472:la_data_out_mprj[6] *2472:la_iena_mprj[6] 0 +7 *955:9 *1222:7 0 +8 *955:9 *1339:9 0 +9 *955:10 *1167:10 0.0036203 +10 *955:10 *1179:10 0.000741053 +11 *955:10 *1279:10 0.000119292 +12 *955:10 *1361:10 0.068472 +13 *2478:la_input[44] *2472:la_data_out_mprj[6] 0.000145026 +14 *677:14 *955:10 0 +15 *699:7 *2472:la_data_out_mprj[6] 0.000539293 +16 *933:10 *955:10 0.0701924 +*RES +1 *2478:la_output[6] *955:9 37.2439 +2 *955:9 *955:10 758.312 +3 *955:10 *955:12 4.5 +4 *955:12 *2472:la_data_out_mprj[6] 56.7674 +*END + +*D_NET *956 0.0089816 +*CONN +*I *2472:la_data_out_mprj[70] I *D mgmt_protect +*I *2478:la_output[70] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[70] 0.00124149 +2 *2478:la_output[70] 8.29983e-05 +3 *956:7 0.00261768 +4 *956:5 0.00145918 +5 *2472:la_data_out_mprj[70] *2472:la_iena_mprj[70] 0 +6 *956:7 *1213:10 0 +7 *2472:la_data_out_mprj[69] *956:7 0.000732828 +8 *698:5 *956:7 0.00224262 +9 *700:5 *2472:la_data_out_mprj[70] 0.000604798 +*RES +1 *2478:la_output[70] *956:5 2.05183 +2 *956:5 *956:7 58.0987 +3 *956:7 *2472:la_data_out_mprj[70] 48.5124 +*END + +*D_NET *957 0.00644579 +*CONN +*I *2472:la_data_out_mprj[71] I *D mgmt_protect +*I *2478:la_output[71] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[71] 0.00321001 +2 *2478:la_output[71] 1.28869e-05 +3 *957:5 0.0032229 +4 *2472:la_data_out_mprj[71] *2472:la_iena_mprj[71] 0 +5 *2472:la_data_out_mprj[71] *1341:7 0 +6 *701:5 *2472:la_data_out_mprj[71] 0 +*RES +1 *2478:la_output[71] *957:5 0.366399 +2 *957:5 *2472:la_data_out_mprj[71] 87.2885 +*END + +*D_NET *958 0.00826497 +*CONN +*I *2472:la_data_out_mprj[72] I *D mgmt_protect +*I *2478:la_output[72] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[72] 0.000994915 +2 *2478:la_output[72] 8.30061e-05 +3 *958:7 0.00321955 +4 *958:5 0.00230764 +5 *2472:la_data_out_mprj[72] *2472:la_iena_mprj[72] 0 +6 *958:7 *2472:la_iena_mprj[73] 0 +7 *958:7 *2472:la_oenb_mprj[72] 0 +8 *958:7 *1215:7 0 +9 *702:9 *2472:la_data_out_mprj[72] 0.00165986 +*RES +1 *2478:la_output[72] *958:5 2.05183 +2 *958:5 *958:7 60.5902 +3 *958:7 *2472:la_data_out_mprj[72] 45.4663 +*END + +*D_NET *959 0.00981132 +*CONN +*I *2472:la_data_out_mprj[73] I *D mgmt_protect +*I *2478:la_output[73] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[73] 0.0012074 +2 *2478:la_output[73] 8.30061e-05 +3 *959:7 0.00349716 +4 *959:5 0.00237277 +5 *2472:la_data_out_mprj[73] *2472:la_iena_mprj[73] 0 +6 *2472:la_data_out_mprj[73] *2472:la_oenb_mprj[72] 0 +7 *2472:la_data_out_mprj[73] *2472:la_oenb_mprj[73] 0.00109499 +8 *2472:la_data_out_mprj[73] *2472:la_oenb_mprj[74] 0.000194701 +9 *959:7 *2472:la_iena_mprj[75] 0.000313321 +10 *959:7 *2472:la_oenb_mprj[75] 0.000867253 +11 *959:7 *1216:7 0 +12 *959:7 *1343:7 0 +13 *703:10 *2472:la_data_out_mprj[73] 0.000180727 +*RES +1 *2478:la_output[73] *959:5 2.05183 +2 *959:5 *959:7 70.5562 +3 *959:7 *2472:la_data_out_mprj[73] 47.1469 +*END + +*D_NET *960 0.0113871 +*CONN +*I *2472:la_data_out_mprj[74] I *D mgmt_protect +*I *2478:la_output[74] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[74] 0.000830244 +2 *2478:la_output[74] 5.96331e-05 +3 *960:16 0.00164557 +4 *960:7 0.00292898 +5 *960:5 0.00217329 +6 *2472:la_data_out_mprj[74] *1216:13 0 +7 *960:7 *1217:7 0 +8 *960:7 *1344:7 0 +9 *960:16 *961:10 0.000262215 +10 *960:16 *1216:13 7.48293e-05 +11 *960:16 *1345:12 0.00096144 +12 *703:11 *2472:la_data_out_mprj[74] 0 +13 *704:7 *2472:la_data_out_mprj[74] 0.000585287 +14 *704:10 *960:16 0.000115035 +15 *704:11 *960:16 8.62625e-06 +16 *705:10 *960:16 0.00100354 +17 *706:8 *960:16 0.000738369 +*RES +1 *2478:la_output[74] *960:5 1.49002 +2 *960:5 *960:7 56.8529 +3 *960:7 *960:16 48.9458 +4 *960:16 *2472:la_data_out_mprj[74] 33.4455 +*END + +*D_NET *961 0.0149573 +*CONN +*I *2472:la_data_out_mprj[75] I *D mgmt_protect +*I *2478:la_output[75] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[75] 0.000982172 +2 *2478:la_output[75] 8.30061e-05 +3 *961:10 0.00139893 +4 *961:7 0.00254839 +5 *961:5 0.00221463 +6 *2472:la_data_out_mprj[75] *2472:la_iena_mprj[75] 0 +7 *2472:la_data_out_mprj[75] *1343:7 0.000393199 +8 *961:7 *1218:7 0 +9 *961:7 *1345:7 0 +10 *961:10 *1218:12 5.44727e-05 +11 *961:10 *1345:12 0.000163362 +12 *705:7 *2472:la_data_out_mprj[75] 0 +13 *705:10 *961:10 0.00325949 +14 *706:8 *961:10 0.00359748 +15 *960:16 *961:10 0.000262215 +*RES +1 *2478:la_output[75] *961:5 2.05183 +2 *961:5 *961:7 57.6834 +3 *961:7 *961:10 49.0371 +4 *961:10 *2472:la_data_out_mprj[75] 34.276 +*END + +*D_NET *962 0.0137851 +*CONN +*I *2472:la_data_out_mprj[76] I *D mgmt_protect +*I *2478:la_output[76] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[76] 0.000606152 +2 *2478:la_output[76] 8.30061e-05 +3 *962:10 0.00178041 +4 *962:9 0.00117426 +5 *962:7 0.00245081 +6 *962:5 0.00253381 +7 *2472:la_data_out_mprj[76] *2472:la_iena_mprj[76] 0 +8 *962:7 *2472:la_data_out_mprj[83] 0 +9 *962:7 *2472:la_iena_mprj[82] 0 +10 *962:7 *2472:la_oenb_mprj[82] 0.000123893 +11 *962:7 *1219:7 0 +12 *962:7 *1346:7 0 +13 *962:10 *968:10 0.00121409 +14 *962:10 *1219:10 0.00135533 +15 *962:10 *1223:10 0.00184718 +16 *962:10 *1346:10 0.000191201 +17 *706:7 *2472:la_data_out_mprj[76] 0.000424927 +*RES +1 *2478:la_output[76] *962:5 2.05183 +2 *962:5 *962:7 66.4037 +3 *962:7 *962:9 4.5 +4 *962:9 *962:10 56.1838 +5 *962:10 *2472:la_data_out_mprj[76] 25.5557 +*END + +*D_NET *963 0.0200641 +*CONN +*I *2472:la_data_out_mprj[77] I *D mgmt_protect +*I *2478:la_output[77] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[77] 0.00090994 +2 *2478:la_output[77] 8.30061e-05 +3 *963:10 0.00152402 +4 *963:9 0.000614082 +5 *963:7 0.00178722 +6 *963:5 0.00187023 +7 *2472:la_data_out_mprj[77] *2472:la_iena_mprj[77] 0 +8 *2472:la_data_out_mprj[77] *1344:7 0.000220041 +9 *963:7 *2472:la_data_out_mprj[85] 0.00108437 +10 *963:7 *2472:la_oenb_mprj[84] 0 +11 *963:7 *1347:7 0 +12 *963:10 *964:10 0.000194701 +13 *963:10 *1346:10 0 +14 *963:10 *1347:10 0.00553023 +15 *707:7 *2472:la_data_out_mprj[77] 0 +16 *707:8 *963:10 0.00547712 +17 *713:8 *963:10 0.000396946 +18 *716:7 *963:7 0.000372142 +*RES +1 *2478:la_output[77] *963:5 2.05183 +2 *963:5 *963:7 60.5902 +3 *963:7 *963:9 4.5 +4 *963:9 *963:10 67.8304 +5 *963:10 *2472:la_data_out_mprj[77] 31.3693 +*END + +*D_NET *964 0.0214079 +*CONN +*I *2472:la_data_out_mprj[78] I *D mgmt_protect +*I *2478:la_output[78] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[78] 0.000841283 +2 *2478:la_output[78] 1.28869e-05 +3 *964:10 0.00187637 +4 *964:9 0.00103508 +5 *964:7 0.00236981 +6 *964:5 0.0023827 +7 *2472:la_data_out_mprj[78] *2472:la_iena_mprj[78] 0 +8 *2472:la_data_out_mprj[78] *2472:la_oenb_mprj[77] 0 +9 *2472:la_data_out_mprj[78] *2472:la_oenb_mprj[78] 0 +10 *964:7 *2472:la_iena_mprj[87] 0 +11 *964:7 *1221:7 0 +12 *964:10 *965:10 0.00687388 +13 *964:10 *1346:10 0 +14 *964:10 *1347:10 0.00515848 +15 *708:7 *2472:la_data_out_mprj[78] 0.000328102 +16 *713:8 *964:10 0.000334651 +17 *719:7 *964:7 0 +18 *963:10 *964:10 0.000194701 +*RES +1 *2478:la_output[78] *964:5 0.366399 +2 *964:5 *964:7 61.4207 +3 *964:7 *964:9 4.5 +4 *964:9 *964:10 82.2501 +5 *964:10 *2472:la_data_out_mprj[78] 30.5388 +*END + +*D_NET *965 0.0201849 +*CONN +*I *2472:la_data_out_mprj[79] I *D mgmt_protect +*I *2478:la_output[79] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[79] 0.000987879 +2 *2478:la_output[79] 8.30061e-05 +3 *965:10 0.0023547 +4 *965:9 0.00136682 +5 *965:7 0.00242365 +6 *965:5 0.00250666 +7 *2472:la_data_out_mprj[79] *2472:la_iena_mprj[79] 0 +8 *2472:la_data_out_mprj[79] *2472:la_oenb_mprj[79] 0 +9 *965:7 *2472:la_data_out_mprj[90] 0 +10 *965:7 *2472:la_iena_mprj[89] 0 +11 *965:7 *1223:7 0 +12 *965:7 *1349:7 0 +13 *965:10 *1346:10 0 +14 *965:10 *1349:10 0.00333337 +15 *965:10 *1357:16 9.41997e-05 +16 *965:10 *1360:16 6.50586e-05 +17 *709:7 *2472:la_data_out_mprj[79] 0 +18 *713:8 *965:10 9.39152e-05 +19 *722:7 *965:7 1.77537e-06 +20 *964:10 *965:10 0.00687388 +*RES +1 *2478:la_output[79] *965:5 2.05183 +2 *965:5 *965:7 61.8359 +3 *965:7 *965:9 4.5 +4 *965:9 *965:10 91.1238 +5 *965:10 *2472:la_data_out_mprj[79] 30.1235 +*END + +*D_NET *966 0.167351 +*CONN +*I *2472:la_data_out_mprj[7] I *D mgmt_protect +*I *2478:la_output[7] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[7] 0.00152746 +2 *2478:la_output[7] 8.29983e-05 +3 *966:16 0.00245813 +4 *966:15 0.00132745 +5 *966:10 0.00442284 +6 *966:9 0.00402606 +7 *966:7 0.00257726 +8 *966:5 0.00266026 +9 *2472:la_data_out_mprj[7] *2472:la_iena_mprj[7] 0 +10 *966:7 *1233:9 0 +11 *966:7 *1350:7 0 +12 *966:10 *1178:10 0.00940448 +13 *966:10 *1200:10 0.0662309 +14 *966:16 *1267:18 0.000245416 +15 *966:16 *1308:16 0.00497069 +16 *2472:la_data_out_mprj[1] *966:15 6.41995e-05 +17 *644:7 *966:15 0.00037536 +18 *652:8 *966:16 0.000746177 +19 *710:7 *2472:la_data_out_mprj[7] 0 +20 *927:7 *2472:la_data_out_mprj[7] 0 +21 *944:10 *966:10 0.0662309 +*RES +1 *2478:la_output[7] *966:5 2.05183 +2 *966:5 *966:7 64.3275 +3 *966:7 *966:9 4.5 +4 *966:9 *966:10 693.423 +5 *966:10 *966:15 17.476 +6 *966:15 *966:16 53.4107 +7 *966:16 *2472:la_data_out_mprj[7] 35.937 +*END + +*D_NET *967 0.0266609 +*CONN +*I *2472:la_data_out_mprj[80] I *D mgmt_protect +*I *2478:la_output[80] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[80] 0.000556073 +2 *2478:la_output[80] 5.96331e-05 +3 *967:10 0.00178311 +4 *967:9 0.00122703 +5 *967:7 0.00211622 +6 *967:5 0.00217585 +7 *2472:la_data_out_mprj[80] *2472:la_iena_mprj[80] 0 +8 *967:7 *2472:la_data_out_mprj[92] 0.002059 +9 *967:7 *2472:la_iena_mprj[92] 0.00057675 +10 *967:7 *1224:7 0 +11 *967:7 *1351:7 0 +12 *967:10 *1221:10 0.00627414 +13 *967:10 *1224:10 0.00144135 +14 *967:10 *1351:10 0.00102027 +15 *967:10 *1352:10 7.2143e-05 +16 *709:8 *967:10 0.00673697 +17 *711:7 *2472:la_data_out_mprj[80] 0.000348619 +18 *724:8 *967:10 0.000213725 +*RES +1 *2478:la_output[80] *967:5 1.49002 +2 *967:5 *967:7 69.3105 +3 *967:7 *967:9 4.5 +4 *967:9 *967:10 103.88 +5 *967:10 *2472:la_data_out_mprj[80] 22.649 +*END + +*D_NET *968 0.0251709 +*CONN +*I *2472:la_data_out_mprj[81] I *D mgmt_protect +*I *2478:la_output[81] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[81] 0.000826339 +2 *2478:la_output[81] 8.30061e-05 +3 *968:10 0.00261696 +4 *968:9 0.00179062 +5 *968:7 0.00211337 +6 *968:5 0.00219638 +7 *2472:la_data_out_mprj[81] *2472:la_iena_mprj[81] 0 +8 *2472:la_data_out_mprj[81] *2472:la_oenb_mprj[81] 0 +9 *968:7 *2472:la_data_out_mprj[94] 0 +10 *968:7 *2472:la_iena_mprj[94] 0.00180361 +11 *968:7 *2472:la_oenb_mprj[94] 0.000571834 +12 *968:7 *1225:7 0 +13 *968:7 *1352:7 0 +14 *968:10 *969:10 0.000768046 +15 *968:10 *1219:10 0.00172162 +16 *968:10 *1223:10 0.00260196 +17 *968:10 *1358:20 0.00538192 +18 *712:7 *2472:la_data_out_mprj[81] 0 +19 *712:8 *968:10 0.0014812 +20 *727:5 *968:7 0 +21 *962:10 *968:10 0.00121409 +*RES +1 *2478:la_output[81] *968:5 2.05183 +2 *968:5 *968:7 66.819 +3 *968:7 *968:9 4.5 +4 *968:9 *968:10 114.417 +5 *968:10 *2472:la_data_out_mprj[81] 25.1405 +*END + +*D_NET *969 0.0301687 +*CONN +*I *2472:la_data_out_mprj[82] I *D mgmt_protect +*I *2478:la_output[82] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[82] 0.000740972 +2 *2478:la_output[82] 8.30061e-05 +3 *969:10 0.00255265 +4 *969:9 0.00181168 +5 *969:7 0.0024664 +6 *969:5 0.00254941 +7 *2472:la_data_out_mprj[82] *2472:la_iena_mprj[82] 0 +8 *969:7 *2472:la_data_out_mprj[97] 0 +9 *969:7 *2472:la_oenb_mprj[96] 0.000681256 +10 *969:7 *1226:7 0 +11 *969:7 *1353:7 0 +12 *969:10 *1223:10 0.00651389 +13 *969:10 *1226:10 9.16785e-05 +14 *969:10 *1349:10 0 +15 *969:10 *1354:10 0.0104549 +16 *969:10 *1358:16 0.000785032 +17 *969:10 *1358:20 0.000190399 +18 *713:7 *2472:la_data_out_mprj[82] 0.000382795 +19 *714:8 *969:10 9.66259e-05 +20 *968:10 *969:10 0.000768046 +*RES +1 *2478:la_output[82] *969:5 2.05183 +2 *969:5 *969:7 65.5732 +3 *969:7 *969:9 4.5 +4 *969:9 *969:10 126.618 +5 *969:10 *2472:la_data_out_mprj[82] 26.3862 +*END + +*D_NET *970 0.0274966 +*CONN +*I *2472:la_data_out_mprj[83] I *D mgmt_protect +*I *2478:la_output[83] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[83] 0.00186873 +2 *2478:la_output[83] 0.00124256 +3 *970:12 0.00186873 +4 *970:10 0.00295492 +5 *970:9 0.00419748 +6 *2472:la_data_out_mprj[83] *2472:la_iena_mprj[83] 0 +7 *2472:la_data_out_mprj[83] *2472:la_oenb_mprj[82] 0 +8 *2472:la_data_out_mprj[83] *1219:7 0.00107187 +9 *970:9 *2472:la_iena_mprj[99] 0.000157445 +10 *970:9 *1227:7 0 +11 *970:10 *971:10 0.0122237 +12 *970:10 *981:10 0 +13 *970:10 *982:10 0 +14 *970:10 *983:16 0.00116215 +15 *970:10 *1242:10 0.000749011 +16 *714:7 *2472:la_data_out_mprj[83] 0 +17 *727:8 *970:10 0 +18 *962:7 *2472:la_data_out_mprj[83] 0 +*RES +1 *2478:la_output[83] *970:9 35.2897 +2 *970:9 *970:10 138.82 +3 *970:10 *970:12 4.5 +4 *970:12 *2472:la_data_out_mprj[83] 57.5979 +*END + +*D_NET *971 0.0334429 +*CONN +*I *2472:la_data_out_mprj[84] I *D mgmt_protect +*I *2478:la_output[84] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[84] 0.00209282 +2 *2478:la_output[84] 0.00131668 +3 *971:12 0.00209282 +4 *971:10 0.00199815 +5 *971:9 0.00331482 +6 *2472:la_data_out_mprj[84] *2472:la_iena_mprj[84] 0 +7 *2472:la_data_out_mprj[84] *2472:la_oenb_mprj[84] 0 +8 *2472:la_data_out_mprj[84] *1347:7 0 +9 *971:9 *2472:la_oenb_mprj[101] 0.00010835 +10 *971:9 *1228:9 0 +11 *971:9 *1355:9 0 +12 *971:10 *973:10 0 +13 *971:10 *974:10 0 +14 *971:10 *975:10 0.000169545 +15 *971:10 *976:10 0.000153129 +16 *971:10 *978:10 0.000455401 +17 *971:10 *1235:10 0.00821673 +18 *971:10 *1242:10 0.000792362 +19 *971:10 *1246:12 0.00010238 +20 *707:11 *2472:la_data_out_mprj[84] 0 +21 *715:7 *2472:la_data_out_mprj[84] 0.00040604 +22 *970:10 *971:10 0.0122237 +*RES +1 *2478:la_output[84] *971:9 35.9981 +2 *971:9 *971:10 149.912 +3 *971:10 *971:12 4.5 +4 *971:12 *2472:la_data_out_mprj[84] 58.0132 +*END + +*D_NET *972 0.0387956 +*CONN +*I *2472:la_data_out_mprj[85] I *D mgmt_protect +*I *2478:la_output[85] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[85] 0.00209716 +2 *2478:la_output[85] 0.00104969 +3 *972:12 0.00209716 +4 *972:10 0.00129945 +5 *972:9 0.00234913 +6 *2472:la_data_out_mprj[85] *2472:la_iena_mprj[85] 0 +7 *2472:la_data_out_mprj[85] *1220:7 0 +8 *972:9 *1229:9 0 +9 *972:9 *1356:9 0 +10 *972:10 *973:10 0.000135103 +11 *972:10 *1228:10 0.013567 +12 *972:10 *1229:10 0.0142532 +13 *972:10 *1355:10 0.000443728 +14 *972:10 *1356:10 0.00041958 +15 *716:7 *2472:la_data_out_mprj[85] 0 +16 *963:7 *2472:la_data_out_mprj[85] 0.00108437 +*RES +1 *2478:la_output[85] *972:9 30.5998 +2 *972:9 *972:10 161.558 +3 *972:10 *972:12 4.5 +4 *972:12 *2472:la_data_out_mprj[85] 63.4115 +*END + +*D_NET *973 0.0418737 +*CONN +*I *2472:la_data_out_mprj[86] I *D mgmt_protect +*I *2478:la_output[86] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[86] 0.00149021 +2 *2478:la_output[86] 0.00110953 +3 *973:12 0.00149021 +4 *973:10 0.00164897 +5 *973:9 0.00275849 +6 *2472:la_data_out_mprj[86] *2472:la_iena_mprj[86] 0 +7 *2472:la_data_out_mprj[86] *1220:7 0 +8 *973:9 *1357:9 0 +9 *973:9 *1368:15 0 +10 *973:10 *974:10 5.65165e-05 +11 *973:10 *1229:10 8.47539e-05 +12 *973:10 *1230:10 0.000347135 +13 *973:10 *1231:10 0.01451 +14 *973:10 *1247:16 2.35161e-05 +15 *973:10 *1356:10 0.0139388 +16 *973:10 *1360:10 0.000116943 +17 *973:10 *1362:10 0.000232907 +18 *973:10 *1371:12 0.000477891 +19 *612:5 *973:9 0 +20 *613:5 *973:9 0 +21 *708:11 *2472:la_data_out_mprj[86] 0.00273113 +22 *717:7 *2472:la_data_out_mprj[86] 0.000721622 +23 *971:10 *973:10 0 +24 *972:10 *973:10 0.000135103 +*RES +1 *2478:la_output[86] *973:9 31.8456 +2 *973:9 *973:10 173.205 +3 *973:10 *973:12 4.5 +4 *973:12 *2472:la_data_out_mprj[86] 62.1657 +*END + +*D_NET *974 0.043543 +*CONN +*I *2472:la_data_out_mprj[87] I *D mgmt_protect +*I *2478:la_output[87] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[87] 0.00236927 +2 *2478:la_output[87] 0.0011974 +3 *974:12 0.00236927 +4 *974:10 0.00164472 +5 *974:9 0.00284212 +6 *2472:la_data_out_mprj[87] *2472:la_iena_mprj[87] 0 +7 *2472:la_data_out_mprj[87] *1348:7 0 +8 *974:9 *1231:9 0 +9 *974:9 *1243:15 0 +10 *974:9 *1358:9 0 +11 *974:10 *975:10 0.000264018 +12 *974:10 *1230:10 0.0159075 +13 *974:10 *1231:10 1.41853e-05 +14 *974:10 *1232:10 0.000347135 +15 *974:10 *1234:10 0.0148029 +16 *974:10 *1367:10 0.0012501 +17 *974:10 *1371:12 0.000477891 +18 *615:5 *974:9 0 +19 *718:7 *2472:la_data_out_mprj[87] 0 +20 *971:10 *974:10 0 +21 *973:10 *974:10 5.65165e-05 +*RES +1 *2478:la_output[87] *974:9 33.0913 +2 *974:9 *974:10 184.852 +3 *974:10 *974:12 4.5 +4 *974:12 *2472:la_data_out_mprj[87] 60.9199 +*END + +*D_NET *975 0.046974 +*CONN +*I *2472:la_data_out_mprj[88] I *D mgmt_protect +*I *2478:la_output[88] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[88] 0.00179171 +2 *2478:la_output[88] 0.00116825 +3 *975:12 0.00179171 +4 *975:10 0.00169349 +5 *975:9 0.00286174 +6 *2472:la_data_out_mprj[88] *2472:la_iena_mprj[88] 0 +7 *2472:la_data_out_mprj[88] *1221:7 0.00115103 +8 *975:9 *1232:9 0 +9 *975:9 *1359:9 0 +10 *975:10 *976:10 0.0174806 +11 *975:10 *1232:10 0.0174362 +12 *975:10 *1248:10 0.000370172 +13 *618:5 *975:9 0.000447014 +14 *719:7 *2472:la_data_out_mprj[88] 0.000348489 +15 *971:10 *975:10 0.000169545 +16 *974:10 *975:10 0.000264018 +*RES +1 *2478:la_output[88] *975:9 34.3371 +2 *975:9 *975:10 196.498 +3 *975:10 *975:12 4.5 +4 *975:12 *2472:la_data_out_mprj[88] 59.6742 +*END + +*D_NET *976 0.0502961 +*CONN +*I *2472:la_data_out_mprj[89] I *D mgmt_protect +*I *2478:la_output[89] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[89] 6.22868e-05 +2 *2478:la_output[89] 0.00129385 +3 *976:13 0.00129147 +4 *976:12 0.00122919 +5 *976:10 0.00176155 +6 *976:9 0.00305541 +7 *976:9 *1234:9 0 +8 *976:9 *1360:9 0 +9 *976:10 *978:10 0.0188019 +10 *976:10 *1232:10 0.00020979 +11 *976:10 *1234:10 0.000370863 +12 *976:10 *1248:10 0.000354801 +13 *976:13 *2472:la_iena_mprj[89] 0.00354533 +14 *976:13 *1349:7 0.000685962 +15 *620:5 *976:9 0 +16 *720:7 *976:13 0 +17 *971:10 *976:10 0.000153129 +18 *975:10 *976:10 0.0174806 +*RES +1 *2478:la_output[89] *976:9 34.7523 +2 *976:9 *976:10 206.481 +3 *976:10 *976:12 4.5 +4 *976:12 *976:13 59.3444 +5 *976:13 *2472:la_data_out_mprj[89] 1.77093 +*END + +*D_NET *977 0.157922 +*CONN +*I *2472:la_data_out_mprj[8] I *D mgmt_protect +*I *2478:la_output[8] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[8] 0.000868648 +2 *2478:la_output[8] 0.0013761 +3 *977:18 0.00129642 +4 *977:15 0.00174522 +5 *977:10 0.00647741 +6 *977:9 0.00653607 +7 *2472:la_data_out_mprj[8] *2472:la_iena_mprj[8] 0 +8 *977:9 *1244:7 0 +9 *977:9 *1361:9 0 +10 *977:10 *988:10 0.0646847 +11 *977:10 *1139:10 0.0622698 +12 *977:10 *1317:10 0.00407177 +13 *977:10 *1361:10 0.00083916 +14 *977:15 *2472:la_iena_mprj[4] 0.00143699 +15 *977:15 *2472:la_oenb_mprj[4] 5.66868e-06 +16 *977:15 *1310:7 0.000492326 +17 *977:18 *1182:12 4.0752e-05 +18 *977:18 *1200:16 0.000795688 +19 *977:18 *1280:10 0.000436805 +20 *977:18 *1310:12 0.00286842 +21 *677:14 *977:10 0.00110908 +22 *721:7 *2472:la_data_out_mprj[8] 0.000387405 +23 *927:10 *977:18 0.000183145 +*RES +1 *2478:la_output[8] *977:9 38.4896 +2 *977:9 *977:10 702.852 +3 *977:10 *977:15 41.1454 +4 *977:15 *977:18 36.2812 +5 *977:18 *2472:la_data_out_mprj[8] 23.5472 +*END + +*D_NET *978 0.0510477 +*CONN +*I *2472:la_data_out_mprj[90] I *D mgmt_protect +*I *2478:la_output[90] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[90] 0.00181944 +2 *2478:la_output[90] 0.00123679 +3 *978:12 0.00181944 +4 *978:10 0.00192555 +5 *978:9 0.00316235 +6 *2472:la_data_out_mprj[90] *2472:la_iena_mprj[90] 0 +7 *2472:la_data_out_mprj[90] *1223:7 0.000708286 +8 *978:9 *1235:9 0 +9 *978:9 *1262:13 0.000159106 +10 *978:9 *1362:9 0 +11 *978:10 *1234:10 8.47539e-05 +12 *978:10 *1235:10 0.019658 +13 *978:10 *1246:12 0.000679362 +14 *978:10 *1369:10 9.12806e-05 +15 *722:7 *2472:la_data_out_mprj[90] 0.000446095 +16 *965:7 *2472:la_data_out_mprj[90] 0 +17 *971:10 *978:10 0.000455401 +18 *976:10 *978:10 0.0188019 +*RES +1 *2478:la_output[90] *978:9 35.1676 +2 *978:9 *978:10 219.792 +3 *978:10 *978:12 4.5 +4 *978:12 *2472:la_data_out_mprj[90] 58.8437 +*END + +*D_NET *979 0.0532666 +*CONN +*I *2472:la_data_out_mprj[91] I *D mgmt_protect +*I *2478:la_output[91] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[91] 0.00198512 +2 *2478:la_output[91] 0.00180053 +3 *979:12 0.00198512 +4 *979:10 0.00222622 +5 *979:9 0.00402676 +6 *2472:la_data_out_mprj[91] *2472:la_iena_mprj[91] 0 +7 *2472:la_data_out_mprj[91] *2472:la_oenb_mprj[90] 0 +8 *979:9 *2472:la_oenb_mprj[117] 0 +9 *979:9 *1363:9 0 +10 *979:10 *980:10 0 +11 *979:10 *1236:10 0.0207255 +12 *979:10 *1364:10 0.0205173 +13 *711:11 *2472:la_data_out_mprj[91] 0 +14 *723:7 *2472:la_data_out_mprj[91] 0 +*RES +1 *2478:la_output[91] *979:9 43.8879 +2 *979:9 *979:10 231.438 +3 *979:10 *979:12 4.5 +4 *979:12 *2472:la_data_out_mprj[91] 50.1234 +*END + +*D_NET *980 0.0558733 +*CONN +*I *2472:la_data_out_mprj[92] I *D mgmt_protect +*I *2478:la_output[92] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[92] 0.00147513 +2 *2478:la_output[92] 0.00160474 +3 *980:12 0.00147513 +4 *980:10 0.00228544 +5 *980:9 0.00389018 +6 *2472:la_data_out_mprj[92] *2472:la_iena_mprj[92] 0 +7 *2472:la_data_out_mprj[92] *2472:la_oenb_mprj[91] 0 +8 *2472:la_data_out_mprj[92] *1351:7 0 +9 *980:9 *1237:9 0 +10 *980:10 *981:10 0.000520318 +11 *980:10 *985:12 0.000124104 +12 *980:10 *1236:10 0 +13 *980:10 *1237:10 0.00068824 +14 *980:10 *1239:10 0.0203758 +15 *980:10 *1366:10 0.0209895 +16 *724:7 *2472:la_data_out_mprj[92] 0.000358915 +17 *725:8 *980:10 2.68003e-05 +18 *967:7 *2472:la_data_out_mprj[92] 0.002059 +19 *979:10 *980:10 0 +*RES +1 *2478:la_output[92] *980:9 39.8575 +2 *980:9 *980:10 244.749 +3 *980:10 *980:12 4.5 +4 *980:12 *2472:la_data_out_mprj[92] 53.0301 +*END + +*D_NET *981 0.0587385 +*CONN +*I *2472:la_data_out_mprj[93] I *D mgmt_protect +*I *2478:la_output[93] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[93] 0.00214147 +2 *2478:la_output[93] 0.00141838 +3 *981:12 0.00214147 +4 *981:10 0.00211786 +5 *981:9 0.00353624 +6 *2472:la_data_out_mprj[93] *2472:la_iena_mprj[93] 0 +7 *2472:la_data_out_mprj[93] *1224:7 0 +8 *981:9 *2472:la_oenb_mprj[122] 0.000207371 +9 *981:9 *1238:9 0 +10 *981:9 *1365:9 0 +11 *981:10 *982:10 0.0231814 +12 *981:10 *985:12 0.000359698 +13 *981:10 *1366:10 0.0227672 +14 *631:5 *981:9 0.000347135 +15 *712:11 *2472:la_data_out_mprj[93] 0 +16 *725:5 *2472:la_data_out_mprj[93] 0 +17 *970:10 *981:10 0 +18 *980:10 *981:10 0.000520318 +*RES +1 *2478:la_output[93] *981:9 40.1506 +2 *981:9 *981:10 254.732 +3 *981:10 *981:12 4.5 +4 *981:12 *2472:la_data_out_mprj[93] 53.8607 +*END + +*D_NET *982 0.0624201 +*CONN +*I *2472:la_data_out_mprj[94] I *D mgmt_protect +*I *2478:la_output[94] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[94] 0.00176969 +2 *2478:la_output[94] 0.00160667 +3 *982:12 0.00176969 +4 *982:10 0.00216396 +5 *982:9 0.00377063 +6 *2472:la_data_out_mprj[94] *2472:la_iena_mprj[94] 0 +7 *2472:la_data_out_mprj[94] *1352:7 0 +8 *982:9 *2472:la_oenb_mprj[124] 0 +9 *982:9 *1239:9 0 +10 *982:9 *1366:9 0 +11 *982:10 *985:12 0.00113676 +12 *982:10 *1121:10 0.00132029 +13 *982:10 *1366:10 0.000264727 +14 *726:5 *2472:la_data_out_mprj[94] 0.000953098 +15 *727:8 *982:10 0.0244833 +16 *968:7 *2472:la_data_out_mprj[94] 0 +17 *970:10 *982:10 0 +18 *981:10 *982:10 0.0231814 +*RES +1 *2478:la_output[94] *982:9 39.7354 +2 *982:9 *982:10 266.933 +3 *982:10 *982:12 4.5 +4 *982:12 *2472:la_data_out_mprj[94] 54.2759 +*END + +*D_NET *983 0.0608171 +*CONN +*I *2472:la_data_out_mprj[95] I *D mgmt_protect +*I *2478:la_output[95] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[95] 0.00205186 +2 *2478:la_output[95] 0.0014498 +3 *983:18 0.00205186 +4 *983:16 0.000857445 +5 *983:15 0.000950982 +6 *983:10 0.00169008 +7 *983:9 0.00304635 +8 *2472:la_data_out_mprj[95] *2472:la_iena_mprj[95] 0 +9 *2472:la_data_out_mprj[95] *1225:7 0.000654353 +10 *983:9 *1367:9 0 +11 *983:10 *987:12 0.00104263 +12 *983:10 *1241:10 0.000124736 +13 *983:10 *1242:10 0.020474 +14 *983:10 *1357:12 0.00272839 +15 *983:10 *1368:10 0.017454 +16 *983:16 *984:10 3.42853e-05 +17 *983:16 *987:12 0.000108607 +18 *983:16 *1240:10 0.000249889 +19 *983:16 *1241:10 0.00160718 +20 *983:16 *1242:10 0.00302774 +21 *713:11 *2472:la_data_out_mprj[95] 0 +22 *727:5 *2472:la_data_out_mprj[95] 0 +23 *727:8 *983:16 5.07452e-05 +24 *970:10 *983:16 0.00116215 +*RES +1 *2478:la_output[95] *983:9 37.2439 +2 *983:9 *983:10 217.019 +3 *983:10 *983:15 10.2946 +4 *983:15 *983:16 60.066 +5 *983:16 *983:18 4.5 +6 *983:18 *2472:la_data_out_mprj[95] 56.7674 +*END + +*D_NET *984 0.0671247 +*CONN +*I *2472:la_data_out_mprj[96] I *D mgmt_protect +*I *2478:la_output[96] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[96] 0.00181804 +2 *2478:la_output[96] 0.00145014 +3 *984:12 0.00181804 +4 *984:10 0.00230494 +5 *984:9 0.00375508 +6 *2472:la_data_out_mprj[96] *2472:la_iena_mprj[96] 0 +7 *2472:la_data_out_mprj[96] *2472:la_oenb_mprj[95] 0 +8 *2472:la_data_out_mprj[96] *1353:7 0.000704506 +9 *984:9 *2472:mprj_adr_o_core[1] 0.000428463 +10 *984:9 *1241:9 0 +11 *984:9 *1368:9 0 +12 *984:10 *986:12 0.00124066 +13 *984:10 *1121:10 0.000205493 +14 *984:10 *1240:10 0.0258769 +15 *984:10 *1241:10 0.00054182 +16 *713:11 *2472:la_data_out_mprj[96] 0 +17 *727:8 *984:10 0.0244962 +18 *728:7 *2472:la_data_out_mprj[96] 0.000399232 +19 *730:11 *984:9 0 +20 *862:10 *984:10 0.00205091 +21 *983:16 *984:10 3.42853e-05 +*RES +1 *2478:la_output[96] *984:9 38.9049 +2 *984:9 *984:10 290.226 +3 *984:10 *984:12 4.5 +4 *984:12 *2472:la_data_out_mprj[96] 55.1064 +*END + +*D_NET *985 0.0733089 +*CONN +*I *2472:la_data_out_mprj[97] I *D mgmt_protect +*I *2478:la_output[97] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[97] 0.00221809 +2 *2478:la_output[97] 0.00150795 +3 *985:12 0.0170814 +4 *985:9 0.0163713 +5 *2472:la_data_out_mprj[97] *2472:la_oenb_mprj[96] 0 +6 *2472:la_data_out_mprj[97] *1226:7 0 +7 *2472:la_data_out_mprj[97] *1241:13 0 +8 *985:9 *1242:9 0 +9 *985:9 *1674:5 8.05899e-05 +10 *985:12 *986:12 0.0317034 +11 *985:12 *1121:10 0.000135066 +12 *985:12 *1237:10 0.000117868 +13 *985:12 *1239:10 0.000118356 +14 *985:12 *1366:10 0.000235008 +15 *985:12 *1647:10 0 +16 *985:12 *1724:10 2.6242e-05 +17 *985:12 *2184:16 0.000281841 +18 *726:8 *985:12 0.000257169 +19 *727:8 *985:12 0.00123647 +20 *729:7 *2472:la_data_out_mprj[97] 0 +21 *862:10 *985:12 0.00020476 +22 *864:10 *985:12 0.00011282 +23 *969:7 *2472:la_data_out_mprj[97] 0 +24 *980:10 *985:12 0.000124104 +25 *981:10 *985:12 0.000359698 +26 *982:10 *985:12 0.00113676 +*RES +1 *2478:la_output[97] *985:9 36.8577 +2 *985:9 *985:12 45.1058 +3 *985:12 *2472:la_data_out_mprj[97] 54.8988 +*END + +*D_NET *986 0.0857448 +*CONN +*I *2472:la_data_out_mprj[98] I *D mgmt_protect +*I *2478:la_output[98] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[98] 0.00181958 +2 *2478:la_output[98] 0.00152974 +3 *986:12 0.00645806 +4 *986:9 0.00616822 +5 *2472:la_data_out_mprj[98] *2472:la_iena_mprj[98] 0 +6 *2472:la_data_out_mprj[98] *2472:la_oenb_mprj[97] 0 +7 *986:9 *2472:mprj_adr_o_core[6] 5.55772e-05 +8 *986:9 *1243:9 0 +9 *986:9 *1370:9 0 +10 *986:12 *987:12 0.000165657 +11 *986:12 *1240:10 0.001457 +12 *986:12 *1370:12 0.0329032 +13 *986:12 *1738:10 4.61116e-05 +14 *986:12 *2184:16 1.9628e-06 +15 *986:12 *2186:24 0.000753182 +16 *607:14 *986:12 0.000212637 +17 *714:11 *2472:la_data_out_mprj[98] 0.000839472 +18 *730:7 *2472:la_data_out_mprj[98] 0.000390306 +19 *984:10 *986:12 0.00124066 +20 *985:12 *986:12 0.0317034 +*RES +1 *2478:la_output[98] *986:9 37.1508 +2 *986:9 *986:12 46.6356 +3 *986:12 *2472:la_data_out_mprj[98] 55.7293 +*END + +*D_NET *987 0.0882195 +*CONN +*I *2472:la_data_out_mprj[99] I *D mgmt_protect +*I *2478:la_output[99] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[99] 0.00236762 +2 *2478:la_output[99] 0.00144142 +3 *987:12 0.0078024 +4 *987:9 0.0068762 +5 *2472:la_data_out_mprj[99] *2472:la_iena_mprj[99] 0 +6 *2472:la_data_out_mprj[99] *2472:la_oenb_mprj[98] 0 +7 *987:9 *1118:9 0 +8 *987:9 *1371:9 0 +9 *987:9 *1680:5 0.000117507 +10 *987:12 *1118:12 1.15279e-05 +11 *987:12 *1242:10 0.00145699 +12 *987:12 *1246:12 0.0336534 +13 *987:12 *1370:12 0.0324049 +14 *987:12 *1371:12 9.74681e-05 +15 *987:12 *1742:30 0.000293424 +16 *987:12 *2186:24 0.000177027 +17 *731:7 *2472:la_data_out_mprj[99] 0 +18 *863:10 *987:12 0.00020273 +19 *983:10 *987:12 0.00104263 +20 *983:16 *987:12 0.000108607 +21 *986:12 *987:12 0.000165657 +*RES +1 *2478:la_output[99] *987:9 35.4898 +2 *987:9 *987:12 48.2419 +3 *987:12 *2472:la_data_out_mprj[99] 57.3903 +*END + +*D_NET *988 0.156616 +*CONN +*I *2472:la_data_out_mprj[9] I *D mgmt_protect +*I *2478:la_output[9] O *D mgmt_core_wrapper +*CAP +1 *2472:la_data_out_mprj[9] 0.00286838 +2 *2478:la_output[9] 0.00135421 +3 *988:12 0.00286838 +4 *988:10 0.00519267 +5 *988:9 0.00654688 +6 *2472:la_data_out_mprj[9] *2472:la_iena_mprj[9] 0 +7 *2472:la_data_out_mprj[9] *2472:la_oenb_mprj[8] 0 +8 *988:9 *1128:7 0 +9 *988:9 *1372:7 0 +10 *988:10 *1139:10 0.000750112 +11 *988:10 *1155:10 0.000391594 +12 *988:10 *1295:10 0.0036203 +13 *988:10 *1311:10 0.000106845 +14 *988:10 *1339:10 0.000125085 +15 *988:10 *1361:10 0.0681067 +16 *2478:la_input[45] *2472:la_data_out_mprj[9] 0 +17 *732:7 *2472:la_data_out_mprj[9] 0 +18 *977:10 *988:10 0.0646847 +*RES +1 *2478:la_output[9] *988:9 38.0744 +2 *988:9 *988:10 723.372 +3 *988:10 *988:12 4.5 +4 *988:12 *2472:la_data_out_mprj[9] 55.9369 +*END + +*D_NET *989 0.0644775 +*CONN +*I *2472:la_data_out_core[0] I *D mgmt_protect +*I *2473:la_data_out[0] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[0] 0.000844933 +2 *2473:la_data_out[0] 0.00304826 +3 *989:8 0.00359659 +4 *989:7 0.00275166 +5 *989:5 0.00304826 +6 *2472:la_data_out_core[0] *1373:7 0 +7 *989:5 *1645:9 0.000158695 +8 *989:5 *2182:7 0 +9 *989:8 *1620:8 0.0126035 +10 *989:8 *1705:8 0.0260698 +11 *989:8 *1745:8 0.000848219 +12 *733:7 *2472:la_data_out_core[0] 0 +13 *849:8 *989:8 0.0115076 +*RES +1 *2473:la_data_out[0] *989:5 61.3352 +2 *989:5 *989:7 4.5 +3 *989:7 *989:8 286.899 +4 *989:8 *2472:la_data_out_core[0] 27.2167 +*END + +*D_NET *990 0.227557 +*CONN +*I *2472:la_data_out_core[100] I *D mgmt_protect +*I *2473:la_data_out[100] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[100] 0.000863491 +2 *2473:la_data_out[100] 0.00290171 +3 *990:8 0.00751116 +4 *990:7 0.00664767 +5 *990:5 0.00290171 +6 *2472:la_data_out_core[100] *1374:7 0 +7 *990:8 *1001:8 0.003445 +8 *990:8 *1009:14 0.0051355 +9 *990:8 *1017:14 0.00021583 +10 *734:7 *2472:la_data_out_core[100] 0 +11 *734:8 *990:8 0.0988812 +12 *735:8 *990:8 0.0990534 +*RES +1 *2473:la_data_out[100] *990:5 64.6572 +2 *990:5 *990:7 4.5 +3 *990:7 *990:8 1045.6 +4 *990:8 *2472:la_data_out_core[100] 23.8947 +*END + +*D_NET *991 0.221776 +*CONN +*I *2472:la_data_out_core[101] I *D mgmt_protect +*I *2473:la_data_out[101] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[101] 8.20467e-05 +2 *2473:la_data_out[101] 0.000743464 +3 *991:11 0.00277219 +4 *991:10 0.00269015 +5 *991:8 0.00800594 +6 *991:7 0.0087494 +7 *991:8 *993:8 0.0986862 +8 *991:8 *1073:14 0 +9 *991:11 *2473:la_oenb[51] 0 +10 *991:11 *1375:5 0.00258673 +11 *2473:la_data_in[52] *991:11 0.000205164 +12 *735:7 *991:11 0 +13 *756:8 *991:8 0.00178849 +14 *859:8 *991:8 0.0954659 +*RES +1 *2473:la_data_out[101] *991:7 21.4032 +2 *991:7 *991:8 1056.13 +3 *991:8 *991:10 4.5 +4 *991:10 *991:11 67.2342 +5 *991:11 *2472:la_data_out_core[101] 2.33274 +*END + +*D_NET *992 0.232868 +*CONN +*I *2472:la_data_out_core[102] I *D mgmt_protect +*I *2473:la_data_out[102] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[102] 0.000833276 +2 *2473:la_data_out[102] 0.00290778 +3 *992:8 0.00785414 +4 *992:7 0.00702087 +5 *992:5 0.00290778 +6 *2472:la_data_out_core[102] *1376:7 0 +7 *992:8 *1001:8 0.00399631 +8 *992:8 *1017:14 0.00619095 +9 *2473:la_data_in[52] *2472:la_data_out_core[102] 0 +10 *735:8 *992:8 0.099385 +11 *736:7 *2472:la_data_out_core[102] 0 +12 *736:8 *992:8 0.101458 +13 *737:8 *992:8 0.000313928 +*RES +1 *2473:la_data_out[102] *992:5 65.4877 +2 *992:5 *992:7 4.5 +3 *992:7 *992:8 1072.22 +4 *992:8 *2472:la_data_out_core[102] 23.0642 +*END + +*D_NET *993 0.228523 +*CONN +*I *2472:la_data_out_core[103] I *D mgmt_protect +*I *2473:la_data_out[103] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[103] 8.20467e-05 +2 *2473:la_data_out[103] 0.000762584 +3 *993:11 0.00264844 +4 *993:10 0.00256639 +5 *993:8 0.00799659 +6 *993:7 0.00875918 +7 *993:8 *995:8 0.101369 +8 *993:8 *1073:14 8.76492e-05 +9 *993:11 *2473:la_oenb[52] 0.000866262 +10 *993:11 *1377:5 0.00255694 +11 *737:7 *993:11 0 +12 *756:8 *993:8 0.00214228 +13 *991:8 *993:8 0.0986862 +*RES +1 *2473:la_data_out[103] *993:7 21.8185 +2 *993:7 *993:8 1082.76 +3 *993:8 *993:10 4.5 +4 *993:10 *993:11 66.819 +5 *993:11 *2472:la_data_out_core[103] 2.33274 +*END + +*D_NET *994 0.242141 +*CONN +*I *2472:la_data_out_core[104] I *D mgmt_protect +*I *2473:la_data_out[104] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[104] 0.000739531 +2 *2473:la_data_out[104] 0.00295533 +3 *994:8 0.00763587 +4 *994:7 0.00689634 +5 *994:5 0.00295533 +6 *2472:la_data_out_core[104] *1378:7 0 +7 *994:8 *1003:8 0.0126627 +8 *2473:la_data_in[53] *2472:la_data_out_core[104] 8.71463e-05 +9 *738:7 *2472:la_data_out_core[104] 0 +10 *738:8 *994:8 0.104018 +11 *739:8 *994:8 0.10419 +12 *809:8 *994:8 0 +13 *810:8 *994:8 0 +*RES +1 *2473:la_data_out[104] *994:5 67.1487 +2 *994:5 *994:7 4.5 +3 *994:7 *994:8 1098.84 +4 *994:8 *2472:la_data_out_core[104] 21.4032 +*END + +*D_NET *995 0.233293 +*CONN +*I *2472:la_data_out_core[105] I *D mgmt_protect +*I *2473:la_data_out[105] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[105] 2.27669e-05 +2 *2473:la_data_out[105] 0.000781703 +3 *995:11 0.00303272 +4 *995:10 0.00300995 +5 *995:8 0.00813256 +6 *995:7 0.00891427 +7 *995:8 *997:8 0.103945 +8 *995:8 *1013:8 0.00215053 +9 *995:8 *1073:14 0.000264355 +10 *995:11 *1065:5 0.0016699 +11 *995:11 *1379:5 0 +12 *739:7 *995:11 0 +13 *993:8 *995:8 0.101369 +*RES +1 *2473:la_data_out[105] *995:7 22.2337 +2 *995:7 *995:8 1111.04 +3 *995:8 *995:10 4.5 +4 *995:10 *995:11 66.4037 +5 *995:11 *2472:la_data_out_core[105] 0.647305 +*END + +*D_NET *996 0.246525 +*CONN +*I *2472:la_data_out_core[106] I *D mgmt_protect +*I *2473:la_data_out[106] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[106] 0.000738814 +2 *2473:la_data_out[106] 0.00296557 +3 *996:8 0.00801196 +4 *996:7 0.00727314 +5 *996:5 0.00296557 +6 *2472:la_data_out_core[106] *1379:5 0 +7 *2472:la_data_out_core[106] *1380:7 0 +8 *739:8 *996:8 0.104462 +9 *740:7 *2472:la_data_out_core[106] 0 +10 *740:8 *996:8 0.106529 +11 *741:8 *996:8 0.000313928 +12 *748:8 *996:8 0.0132641 +*RES +1 *2473:la_data_out[106] *996:5 67.9792 +2 *996:5 *996:7 4.5 +3 *996:7 *996:8 1124.9 +4 *996:8 *2472:la_data_out_core[106] 20.5727 +*END + +*D_NET *997 0.23855 +*CONN +*I *2472:la_data_out_core[107] I *D mgmt_protect +*I *2473:la_data_out[107] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[107] 0.00323188 +2 *2473:la_data_out[107] 0.000800823 +3 *997:10 0.00323188 +4 *997:8 0.00829079 +5 *997:7 0.00909162 +6 *2472:la_data_out_core[107] *1066:7 0 +7 *2472:la_data_out_core[107] *1381:9 0 +8 *2472:la_data_out_core[107] *1451:17 0 +9 *997:8 *999:8 0.106475 +10 *997:8 *1013:8 0.00253608 +11 *997:8 *1073:14 0.000391181 +12 *2473:la_data_in[54] *2472:la_data_out_core[107] 0.000555213 +13 *741:7 *2472:la_data_out_core[107] 0 +14 *995:8 *997:8 0.103945 +*RES +1 *2473:la_data_out[107] *997:7 22.649 +2 *997:7 *997:8 1138.22 +3 *997:8 *997:10 4.5 +4 *997:10 *2472:la_data_out_core[107] 65.903 +*END + +*D_NET *998 0.251392 +*CONN +*I *2472:la_data_out_core[108] I *D mgmt_protect +*I *2473:la_data_out[108] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[108] 0.000688168 +2 *2473:la_data_out[108] 0.00299766 +3 *998:8 0.00807442 +4 *998:7 0.00738625 +5 *998:5 0.00299766 +6 *2472:la_data_out_core[108] *1381:9 0 +7 *2472:la_data_out_core[108] *1382:7 0 +8 *741:8 *998:8 0.107084 +9 *742:7 *2472:la_data_out_core[108] 0 +10 *742:8 *998:8 0.109098 +11 *743:8 *998:8 0.000313928 +12 *750:8 *998:8 0.012752 +*RES +1 *2473:la_data_out[108] *998:5 69.225 +2 *998:5 *998:7 4.5 +3 *998:7 *998:8 1151.53 +4 *998:8 *2472:la_data_out_core[108] 19.3269 +*END + +*D_NET *999 0.239302 +*CONN +*I *2472:la_data_out_core[109] I *D mgmt_protect +*I *2473:la_data_out[109] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[109] 0.00347347 +2 *2473:la_data_out[109] 0.000819942 +3 *999:10 0.00347347 +4 *999:8 0.00908688 +5 *999:7 0.00990683 +6 *2472:la_data_out_core[109] *2473:la_oenb[54] 0 +7 *2472:la_data_out_core[109] *1382:7 0 +8 *2472:la_data_out_core[109] *1383:9 0 +9 *999:8 *1002:8 0.101474 +10 *999:8 *1073:14 0.000417084 +11 *2473:la_data_in[55] *2472:la_data_out_core[109] 0 +12 *743:7 *2472:la_data_out_core[109] 0 +13 *758:8 *999:8 0.00251258 +14 *817:14 *999:8 0.000694957 +15 *817:18 *999:8 0.000968322 +16 *997:8 *999:8 0.106475 +*RES +1 *2473:la_data_out[109] *999:7 23.0642 +2 *999:7 *999:8 1165.39 +3 *999:8 *999:10 4.5 +4 *999:10 *2472:la_data_out_core[109] 65.4877 +*END + +*D_NET *1000 0.0343257 +*CONN +*I *2472:la_data_out_core[10] I *D mgmt_protect +*I *2473:la_data_out[10] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[10] 0.00187276 +2 *2473:la_data_out[10] 0.00131221 +3 *1000:10 0.00187276 +4 *1000:8 0.00151756 +5 *1000:7 0.00282977 +6 *2472:la_data_out_core[10] *1384:5 0 +7 *1000:8 *1011:8 0.0126955 +8 *1000:8 *1384:8 0.000591248 +9 *1000:8 *1395:8 0.000183679 +10 *744:5 *2472:la_data_out_core[10] 0 +11 *744:8 *1000:8 0.000131218 +12 *764:8 *1000:8 0.0113189 +*RES +1 *2473:la_data_out[10] *1000:7 38.8438 +2 *1000:7 *1000:8 154.349 +3 *1000:8 *1000:10 4.5 +4 *1000:10 *2472:la_data_out_core[10] 49.7081 +*END + +*D_NET *1001 0.273492 +*CONN +*I *2472:la_data_out_core[110] I *D mgmt_protect +*I *2473:la_data_out[110] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[110] 0.000840267 +2 *2473:la_data_out[110] 0.00284591 +3 *1001:8 0.0222183 +4 *1001:7 0.021378 +5 *1001:5 0.00284591 +6 *2472:la_data_out_core[110] *1383:9 0 +7 *2472:la_data_out_core[110] *1385:7 0 +8 *1001:8 *1003:8 0.126623 +9 *1001:8 *1009:14 0.00244577 +10 *1001:8 *1017:14 0.0513959 +11 *1001:8 *1099:8 0 +12 *1001:8 *1484:8 0 +13 *469:25 *1001:8 0 +14 *734:8 *1001:8 0.00328194 +15 *735:8 *1001:8 0.00344709 +16 *736:8 *1001:8 0.0110792 +17 *737:8 *1001:8 0.0123504 +18 *745:7 *2472:la_data_out_core[110] 0 +19 *856:8 *1001:8 0.00244324 +20 *858:8 *1001:8 0.00285582 +21 *990:8 *1001:8 0.003445 +22 *992:8 *1001:8 0.00399631 +*RES +1 *2473:la_data_out[110] *1001:5 66.5258 +2 *1001:5 *1001:7 3.36879 +3 *1001:7 *1001:8 162.515 +4 *1001:8 *2472:la_data_out_core[110] 20.8949 +*END + +*D_NET *1002 0.245361 +*CONN +*I *2472:la_data_out_core[111] I *D mgmt_protect +*I *2473:la_data_out[111] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[111] 0.00302725 +2 *2473:la_data_out[111] 0.000839062 +3 *1002:16 0.00302725 +4 *1002:14 0.00139063 +5 *1002:13 0.00163596 +6 *1002:8 0.0082562 +7 *1002:7 0.00884994 +8 *2472:la_data_out_core[111] *2473:la_oenb[55] 5.33526e-05 +9 *2472:la_data_out_core[111] *1070:13 0 +10 *2472:la_data_out_core[111] *1385:7 0 +11 *2472:la_data_out_core[111] *1386:9 0 +12 *1002:8 *1004:8 0.000920664 +13 *1002:13 *1004:13 0.000395461 +14 *1002:13 *1013:11 0 +15 *1002:13 *1071:7 0.000447553 +16 *1002:14 *1004:14 0.00105635 +17 *1002:14 *1093:8 9.9943e-05 +18 *746:7 *2472:la_data_out_core[111] 0 +19 *758:8 *1002:8 0.00292201 +20 *759:14 *1002:8 0.103379 +21 *817:18 *1002:8 7.92757e-06 +22 *820:8 *1002:14 0.00757942 +23 *999:8 *1002:8 0.101474 +*RES +1 *2473:la_data_out[111] *1002:7 23.4795 +2 *1002:7 *1002:8 1111.59 +3 *1002:8 *1002:13 17.8913 +4 *1002:13 *1002:14 80.5863 +5 *1002:14 *1002:16 4.5 +6 *1002:16 *2472:la_data_out_core[111] 56.3522 +*END + +*D_NET *1003 0.308137 +*CONN +*I *2472:la_data_out_core[112] I *D mgmt_protect +*I *2473:la_data_out[112] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[112] 0.000672682 +2 *2473:la_data_out[112] 0.00285197 +3 *1003:8 0.0100814 +4 *1003:7 0.00940876 +5 *1003:5 0.00285197 +6 *2472:la_data_out_core[112] *1386:9 0 +7 *2472:la_data_out_core[112] *1387:5 0 +8 *2473:la_data_in[56] *2472:la_data_out_core[112] 0.000530985 +9 *469:25 *1003:8 5.62259e-05 +10 *738:8 *1003:8 0.0116368 +11 *747:7 *2472:la_data_out_core[112] 0 +12 *748:8 *1003:8 0.13076 +13 *994:8 *1003:8 0.0126627 +14 *1001:8 *1003:8 0.126623 +*RES +1 *2473:la_data_out[112] *1003:5 67.3563 +2 *1003:5 *1003:7 3.36879 +3 *1003:7 *1003:8 166.187 +4 *1003:8 *2472:la_data_out_core[112] 20.0644 +*END + +*D_NET *1004 0.254945 +*CONN +*I *2472:la_data_out_core[113] I *D mgmt_protect +*I *2473:la_data_out[113] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[113] 2.27669e-05 +2 *2473:la_data_out[113] 0.000885443 +3 *1004:17 0.00283834 +4 *1004:16 0.00281557 +5 *1004:14 0.00106919 +6 *1004:13 0.00139972 +7 *1004:8 0.00796638 +8 *1004:7 0.0085213 +9 *1004:8 *1015:8 0.10806 +10 *1004:13 *1013:11 0.000112424 +11 *1004:13 *1071:7 4.58666e-05 +12 *1004:14 *1093:8 0.00606286 +13 *1004:14 *1109:8 0.000101365 +14 *1004:17 *1068:7 0.000284233 +15 *1004:17 *1383:15 7.5909e-06 +16 *1004:17 *1386:15 0.000492649 +17 *1004:17 *1388:7 0 +18 *748:7 *1004:17 0 +19 *759:14 *1004:8 0.107432 +20 *760:8 *1004:8 0.00310315 +21 *817:18 *1004:8 0.001263 +22 *818:8 *1004:8 8.66138e-05 +23 *820:8 *1004:14 2.18041e-06 +24 *1002:8 *1004:8 0.000920664 +25 *1002:13 *1004:13 0.000395461 +26 *1002:14 *1004:14 0.00105635 +*RES +1 *2473:la_data_out[113] *1004:7 24.31 +2 *1004:7 *1004:8 1153.74 +3 *1004:8 *1004:13 18.7218 +4 *1004:13 *1004:14 64.5028 +5 *1004:14 *1004:16 4.5 +6 *1004:16 *1004:17 54.7766 +7 *1004:17 *2472:la_data_out_core[113] 0.647305 +*END + +*D_NET *1005 0.246825 +*CONN +*I *2472:la_data_out_core[114] I *D mgmt_protect +*I *2473:la_data_out[114] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[114] 0.000568287 +2 *2473:la_data_out[114] 0.00300453 +3 *1005:8 0.0151337 +4 *1005:7 0.0145654 +5 *1005:5 0.00300453 +6 *2472:la_data_out_core[114] *1389:7 0 +7 *469:25 *1005:8 0.0218418 +8 *747:8 *1005:8 0.112751 +9 *749:7 *2472:la_data_out_core[114] 0 +10 *813:11 *2472:la_data_out_core[114] 7.09666e-06 +11 *854:8 *1005:8 0.0759493 +*RES +1 *2473:la_data_out[114] *1005:5 71.7165 +2 *1005:5 *1005:7 4.5 +3 *1005:7 *1005:8 1231.39 +4 *1005:8 *2472:la_data_out_core[114] 16.8354 +*END + +*D_NET *1006 0.22635 +*CONN +*I *2472:la_data_out_core[115] I *D mgmt_protect +*I *2473:la_data_out[115] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[115] 0.00334718 +2 *2473:la_data_out[115] 0.00106644 +3 *1006:10 0.00334718 +4 *1006:8 0.0406753 +5 *1006:7 0.0417418 +6 *2472:la_data_out_core[115] *1069:5 0 +7 *2472:la_data_out_core[115] *1389:7 0 +8 *2472:la_data_out_core[115] *1390:5 0 +9 *1006:8 *1109:8 0 +10 *1006:8 *1111:8 0 +11 *1006:8 *1496:8 0 +12 *750:7 *2472:la_data_out_core[115] 0 +13 *752:8 *1006:8 0.13365 +14 *824:8 *1006:8 0.000134497 +15 *825:10 *1006:8 0.000141029 +16 *826:8 *1006:8 0.00015065 +17 *828:10 *1006:8 0.00037278 +18 *829:8 *1006:8 0.000412718 +19 *830:8 *1006:8 0.000448922 +20 *831:8 *1006:8 0.000448922 +21 *833:8 *1006:8 0.000412718 +*RES +1 *2473:la_data_out[115] *1006:7 26.7084 +2 *1006:7 *1006:8 171.77 +3 *1006:8 *1006:10 3.36879 +4 *1006:10 *2472:la_data_out_core[115] 60.7123 +*END + +*D_NET *1007 0.186097 +*CONN +*I *2472:la_data_out_core[116] I *D mgmt_protect +*I *2473:la_data_out[116] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[116] 0.000330091 +2 *2473:la_data_out[116] 0.00170929 +3 *1007:14 0.0112633 +4 *1007:13 0.0127856 +5 *1007:8 0.0154291 +6 *1007:7 0.0152861 +7 *2472:la_data_out_core[116] *1391:5 0 +8 *1007:8 *1403:8 0.00811257 +9 *1007:8 *1490:10 0.000868916 +10 *1007:8 *2414:8 0.0602489 +11 *1007:13 *2473:la_oenb[86] 6.82761e-05 +12 *1007:14 *1012:14 0.00350863 +13 *1007:14 *1019:14 0.00197403 +14 *1007:14 *1078:8 0.0158415 +15 *486:21 *1007:14 0 +16 *751:7 *2472:la_data_out_core[116] 0 +17 *814:16 *2472:la_data_out_core[116] 1.47102e-05 +18 *832:8 *1007:14 0.0335707 +19 *834:8 *1007:14 0.00185942 +20 *839:8 *1007:14 0.0021697 +21 *841:8 *1007:14 0.000777216 +22 *843:8 *1007:14 0.00027925 +23 *845:8 *1007:14 0 +24 *847:8 *1007:14 0 +*RES +1 *2473:la_data_out[116] *1007:7 42.9963 +2 *1007:7 *1007:8 632.971 +3 *1007:8 *1007:13 46.9589 +4 *1007:13 *1007:14 625.207 +5 *1007:14 *2472:la_data_out_core[116] 12.2677 +*END + +*D_NET *1008 0.316312 +*CONN +*I *2472:la_data_out_core[117] I *D mgmt_protect +*I *2473:la_data_out[117] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[117] 0.00384143 +2 *2473:la_data_out[117] 0.000613519 +3 *1008:10 0.00384143 +4 *1008:8 0.0163945 +5 *1008:7 0.017008 +6 *2472:la_data_out_core[117] *2473:la_oenb[57] 0 +7 *2472:la_data_out_core[117] *1392:7 0 +8 *1008:8 *1010:8 0.136224 +9 *2473:la_data_in[58] *2472:la_data_out_core[117] 0 +10 *752:5 *2472:la_data_out_core[117] 0 +11 *752:8 *1008:8 0 +12 *753:10 *1008:8 0.137235 +13 *754:8 *1008:8 0.000121359 +14 *844:10 *1008:8 0.000354801 +15 *846:8 *1008:8 0.000677329 +*RES +1 *2473:la_data_out[117] *1008:7 17.5729 +2 *1008:7 *1008:8 175.442 +3 *1008:8 *1008:10 3.36879 +4 *1008:10 *2472:la_data_out_core[117] 69.8479 +*END + +*D_NET *1009 0.199641 +*CONN +*I *2472:la_data_out_core[118] I *D mgmt_protect +*I *2473:la_data_out[118] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[118] 0.000909258 +2 *2473:la_data_out[118] 0.0012651 +3 *1009:14 0.00793986 +4 *1009:13 0.00896381 +5 *1009:8 0.0215442 +6 *1009:7 0.0208761 +7 *2472:la_data_out_core[118] *1393:10 0 +8 *1009:8 *1012:8 0.0282748 +9 *1009:8 *1102:8 0.0162424 +10 *1009:8 *1109:8 0.00135468 +11 *1009:8 *1111:8 0.000163504 +12 *1009:13 *1093:7 7.09666e-06 +13 *1009:14 *1014:16 0.0348141 +14 *1009:14 *1017:14 0.0431615 +15 *1009:14 *1099:8 0 +16 *734:8 *1009:14 0.00472134 +17 *753:7 *2472:la_data_out_core[118] 0.000226575 +18 *856:8 *1009:14 0.000765341 +19 *858:8 *1009:14 0.000830646 +20 *990:8 *1009:14 0.0051355 +21 *1001:8 *1009:14 0.00244577 +*RES +1 *2473:la_data_out[118] *1009:7 34.276 +2 *1009:7 *1009:8 833.183 +3 *1009:8 *1009:13 43.1286 +4 *1009:13 *1009:14 62.3129 +5 *1009:14 *2472:la_data_out_core[118] 22.5559 +*END + +*D_NET *1010 0.321391 +*CONN +*I *2472:la_data_out_core[119] I *D mgmt_protect +*I *2473:la_data_out[119] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[119] 0.00373023 +2 *2473:la_data_out[119] 0.000633701 +3 *1010:10 0.00373023 +4 *1010:8 0.0166854 +5 *1010:7 0.0173191 +6 *2472:la_data_out_core[119] *2473:la_oenb[58] 0.000215198 +7 *2472:la_data_out_core[119] *1393:13 0 +8 *2472:la_data_out_core[119] *1394:7 0 +9 *753:10 *1010:8 0.00066078 +10 *754:5 *2472:la_data_out_core[119] 0 +11 *754:8 *1010:8 0.140093 +12 *757:8 *1010:8 0.000333928 +13 *848:10 *1010:8 0.000702361 +14 *851:10 *1010:8 0.00106325 +15 *1008:8 *1010:8 0.136224 +*RES +1 *2473:la_data_out[119] *1010:7 18.4034 +2 *1010:7 *1010:8 179.113 +3 *1010:8 *1010:10 3.36879 +4 *1010:10 *2472:la_data_out_core[119] 69.0174 +*END + +*D_NET *1011 0.0343234 +*CONN +*I *2472:la_data_out_core[11] I *D mgmt_protect +*I *2473:la_data_out[11] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[11] 0.00180513 +2 *2473:la_data_out[11] 0.00133365 +3 *1011:10 0.00180513 +4 *1011:8 0.000939238 +5 *1011:7 0.00227289 +6 *2472:la_data_out_core[11] *2473:la_oenb[17] 0 +7 *2472:la_data_out_core[11] *1395:5 0 +8 *1011:8 *1384:8 0.0129057 +9 *2473:la_data_in[18] *2472:la_data_out_core[11] 9.31064e-05 +10 *755:5 *2472:la_data_out_core[11] 0 +11 *755:8 *1011:8 0.000105636 +12 *764:8 *1011:8 0.000322987 +13 *766:8 *1011:8 4.4379e-05 +14 *1000:8 *1011:8 0.0126955 +*RES +1 *2473:la_data_out[11] *1011:7 39.2591 +2 *1011:7 *1011:8 141.038 +3 *1011:8 *1011:10 4.5 +4 *1011:10 *2472:la_data_out_core[11] 49.2929 +*END + +*D_NET *1012 0.176785 +*CONN +*I *2472:la_data_out_core[120] I *D mgmt_protect +*I *2473:la_data_out[120] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[120] 0.000238051 +2 *2473:la_data_out[120] 0.00126721 +3 *1012:14 0.0103745 +4 *1012:13 0.0101365 +5 *1012:11 0.00263589 +6 *1012:10 0.00263589 +7 *1012:8 0.00937725 +8 *1012:7 0.0106445 +9 *2472:la_data_out_core[120] *1396:7 0 +10 *1012:8 *1017:8 0.0264833 +11 *1012:8 *1102:8 0.0189889 +12 *1012:8 *1107:8 0.0271052 +13 *1012:8 *1111:8 0.00185603 +14 *1012:14 *1019:14 0.0150622 +15 *1012:14 *1074:8 0.00467562 +16 *1012:14 *1077:8 0.000240563 +17 *468:21 *1012:14 0.00324704 +18 *486:21 *1012:14 0 +19 *756:5 *2472:la_data_out_core[120] 0 +20 *815:11 *2472:la_data_out_core[120] 3.31882e-05 +21 *1007:14 *1012:14 0.00350863 +22 *1009:8 *1012:8 0.0282748 +*RES +1 *2473:la_data_out[120] *1012:7 35.1065 +2 *1012:7 *1012:8 904.727 +3 *1012:8 *1012:10 4.5 +4 *1012:10 *1012:11 47.5097 +5 *1012:11 *1012:13 4.5 +6 *1012:13 *1012:14 406.693 +7 *1012:14 *2472:la_data_out_core[120] 10.6067 +*END + +*D_NET *1013 0.337844 +*CONN +*I *2472:la_data_out_core[121] I *D mgmt_protect +*I *2473:la_data_out[121] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[121] 8.20467e-05 +2 *2473:la_data_out[121] 0.000750542 +3 *1013:11 0.00316791 +4 *1013:10 0.00308586 +5 *1013:8 0.019266 +6 *1013:7 0.0200166 +7 *1013:11 *2473:la_oenb[59] 0 +8 *1013:11 *1071:7 0 +9 *1013:11 *1075:13 0 +10 *1013:11 *1397:7 0.00192124 +11 *752:8 *1013:8 4.12367e-05 +12 *756:8 *1013:8 0.140515 +13 *757:5 *1013:11 0 +14 *757:8 *1013:8 0.000961946 +15 *758:5 *1013:11 0 +16 *758:8 *1013:8 0.143237 +17 *995:8 *1013:8 0.00215053 +18 *997:8 *1013:8 0.00253608 +19 *1002:13 *1013:11 0 +20 *1004:13 *1013:11 0.000112424 +*RES +1 *2473:la_data_out[121] *1013:7 21.7254 +2 *1013:7 *1013:8 182.479 +3 *1013:8 *1013:10 3.36879 +4 *1013:10 *1013:11 65.7808 +5 *1013:11 *2472:la_data_out_core[121] 2.33274 +*END + +*D_NET *1014 0.231029 +*CONN +*I *2472:la_data_out_core[122] I *D mgmt_protect +*I *2473:la_data_out[122] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[122] 0.00105961 +2 *2473:la_data_out[122] 0.00131878 +3 *1014:16 0.00528293 +4 *1014:13 0.00597982 +5 *1014:8 0.0153774 +6 *1014:7 0.0149396 +7 *2472:la_data_out_core[122] *1398:7 0 +8 *1014:8 *1016:8 0.00554254 +9 *1014:8 *1017:8 0.014719 +10 *1014:8 *1375:8 0.0548721 +11 *1014:8 *1377:8 0.00182431 +12 *1014:8 *1379:8 0.000403492 +13 *1014:8 *1381:16 0.00211615 +14 *1014:8 *1391:8 0.000653639 +15 *1014:8 *1492:14 0.0365512 +16 *1014:8 *1494:20 0.00183679 +17 *1014:8 *1498:18 0.00288695 +18 *1014:13 *2473:la_oenb[74] 7.09666e-06 +19 *1014:16 *1016:16 0.0237622 +20 *1014:16 *1099:8 0 +21 *758:5 *2472:la_data_out_core[122] 0 +22 *856:8 *1014:16 0.00334801 +23 *858:8 *1014:16 0.00373348 +24 *1009:14 *1014:16 0.0348141 +*RES +1 *2473:la_data_out[122] *1014:7 37.1828 +2 *1014:7 *1014:8 1018.98 +3 *1014:8 *1014:13 39.3913 +4 *1014:13 *1014:16 47.477 +5 *1014:16 *2472:la_data_out_core[122] 20.0176 +*END + +*D_NET *1015 0.27368 +*CONN +*I *2472:la_data_out_core[123] I *D mgmt_protect +*I *2473:la_data_out[123] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[123] 0.00357696 +2 *2473:la_data_out[123] 0.00082419 +3 *1015:10 0.00357696 +4 *1015:8 0.0095412 +5 *1015:7 0.0103654 +6 *2472:la_data_out_core[123] *1398:7 0 +7 *2472:la_data_out_core[123] *1399:5 0 +8 *1015:8 *1018:8 0.00411282 +9 *759:10 *2472:la_data_out_core[123] 0 +10 *759:14 *1015:8 0.00883228 +11 *760:5 *2472:la_data_out_core[123] 0 +12 *761:18 *1015:8 0.114181 +13 *763:8 *1015:8 0.00587544 +14 *763:12 *1015:8 0.00245316 +15 *818:8 *1015:8 0.00184718 +16 *819:10 *1015:8 0.000433749 +17 *1004:8 *1015:8 0.10806 +*RES +1 *2473:la_data_out[123] *1015:7 24.7252 +2 *1015:7 *1015:8 1351.74 +3 *1015:8 *1015:10 4.5 +4 *1015:10 *2472:la_data_out_core[123] 63.8267 +*END + +*D_NET *1016 0.24069 +*CONN +*I *2472:la_data_out_core[124] I *D mgmt_protect +*I *2473:la_data_out[124] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[124] 0.00111254 +2 *2473:la_data_out[124] 0.00135327 +3 *1016:16 0.00494712 +4 *1016:13 0.00549481 +5 *1016:8 0.0150496 +6 *1016:7 0.0147427 +7 *2472:la_data_out_core[124] *1400:7 0 +8 *1016:8 *1017:8 0 +9 *1016:8 *1019:8 0.00119138 +10 *1016:8 *1379:8 0.00469217 +11 *1016:8 *1381:16 0.0751938 +12 *1016:8 *1383:16 0.0792531 +13 *1016:8 *1386:16 0.00182431 +14 *1016:8 *1387:12 0.000534616 +15 *1016:8 *1390:8 0.00113035 +16 *1016:8 *1391:8 0.000739113 +17 *1016:8 *1399:8 0.000950405 +18 *1016:13 *2473:la_oenb[70] 7.09666e-06 +19 *1016:16 *1099:8 0.00288519 +20 *1016:16 *1460:18 0.000284032 +21 *760:5 *2472:la_data_out_core[124] 0 +22 *1014:8 *1016:8 0.00554254 +23 *1014:16 *1016:16 0.0237622 +*RES +1 *2473:la_data_out[124] *1016:7 38.8438 +2 *1016:7 *1016:8 1147.09 +3 *1016:8 *1016:13 36.8998 +4 *1016:13 *1016:16 33.4793 +5 *1016:16 *2472:la_data_out_core[124] 20.8481 +*END + +*D_NET *1017 0.235816 +*CONN +*I *2472:la_data_out_core[125] I *D mgmt_protect +*I *2473:la_data_out[125] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[125] 0.000962271 +2 *2473:la_data_out[125] 0.00123603 +3 *1017:14 0.00518602 +4 *1017:13 0.00604409 +5 *1017:8 0.0154781 +6 *1017:7 0.0148938 +7 *2472:la_data_out_core[125] *1401:5 0 +8 *1017:8 *1107:8 0.0172025 +9 *1017:8 *1494:20 0.023951 +10 *1017:8 *1496:8 0.00160401 +11 *1017:14 *1099:8 0 +12 *734:8 *1017:14 0.000198862 +13 *735:8 *1017:14 0.00569167 +14 *761:5 *2472:la_data_out_core[125] 0 +15 *856:8 *1017:14 0.000586436 +16 *858:8 *1017:14 0.000614913 +17 *990:8 *1017:14 0.00021583 +18 *992:8 *1017:14 0.00619095 +19 *1001:8 *1017:14 0.0513959 +20 *1009:14 *1017:14 0.0431615 +21 *1012:8 *1017:8 0.0264833 +22 *1014:8 *1017:8 0.014719 +23 *1016:8 *1017:8 0 +*RES +1 *2473:la_data_out[125] *1017:7 35.937 +2 *1017:7 *1017:8 907.5 +3 *1017:8 *1017:13 42.2981 +4 *1017:13 *1017:14 64.9901 +5 *1017:14 *2472:la_data_out_core[125] 21.7254 +*END + +*D_NET *1018 0.358212 +*CONN +*I *2472:la_data_out_core[126] I *D mgmt_protect +*I *2473:la_data_out[126] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[126] 0.00339737 +2 *2473:la_data_out[126] 0.000839769 +3 *1018:10 0.00339737 +4 *1018:8 0.0220435 +5 *1018:7 0.0228833 +6 *2472:la_data_out_core[126] *1074:5 0.000494052 +7 *2472:la_data_out_core[126] *1402:7 0 +8 *760:8 *1018:8 0.145661 +9 *761:18 *1018:8 0.00506946 +10 *762:5 *2472:la_data_out_core[126] 0 +11 *762:8 *1018:8 0.150314 +12 *1015:8 *1018:8 0.00411282 +*RES +1 *2473:la_data_out[126] *1018:7 24.2169 +2 *1018:7 *1018:8 191.964 +3 *1018:8 *1018:10 3.36879 +4 *1018:10 *2472:la_data_out_core[126] 63.2038 +*END + +*D_NET *1019 0.272627 +*CONN +*I *2472:la_data_out_core[127] I *D mgmt_protect +*I *2473:la_data_out[127] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[127] 0.000263894 +2 *2473:la_data_out[127] 0.00138524 +3 *1019:14 0.00171335 +4 *1019:13 0.00144945 +5 *1019:11 0.00239758 +6 *1019:8 0.0143451 +7 *1019:7 0.0133328 +8 *2472:la_data_out_core[127] *1403:7 0 +9 *1019:8 *1390:8 0.0949867 +10 *1019:8 *1393:14 0.10107 +11 *1019:8 *1397:14 0.00278118 +12 *1019:8 *1399:8 0.00934631 +13 *1019:8 *1401:12 0.00215947 +14 *1019:8 *1646:8 0.000647491 +15 *1019:8 *2414:8 0.000639908 +16 *1019:14 *1077:8 0.00555602 +17 *1019:14 *1078:8 0.000941784 +18 *468:21 *1019:14 0.0013824 +19 *763:5 *2472:la_data_out_core[127] 0 +20 *1007:14 *1019:14 0.00197403 +21 *1012:14 *1019:14 0.0150622 +22 *1016:8 *1019:8 0.00119138 +*RES +1 *2473:la_data_out[127] *1019:7 40.9201 +2 *1019:7 *1019:8 1247.47 +3 *1019:8 *1019:11 45.781 +4 *1019:11 *1019:13 4.5 +5 *1019:13 *1019:14 157.676 +6 *1019:14 *2472:la_data_out_core[127] 11.0219 +*END + +*D_NET *1020 0.0310219 +*CONN +*I *2472:la_data_out_core[12] I *D mgmt_protect +*I *2473:la_data_out[12] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[12] 0.00179642 +2 *2473:la_data_out[12] 0.00122458 +3 *1020:10 0.00179642 +4 *1020:8 0.00107288 +5 *1020:7 0.00229746 +6 *2472:la_data_out_core[12] *1026:7 0.000769322 +7 *2472:la_data_out_core[12] *1404:5 0 +8 *1020:8 *1021:8 0.0100739 +9 *1020:8 *1395:8 0.000544848 +10 *1020:8 *1404:8 0.011446 +11 *764:5 *2472:la_data_out_core[12] 0 +*RES +1 *2473:la_data_out[12] *1020:7 36.3523 +2 *1020:7 *1020:8 127.728 +3 *1020:8 *1020:10 4.5 +4 *1020:10 *2472:la_data_out_core[12] 52.1996 +*END + +*D_NET *1021 0.0295972 +*CONN +*I *2472:la_data_out_core[13] I *D mgmt_protect +*I *2473:la_data_out[13] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[13] 2.27669e-05 +2 *2473:la_data_out[13] 0.00125285 +3 *1021:11 0.00144153 +4 *1021:10 0.00141876 +5 *1021:8 0.000835296 +6 *1021:7 0.00208815 +7 *1021:8 *1022:8 0.000143031 +8 *1021:8 *1395:8 0.00028978 +9 *1021:8 *1404:8 8.14875e-05 +10 *1021:8 *1405:8 0.0102139 +11 *1021:11 *2473:la_oenb[18] 0.000431615 +12 *1021:11 *1405:5 0 +13 *765:7 *1021:11 0.00130407 +14 *1020:8 *1021:8 0.0100739 +*RES +1 *2473:la_data_out[13] *1021:7 36.7675 +2 *1021:7 *1021:8 114.417 +3 *1021:8 *1021:10 4.5 +4 *1021:10 *1021:11 51.8699 +5 *1021:11 *2472:la_data_out_core[13] 0.647305 +*END + +*D_NET *1022 0.0256968 +*CONN +*I *2472:la_data_out_core[14] I *D mgmt_protect +*I *2473:la_data_out[14] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[14] 0.00190837 +2 *2473:la_data_out[14] 0.00119537 +3 *1022:10 0.00190837 +4 *1022:8 0.000943311 +5 *1022:7 0.00213868 +6 *2472:la_data_out_core[14] *1406:5 0 +7 *1022:8 *1404:8 0.00827893 +8 *1022:8 *1405:8 3.42853e-05 +9 *1022:8 *1406:8 0.00890333 +10 *2473:la_data_in[19] *2472:la_data_out_core[14] 0.000243117 +11 *766:5 *2472:la_data_out_core[14] 0 +12 *1021:8 *1022:8 0.000143031 +*RES +1 *2473:la_data_out[14] *1022:7 35.5218 +2 *1022:7 *1022:8 101.107 +3 *1022:8 *1022:10 4.5 +4 *1022:10 *2472:la_data_out_core[14] 53.0301 +*END + +*D_NET *1023 0.0197216 +*CONN +*I *2472:la_data_out_core[15] I *D mgmt_protect +*I *2473:la_data_out[15] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[15] 0.0017783 +2 *2473:la_data_out[15] 0.00165588 +3 *1023:14 0.0017783 +4 *1023:12 0.00109474 +5 *1023:10 0.00275062 +6 *2472:la_data_out_core[15] *1406:5 0 +7 *2472:la_data_out_core[15] *1407:5 0 +8 *1023:12 *1407:8 6.44576e-05 +9 *766:8 *1023:10 0.000129927 +10 *766:8 *1023:12 0.00666787 +11 *766:14 *1023:10 3.8519e-05 +12 *767:5 *2472:la_data_out_core[15] 0 +13 *767:8 *1023:12 0.00318695 +14 *767:14 *1023:10 0.000552044 +15 *767:14 *1023:12 2.39581e-05 +16 *805:5 *1023:10 0 +*RES +1 *2473:la_data_out[15] *1023:10 48.8693 +2 *1023:10 *1023:12 81.6955 +3 *1023:12 *1023:14 4.5 +4 *1023:14 *2472:la_data_out_core[15] 47.2166 +*END + +*D_NET *1024 0.0204472 +*CONN +*I *2472:la_data_out_core[16] I *D mgmt_protect +*I *2473:la_data_out[16] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[16] 0.00169942 +2 *2473:la_data_out[16] 0.0015812 +3 *1024:10 0.00169942 +4 *1024:8 0.000932706 +5 *1024:7 0.00251391 +6 *2472:la_data_out_core[16] *1407:5 0 +7 *2472:la_data_out_core[16] *1408:7 0 +8 *1024:8 *1407:8 0.00643191 +9 *1024:8 *1408:8 0 +10 *768:7 *2472:la_data_out_core[16] 0 +11 *768:8 *1024:8 0 +12 *769:8 *1024:8 0.00558864 +*RES +1 *2473:la_data_out[16] *1024:7 42.9963 +2 *1024:7 *1024:8 74.4857 +3 *1024:8 *1024:10 4.5 +4 *1024:10 *2472:la_data_out_core[16] 45.5556 +*END + +*D_NET *1025 0.0181055 +*CONN +*I *2472:la_data_out_core[17] I *D mgmt_protect +*I *2473:la_data_out[17] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[17] 0.00123124 +2 *2473:la_data_out[17] 0.00165004 +3 *1025:8 0.00200663 +4 *1025:7 0.00242543 +5 *2472:la_data_out_core[17] *1409:7 0.000897663 +6 *1025:8 *1026:8 0 +7 *1025:8 *1408:8 0.00519379 +8 *1025:8 *1409:8 0.000108945 +9 *744:5 *1025:7 7.66121e-05 +10 *769:7 *2472:la_data_out_core[17] 0 +11 *769:8 *1025:8 3.90666e-05 +12 *770:8 *1025:8 0.00447607 +*RES +1 *2473:la_data_out[17] *1025:7 45.4878 +2 *1025:7 *1025:8 61.7298 +3 *1025:8 *2472:la_data_out_core[17] 48.8587 +*END + +*D_NET *1026 0.0107087 +*CONN +*I *2472:la_data_out_core[18] I *D mgmt_protect +*I *2473:la_data_out[18] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[18] 0.0014077 +2 *2473:la_data_out[18] 0.00153215 +3 *1026:8 0.00332396 +4 *1026:7 0.0034484 +5 *2472:la_data_out_core[18] *1410:7 0 +6 *1026:7 *1404:5 0.000227207 +7 *1026:8 *1408:8 0 +8 *2472:la_data_out_core[12] *1026:7 0.000769322 +9 *768:8 *1026:8 0 +10 *770:7 *2472:la_data_out_core[18] 0 +11 *1025:8 *1026:8 0 +*RES +1 *2473:la_data_out[18] *1026:7 49.6404 +2 *1026:7 *1026:8 47.8647 +3 *1026:8 *2472:la_data_out_core[18] 43.4116 +*END + +*D_NET *1027 0.0105242 +*CONN +*I *2472:la_data_out_core[19] I *D mgmt_protect +*I *2473:la_data_out[19] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[19] 0.00180024 +2 *2473:la_data_out[19] 0.00118077 +3 *1027:10 0.00264203 +4 *1027:7 0.00202256 +5 *2472:la_data_out_core[19] *2473:la_oenb[20] 0 +6 *2472:la_data_out_core[19] *1411:5 0 +7 *1027:10 *1411:10 0.00254822 +8 *2473:la_data_in[21] *2472:la_data_out_core[19] 0.000330393 +9 *771:7 *2472:la_data_out_core[19] 0 +*RES +1 *2473:la_data_out[19] *1027:7 35.1065 +2 *1027:7 *1027:10 38.4996 +3 *1027:10 *2472:la_data_out_core[19] 53.4454 +*END + +*D_NET *1028 0.0620188 +*CONN +*I *2472:la_data_out_core[1] I *D mgmt_protect +*I *2473:la_data_out[1] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[1] 0.00110001 +2 *2473:la_data_out[1] 0.00223889 +3 *1028:8 0.00357342 +4 *1028:7 0.00247341 +5 *1028:5 0.00223889 +6 *2472:la_data_out_core[1] *1412:7 0 +7 *1028:5 *1620:13 0.000633876 +8 *1028:5 *1639:13 0.00080517 +9 *1028:8 *1373:8 0.0252132 +10 *1028:8 *1423:8 0.0231003 +11 *1028:8 *1638:8 0.000626631 +12 *1028:8 *1640:8 1.5006e-05 +13 *772:5 *2472:la_data_out_core[1] 0 +14 *794:11 *1028:8 0 +*RES +1 *2473:la_data_out[1] *1028:5 54.2759 +2 *1028:5 *1028:7 4.5 +3 *1028:7 *1028:8 274.143 +4 *1028:8 *2472:la_data_out_core[1] 34.276 +*END + +*D_NET *1029 0.00919787 +*CONN +*I *2472:la_data_out_core[20] I *D mgmt_protect +*I *2473:la_data_out[20] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[20] 0.00182345 +2 *2473:la_data_out[20] 0.00115156 +3 *1029:10 0.00237775 +4 *1029:7 0.00170586 +5 *2472:la_data_out_core[20] *1030:7 0.000653195 +6 *2472:la_data_out_core[20] *1411:5 0 +7 *2472:la_data_out_core[20] *1413:5 0 +8 *1029:10 *1411:10 0.00148607 +9 *773:5 *2472:la_data_out_core[20] 0 +*RES +1 *2473:la_data_out[20] *1029:7 34.276 +2 *1029:7 *1029:10 25.7437 +3 *1029:10 *2472:la_data_out_core[20] 54.2759 +*END + +*D_NET *1030 0.00716491 +*CONN +*I *2472:la_data_out_core[21] I *D mgmt_protect +*I *2473:la_data_out[21] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[21] 0.00158637 +2 *2473:la_data_out[21] 0.00137942 +3 *1030:10 0.00187008 +4 *1030:7 0.00166312 +5 *2472:la_data_out_core[21] *1413:5 0 +6 *2472:la_data_out_core[21] *1414:5 0 +7 *1030:7 *1413:5 0 +8 *1030:10 *1413:10 1.27226e-05 +9 *2472:la_data_out_core[20] *1030:7 0.000653195 +10 *774:7 *2472:la_data_out_core[21] 0 +*RES +1 *2473:la_data_out[21] *1030:7 44.6573 +2 *1030:7 *1030:10 11.8786 +3 *1030:10 *2472:la_data_out_core[21] 43.8946 +*END + +*D_NET *1031 0.00680972 +*CONN +*I *2472:la_data_out_core[22] I *D mgmt_protect +*I *2473:la_data_out[22] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[22] 0.00135927 +2 *2473:la_data_out[22] 0.00173356 +3 *1031:10 0.00153255 +4 *1031:5 0.00190684 +5 *2472:la_data_out_core[22] *1415:7 0 +6 *1031:10 *1415:10 0.000277502 +7 *775:5 *2472:la_data_out_core[22] 0 +*RES +1 *2473:la_data_out[22] *1031:5 46.3861 +2 *1031:5 *1031:10 14.7148 +3 *1031:10 *2472:la_data_out_core[22] 37.6658 +*END + +*D_NET *1032 0.00839975 +*CONN +*I *2472:la_data_out_core[23] I *D mgmt_protect +*I *2473:la_data_out[23] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[23] 0.00130887 +2 *2473:la_data_out[23] 0.00181466 +3 *1032:10 0.0017528 +4 *1032:5 0.00225859 +5 *2472:la_data_out_core[23] *1415:7 0 +6 *2472:la_data_out_core[23] *1416:7 0 +7 *1032:10 *1033:10 0.000472925 +8 *1032:10 *1415:10 0.000121243 +9 *1032:10 *1416:10 4.89898e-06 +10 *1032:10 *1417:10 5.82695e-05 +11 *776:8 *2472:la_data_out_core[23] 0 +12 *777:10 *1032:10 6.44576e-05 +13 *778:10 *1032:10 0.000543039 +*RES +1 *2473:la_data_out[23] *1032:5 48.0471 +2 *1032:5 *1032:10 28.5799 +3 *1032:10 *2472:la_data_out_core[23] 36.0048 +*END + +*D_NET *1033 0.0106603 +*CONN +*I *2472:la_data_out_core[24] I *D mgmt_protect +*I *2473:la_data_out[24] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[24] 0.00134589 +2 *2473:la_data_out[24] 0.00176396 +3 *1033:10 0.00179418 +4 *1033:5 0.00221226 +5 *2472:la_data_out_core[24] *1417:7 0 +6 *1033:5 *2472:la_data_out_core[28] 3.31882e-05 +7 *2473:la_data_in[23] *2472:la_data_out_core[24] 0 +8 *777:7 *2472:la_data_out_core[24] 0 +9 *777:10 *1033:10 0.00238891 +10 *778:10 *1033:10 0.000530719 +11 *781:7 *1033:5 0 +12 *781:8 *1033:10 0.000118245 +13 *1032:10 *1033:10 0.000472925 +*RES +1 *2473:la_data_out[24] *1033:5 47.2166 +2 *1033:5 *1033:10 41.3358 +3 *1033:10 *2472:la_data_out_core[24] 36.8353 +*END + +*D_NET *1034 0.015755 +*CONN +*I *2472:la_data_out_core[25] I *D mgmt_protect +*I *2473:la_data_out[25] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[25] 0.000998699 +2 *2473:la_data_out[25] 0.00184846 +3 *1034:8 0.00135038 +4 *1034:5 0.00220014 +5 *2472:la_data_out_core[25] *1418:7 0.00165997 +6 *1034:8 *1038:14 0.000308997 +7 *1034:8 *1417:10 0.00280311 +8 *1034:8 *1422:8 0.00107411 +9 *778:7 *2472:la_data_out_core[25] 0 +10 *778:10 *1034:8 0.00348482 +11 *782:13 *1034:5 8.62625e-06 +12 *782:13 *1034:8 1.7672e-05 +*RES +1 *2473:la_data_out[25] *1034:5 48.8776 +2 *1034:5 *1034:8 48.4825 +3 *1034:8 *2472:la_data_out_core[25] 42.0925 +*END + +*D_NET *1035 0.0143808 +*CONN +*I *2472:la_data_out_core[26] I *D mgmt_protect +*I *2473:la_data_out[26] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[26] 0.00120126 +2 *2473:la_data_out[26] 0.00189311 +3 *1035:14 0.00236046 +4 *1035:10 0.00134343 +5 *1035:5 0.00207735 +6 *2472:la_data_out_core[26] *1418:7 0 +7 *2472:la_data_out_core[26] *1419:7 0 +8 *1035:5 *2472:la_data_out_core[33] 0.000169892 +9 *1035:5 *2472:la_data_out_core[34] 0 +10 *1035:10 *1041:8 8.90486e-05 +11 *1035:10 *1424:8 0.00190449 +12 *1035:10 *1425:8 0.00153275 +13 *1035:14 *1417:10 0.000132587 +14 *1035:14 *1424:8 1.67988e-05 +15 *779:7 *2472:la_data_out_core[26] 0 +16 *782:13 *1035:14 0.00157297 +17 *785:8 *1035:10 3.59302e-05 +18 *785:8 *1035:14 5.07314e-05 +*RES +1 *2473:la_data_out[26] *1035:5 50.9539 +2 *1035:5 *1035:10 25.2132 +3 *1035:10 *1035:14 43.491 +4 *1035:14 *2472:la_data_out_core[26] 32.6828 +*END + +*D_NET *1036 0.0178165 +*CONN +*I *2472:la_data_out_core[27] I *D mgmt_protect +*I *2473:la_data_out[27] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[27] 0.00065657 +2 *2473:la_data_out[27] 0.00208692 +3 *1036:8 0.00240559 +4 *1036:7 0.00174902 +5 *1036:5 0.00208692 +6 *2472:la_data_out_core[27] *1420:7 0.000642344 +7 *1036:5 *1046:11 0.00164589 +8 *1036:8 *1420:8 0.00653818 +9 *780:7 *2472:la_data_out_core[27] 0 +10 *780:8 *1036:8 5.04054e-06 +11 *790:5 *1036:5 0 +*RES +1 *2473:la_data_out[27] *1036:5 62.1657 +2 *1036:5 *1036:7 4.5 +3 *1036:7 *1036:8 71.1581 +4 *1036:8 *2472:la_data_out_core[27] 28.2427 +*END + +*D_NET *1037 0.0184491 +*CONN +*I *2472:la_data_out_core[28] I *D mgmt_protect +*I *2473:la_data_out[28] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[28] 0.00143644 +2 *2473:la_data_out[28] 0.00174751 +3 *1037:14 0.00164969 +4 *1037:8 0.00117668 +5 *1037:7 0.000963428 +6 *1037:5 0.00174751 +7 *2472:la_data_out_core[28] *1421:7 0 +8 *1037:5 *2472:la_data_out_core[39] 0 +9 *1037:5 *1432:5 0 +10 *1037:8 *1421:12 0.00244933 +11 *1037:14 *1421:10 0.00212788 +12 *1037:14 *1421:12 9.82896e-06 +13 *778:10 *1037:14 3.42853e-05 +14 *781:7 *2472:la_data_out_core[28] 0 +15 *781:8 *1037:8 0.00216729 +16 *781:8 *1037:14 0.00238247 +17 *793:5 *1037:5 0.000523544 +18 *1033:5 *2472:la_data_out_core[28] 3.31882e-05 +*RES +1 *2473:la_data_out[28] *1037:5 47.2166 +2 *1037:5 *1037:7 4.5 +3 *1037:7 *1037:8 60.066 +4 *1037:8 *1037:14 30.2047 +5 *1037:14 *2472:la_data_out_core[28] 37.9834 +*END + +*D_NET *1038 0.0249856 +*CONN +*I *2472:la_data_out_core[29] I *D mgmt_protect +*I *2473:la_data_out[29] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[29] 0.0013551 +2 *2473:la_data_out[29] 0.00161892 +3 *1038:14 0.00155531 +4 *1038:8 0.00114025 +5 *1038:7 0.000940038 +6 *1038:5 0.00161892 +7 *2472:la_data_out_core[29] *2473:la_oenb[24] 7.50872e-05 +8 *2472:la_data_out_core[29] *1421:7 0 +9 *2472:la_data_out_core[29] *1422:7 0 +10 *1038:5 *2472:la_data_out_core[42] 0 +11 *1038:5 *1052:11 0.000284715 +12 *1038:5 *1436:5 0.000910279 +13 *1038:8 *1421:10 9.82896e-06 +14 *1038:8 *1421:12 0.00633412 +15 *1038:8 *1422:8 0.000306383 +16 *1038:14 *1421:10 0.00072275 +17 *1038:14 *1422:8 7.6719e-06 +18 *778:10 *1038:14 0.000645042 +19 *782:7 *2472:la_data_out_core[29] 0 +20 *782:14 *1038:8 0.00706051 +21 *782:14 *1038:14 9.16785e-05 +22 *1034:8 *1038:14 0.000308997 +*RES +1 *2473:la_data_out[29] *1038:5 48.4624 +2 *1038:5 *1038:7 4.5 +3 *1038:7 *1038:8 82.8047 +4 *1038:8 *1038:14 21.8856 +5 *1038:14 *2472:la_data_out_core[29] 36.0048 +*END + +*D_NET *1039 0.0573663 +*CONN +*I *2472:la_data_out_core[2] I *D mgmt_protect +*I *2473:la_data_out[2] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[2] 0.00155451 +2 *2473:la_data_out[2] 0.00208658 +3 *1039:12 0.00395321 +4 *1039:11 0.0023987 +5 *1039:9 0.00208658 +6 *2472:la_data_out_core[2] *1423:7 0 +7 *1039:9 *1767:7 0 +8 *1039:12 *1500:8 5.03285e-05 +9 *1039:12 *1769:8 0.00115309 +10 *733:14 *1039:12 0.0198614 +11 *783:7 *2472:la_data_out_core[2] 0 +12 *783:8 *1039:12 0.0242219 +*RES +1 *2473:la_data_out[2] *1039:9 46.0441 +2 *1039:9 *1039:11 4.5 +3 *1039:11 *1039:12 259.723 +4 *1039:12 *2472:la_data_out_core[2] 43.4116 +*END + +*D_NET *1040 0.0292148 +*CONN +*I *2472:la_data_out_core[30] I *D mgmt_protect +*I *2473:la_data_out[30] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[30] 0.0012846 +2 *2473:la_data_out[30] 0.000170636 +3 *1040:10 0.00229213 +4 *1040:9 0.00100754 +5 *1040:7 0.00201287 +6 *1040:5 0.00218351 +7 *2472:la_data_out_core[30] *1424:7 0 +8 *1040:7 *2472:la_data_out_core[44] 3.61346e-05 +9 *1040:10 *1424:8 0.0101423 +10 *1040:10 *1438:8 0 +11 *2473:la_data_in[30] *1040:7 0 +12 *782:13 *1040:10 0.000113197 +13 *784:7 *2472:la_data_out_core[30] 0 +14 *784:8 *1040:10 0.00988967 +15 *798:8 *1040:10 0 +16 *799:7 *1040:7 8.22264e-05 +*RES +1 *2473:la_data_out[30] *1040:5 1.77093 +2 *1040:5 *1040:7 50.2089 +3 *1040:7 *1040:9 4.5 +4 *1040:9 *1040:10 111.09 +5 *1040:10 *2472:la_data_out_core[30] 38.4285 +*END + +*D_NET *1041 0.0318589 +*CONN +*I *2472:la_data_out_core[31] I *D mgmt_protect +*I *2473:la_data_out[31] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[31] 0.00121836 +2 *2473:la_data_out[31] 0.00214997 +3 *1041:8 0.00227184 +4 *1041:7 0.00105348 +5 *1041:5 0.00214997 +6 *2472:la_data_out_core[31] *1424:7 0 +7 *2472:la_data_out_core[31] *1425:7 0 +8 *1041:5 *2472:la_data_out_core[46] 0 +9 *1041:5 *2472:la_data_out_core[47] 0 +10 *1041:5 *1441:5 0 +11 *1041:8 *1042:8 0.000298839 +12 *1041:8 *1425:8 0.0115462 +13 *785:7 *2472:la_data_out_core[31] 0 +14 *785:8 *1041:8 0.0110812 +15 *1035:10 *1041:8 8.90486e-05 +*RES +1 *2473:la_data_out[31] *1041:5 51.7844 +2 *1041:5 *1041:7 4.5 +3 *1041:7 *1041:8 125.509 +4 *1041:8 *2472:la_data_out_core[31] 36.7675 +*END + +*D_NET *1042 0.0332376 +*CONN +*I *2472:la_data_out_core[32] I *D mgmt_protect +*I *2473:la_data_out[32] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[32] 0.00118232 +2 *2473:la_data_out[32] 0.00218264 +3 *1042:8 0.00262331 +4 *1042:7 0.00144099 +5 *1042:5 0.00218264 +6 *2472:la_data_out_core[32] *2473:la_oenb[25] 0 +7 *2472:la_data_out_core[32] *1426:7 0 +8 *1042:5 *2472:la_data_out_core[49] 0 +9 *1042:5 *2472:la_data_out_core[50] 0 +10 *1042:5 *1444:7 0.000111326 +11 *1042:8 *1058:8 0 +12 *1042:8 *1060:8 0 +13 *1042:8 *1425:8 0.000171303 +14 *2473:la_data_in[26] *2472:la_data_out_core[32] 0 +15 *785:8 *1042:8 0.0103548 +16 *786:7 *2472:la_data_out_core[32] 0 +17 *786:8 *1042:8 0.012383 +18 *787:8 *1042:8 0.000306383 +19 *1041:8 *1042:8 0.000298839 +*RES +1 *2473:la_data_out[32] *1042:5 52.6149 +2 *1042:5 *1042:7 4.5 +3 *1042:7 *1042:8 139.374 +4 *1042:8 *2472:la_data_out_core[32] 35.937 +*END + +*D_NET *1043 0.027814 +*CONN +*I *2472:la_data_out_core[33] I *D mgmt_protect +*I *2473:la_data_out[33] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[33] 0.00140029 +2 *2473:la_data_out[33] 0.00173033 +3 *1043:8 0.00515536 +4 *1043:7 0.00548541 +5 *2472:la_data_out_core[33] *1427:7 0.000592544 +6 *1043:8 *1044:8 1.37077e-05 +7 *1043:8 *1428:8 0.0132665 +8 *2473:la_data_in[26] *2472:la_data_out_core[33] 0 +9 *787:7 *2472:la_data_out_core[33] 0 +10 *788:7 *2472:la_data_out_core[33] 0 +11 *1035:5 *2472:la_data_out_core[33] 0.000169892 +*RES +1 *2473:la_data_out[33] *1043:7 45.4878 +2 *1043:7 *1043:8 151.576 +3 *1043:8 *2472:la_data_out_core[33] 48.2969 +*END + +*D_NET *1044 0.0367622 +*CONN +*I *2472:la_data_out_core[34] I *D mgmt_protect +*I *2473:la_data_out[34] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[34] 0.00163395 +2 *2473:la_data_out[34] 0.00162315 +3 *1044:8 0.00355839 +4 *1044:7 0.0035476 +5 *2472:la_data_out_core[34] *2473:la_oenb[26] 0.000355859 +6 *2472:la_data_out_core[34] *1428:7 0 +7 *1044:8 *1045:8 0.000239984 +8 *1044:8 *1047:12 0.000501068 +9 *1044:8 *1048:14 0.0126046 +10 *1044:8 *1428:8 0.000360915 +11 *1044:8 *1431:8 0.000226394 +12 *788:7 *2472:la_data_out_core[34] 0 +13 *789:10 *1044:8 0 +14 *791:14 *1044:8 7.92757e-06 +15 *793:8 *1044:8 0.0120886 +16 *1035:5 *2472:la_data_out_core[34] 0 +17 *1043:8 *1044:8 1.37077e-05 +*RES +1 *2473:la_data_out[34] *1044:7 43.4116 +2 *1044:7 *1044:8 165.995 +3 *1044:8 *2472:la_data_out_core[34] 49.6404 +*END + +*D_NET *1045 0.0432193 +*CONN +*I *2472:la_data_out_core[35] I *D mgmt_protect +*I *2473:la_data_out[35] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[35] 0.000939904 +2 *2473:la_data_out[35] 0.00153741 +3 *1045:10 0.000939904 +4 *1045:8 0.00148232 +5 *1045:7 0.00301973 +6 *2472:la_data_out_core[35] *1429:5 0.00129087 +7 *1045:8 *1429:8 0.0166381 +8 *2473:la_data_in[27] *2472:la_data_out_core[35] 0.00133273 +9 *789:7 *2472:la_data_out_core[35] 0.00048253 +10 *789:10 *1045:8 2.20702e-05 +11 *791:14 *1045:8 0.0152937 +12 *1044:8 *1045:8 0.000239984 +*RES +1 *2473:la_data_out[35] *1045:7 41.7506 +2 *1045:7 *1045:8 177.642 +3 *1045:8 *1045:10 4.5 +4 *1045:10 *2472:la_data_out_core[35] 48.096 +*END + +*D_NET *1046 0.0395565 +*CONN +*I *2472:la_data_out_core[36] I *D mgmt_protect +*I *2473:la_data_out[36] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[36] 2.27669e-05 +2 *2473:la_data_out[36] 0.00107445 +3 *1046:11 0.00162496 +4 *1046:10 0.0016022 +5 *1046:8 0.00263371 +6 *1046:7 0.00370816 +7 *1046:7 *1073:17 0.000260314 +8 *1046:7 *1448:13 8.62625e-06 +9 *1046:8 *1049:8 0 +10 *1046:8 *1051:8 0.0151602 +11 *1046:8 *1052:8 0 +12 *1046:8 *1059:8 0.000113197 +13 *1046:8 *1432:8 0 +14 *1046:8 *1435:8 0 +15 *1046:8 *1436:8 0.00128833 +16 *1046:8 *1443:8 0.00883955 +17 *1046:11 *1430:7 0 +18 *790:5 *1046:11 0 +19 *790:8 *1046:8 0.000762044 +20 *817:7 *1046:7 0.000812097 +21 *1036:5 *1046:11 0.00164589 +*RES +1 *2473:la_data_out[36] *1046:7 38.4285 +2 *1046:7 *1046:8 192.062 +3 *1046:8 *1046:10 4.5 +4 *1046:10 *1046:11 50.2089 +5 *1046:11 *2472:la_data_out_core[36] 0.647305 +*END + +*D_NET *1047 0.0499341 +*CONN +*I *2472:la_data_out_core[37] I *D mgmt_protect +*I *2473:la_data_out[37] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[37] 0.000824049 +2 *2473:la_data_out[37] 0.00156122 +3 *1047:14 0.000824049 +4 *1047:12 0.0011168 +5 *1047:10 0.00116494 +6 *1047:8 0.000496467 +7 *1047:7 0.00200954 +8 *2472:la_data_out_core[37] *1431:7 0.00123291 +9 *1047:7 *1459:5 1.47102e-05 +10 *1047:8 *1431:8 0.00572108 +11 *1047:8 *1439:14 0.00566601 +12 *1047:12 *1431:8 7.02172e-06 +13 *2473:la_data_in[28] *2472:la_data_out_core[37] 0 +14 *791:9 *2472:la_data_out_core[37] 7.1812e-05 +15 *791:13 *2472:la_data_out_core[37] 0.00252743 +16 *791:14 *1047:8 4.89898e-06 +17 *791:14 *1047:12 0.0135476 +18 *793:8 *1047:12 0.0123477 +19 *793:18 *1047:10 3.30786e-05 +20 *819:7 *1047:7 0 +21 *820:5 *1047:7 0.000261642 +22 *1044:8 *1047:12 0.000501068 +*RES +1 *2473:la_data_out[37] *1047:7 43.8268 +2 *1047:7 *1047:8 60.6206 +3 *1047:8 *1047:10 1.39857 +4 *1047:10 *1047:12 143.811 +5 *1047:12 *1047:14 4.5 +6 *1047:14 *2472:la_data_out_core[37] 47.2655 +*END + +*D_NET *1048 0.0504126 +*CONN +*I *2472:la_data_out_core[38] I *D mgmt_protect +*I *2473:la_data_out[38] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[38] 0.00170044 +2 *2473:la_data_out[38] 0.00172154 +3 *1048:14 0.00272892 +4 *1048:13 0.00107881 +5 *1048:8 0.000795014 +6 *1048:7 0.00246622 +7 *2472:la_data_out_core[38] *1432:5 0 +8 *1048:8 *1054:12 0.00707834 +9 *1048:8 *1431:8 0.00650705 +10 *1048:8 *1439:14 0.000338561 +11 *1048:13 *1439:13 1.03986e-05 +12 *1048:14 *1431:8 0.0128128 +13 *2473:la_data_in[28] *2472:la_data_out_core[38] 0.000133726 +14 *792:7 *2472:la_data_out_core[38] 0 +15 *792:12 *1048:8 0.000344505 +16 *793:8 *1048:14 9.16621e-05 +17 *822:5 *1048:7 0 +18 *823:5 *1048:7 0 +19 *1044:8 *1048:14 0.0126046 +*RES +1 *2473:la_data_out[38] *1048:7 44.6573 +2 *1048:7 *1048:8 83.3593 +3 *1048:8 *1048:13 10.0015 +4 *1048:13 *1048:14 136.047 +5 *1048:14 *2472:la_data_out_core[38] 49.2251 +*END + +*D_NET *1049 0.0523513 +*CONN +*I *2472:la_data_out_core[39] I *D mgmt_protect +*I *2473:la_data_out[39] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[39] 0.00214174 +2 *2473:la_data_out[39] 0.00118268 +3 *1049:10 0.00214174 +4 *1049:8 0.00199209 +5 *1049:7 0.00317477 +6 *2472:la_data_out_core[39] *2473:la_oenb[28] 0 +7 *2472:la_data_out_core[39] *1433:5 0 +8 *1049:8 *1432:8 0.0206383 +9 *1049:8 *1435:8 0.0209505 +10 *793:5 *2472:la_data_out_core[39] 0 +11 *820:8 *1049:8 0 +12 *825:7 *1049:7 0.000129499 +13 *1037:5 *2472:la_data_out_core[39] 0 +14 *1046:8 *1049:8 0 +*RES +1 *2473:la_data_out[39] *1049:7 34.6913 +2 *1049:7 *1049:8 231.993 +3 *1049:8 *1049:10 4.5 +4 *1049:10 *2472:la_data_out_core[39] 53.8607 +*END + +*D_NET *1050 0.0563947 +*CONN +*I *2472:la_data_out_core[3] I *D mgmt_protect +*I *2473:la_data_out[3] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[3] 0.00114966 +2 *2473:la_data_out[3] 0.00244467 +3 *1050:8 0.00324425 +4 *1050:7 0.00209458 +5 *1050:5 0.00244467 +6 *2472:la_data_out_core[3] *1423:7 0 +7 *2472:la_data_out_core[3] *1434:7 0 +8 *1050:5 *2472:mprj_dat_i_user[4] 0 +9 *1050:5 *2472:mprj_dat_i_user[5] 0 +10 *1050:5 *1771:7 0.000166394 +11 *1050:8 *1061:8 0.0212529 +12 *1050:8 *1423:8 0.000291294 +13 *1050:8 *1434:8 0.0225908 +14 *1050:8 *1640:8 0.00031994 +15 *1050:8 *1642:8 0.000366428 +16 *1050:8 *1711:8 2.90905e-05 +17 *794:7 *2472:la_data_out_core[3] 0 +*RES +1 *2473:la_data_out[3] *1050:5 53.0301 +2 *1050:5 *1050:7 4.5 +3 *1050:7 *1050:8 247.522 +4 *1050:8 *2472:la_data_out_core[3] 35.5218 +*END + +*D_NET *1051 0.053027 +*CONN +*I *2472:la_data_out_core[40] I *D mgmt_protect +*I *2473:la_data_out[40] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[40] 0.00199913 +2 *2473:la_data_out[40] 0.00137076 +3 *1051:10 0.00199913 +4 *1051:8 0.00201884 +5 *1051:7 0.0033896 +6 *2472:la_data_out_core[40] *2473:la_oenb[28] 0 +7 *2472:la_data_out_core[40] *1433:5 0 +8 *2472:la_data_out_core[40] *1435:5 0 +9 *1051:7 *1468:7 8.62625e-06 +10 *1051:8 *1443:8 1.41689e-05 +11 *1051:8 *1446:8 0.0153662 +12 *1051:8 *1448:14 0.00800429 +13 *790:8 *1051:8 0.00346376 +14 *795:7 *2472:la_data_out_core[40] 0 +15 *828:7 *1051:7 0 +16 *829:5 *1051:7 0.000232269 +17 *1046:8 *1051:8 0.0151602 +*RES +1 *2473:la_data_out[40] *1051:7 38.8438 +2 *1051:7 *1051:8 245.858 +3 *1051:8 *1051:10 4.5 +4 *1051:10 *2472:la_data_out_core[40] 49.7081 +*END + +*D_NET *1052 0.0569562 +*CONN +*I *2472:la_data_out_core[41] I *D mgmt_protect +*I *2473:la_data_out[41] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[41] 2.27669e-05 +2 *2473:la_data_out[41] 0.00131921 +3 *1052:11 0.00202079 +4 *1052:10 0.00199802 +5 *1052:8 0.00215406 +6 *1052:7 0.00347327 +7 *1052:8 *1053:8 0.0237625 +8 *1052:8 *1057:8 0.0207187 +9 *1052:8 *1435:8 0.000298839 +10 *1052:8 *1436:8 0.000903336 +11 *1052:11 *1436:5 0 +12 *2473:la_data_in[29] *1052:11 0 +13 *796:7 *1052:11 0 +14 *831:5 *1052:7 0 +15 *1038:5 *1052:11 0.000284715 +16 *1046:8 *1052:8 0 +*RES +1 *2473:la_data_out[41] *1052:7 35.937 +2 *1052:7 *1052:8 258.614 +3 *1052:8 *1052:10 4.5 +4 *1052:10 *1052:11 52.7004 +5 *1052:11 *2472:la_data_out_core[41] 0.647305 +*END + +*D_NET *1053 0.0590407 +*CONN +*I *2472:la_data_out_core[42] I *D mgmt_protect +*I *2473:la_data_out[42] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[42] 0.00201326 +2 *2473:la_data_out[42] 0.00130461 +3 *1053:10 0.00201326 +4 *1053:8 0.00252228 +5 *1053:7 0.00382689 +6 *2472:la_data_out_core[42] *2473:la_oenb[29] 0.000297677 +7 *2472:la_data_out_core[42] *1436:5 0 +8 *2472:la_data_out_core[42] *1437:7 0 +9 *1053:8 *1057:8 0.000895002 +10 *1053:8 *1435:8 0.0224053 +11 *797:7 *2472:la_data_out_core[42] 0 +12 *798:7 *2472:la_data_out_core[42] 0 +13 *820:8 *1053:8 0 +14 *1038:5 *2472:la_data_out_core[42] 0 +15 *1052:8 *1053:8 0.0237625 +*RES +1 *2473:la_data_out[42] *1053:7 35.5218 +2 *1053:7 *1053:8 272.479 +3 *1053:8 *1053:10 4.5 +4 *1053:10 *2472:la_data_out_core[42] 53.0301 +*END + +*D_NET *1054 0.0481189 +*CONN +*I *2472:la_data_out_core[43] I *D mgmt_protect +*I *2473:la_data_out[43] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[43] 0.00103237 +2 *2473:la_data_out[43] 0.00165465 +3 *1054:18 0.00209471 +4 *1054:17 0.00174813 +5 *1054:12 0.00234667 +6 *1054:10 0.00168151 +7 *1054:8 0.00161672 +8 *1054:7 0.00325074 +9 *2472:la_data_out_core[43] *1438:7 0 +10 *1054:7 *1476:7 8.62625e-06 +11 *1054:8 *1082:8 0 +12 *1054:8 *1085:8 6.08538e-05 +13 *1054:8 *1089:8 0.000523718 +14 *1054:8 *1439:14 0.0018007 +15 *1054:8 *1470:10 0.00052801 +16 *1054:8 *1474:10 0.00151983 +17 *1054:12 *1076:8 0 +18 *1054:12 *1082:8 1.41688e-05 +19 *1054:12 *1439:14 0.000562009 +20 *1054:12 *1461:8 0 +21 *1054:12 *1463:8 0 +22 *1054:17 *2473:la_oenb[34] 0 +23 *1054:17 *1452:7 0 +24 *1054:18 *1437:8 0.00382957 +25 *1054:18 *1448:8 0.00031258 +26 *792:12 *1054:12 0.00639663 +27 *795:8 *1054:18 0.00980994 +28 *798:7 *2472:la_data_out_core[43] 0 +29 *837:5 *1054:7 0.000248404 +30 *1048:8 *1054:12 0.00707834 +*RES +1 *2473:la_data_out[43] *1054:7 44.6573 +2 *1054:7 *1054:8 74.4857 +3 *1054:8 *1054:10 0.578717 +4 *1054:10 *1054:12 107.762 +5 *1054:12 *1054:17 24.5353 +6 *1054:17 *1054:18 103.88 +7 *1054:18 *2472:la_data_out_core[43] 32.615 +*END + +*D_NET *1055 0.0670208 +*CONN +*I *2472:la_data_out_core[44] I *D mgmt_protect +*I *2473:la_data_out[44] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[44] 0.00137231 +2 *2473:la_data_out[44] 0.00206942 +3 *1055:8 0.00382298 +4 *1055:7 0.00245067 +5 *1055:5 0.00206942 +6 *2472:la_data_out_core[44] *1439:7 0 +7 *1055:5 *2472:la_data_out_core[81] 0.000489931 +8 *1055:5 *1056:11 0 +9 *1055:5 *1480:7 2.72089e-05 +10 *1055:8 *1056:14 0.02713 +11 *1055:8 *1095:8 4.03856e-05 +12 *1055:8 *1424:8 4.17356e-05 +13 *1055:8 *1425:8 0 +14 *1055:8 *1438:8 0.000347135 +15 *1055:8 *1440:8 0.0271235 +16 *799:7 *2472:la_data_out_core[44] 0 +17 *840:5 *1055:5 0 +18 *1040:7 *2472:la_data_out_core[44] 3.61346e-05 +*RES +1 *2473:la_data_out[44] *1055:5 48.4624 +2 *1055:5 *1055:7 4.5 +3 *1055:7 *1055:8 299.1 +4 *1055:8 *2472:la_data_out_core[44] 40.0896 +*END + +*D_NET *1056 0.0699579 +*CONN +*I *2472:la_data_out_core[45] I *D mgmt_protect +*I *2473:la_data_out[45] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[45] 0.00139295 +2 *2473:la_data_out[45] 0.0013524 +3 *1056:14 0.0036502 +4 *1056:13 0.00225726 +5 *1056:11 0.000834195 +6 *1056:7 0.0021866 +7 *2472:la_data_out_core[45] *2473:la_oenb[30] 4.93854e-05 +8 *2472:la_data_out_core[45] *1439:7 0 +9 *2472:la_data_out_core[45] *1440:7 0 +10 *1056:11 *2472:la_data_out_core[81] 0 +11 *1056:11 *1057:8 0.000556925 +12 *1056:11 *1091:8 0.0023187 +13 *1056:11 *1481:10 0.00106121 +14 *1056:14 *1095:8 0.000139256 +15 *1056:14 *1438:8 0.0260405 +16 *1056:14 *1477:10 8.47539e-05 +17 *2473:la_data_in[31] *2472:la_data_out_core[45] 0 +18 *799:14 *1056:14 1.75155e-06 +19 *800:7 *2472:la_data_out_core[45] 0 +20 *840:5 *1056:11 0.000901806 +21 *1055:5 *1056:11 0 +22 *1055:8 *1056:14 0.02713 +*RES +1 *2473:la_data_out[45] *1056:7 35.5218 +2 *1056:7 *1056:11 46.2677 +3 *1056:11 *1056:13 4.5 +4 *1056:13 *1056:14 288.008 +5 *1056:14 *2472:la_data_out_core[45] 40.5048 +*END + +*D_NET *1057 0.0692406 +*CONN +*I *2472:la_data_out_core[46] I *D mgmt_protect +*I *2473:la_data_out[46] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[46] 0.00214814 +2 *2473:la_data_out[46] 0.00139526 +3 *1057:10 0.00214814 +4 *1057:8 0.00279568 +5 *1057:7 0.00419094 +6 *2472:la_data_out_core[46] *1440:7 0 +7 *2472:la_data_out_core[46] *1441:5 0 +8 *1057:8 *1091:8 0.000721383 +9 *1057:8 *1436:8 0.000113197 +10 *1057:8 *1441:8 0.0305015 +11 *1057:8 *1481:10 0.00305573 +12 *2473:la_data_in[31] *2472:la_data_out_core[46] 0 +13 *801:7 *2472:la_data_out_core[46] 0 +14 *846:5 *1057:7 0 +15 *1041:5 *2472:la_data_out_core[46] 0 +16 *1052:8 *1057:8 0.0207187 +17 *1053:8 *1057:8 0.000895002 +18 *1056:11 *1057:8 0.000556925 +*RES +1 *2473:la_data_out[46] *1057:7 36.3523 +2 *1057:7 *1057:8 325.721 +3 *1057:8 *1057:10 4.5 +4 *1057:10 *2472:la_data_out_core[46] 52.1996 +*END + +*D_NET *1058 0.0754708 +*CONN +*I *2472:la_data_out_core[47] I *D mgmt_protect +*I *2473:la_data_out[47] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[47] 0.00134941 +2 *2473:la_data_out[47] 0.002218 +3 *1058:8 0.00415906 +4 *1058:7 0.00280965 +5 *1058:5 0.002218 +6 *2472:la_data_out_core[47] *1441:5 0 +7 *2472:la_data_out_core[47] *1442:7 0 +8 *1058:5 *2472:la_data_out_core[89] 0.000489505 +9 *1058:5 *1442:11 0 +10 *1058:5 *1488:7 0 +11 *1058:8 *1060:8 0.0304049 +12 *1058:8 *1425:8 5.96914e-05 +13 *1058:8 *1440:8 0.000113197 +14 *1058:8 *1442:8 0.0316494 +15 *802:7 *2472:la_data_out_core[47] 0 +16 *848:7 *1058:5 0 +17 *1041:5 *2472:la_data_out_core[47] 0 +18 *1042:8 *1058:8 0 +*RES +1 *2473:la_data_out[47] *1058:5 49.7081 +2 *1058:5 *1058:7 4.5 +3 *1058:7 *1058:8 339.032 +4 *1058:8 *2472:la_data_out_core[47] 38.8438 +*END + +*D_NET *1059 0.0785179 +*CONN +*I *2472:la_data_out_core[48] I *D mgmt_protect +*I *2473:la_data_out[48] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[48] 0.00211118 +2 *2473:la_data_out[48] 0.00148008 +3 *1059:10 0.00211118 +4 *1059:8 0.00255758 +5 *1059:7 0.00403766 +6 *2472:la_data_out_core[48] *2473:la_oenb[31] 0 +7 *2472:la_data_out_core[48] *1442:7 0 +8 *2472:la_data_out_core[48] *1443:5 0 +9 *1059:7 *2472:la_data_out_core[91] 0 +10 *1059:8 *1436:8 0.0198288 +11 *1059:8 *1441:8 0.00013491 +12 *1059:8 *1443:8 0.0330055 +13 *1059:8 *1472:10 0.0131378 +14 *2473:la_data_in[32] *2472:la_data_out_core[48] 0 +15 *803:7 *2472:la_data_out_core[48] 0 +16 *1046:8 *1059:8 0.000113197 +*RES +1 *2473:la_data_out[48] *1059:7 37.598 +2 *1059:7 *1059:8 352.342 +3 *1059:8 *1059:10 4.5 +4 *1059:10 *2472:la_data_out_core[48] 50.9539 +*END + +*D_NET *1060 0.0793096 +*CONN +*I *2472:la_data_out_core[49] I *D mgmt_protect +*I *2473:la_data_out[49] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[49] 0.00133482 +2 *2473:la_data_out[49] 0.00244996 +3 *1060:8 0.00444707 +4 *1060:7 0.00311225 +5 *1060:5 0.00244996 +6 *2472:la_data_out_core[49] *1443:5 0 +7 *2472:la_data_out_core[49] *1444:7 0 +8 *1060:5 *2472:la_data_out_core[94] 0 +9 *1060:8 *1062:8 0.0336668 +10 *1060:8 *1106:8 0.000630393 +11 *1060:8 *1442:8 0.000233938 +12 *1060:8 *1444:8 0.00020979 +13 *1060:8 *1488:8 2.50864e-05 +14 *1060:8 *1493:8 0.000344639 +15 *2473:la_data_in[32] *2472:la_data_out_core[49] 0 +16 *787:8 *1060:8 0 +17 *804:7 *2472:la_data_out_core[49] 0 +18 *855:5 *1060:5 0 +19 *1042:5 *2472:la_data_out_core[49] 0 +20 *1042:8 *1060:8 0 +21 *1058:8 *1060:8 0.0304049 +*RES +1 *2473:la_data_out[49] *1060:5 50.1234 +2 *1060:5 *1060:7 4.5 +3 *1060:7 *1060:8 365.653 +4 *1060:8 *2472:la_data_out_core[49] 38.4285 +*END + +*D_NET *1061 0.0533596 +*CONN +*I *2472:la_data_out_core[4] I *D mgmt_protect +*I *2473:la_data_out[4] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[4] 0.00115162 +2 *2473:la_data_out[4] 0.00231933 +3 *1061:8 0.00314275 +4 *1061:7 0.00199113 +5 *1061:5 0.00231933 +6 *2472:la_data_out_core[4] *1445:7 0 +7 *1061:5 *2472:mprj_dat_i_user[7] 0.000176075 +8 *1061:5 *1774:5 0 +9 *1061:8 *1434:8 0.000113197 +10 *1061:8 *1445:8 0.000157173 +11 *1061:8 *1644:8 4.91225e-06 +12 *1061:8 *1711:8 0.000189584 +13 *805:5 *2472:la_data_out_core[4] 0 +14 *816:8 *1061:8 0.0205416 +15 *1050:8 *1061:8 0.0212529 +*RES +1 *2473:la_data_out[4] *1061:5 52.6149 +2 *1061:5 *1061:7 4.5 +3 *1061:7 *1061:8 233.657 +4 *1061:8 *2472:la_data_out_core[4] 35.937 +*END + +*D_NET *1062 0.0838944 +*CONN +*I *2472:la_data_out_core[50] I *D mgmt_protect +*I *2473:la_data_out[50] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[50] 0.00129765 +2 *2473:la_data_out[50] 0.00227678 +3 *1062:8 0.00433256 +4 *1062:7 0.00303491 +5 *1062:5 0.00227678 +6 *2472:la_data_out_core[50] *2473:la_oenb[32] 5.93521e-05 +7 *2472:la_data_out_core[50] *1444:7 0 +8 *2472:la_data_out_core[50] *1446:5 0 +9 *1062:5 *1113:11 0.000875879 +10 *1062:8 *1063:8 0.000565984 +11 *1062:8 *1112:8 0.000785032 +12 *1062:8 *1444:8 0.0343401 +13 *1062:8 *1493:8 0.000172773 +14 *1062:8 *1495:8 0.00020979 +15 *806:7 *2472:la_data_out_core[50] 0 +16 *857:5 *1062:5 0 +17 *1042:5 *2472:la_data_out_core[50] 0 +18 *1060:8 *1062:8 0.0336668 +*RES +1 *2473:la_data_out[50] *1062:5 50.5386 +2 *1062:5 *1062:7 4.5 +3 *1062:7 *1062:8 378.963 +4 *1062:8 *2472:la_data_out_core[50] 38.0133 +*END + +*D_NET *1063 0.0848529 +*CONN +*I *2472:la_data_out_core[51] I *D mgmt_protect +*I *2473:la_data_out[51] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[51] 0.00127936 +2 *2473:la_data_out[51] 0.00262043 +3 *1063:8 0.00476209 +4 *1063:7 0.00348273 +5 *1063:5 0.00262043 +6 *2472:la_data_out_core[51] *1447:7 0 +7 *1063:5 *1374:7 0 +8 *1063:5 *1449:17 0 +9 *1063:8 *1065:8 0.0353355 +10 *1063:8 *1112:8 0.000424402 +11 *1063:8 *1444:8 0.0335139 +12 *1063:8 *1499:8 0.000110684 +13 *2473:la_data_in[33] *2472:la_data_out_core[51] 0.000137372 +14 *788:8 *1063:8 0 +15 *807:7 *2472:la_data_out_core[51] 0 +16 *1062:8 *1063:8 0.000565984 +*RES +1 *2473:la_data_out[51] *1063:5 51.3691 +2 *1063:5 *1063:7 4.5 +3 *1063:7 *1063:8 391.719 +4 *1063:8 *2472:la_data_out_core[51] 37.9156 +*END + +*D_NET *1064 0.0730299 +*CONN +*I *2472:la_data_out_core[52] I *D mgmt_protect +*I *2473:la_data_out[52] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[52] 0.000942352 +2 *2473:la_data_out[52] 0.00228393 +3 *1064:14 0.00359199 +4 *1064:13 0.00322219 +5 *1064:8 0.00353193 +6 *1064:7 0.00295938 +7 *1064:5 0.00228393 +8 *2472:la_data_out_core[52] *1447:7 0 +9 *2472:la_data_out_core[52] *1448:7 0 +10 *1064:8 *1066:8 0.000600274 +11 *1064:8 *1108:8 0.000143882 +12 *1064:8 *1437:14 0.0084629 +13 *1064:8 *1447:14 0.0265279 +14 *1064:8 *1477:10 0.00739831 +15 *1064:13 *1066:13 0 +16 *1064:14 *1066:14 0.00856268 +17 *1064:14 *1439:8 0 +18 *1064:14 *1447:8 0 +19 *1064:14 *1448:8 0 +20 *1064:14 *1449:8 8.47539e-05 +21 *1064:14 *1450:10 0.00023441 +22 *1064:14 *1451:8 1.41689e-05 +23 *1064:14 *1462:8 0.000171288 +24 *798:8 *1064:8 0.000167258 +25 *799:8 *1064:8 0.000101869 +26 *799:14 *1064:8 0.00142007 +27 *808:7 *2472:la_data_out_core[52] 0 +28 *823:5 *1064:13 0.000324473 +*RES +1 *2473:la_data_out[52] *1064:5 45.5556 +2 *1064:5 *1064:7 4.5 +3 *1064:7 *1064:8 296.882 +4 *1064:8 *1064:13 23.7048 +5 *1064:13 *1064:14 109.426 +6 *1064:14 *2472:la_data_out_core[52] 28.4625 +*END + +*D_NET *1065 0.0911409 +*CONN +*I *2472:la_data_out_core[53] I *D mgmt_protect +*I *2473:la_data_out[53] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[53] 0.00127141 +2 *2473:la_data_out[53] 0.00222152 +3 *1065:8 0.00489744 +4 *1065:7 0.00362603 +5 *1065:5 0.00222152 +6 *2472:la_data_out_core[53] *2473:la_oenb[33] 5.82975e-05 +7 *2472:la_data_out_core[53] *1448:7 0 +8 *2472:la_data_out_core[53] *1449:7 0 +9 *1065:8 *1067:10 0.0378395 +10 *1065:8 *1374:8 0.00134021 +11 *1065:8 *1378:8 0.000538827 +12 *1065:8 *1499:8 0.000120705 +13 *2473:la_data_in[34] *2472:la_data_out_core[53] 0 +14 *788:8 *1065:8 0 +15 *796:8 *1065:8 0 +16 *809:7 *2472:la_data_out_core[53] 0 +17 *995:11 *1065:5 0.0016699 +18 *1063:8 *1065:8 0.0353355 +*RES +1 *2473:la_data_out[53] *1065:5 51.7844 +2 *1065:5 *1065:7 4.5 +3 *1065:7 *1065:8 418.895 +4 *1065:8 *2472:la_data_out_core[53] 36.7675 +*END + +*D_NET *1066 0.0925999 +*CONN +*I *2472:la_data_out_core[54] I *D mgmt_protect +*I *2473:la_data_out[54] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[54] 0.00094822 +2 *2473:la_data_out[54] 0.00220382 +3 *1066:14 0.00173837 +4 *1066:13 0.00153373 +5 *1066:8 0.00378699 +6 *1066:7 0.00524723 +7 *2472:la_data_out_core[54] *1449:7 0 +8 *2472:la_data_out_core[54] *1450:7 0 +9 *1066:7 *1451:17 0.000198577 +10 *1066:8 *1076:8 0.0321159 +11 *1066:8 *1108:8 0.000236924 +12 *1066:8 *1381:10 0.000217951 +13 *1066:8 *1447:14 0.0267361 +14 *1066:8 *1477:10 0.000682903 +15 *1066:13 *1462:7 8.62625e-06 +16 *1066:14 *1449:8 0.000264018 +17 *1066:14 *1451:8 0.00751763 +18 *2472:la_data_out_core[107] *1066:7 0 +19 *810:7 *2472:la_data_out_core[54] 0 +20 *822:5 *1066:13 0 +21 *823:5 *1066:13 0 +22 *1064:8 *1066:8 0.000600274 +23 *1064:13 *1066:13 0 +24 *1064:14 *1066:14 0.00856268 +*RES +1 *2473:la_data_out[54] *1066:7 49.2251 +2 *1066:7 *1066:8 341.805 +3 *1066:8 *1066:13 24.9506 +4 *1066:13 *1066:14 91.1238 +5 *1066:14 *2472:la_data_out_core[54] 28.0472 +*END + +*D_NET *1067 0.0966822 +*CONN +*I *2472:la_data_out_core[55] I *D mgmt_protect +*I *2473:la_data_out[55] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[55] 0.00122704 +2 *2473:la_data_out[55] 0.000228735 +3 *1067:10 0.00502029 +4 *1067:9 0.00379325 +5 *1067:7 0.00270138 +6 *1067:5 0.00293012 +7 *2472:la_data_out_core[55] *1437:13 0 +8 *2472:la_data_out_core[55] *1439:13 0.000321771 +9 *2472:la_data_out_core[55] *1450:7 0 +10 *2472:la_data_out_core[55] *1451:7 0 +11 *1067:7 *1073:13 8.29708e-05 +12 *1067:7 *1383:9 0 +13 *1067:10 *1069:12 0.0401247 +14 *1067:10 *1378:8 0.00109633 +15 *1067:10 *1382:8 0.00131609 +16 *2473:la_data_in[55] *1067:7 0 +17 *796:8 *1067:10 0 +18 *811:7 *2472:la_data_out_core[55] 0 +19 *1065:8 *1067:10 0.0378395 +*RES +1 *2473:la_data_out[55] *1067:5 2.33274 +2 *1067:5 *1067:7 52.2851 +3 *1067:7 *1067:9 4.5 +4 *1067:9 *1067:10 443.297 +5 *1067:10 *2472:la_data_out_core[55] 36.3523 +*END + +*D_NET *1068 0.100224 +*CONN +*I *2472:la_data_out_core[56] I *D mgmt_protect +*I *2473:la_data_out[56] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[56] 0.00093026 +2 *2473:la_data_out[56] 0.00167979 +3 *1068:14 0.00143513 +4 *1068:13 0.00149053 +5 *1068:8 0.00398534 +6 *1068:7 0.00467947 +7 *2472:la_data_out_core[56] *1451:7 0 +8 *2472:la_data_out_core[56] *1452:7 0 +9 *1068:7 *1387:5 0 +10 *1068:8 *1379:8 0.000253421 +11 *1068:8 *1387:10 0.000538827 +12 *1068:8 *1450:14 0.033884 +13 *1068:8 *1452:10 0.0372973 +14 *1068:8 *1494:14 0.00128045 +15 *1068:13 *2473:la_oenb[37] 0 +16 *1068:14 *1449:8 0.00595019 +17 *1068:14 *1451:8 0.00594597 +18 *812:7 *2472:la_data_out_core[56] 0 +19 *821:11 *1068:13 0.000588523 +20 *1004:17 *1068:7 0.000284233 +*RES +1 *2473:la_data_out[56] *1068:7 40.0896 +2 *1068:7 *1068:8 395.601 +3 *1068:8 *1068:13 34.9166 +4 *1068:13 *1068:14 63.3936 +5 *1068:14 *2472:la_data_out_core[56] 27.2167 +*END + +*D_NET *1069 0.102621 +*CONN +*I *2472:la_data_out_core[57] I *D mgmt_protect +*I *2473:la_data_out[57] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[57] 0.00129945 +2 *2473:la_data_out[57] 0.00281396 +3 *1069:12 0.00473281 +4 *1069:10 0.00384548 +5 *1069:5 0.00322607 +6 *2472:la_data_out_core[57] *1452:7 0 +7 *2472:la_data_out_core[57] *1453:7 0 +8 *1069:5 *1390:5 0 +9 *1069:10 *1385:8 0.00128951 +10 *1069:10 *1389:8 0.000950798 +11 *1069:10 *1453:12 0.00389262 +12 *1069:12 *1382:8 8.66138e-05 +13 *1069:12 *1385:8 0.000171288 +14 *1069:12 *1453:8 0.0401714 +15 *1069:12 *1453:12 1.67988e-05 +16 *2472:la_data_out_core[115] *1069:5 0 +17 *796:8 *1069:12 0 +18 *813:7 *2472:la_data_out_core[57] 0 +19 *1067:10 *1069:12 0.0401247 +*RES +1 *2473:la_data_out[57] *1069:5 53.0301 +2 *1069:5 *1069:10 46.2882 +3 *1069:10 *1069:12 431.096 +4 *1069:12 *2472:la_data_out_core[57] 35.937 +*END + +*D_NET *1070 0.104885 +*CONN +*I *2472:la_data_out_core[58] I *D mgmt_protect +*I *2473:la_data_out[58] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[58] 0.00127721 +2 *2473:la_data_out[58] 0.00333474 +3 *1070:14 0.00471149 +4 *1070:13 0.00380321 +5 *1070:8 0.00161769 +6 *1070:7 0.00124876 +7 *1070:5 0.00333474 +8 *2472:la_data_out_core[58] *1453:7 0 +9 *2472:la_data_out_core[58] *1454:5 0 +10 *1070:8 *1458:14 0.000483488 +11 *1070:8 *1460:14 0.00600554 +12 *1070:13 *1385:7 0.000373157 +13 *1070:14 *1453:8 0.039583 +14 *1070:14 *1455:8 0.0385933 +15 *2472:la_data_out_core[111] *1070:13 0 +16 *753:7 *1070:5 0 +17 *754:5 *1070:5 0 +18 *796:8 *1070:14 0.000167409 +19 *814:7 *2472:la_data_out_core[58] 0 +20 *856:8 *1070:8 0.000351226 +*RES +1 *2473:la_data_out[58] *1070:5 61.3352 +2 *1070:5 *1070:7 4.5 +3 *1070:7 *1070:8 63.9482 +4 *1070:8 *1070:13 17.0608 +5 *1070:13 *1070:14 421.668 +6 *1070:14 *2472:la_data_out_core[58] 35.1065 +*END + +*D_NET *1071 0.110528 +*CONN +*I *2472:la_data_out_core[59] I *D mgmt_protect +*I *2473:la_data_out[59] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[59] 0.0017606 +2 *2473:la_data_out[59] 0.00183782 +3 *1071:10 0.0017606 +4 *1071:8 0.00376705 +5 *1071:7 0.00560487 +6 *2472:la_data_out_core[59] *1450:13 0.00115301 +7 *2472:la_data_out_core[59] *1454:5 0 +8 *2472:la_data_out_core[59] *1455:7 0 +9 *1071:8 *1393:14 0.00110368 +10 *1071:8 *1454:8 0.0456672 +11 *1071:8 *1457:10 0.000117922 +12 *1071:8 *1457:12 0.0446551 +13 *1071:8 *1465:14 8.66138e-05 +14 *1071:8 *1490:10 0.000473848 +15 *757:5 *1071:7 0 +16 *791:14 *1071:8 0.00204671 +17 *815:7 *2472:la_data_out_core[59] 0 +18 *1002:13 *1071:7 0.000447553 +19 *1004:13 *1071:7 4.58666e-05 +20 *1013:11 *1071:7 0 +*RES +1 *2473:la_data_out[59] *1071:7 41.7506 +2 *1071:7 *1071:8 498.757 +3 *1071:8 *1071:10 4.5 +4 *1071:10 *2472:la_data_out_core[59] 46.8014 +*END + +*D_NET *1072 0.0514992 +*CONN +*I *2472:la_data_out_core[5] I *D mgmt_protect +*I *2473:la_data_out[5] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[5] 0.00118425 +2 *2473:la_data_out[5] 0.00228362 +3 *1072:8 0.00289432 +4 *1072:7 0.00171008 +5 *1072:5 0.00228362 +6 *2472:la_data_out_core[5] *1456:7 0 +7 *1072:5 *2472:mprj_dat_i_user[10] 0 +8 *1072:5 *1614:7 7.65861e-05 +9 *1072:5 *1776:7 0 +10 *1072:8 *1445:8 0.0202847 +11 *1072:8 *1456:8 0.000233938 +12 *816:7 *2472:la_data_out_core[5] 0 +13 *816:8 *1072:8 0.0205481 +14 *816:11 *1072:5 0 +*RES +1 *2473:la_data_out[5] *1072:5 51.7844 +2 *1072:5 *1072:7 4.5 +3 *1072:7 *1072:8 220.901 +4 *1072:8 *2472:la_data_out_core[5] 36.7675 +*END + +*D_NET *1073 0.0679458 +*CONN +*I *2472:la_data_out_core[60] I *D mgmt_protect +*I *2473:la_data_out[60] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[60] 2.27669e-05 +2 *2473:la_data_out[60] 0.000538139 +3 *1073:17 0.00207932 +4 *1073:16 0.00205655 +5 *1073:14 0.00922983 +6 *1073:13 0.00955821 +7 *1073:8 0.00269348 +8 *1073:7 0.00290324 +9 *1073:17 *2473:la_oenb[36] 0 +10 *1073:17 *1447:13 0.000959915 +11 *1073:17 *1448:13 0.000260534 +12 *1073:17 *1457:5 0.000739351 +13 *817:7 *1073:17 0 +14 *817:10 *1073:14 0.0105174 +15 *817:14 *1073:14 0.0146589 +16 *818:5 *1073:17 0 +17 *840:8 *1073:8 0.0102246 +18 *853:10 *1073:14 0 +19 *855:8 *1073:14 0 +20 *857:8 *1073:14 0 +21 *859:8 *1073:14 0 +22 *991:8 *1073:14 0 +23 *993:8 *1073:14 8.76492e-05 +24 *995:8 *1073:14 0.000264355 +25 *997:8 *1073:14 0.000391181 +26 *999:8 *1073:14 0.000417084 +27 *1046:7 *1073:17 0.000260314 +28 *1067:7 *1073:13 8.29708e-05 +*RES +1 *2473:la_data_out[60] *1073:7 16.8354 +2 *1073:7 *1073:8 107.762 +3 *1073:8 *1073:13 16.2303 +4 *1073:13 *1073:14 403.92 +5 *1073:14 *1073:16 4.5 +6 *1073:16 *1073:17 64.7427 +7 *1073:17 *2472:la_data_out_core[60] 0.647305 +*END + +*D_NET *1074 0.113 +*CONN +*I *2472:la_data_out_core[61] I *D mgmt_protect +*I *2473:la_data_out[61] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[61] 0.000270725 +2 *2473:la_data_out[61] 0.00406525 +3 *1074:16 0.00414426 +4 *1074:14 0.00422445 +5 *1074:8 0.00102605 +6 *1074:7 0.000675131 +7 *1074:5 0.00406525 +8 *2472:la_data_out_core[61] *1458:7 0 +9 *1074:5 *1402:7 0.00037344 +10 *1074:8 *1077:8 0.00658903 +11 *1074:14 *1077:8 0.000700705 +12 *1074:16 *1077:8 0.0373091 +13 *2472:la_data_out_core[126] *1074:5 0.000494052 +14 *486:21 *1074:8 0 +15 *763:5 *1074:5 0 +16 *812:8 *1074:16 0.0384273 +17 *814:8 *1074:16 0.000580091 +18 *814:16 *1074:14 0.00120541 +19 *815:8 *1074:8 0.000811595 +20 *815:8 *1074:14 0.00283866 +21 *815:8 *1074:16 0.000523547 +22 *818:5 *2472:la_data_out_core[61] 0 +23 *1012:14 *1074:8 0.00467562 +*RES +1 *2473:la_data_out[61] *1074:5 77.53 +2 *1074:5 *1074:7 4.5 +3 *1074:7 *1074:8 70.6034 +4 *1074:8 *1074:14 31.5521 +5 *1074:14 *1074:16 424.718 +6 *1074:16 *2472:la_data_out_core[61] 11.0219 +*END + +*D_NET *1075 0.118437 +*CONN +*I *2472:la_data_out_core[62] I *D mgmt_protect +*I *2473:la_data_out[62] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[62] 0.000833164 +2 *2473:la_data_out[62] 0.00348659 +3 *1075:14 0.00509646 +4 *1075:13 0.00465498 +5 *1075:8 0.0009299 +6 *1075:7 0.000538218 +7 *1075:5 0.00348659 +8 *2472:la_data_out_core[62] *1459:5 0 +9 *1075:5 *2472:user_irq_core[1] 0 +10 *1075:5 *2472:user_irq_core[2] 4.59193e-05 +11 *1075:8 *1460:18 0.00598173 +12 *1075:8 *1462:8 0.00597332 +13 *1075:14 *1086:8 0 +14 *1075:14 *1088:8 6.0055e-05 +15 *1075:14 *1090:8 0.000243701 +16 *1075:14 *1455:8 1.41853e-05 +17 *1075:14 *1455:14 0.00244356 +18 *1075:14 *1458:8 0.0420935 +19 *1075:14 *1471:8 0 +20 *1075:14 *1473:8 9.48476e-05 +21 *1075:14 *1475:8 0.0329946 +22 *757:5 *1075:13 0 +23 *796:8 *1075:14 0.00776607 +24 *819:7 *2472:la_data_out_core[62] 0.00169922 +25 *1013:11 *1075:13 0 +*RES +1 *2473:la_data_out[62] *1075:5 60.9199 +2 *1075:5 *1075:7 4.5 +3 *1075:7 *1075:8 63.9482 +4 *1075:8 *1075:13 15.3998 +5 *1075:13 *1075:14 474.909 +6 *1075:14 *2472:la_data_out_core[62] 33.8608 +*END + +*D_NET *1076 0.114134 +*CONN +*I *2472:la_data_out_core[63] I *D mgmt_protect +*I *2473:la_data_out[63] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[63] 0.00174984 +2 *2473:la_data_out[63] 0.00247718 +3 *1076:8 0.00722963 +4 *1076:7 0.00795697 +5 *2472:la_data_out_core[63] *1460:7 0 +6 *1076:8 *1108:8 0.000344308 +7 *1076:8 *1381:10 0.000694433 +8 *1076:8 *1383:15 0.00283686 +9 *1076:8 *1397:8 0.00658974 +10 *1076:8 *1447:14 0.000759171 +11 *1076:8 *1461:8 0.0509252 +12 *1076:8 *1477:10 0.000455138 +13 *792:12 *1076:8 0 +14 *820:5 *2472:la_data_out_core[63] 0 +15 *1054:12 *1076:8 0 +16 *1066:8 *1076:8 0.0321159 +*RES +1 *2473:la_data_out[63] *1076:7 48.8099 +2 *1076:7 *1076:8 552.554 +3 *1076:8 *2472:la_data_out_core[63] 44.2421 +*END + +*D_NET *1077 0.123268 +*CONN +*I *2472:la_data_out_core[64] I *D mgmt_protect +*I *2473:la_data_out[64] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[64] 0.000240847 +2 *2473:la_data_out[64] 0.00441582 +3 *1077:8 0.00506926 +4 *1077:7 0.00482841 +5 *1077:5 0.00441582 +6 *2472:la_data_out_core[64] *1461:7 0 +7 *1077:8 *1078:8 0.0521304 +8 *814:8 *1077:8 0.000291294 +9 *814:16 *1077:8 0.00119699 +10 *821:9 *2472:la_data_out_core[64] 0.000105145 +11 *821:11 *2472:la_data_out_core[64] 0.000178538 +12 *1012:14 *1077:8 0.000240563 +13 *1019:14 *1077:8 0.00555602 +14 *1074:8 *1077:8 0.00658903 +15 *1074:14 *1077:8 0.000700705 +16 *1074:16 *1077:8 0.0373091 +*RES +1 *2473:la_data_out[64] *1077:5 77.1148 +2 *1077:5 *1077:7 4.5 +3 *1077:7 *1077:8 564.755 +4 *1077:8 *2472:la_data_out_core[64] 12.17 +*END + +*D_NET *1078 0.12624 +*CONN +*I *2472:la_data_out_core[65] I *D mgmt_protect +*I *2473:la_data_out[65] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[65] 0.000313598 +2 *2473:la_data_out[65] 0.00436664 +3 *1078:8 0.00515911 +4 *1078:7 0.00484552 +5 *1078:5 0.00436664 +6 *2472:la_data_out_core[65] *1462:7 0 +7 *814:8 *1078:8 0.0382149 +8 *822:5 *2472:la_data_out_core[65] 0 +9 *832:8 *1078:8 5.9852e-05 +10 *1007:14 *1078:8 0.0158415 +11 *1019:14 *1078:8 0.000941784 +12 *1077:8 *1078:8 0.0521304 +*RES +1 *2473:la_data_out[65] *1078:5 76.6995 +2 *1078:5 *1078:7 4.5 +3 *1078:7 *1078:8 579.175 +4 *1078:8 *2472:la_data_out_core[65] 11.8524 +*END + +*D_NET *1079 0.128681 +*CONN +*I *2472:la_data_out_core[66] I *D mgmt_protect +*I *2473:la_data_out[66] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[66] 0.00103095 +2 *2473:la_data_out[66] 0.00341662 +3 *1079:8 0.00608989 +4 *1079:7 0.00505894 +5 *1079:5 0.00341662 +6 *2472:la_data_out_core[66] *1463:7 0 +7 *1079:8 *1099:8 0.000902565 +8 *1079:8 *1462:8 0.0541904 +9 *1079:8 *1464:8 0.054575 +10 *823:5 *2472:la_data_out_core[66] 0 +11 *856:8 *1079:8 0 +*RES +1 *2473:la_data_out[66] *1079:5 60.0894 +2 *1079:5 *1079:7 4.5 +3 *1079:7 *1079:8 591.931 +4 *1079:8 *2472:la_data_out_core[66] 28.4625 +*END + +*D_NET *1080 0.132906 +*CONN +*I *2472:la_data_out_core[67] I *D mgmt_protect +*I *2473:la_data_out[67] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[67] 0.000973492 +2 *2473:la_data_out[67] 0.00238942 +3 *1080:16 0.00190606 +4 *1080:8 0.00555076 +5 *1080:7 0.00700761 +6 *2472:la_data_out_core[67] *1464:7 0 +7 *1080:8 *1082:8 0.0553927 +8 *1080:8 *1463:8 0.0540183 +9 *1080:8 *1477:10 0 +10 *1080:8 *1483:10 0.00204188 +11 *1080:16 *2472:la_data_out_core[69] 0.000231683 +12 *1080:16 *1449:8 0.00167272 +13 *1080:16 *1451:8 0.00166851 +14 *1080:16 *1466:7 5.33266e-05 +15 *761:17 *1080:7 0 +16 *824:5 *2472:la_data_out_core[67] 0 +*RES +1 *2473:la_data_out[67] *1080:7 47.5641 +2 *1080:7 *1080:8 588.049 +3 *1080:8 *1080:16 49.8582 +4 *1080:16 *2472:la_data_out_core[67] 22.7167 +*END + +*D_NET *1081 0.136062 +*CONN +*I *2472:la_data_out_core[68] I *D mgmt_protect +*I *2473:la_data_out[68] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[68] 0.000749405 +2 *2473:la_data_out[68] 0.00334622 +3 *1081:8 0.00588505 +4 *1081:7 0.00513564 +5 *1081:5 0.00334622 +6 *2472:la_data_out_core[68] *1465:10 0 +7 *1081:8 *1099:8 0.00209504 +8 *1081:8 *1464:8 0.0568055 +9 *1081:8 *1465:10 0.00013978 +10 *1081:8 *1466:8 0.0571564 +11 *795:8 *1081:8 0 +12 *825:7 *2472:la_data_out_core[68] 0.00140249 +13 *856:8 *1081:8 0 +*RES +1 *2473:la_data_out[68] *1081:5 59.2589 +2 *1081:5 *1081:7 4.5 +3 *1081:7 *1081:8 618.552 +4 *1081:8 *2472:la_data_out_core[68] 29.293 +*END + +*D_NET *1082 0.136068 +*CONN +*I *2472:la_data_out_core[69] I *D mgmt_protect +*I *2473:la_data_out[69] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[69] 0.00169525 +2 *2473:la_data_out[69] 0.00235022 +3 *1082:8 0.00727597 +4 *1082:7 0.00793094 +5 *2472:la_data_out_core[69] *1465:13 0.000201199 +6 *2472:la_data_out_core[69] *1466:7 0 +7 *1082:8 *1085:8 0.0581076 +8 *1082:8 *1463:8 6.49003e-05 +9 *1082:8 *1477:10 0 +10 *1082:8 *1483:10 0.0028038 +11 *2473:la_data_in[40] *2472:la_data_out_core[69] 0 +12 *826:5 *2472:la_data_out_core[69] 0 +13 *1054:8 *1082:8 0 +14 *1054:12 *1082:8 1.41688e-05 +15 *1080:8 *1082:8 0.0553927 +16 *1080:16 *2472:la_data_out_core[69] 0.000231683 +*RES +1 *2473:la_data_out[69] *1082:7 47.1488 +2 *1082:7 *1082:8 632.417 +3 *1082:8 *2472:la_data_out_core[69] 45.9031 +*END + +*D_NET *1083 0.0481413 +*CONN +*I *2472:la_data_out_core[6] I *D mgmt_protect +*I *2473:la_data_out[6] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[6] 0.0013274 +2 *2473:la_data_out[6] 0.00208424 +3 *1083:8 0.00291571 +4 *1083:7 0.00158831 +5 *1083:5 0.00208424 +6 *2472:la_data_out_core[6] *1467:7 0 +7 *1083:5 *2472:mprj_dat_i_user[12] 0 +8 *1083:5 *1617:5 0 +9 *1083:5 *1685:16 3.20069e-06 +10 *1083:8 *1105:8 0.0155915 +11 *1083:8 *1478:8 0.000582572 +12 *1083:8 *1691:14 0.00510047 +13 *1083:8 *1752:10 4.51518e-05 +14 *1083:8 *1752:12 0.00247657 +15 *827:7 *2472:la_data_out_core[6] 0 +16 *838:7 *2472:la_data_out_core[6] 0 +17 *838:8 *1083:8 0.000103234 +18 *860:8 *1083:8 0.0142387 +*RES +1 *2473:la_data_out[6] *1083:5 48.4624 +2 *1083:5 *1083:7 4.5 +3 *1083:7 *1083:8 207.591 +4 *1083:8 *2472:la_data_out_core[6] 40.0896 +*END + +*D_NET *1084 0.141586 +*CONN +*I *2472:la_data_out_core[70] I *D mgmt_protect +*I *2473:la_data_out[70] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[70] 0.000774366 +2 *2473:la_data_out[70] 0.00326984 +3 *1084:8 0.00606283 +4 *1084:7 0.00528846 +5 *1084:5 0.00326984 +6 *2472:la_data_out_core[70] *1468:7 0 +7 *1084:8 *1099:8 0.00235331 +8 *1084:8 *1466:8 0.0593869 +9 *1084:8 *1469:8 0.0597314 +10 *796:8 *1084:8 0 +11 *828:7 *2472:la_data_out_core[70] 0.00144949 +*RES +1 *2473:la_data_out[70] *1084:5 58.4284 +2 *1084:5 *1084:7 4.5 +3 *1084:7 *1084:8 645.173 +4 *1084:8 *2472:la_data_out_core[70] 30.1235 +*END + +*D_NET *1085 0.14201 +*CONN +*I *2472:la_data_out_core[71] I *D mgmt_protect +*I *2473:la_data_out[71] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[71] 0.00190489 +2 *2473:la_data_out[71] 0.00230932 +3 *1085:8 0.00751628 +4 *1085:7 0.00792071 +5 *2472:la_data_out_core[71] *1469:7 0 +6 *1085:8 *1087:8 0.0606632 +7 *1085:8 *1470:10 0.000242981 +8 *1085:8 *1477:10 0 +9 *1085:8 *1483:10 0.00328423 +10 *829:5 *2472:la_data_out_core[71] 0 +11 *830:5 *2472:la_data_out_core[71] 0 +12 *1054:8 *1085:8 6.08538e-05 +13 *1082:8 *1085:8 0.0581076 +*RES +1 *2473:la_data_out[71] *1085:7 46.7336 +2 *1085:7 *1085:8 659.038 +3 *1085:8 *2472:la_data_out_core[71] 46.3183 +*END + +*D_NET *1086 0.146634 +*CONN +*I *2472:la_data_out_core[72] I *D mgmt_protect +*I *2473:la_data_out[72] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[72] 0.000983368 +2 *2473:la_data_out[72] 0.00319216 +3 *1086:8 0.0063809 +4 *1086:7 0.00539753 +5 *1086:5 0.00319216 +6 *2472:la_data_out_core[72] *1470:9 0.000418015 +7 *1086:8 *1469:8 0.0619683 +8 *1086:8 *1471:8 0.0623063 +9 *1086:8 *1484:8 0.00279501 +10 *796:8 *1086:8 0 +11 *830:5 *2472:la_data_out_core[72] 0 +12 *1075:14 *1086:8 0 +*RES +1 *2473:la_data_out[72] *1086:5 57.5979 +2 *1086:5 *1086:7 4.5 +3 *1086:7 *1086:8 671.794 +4 *1086:8 *2472:la_data_out_core[72] 30.954 +*END + +*D_NET *1087 0.147823 +*CONN +*I *2472:la_data_out_core[73] I *D mgmt_protect +*I *2473:la_data_out[73] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[73] 0.00193316 +2 *2473:la_data_out[73] 0.00225744 +3 *1087:8 0.00755766 +4 *1087:7 0.00788194 +5 *2472:la_data_out_core[73] *1471:7 0 +6 *1087:8 *1089:8 0.000622925 +7 *1087:8 *1470:10 0.063363 +8 *1087:8 *1483:10 0.00354326 +9 *831:5 *2472:la_data_out_core[73] 0 +10 *1085:8 *1087:8 0.0606632 +*RES +1 *2473:la_data_out[73] *1087:7 46.3183 +2 *1087:7 *1087:8 685.659 +3 *1087:8 *2472:la_data_out_core[73] 46.7336 +*END + +*D_NET *1088 0.152104 +*CONN +*I *2472:la_data_out_core[74] I *D mgmt_protect +*I *2473:la_data_out[74] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[74] 0.00121072 +2 *2473:la_data_out[74] 0.00311322 +3 *1088:8 0.00670429 +4 *1088:7 0.00549357 +5 *1088:5 0.00311322 +6 *2472:la_data_out_core[74] *1471:7 0 +7 *2472:la_data_out_core[74] *1472:7 0 +8 *1088:8 *1101:8 0.00299053 +9 *1088:8 *1471:8 0.0645433 +10 *1088:8 *1473:8 0.0648748 +11 *832:7 *2472:la_data_out_core[74] 0 +12 *1075:14 *1088:8 6.0055e-05 +*RES +1 *2473:la_data_out[74] *1088:5 56.7674 +2 *1088:5 *1088:7 4.5 +3 *1088:7 *1088:8 698.415 +4 *1088:8 *2472:la_data_out_core[74] 31.7845 +*END + +*D_NET *1089 0.152699 +*CONN +*I *2472:la_data_out_core[75] I *D mgmt_protect +*I *2473:la_data_out[75] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[75] 0.0019206 +2 *2473:la_data_out[75] 0.00220306 +3 *1089:8 0.00772848 +4 *1089:7 0.00801094 +5 *2472:la_data_out_core[75] *1473:7 0 +6 *1089:8 *1470:10 0.0618445 +7 *1089:8 *1474:10 0.0661204 +8 *1089:8 *1485:8 0.00343936 +9 *2473:la_data_in[42] *2472:la_data_out_core[75] 0.000284582 +10 *833:5 *2472:la_data_out_core[75] 0 +11 *1054:8 *1089:8 0.000523718 +12 *1087:8 *1089:8 0.000622925 +*RES +1 *2473:la_data_out[75] *1089:7 45.4878 +2 *1089:7 *1089:8 712.28 +3 *1089:8 *2472:la_data_out_core[75] 47.5641 +*END + +*D_NET *1090 0.157597 +*CONN +*I *2472:la_data_out_core[76] I *D mgmt_protect +*I *2473:la_data_out[76] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[76] 0.00126042 +2 *2473:la_data_out[76] 0.00304241 +3 *1090:8 0.00685667 +4 *1090:7 0.00559625 +5 *1090:5 0.00304241 +6 *2472:la_data_out_core[76] *1473:7 0 +7 *2472:la_data_out_core[76] *1474:9 0 +8 *1090:8 *1092:8 0.0669717 +9 *1090:8 *1473:8 0.0670989 +10 *1090:8 *1475:8 0.000226394 +11 *1090:8 *1486:8 0.00325845 +12 *834:7 *2472:la_data_out_core[76] 0 +13 *1075:14 *1090:8 0.000243701 +*RES +1 *2473:la_data_out[76] *1090:5 55.9369 +2 *1090:5 *1090:7 4.5 +3 *1090:7 *1090:8 725.59 +4 *1090:8 *2472:la_data_out_core[76] 32.615 +*END + +*D_NET *1091 0.152899 +*CONN +*I *2472:la_data_out_core[77] I *D mgmt_protect +*I *2473:la_data_out[77] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[77] 0.00219345 +2 *2473:la_data_out[77] 0.00151338 +3 *1091:10 0.00219345 +4 *1091:8 0.00590711 +5 *1091:7 0.00742049 +6 *2472:la_data_out_core[77] *1475:7 0 +7 *1091:8 *1093:8 0.00271971 +8 *1091:8 *1102:8 0.0623035 +9 *1091:8 *1107:8 0.0592726 +10 *1091:8 *1111:8 0.00317401 +11 *1091:8 *1481:10 0.00242746 +12 *2473:la_data_in[43] *2472:la_data_out_core[77] 0 +13 *820:8 *1091:8 0 +14 *835:7 *2472:la_data_out_core[77] 0.000733893 +15 *836:5 *2472:la_data_out_core[77] 0 +16 *1056:11 *1091:8 0.0023187 +17 *1057:8 *1091:8 0.000721383 +*RES +1 *2473:la_data_out[77] *1091:7 35.1065 +2 *1091:7 *1091:8 738.901 +3 *1091:8 *1091:10 4.5 +4 *1091:10 *2472:la_data_out_core[77] 53.4454 +*END + +*D_NET *1092 0.162881 +*CONN +*I *2472:la_data_out_core[78] I *D mgmt_protect +*I *2473:la_data_out[78] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[78] 0.00128043 +2 *2473:la_data_out[78] 0.00298231 +3 *1092:8 0.00735002 +4 *1092:7 0.00606959 +5 *1092:5 0.00298231 +6 *2472:la_data_out_core[78] *1476:7 0 +7 *1092:8 *1103:8 0.00495137 +8 *1092:8 *1475:8 0.069678 +9 *1092:8 *2415:10 0.00061538 +10 *836:5 *2472:la_data_out_core[78] 0 +11 *1090:8 *1092:8 0.0669717 +*RES +1 *2473:la_data_out[78] *1092:5 55.5217 +2 *1092:5 *1092:7 4.5 +3 *1092:7 *1092:8 752.211 +4 *1092:8 *2472:la_data_out_core[78] 33.0303 +*END + +*D_NET *1093 0.119224 +*CONN +*I *2472:la_data_out_core[79] I *D mgmt_protect +*I *2473:la_data_out[79] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[79] 0.00254791 +2 *2473:la_data_out[79] 0.00144037 +3 *1093:10 0.00254791 +4 *1093:8 0.0153807 +5 *1093:7 0.016821 +6 *2472:la_data_out_core[79] *2473:la_oenb[43] 0 +7 *2472:la_data_out_core[79] *1477:9 0 +8 *1093:8 *1102:8 0.0663777 +9 *1093:8 *1109:8 0.0034942 +10 *761:8 *1093:8 0.00135638 +11 *761:12 *1093:8 0.000367918 +12 *820:8 *1093:8 0 +13 *837:5 *2472:la_data_out_core[79] 0 +14 *1002:14 *1093:8 9.9943e-05 +15 *1004:14 *1093:8 0.00606286 +16 *1009:13 *1093:7 7.09666e-06 +17 *1091:8 *1093:8 0.00271971 +*RES +1 *2473:la_data_out[79] *1093:7 34.276 +2 *1093:7 *1093:8 765.522 +3 *1093:8 *1093:10 4.5 +4 *1093:10 *2472:la_data_out_core[79] 54.2759 +*END + +*D_NET *1094 0.045543 +*CONN +*I *2472:la_data_out_core[7] I *D mgmt_protect +*I *2473:la_data_out[7] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[7] 0.00125386 +2 *2473:la_data_out[7] 0.00202433 +3 *1094:8 0.00276803 +4 *1094:7 0.00151418 +5 *1094:5 0.00202433 +6 *2472:la_data_out_core[7] *1478:7 0 +7 *1094:5 *1619:5 0.00020584 +8 *1094:5 *1751:5 0 +9 *1094:8 *1467:8 0.000202245 +10 *827:8 *1094:8 0.0173521 +11 *838:7 *2472:la_data_out_core[7] 0 +12 *838:8 *1094:8 0.0180849 +13 *860:8 *1094:8 0.000113197 +*RES +1 *2473:la_data_out[7] *1094:5 49.7081 +2 *1094:5 *1094:7 4.5 +3 *1094:7 *1094:8 194.28 +4 *1094:8 *2472:la_data_out_core[7] 38.8438 +*END + +*D_NET *1095 0.169705 +*CONN +*I *2472:la_data_out_core[80] I *D mgmt_protect +*I *2473:la_data_out[80] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[80] 0.00172275 +2 *2473:la_data_out[80] 0.00239376 +3 *1095:8 0.00741235 +4 *1095:7 0.00568959 +5 *1095:5 0.00239376 +6 *2472:la_data_out_core[80] *1477:9 0 +7 *2472:la_data_out_core[80] *1479:7 0 +8 *1095:8 *1440:8 9.00479e-06 +9 *1095:8 *1477:10 0.072356 +10 *1095:8 *1480:8 0.0727471 +11 *1095:8 *1491:8 0.00480076 +12 *839:7 *2472:la_data_out_core[80] 0 +13 *1055:8 *1095:8 4.03856e-05 +14 *1056:14 *1095:8 0.000139256 +*RES +1 *2473:la_data_out[80] *1095:5 46.8014 +2 *1095:5 *1095:7 4.5 +3 *1095:7 *1095:8 778.832 +4 *1095:8 *2472:la_data_out_core[80] 41.7506 +*END + +*D_NET *1096 0.17198 +*CONN +*I *2472:la_data_out_core[81] I *D mgmt_protect +*I *2473:la_data_out[81] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[81] 0.00197733 +2 *2473:la_data_out[81] 0.00206277 +3 *1096:8 0.00775619 +4 *1096:7 0.00784164 +5 *2472:la_data_out_core[81] *1480:7 0 +6 *1096:8 *1098:8 0.0733882 +7 *1096:8 *1104:8 0.00395347 +8 *1096:8 *1439:14 0.000749011 +9 *1096:8 *1459:8 0.000186902 +10 *1096:8 *1479:10 0.0735749 +11 *840:5 *2472:la_data_out_core[81] 0 +12 *1055:5 *2472:la_data_out_core[81] 0.000489931 +13 *1056:11 *2472:la_data_out_core[81] 0 +*RES +1 *2473:la_data_out[81] *1096:7 43.8268 +2 *1096:7 *1096:8 791.588 +3 *1096:8 *2472:la_data_out_core[81] 49.2251 +*END + +*D_NET *1097 0.175697 +*CONN +*I *2472:la_data_out_core[82] I *D mgmt_protect +*I *2473:la_data_out[82] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[82] 0.00168671 +2 *2473:la_data_out[82] 0.0024089 +3 *1097:8 0.0074862 +4 *1097:7 0.00579949 +5 *1097:5 0.0024089 +6 *2472:la_data_out_core[82] *1480:7 0 +7 *2472:la_data_out_core[82] *1481:7 0 +8 *1097:8 *1110:8 0.00543548 +9 *1097:8 *1440:8 0.0002375 +10 *1097:8 *1480:8 0.0749245 +11 *1097:8 *1482:8 0.0753092 +12 *841:7 *2472:la_data_out_core[82] 0 +*RES +1 *2473:la_data_out[82] *1097:5 47.6319 +2 *1097:5 *1097:7 4.5 +3 *1097:7 *1097:8 805.453 +4 *1097:8 *2472:la_data_out_core[82] 40.9201 +*END + +*D_NET *1098 0.176245 +*CONN +*I *2472:la_data_out_core[83] I *D mgmt_protect +*I *2473:la_data_out[83] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[83] 0.00191298 +2 *2473:la_data_out[83] 0.00202732 +3 *1098:8 0.00825797 +4 *1098:7 0.00837231 +5 *2472:la_data_out_core[83] *1482:7 0 +6 *1098:8 *1100:8 0.0759245 +7 *1098:8 *1459:8 0.00067765 +8 *1098:8 *1490:10 0.00508783 +9 *2473:la_data_in[45] *2472:la_data_out_core[83] 0.000596408 +10 *842:7 *2472:la_data_out_core[83] 0 +11 *1096:8 *1098:8 0.0733882 +*RES +1 *2473:la_data_out[83] *1098:7 43.4116 +2 *1098:7 *1098:8 818.209 +3 *1098:8 *2472:la_data_out_core[83] 49.6404 +*END + +*D_NET *1099 0.174023 +*CONN +*I *2472:la_data_out_core[84] I *D mgmt_protect +*I *2473:la_data_out[84] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[84] 0.00111681 +2 *2473:la_data_out[84] 0.00304282 +3 *1099:8 0.0308636 +4 *1099:7 0.0297468 +5 *1099:5 0.00304282 +6 *2472:la_data_out_core[84] *1482:7 0 +7 *2472:la_data_out_core[84] *1483:9 0 +8 *1099:8 *1462:8 0.000680957 +9 *1099:8 *1464:8 0.00146993 +10 *1099:8 *1466:8 0.00288264 +11 *1099:8 *1484:8 0.0889823 +12 *1099:8 *1494:10 0.00395802 +13 *843:7 *2472:la_data_out_core[84] 0 +14 *1001:8 *1099:8 0 +15 *1009:14 *1099:8 0 +16 *1014:16 *1099:8 0 +17 *1016:16 *1099:8 0.00288519 +18 *1017:14 *1099:8 0 +19 *1079:8 *1099:8 0.000902565 +20 *1081:8 *1099:8 0.00209504 +21 *1084:8 *1099:8 0.00235331 +*RES +1 *2473:la_data_out[84] *1099:5 59.0513 +2 *1099:5 *1099:7 3.36879 +3 *1099:7 *1099:8 114.785 +4 *1099:8 *2472:la_data_out_core[84] 28.3694 +*END + +*D_NET *1100 0.181434 +*CONN +*I *2472:la_data_out_core[85] I *D mgmt_protect +*I *2473:la_data_out[85] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[85] 0.00217563 +2 *2473:la_data_out[85] 0.00199191 +3 *1100:10 0.00217563 +4 *1100:8 0.0064638 +5 *1100:7 0.00845571 +6 *2472:la_data_out_core[85] *1484:7 0 +7 *1100:8 *1459:8 0.0339516 +8 *1100:8 *1490:10 0.00427931 +9 *1100:8 *2414:8 0.0459994 +10 *1100:8 *2414:14 1.67988e-05 +11 *2473:la_data_in[46] *2472:la_data_out_core[85] 0 +12 *844:7 *2472:la_data_out_core[85] 0 +13 *1098:8 *1100:8 0.0759245 +*RES +1 *2473:la_data_out[85] *1100:7 42.9963 +2 *1100:7 *1100:8 844.83 +3 *1100:8 *1100:10 4.5 +4 *1100:10 *2472:la_data_out_core[85] 45.5556 +*END + +*D_NET *1101 0.219653 +*CONN +*I *2472:la_data_out_core[86] I *D mgmt_protect +*I *2473:la_data_out[86] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[86] 0.00122924 +2 *2473:la_data_out[86] 0.00294102 +3 *1101:8 0.0116893 +4 *1101:7 0.0104601 +5 *1101:5 0.00294102 +6 *2472:la_data_out_core[86] *1484:7 0 +7 *2472:la_data_out_core[86] *1485:7 0 +8 *1101:8 *1471:8 0.00406408 +9 *1101:8 *1484:8 0.0914567 +10 *1101:8 *1486:8 0.0918812 +11 *2473:la_data_in[46] *2472:la_data_out_core[86] 0 +12 *845:7 *2472:la_data_out_core[86] 0 +13 *1088:8 *1101:8 0.00299053 +*RES +1 *2473:la_data_out[86] *1101:5 57.3903 +2 *1101:5 *1101:7 3.36879 +3 *1101:7 *1101:8 118.457 +4 *1101:8 *2472:la_data_out_core[86] 30.0304 +*END + +*D_NET *1102 0.188275 +*CONN +*I *2472:la_data_out_core[87] I *D mgmt_protect +*I *2473:la_data_out[87] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[87] 0.0026256 +2 *2473:la_data_out[87] 0.00143855 +3 *1102:10 0.0026256 +4 *1102:8 0.00591631 +5 *1102:7 0.00735486 +6 *2472:la_data_out_core[87] *2473:la_oenb[46] 0 +7 *2472:la_data_out_core[87] *1486:7 0 +8 *1102:8 *1107:8 0.000610332 +9 *1102:8 *1111:8 0.00379169 +10 *846:5 *2472:la_data_out_core[87] 0 +11 *1009:8 *1102:8 0.0162424 +12 *1012:8 *1102:8 0.0189889 +13 *1091:8 *1102:8 0.0623035 +14 *1093:8 *1102:8 0.0663777 +*RES +1 *2473:la_data_out[87] *1102:7 34.6913 +2 *1102:7 *1102:8 872.006 +3 *1102:8 *1102:10 4.5 +4 *1102:10 *2472:la_data_out_core[87] 53.8607 +*END + +*D_NET *1103 0.214945 +*CONN +*I *2472:la_data_out_core[88] I *D mgmt_protect +*I *2473:la_data_out[88] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[88] 0.0012744 +2 *2473:la_data_out[88] 0.00284724 +3 *1103:8 0.0205147 +4 *1103:7 0.0192403 +5 *1103:5 0.00284724 +6 *2472:la_data_out_core[88] *1486:7 0 +7 *2472:la_data_out_core[88] *1487:7 0 +8 *1103:8 *1110:8 0 +9 *1103:8 *1114:8 0 +10 *1103:8 *1376:8 0 +11 *1103:8 *1380:8 0.000704755 +12 *1103:8 *1388:8 0.00137859 +13 *1103:8 *1398:8 0.000414164 +14 *1103:8 *1400:8 0.00128357 +15 *1103:8 *1475:8 0.00362051 +16 *1103:8 *1486:8 0.0943479 +17 *1103:8 *1491:8 0 +18 *1103:8 *1497:8 0 +19 *1103:8 *2413:8 0.0613514 +20 *2473:la_data_in[47] *2472:la_data_out_core[88] 0.00016851 +21 *847:7 *2472:la_data_out_core[88] 0 +22 *1092:8 *1103:8 0.00495137 +*RES +1 *2473:la_data_out[88] *1103:5 55.7293 +2 *1103:5 *1103:7 3.36879 +3 *1103:7 *1103:8 122.128 +4 *1103:8 *2472:la_data_out_core[88] 31.6914 +*END + +*D_NET *1104 0.23272 +*CONN +*I *2472:la_data_out_core[89] I *D mgmt_protect +*I *2473:la_data_out[89] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[89] 0.0020469 +2 *2473:la_data_out[89] 0.00203661 +3 *1104:8 0.0139626 +4 *1104:7 0.0139523 +5 *2472:la_data_out_core[89] *1488:7 0 +6 *1104:8 *1108:8 0 +7 *1104:8 *1479:10 0.00452865 +8 *1104:8 *1487:8 0.0957837 +9 *1104:8 *1490:10 0.0959657 +10 *848:7 *2472:la_data_out_core[89] 0 +11 *1058:5 *2472:la_data_out_core[89] 0.000489505 +12 *1096:8 *1104:8 0.00395347 +*RES +1 *2473:la_data_out[89] *1104:7 43.3185 +2 *1104:7 *1104:8 123.964 +3 *1104:8 *2472:la_data_out_core[89] 47.471 +*END + +*D_NET *1105 0.0424177 +*CONN +*I *2472:la_data_out_core[8] I *D mgmt_protect +*I *2473:la_data_out[8] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[8] 0.00131568 +2 *2473:la_data_out[8] 0.00197463 +3 *1105:8 0.00262942 +4 *1105:7 0.00131374 +5 *1105:5 0.00197463 +6 *2472:la_data_out_core[8] *1478:7 0 +7 *2472:la_data_out_core[8] *1489:7 0 +8 *1105:5 *2472:mprj_dat_i_user[18] 0 +9 *1105:5 *1622:7 0.000102632 +10 *1105:5 *1753:5 0 +11 *1105:8 *1478:8 0.0165963 +12 *1105:8 *1489:8 0.000233938 +13 *849:7 *2472:la_data_out_core[8] 0 +14 *860:8 *1105:8 0.000685211 +15 *1083:8 *1105:8 0.0155915 +*RES +1 *2473:la_data_out[8] *1105:5 48.0471 +2 *1105:5 *1105:7 4.5 +3 *1105:7 *1105:8 180.97 +4 *1105:8 *2472:la_data_out_core[8] 40.5048 +*END + +*D_NET *1106 0.198886 +*CONN +*I *2472:la_data_out_core[90] I *D mgmt_protect +*I *2473:la_data_out[90] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[90] 0.00168688 +2 *2473:la_data_out[90] 0.0023811 +3 *1106:8 0.00814642 +4 *1106:7 0.00645953 +5 *1106:5 0.0023811 +6 *2472:la_data_out_core[90] *1442:11 9.35753e-06 +7 *2472:la_data_out_core[90] *1488:7 0 +8 *2472:la_data_out_core[90] *1490:7 0 +9 *1106:8 *1488:8 0.0851534 +10 *1106:8 *1493:8 0.0839836 +11 *1106:8 *1497:8 0.00805459 +12 *850:7 *2472:la_data_out_core[90] 0 +13 *1060:8 *1106:8 0.000630393 +*RES +1 *2473:la_data_out[90] *1106:5 48.8776 +2 *1106:5 *1106:7 4.5 +3 *1106:7 *1106:8 911.937 +4 *1106:8 *2472:la_data_out_core[90] 39.6743 +*END + +*D_NET *1107 0.200619 +*CONN +*I *2472:la_data_out_core[91] I *D mgmt_protect +*I *2473:la_data_out[91] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[91] 0.00194323 +2 *2473:la_data_out[91] 0.00146851 +3 *1107:10 0.00194323 +4 *1107:8 0.00623663 +5 *1107:7 0.00770513 +6 *2472:la_data_out_core[91] *1491:7 0 +7 *1107:8 *1481:10 0.0701183 +8 *1107:8 *1494:20 0.000183207 +9 *1107:8 *1496:8 0.00406685 +10 *851:7 *2472:la_data_out_core[91] 0.00276308 +11 *1012:8 *1107:8 0.0271052 +12 *1017:8 *1107:8 0.0172025 +13 *1059:7 *2472:la_data_out_core[91] 0 +14 *1091:8 *1107:8 0.0592726 +15 *1102:8 *1107:8 0.000610332 +*RES +1 *2473:la_data_out[91] *1107:7 35.5218 +2 *1107:7 *1107:8 925.248 +3 *1107:8 *1107:10 4.5 +4 *1107:10 *2472:la_data_out_core[91] 53.0301 +*END + +*D_NET *1108 0.167058 +*CONN +*I *2472:la_data_out_core[92] I *D mgmt_protect +*I *2473:la_data_out[92] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[92] 0.00182637 +2 *2473:la_data_out[92] 0.00224489 +3 *1108:8 0.0229031 +4 *1108:7 0.0233216 +5 *2472:la_data_out_core[92] *1491:7 0 +6 *2472:la_data_out_core[92] *1492:9 0 +7 *1108:8 *1110:8 0.000635094 +8 *1108:8 *1381:10 0.00011056 +9 *1108:8 *1403:8 0 +10 *1108:8 *1447:14 0.00013257 +11 *1108:8 *1477:10 0.00475172 +12 *1108:8 *1483:10 0.0100596 +13 *1108:8 *1485:8 0 +14 *1108:8 *1487:8 0 +15 *1108:8 *1490:10 0 +16 *1108:8 *1491:8 0.100347 +17 *852:7 *2472:la_data_out_core[92] 0 +18 *1064:8 *1108:8 0.000143882 +19 *1066:8 *1108:8 0.000236924 +20 *1076:8 *1108:8 0.000344308 +21 *1104:8 *1108:8 0 +*RES +1 *2473:la_data_out[92] *1108:7 49.9625 +2 *1108:7 *1108:8 129.471 +3 *1108:8 *2472:la_data_out_core[92] 40.827 +*END + +*D_NET *1109 0.175736 +*CONN +*I *2472:la_data_out_core[93] I *D mgmt_protect +*I *2473:la_data_out[93] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[93] 4.25268e-05 +2 *2473:la_data_out[93] 0.00139876 +3 *1109:11 0.0020572 +4 *1109:10 0.00201468 +5 *1109:8 0.0295168 +6 *1109:7 0.0309155 +7 *1109:8 *1111:8 0.101488 +8 *1109:11 *1493:7 0 +9 *2473:la_data_in[49] *1109:11 0 +10 *761:8 *1109:8 0.000282057 +11 *820:8 *1109:8 0.000133943 +12 *822:8 *1109:8 0.000133943 +13 *853:7 *1109:11 0.0028024 +14 *1004:14 *1109:8 0.000101365 +15 *1006:8 *1109:8 0 +16 *1009:8 *1109:8 0.00135468 +17 *1093:8 *1109:8 0.0034942 +*RES +1 *2473:la_data_out[93] *1109:7 33.3524 +2 *1109:7 *1109:8 131.46 +3 *1109:8 *1109:10 3.36879 +4 *1109:10 *1109:11 54.1538 +5 *1109:11 *2472:la_data_out_core[93] 1.20912 +*END + +*D_NET *1110 0.24345 +*CONN +*I *2472:la_data_out_core[94] I *D mgmt_protect +*I *2473:la_data_out[94] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[94] 0.00173572 +2 *2473:la_data_out[94] 0.00227666 +3 *1110:8 0.0127994 +4 *1110:7 0.0110637 +5 *1110:5 0.00227666 +6 *2472:la_data_out_core[94] *1493:7 0 +7 *2472:la_data_out_core[94] *1494:10 0 +8 *1110:8 *1482:8 0.00670533 +9 *1110:8 *1491:8 0.0986278 +10 *1110:8 *1497:8 0.101894 +11 *2473:la_data_in[49] *2472:la_data_out_core[94] 0 +12 *854:7 *2472:la_data_out_core[94] 0 +13 *855:5 *2472:la_data_out_core[94] 0 +14 *1060:5 *2472:la_data_out_core[94] 0 +15 *1097:8 *1110:8 0.00543548 +16 *1103:8 *1110:8 0 +17 *1108:8 *1110:8 0.000635094 +*RES +1 *2473:la_data_out[94] *1110:5 48.2547 +2 *1110:5 *1110:7 3.36879 +3 *1110:7 *1110:8 133.143 +4 *1110:8 *2472:la_data_out_core[94] 39.166 +*END + +*D_NET *1111 0.252595 +*CONN +*I *2472:la_data_out_core[95] I *D mgmt_protect +*I *2473:la_data_out[95] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[95] 0.00270416 +2 *2473:la_data_out[95] 0.00142664 +3 *1111:10 0.00270416 +4 *1111:8 0.0144407 +5 *1111:7 0.0158673 +6 *2472:la_data_out_core[95] *2473:la_oenb[49] 0 +7 *2472:la_data_out_core[95] *1495:7 0 +8 *1111:8 *1490:10 0 +9 *1111:8 *1496:8 0.104979 +10 *855:5 *2472:la_data_out_core[95] 0 +11 *1006:8 *1111:8 0 +12 *1009:8 *1111:8 0.000163504 +13 *1012:8 *1111:8 0.00185603 +14 *1091:8 *1111:8 0.00317401 +15 *1102:8 *1111:8 0.00379169 +16 *1109:8 *1111:8 0.101488 +*RES +1 *2473:la_data_out[95] *1111:7 34.1829 +2 *1111:7 *1111:8 134.979 +3 *1111:8 *1111:10 3.36879 +4 *1111:10 *2472:la_data_out_core[95] 53.2378 +*END + +*D_NET *1112 0.21592 +*CONN +*I *2472:la_data_out_core[96] I *D mgmt_protect +*I *2473:la_data_out[96] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[96] 0.00154341 +2 *2473:la_data_out[96] 0.00233283 +3 *1112:8 0.00828645 +4 *1112:7 0.00674304 +5 *1112:5 0.00233283 +6 *2472:la_data_out_core[96] *1495:7 0 +7 *2472:la_data_out_core[96] *1496:5 0 +8 *1112:8 *1114:8 0.000173271 +9 *1112:8 *1376:8 0.00841036 +10 *1112:8 *1495:8 0.0929249 +11 *1112:8 *1499:8 0.0917148 +12 *2473:la_data_in[50] *2472:la_data_out_core[96] 0.000248649 +13 *856:7 *2472:la_data_out_core[96] 0 +14 *1062:8 *1112:8 0.000785032 +15 *1063:8 *1112:8 0.000424402 +*RES +1 *2473:la_data_out[96] *1112:5 50.1234 +2 *1112:5 *1112:7 4.5 +3 *1112:7 *1112:8 991.8 +4 *1112:8 *2472:la_data_out_core[96] 38.4285 +*END + +*D_NET *1113 0.260847 +*CONN +*I *2472:la_data_out_core[97] I *D mgmt_protect +*I *2473:la_data_out[97] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[97] 2.27669e-05 +2 *2473:la_data_out[97] 0.00148407 +3 *1113:11 0.00241737 +4 *1113:10 0.0023946 +5 *1113:8 0.0141243 +6 *1113:7 0.0156084 +7 *1113:8 *1115:8 4.42985e-05 +8 *1113:8 *1490:10 0 +9 *1113:8 *1492:14 0.0049225 +10 *1113:8 *1494:20 0.00446762 +11 *1113:8 *1496:8 0.107418 +12 *1113:8 *1498:18 0.107067 +13 *1113:11 *1497:7 0 +14 *857:5 *1113:11 0 +15 *1062:5 *1113:11 0.000875879 +*RES +1 *2473:la_data_out[97] *1113:7 35.844 +2 *1113:7 *1113:8 138.574 +3 *1113:8 *1113:10 3.36879 +4 *1113:10 *1113:11 51.6623 +5 *1113:11 *2472:la_data_out_core[97] 0.647305 +*END + +*D_NET *1114 0.264175 +*CONN +*I *2472:la_data_out_core[98] I *D mgmt_protect +*I *2473:la_data_out[98] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[98] 0.00165819 +2 *2473:la_data_out[98] 0.00227985 +3 *1114:8 0.0127564 +4 *1114:7 0.0110982 +5 *1114:5 0.00227985 +6 *2472:la_data_out_core[98] *1497:7 0 +7 *2472:la_data_out_core[98] *1498:13 0 +8 *1114:8 *1376:8 0.106987 +9 *1114:8 *1493:8 0.00800388 +10 *1114:8 *1495:8 0.00961415 +11 *1114:8 *1497:8 0.109165 +12 *1114:8 *1499:8 0.000159297 +13 *858:7 *2472:la_data_out_core[98] 0 +14 *859:5 *2472:la_data_out_core[98] 0 +15 *1103:8 *1114:8 0 +16 *1112:8 *1114:8 0.000173271 +*RES +1 *2473:la_data_out[98] *1114:5 49.9158 +2 *1114:5 *1114:7 3.36879 +3 *1114:7 *1114:8 140.562 +4 *1114:8 *2472:la_data_out_core[98] 37.505 +*END + +*D_NET *1115 0.268497 +*CONN +*I *2472:la_data_out_core[99] I *D mgmt_protect +*I *2473:la_data_out[99] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[99] 4.25268e-05 +2 *2473:la_data_out[99] 0.00156302 +3 *1115:11 0.00230795 +4 *1115:10 0.00226542 +5 *1115:8 0.0154616 +6 *1115:7 0.0170246 +7 *1115:8 *1377:8 0.00598824 +8 *1115:8 *1379:8 0.106446 +9 *1115:8 *1381:16 0.00641114 +10 *1115:8 *1490:10 0 +11 *1115:8 *1498:18 0.110132 +12 *1115:11 *1494:13 0 +13 *1115:11 *1498:13 1.9101e-05 +14 *1115:11 *1498:17 0.00044603 +15 *1115:11 *1499:7 0.000345453 +16 *2473:la_data_in[51] *1115:11 0 +17 *859:5 *1115:11 0 +18 *1113:8 *1115:8 4.42985e-05 +*RES +1 *2473:la_data_out[99] *1115:7 37.505 +2 *1115:7 *1115:8 142.169 +3 *1115:8 *1115:10 3.36879 +4 *1115:10 *1115:11 50.0013 +5 *1115:11 *2472:la_data_out_core[99] 1.20912 +*END + +*D_NET *1116 0.0399526 +*CONN +*I *2472:la_data_out_core[9] I *D mgmt_protect +*I *2473:la_data_out[9] O *D user_analog_project_wrapper +*CAP +1 *2472:la_data_out_core[9] 0.0013551 +2 *2473:la_data_out[9] 0.00177879 +3 *1116:8 0.00262191 +4 *1116:7 0.00126681 +5 *1116:5 0.00177879 +6 *2472:la_data_out_core[9] *1489:7 0 +7 *2472:la_data_out_core[9] *1500:7 0 +8 *1116:5 *1757:5 0.000385114 +9 *1116:8 *1489:8 0.0153647 +10 *1116:8 *1500:8 0.0152182 +11 *783:8 *1116:8 0.000167272 +12 *860:7 *2472:la_data_out_core[9] 0 +13 *860:8 *1116:8 1.59204e-05 +*RES +1 *2473:la_data_out[9] *1116:5 46.8014 +2 *1116:5 *1116:7 4.5 +3 *1116:7 *1116:8 167.659 +4 *1116:8 *2472:la_data_out_core[9] 41.7506 +*END + +*D_NET *1117 0.150044 +*CONN +*I *2472:la_iena_mprj[0] I *D mgmt_protect +*I *2478:la_iena[0] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[0] 0.00114772 +2 *2478:la_iena[0] 8.29983e-05 +3 *1117:10 0.0168718 +4 *1117:9 0.0157241 +5 *1117:7 0.00228247 +6 *1117:5 0.00236547 +7 *2472:la_iena_mprj[0] *2472:la_oenb_mprj[0] 0 +8 *1117:10 *1156:10 0.0168527 +9 *2472:la_data_out_mprj[0] *2472:la_iena_mprj[0] 0 +10 *2478:la_input[0] *1117:7 0 +11 *66:37 *1117:7 0 +12 *912:10 *1117:10 0.0212292 +13 *922:10 *1117:10 0.0734873 +*RES +1 *2478:la_iena[0] *1117:5 2.05183 +2 *1117:5 *1117:7 63.0817 +3 *1117:7 *1117:9 4.5 +4 *1117:9 *1117:10 845.385 +5 *1117:10 *2472:la_iena_mprj[0] 28.8777 +*END + +*D_NET *1118 0.0847728 +*CONN +*I *2472:la_iena_mprj[100] I *D mgmt_protect +*I *2478:la_iena[100] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[100] 6.22868e-05 +2 *2478:la_iena[100] 0.00127802 +3 *1118:13 0.00157687 +4 *1118:12 0.00551086 +5 *1118:9 0.0052743 +6 *1118:12 *1232:10 0.000456167 +7 *1118:12 *1234:10 0.000598562 +8 *1118:12 *1246:12 0.000461614 +9 *1118:12 *1248:10 0.0323247 +10 *1118:12 *1371:12 0.033113 +11 *1118:12 *1678:8 0.000123271 +12 *1118:13 *2472:la_oenb_mprj[100] 0.00338115 +13 *2472:la_data_out_mprj[100] *1118:13 0 +14 *2478:la_input[100] *1118:9 0 +15 *606:7 *1118:13 0 +16 *608:11 *1118:9 0 +17 *715:11 *1118:13 0.000600483 +18 *987:9 *1118:9 0 +19 *987:12 *1118:12 1.15279e-05 +*RES +1 *2478:la_iena[100] *1118:9 32.1677 +2 *1118:9 *1118:12 47.2475 +3 *1118:12 *1118:13 60.7978 +4 *1118:13 *2472:la_iena_mprj[100] 1.77093 +*END + +*D_NET *1119 0.0738295 +*CONN +*I *2472:la_iena_mprj[101] I *D mgmt_protect +*I *2478:la_iena[101] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[101] 0.000898442 +2 *2478:la_iena[101] 5.96331e-05 +3 *1119:10 0.00404109 +4 *1119:9 0.00314264 +5 *1119:7 0.00281332 +6 *1119:5 0.00287295 +7 *2472:la_iena_mprj[101] *2472:la_oenb_mprj[101] 0 +8 *1119:7 *2472:mprj_adr_o_core[14] 0 +9 *1119:10 *1120:16 0.000713504 +10 *1119:10 *1122:16 0.0285158 +11 *1119:10 *1357:16 4.37921e-05 +12 *1119:10 *1679:8 7.92757e-06 +13 *2472:la_data_out_mprj[101] *2472:la_iena_mprj[101] 0 +14 *2478:la_input[101] *1119:7 0 +15 *723:8 *1119:10 0 +16 *731:8 *1119:10 0.027539 +17 *862:9 *1119:7 0 +18 *865:15 *1119:7 0.00173403 +19 *865:16 *1119:10 0.00144738 +*RES +1 *2478:la_iena[101] *1119:5 1.49002 +2 *1119:5 *1119:7 65.158 +3 *1119:7 *1119:9 4.5 +4 *1119:9 *1119:10 331.267 +5 *1119:10 *2472:la_iena_mprj[101] 26.8015 +*END + +*D_NET *1120 0.075149 +*CONN +*I *2472:la_iena_mprj[102] I *D mgmt_protect +*I *2478:la_iena[102] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[102] 0.000932042 +2 *2478:la_iena[102] 0.00166165 +3 *1120:16 0.00397311 +4 *1120:15 0.00484689 +5 *1120:9 0.00346747 +6 *2472:la_iena_mprj[102] *2472:la_oenb_mprj[102] 0 +7 *1120:9 *2472:mprj_dat_o_core[16] 0.000300728 +8 *1120:9 *1656:5 0.000309963 +9 *1120:15 *2472:mprj_adr_o_core[14] 0 +10 *1120:15 *1647:10 0.000141764 +11 *1120:15 *1654:7 0.000271428 +12 *1120:16 *1122:16 0.0290913 +13 *1120:16 *1250:10 0.0288811 +14 *2472:la_data_out_mprj[102] *2472:la_iena_mprj[102] 0 +15 *2478:la_input[102] *1120:9 0 +16 *723:8 *1120:16 0.000328922 +17 *863:9 *1120:9 0 +18 *864:10 *1120:15 0 +19 *867:10 *1120:15 0.000229186 +20 *1119:10 *1120:16 0.000713504 +*RES +1 *2478:la_iena[102] *1120:9 44.3031 +2 *1120:9 *1120:15 49.781 +3 *1120:15 *1120:16 329.049 +4 *1120:16 *2472:la_iena_mprj[102] 27.632 +*END + +*D_NET *1121 0.0577357 +*CONN +*I *2472:la_iena_mprj[103] I *D mgmt_protect +*I *2478:la_iena[103] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[103] 0.00160054 +2 *2478:la_iena[103] 0.00166878 +3 *1121:16 0.00382265 +4 *1121:15 0.00284808 +5 *1121:10 0.00320207 +6 *1121:9 0.00424487 +7 *2472:la_iena_mprj[103] *2472:la_oenb_mprj[103] 0 +8 *1121:10 *1122:12 0.00116741 +9 *1121:10 *1239:10 0.000241935 +10 *1121:10 *1366:10 0.000851028 +11 *1121:10 *2186:24 0.000724555 +12 *1121:15 *1238:9 4.01573e-05 +13 *1121:16 *1135:14 0.00585757 +14 *1121:16 *1251:16 0.0128766 +15 *2472:la_data_out_mprj[103] *2472:la_iena_mprj[103] 0 +16 *2478:la_input[103] *1121:9 0 +17 *2478:la_input[94] *1121:15 0 +18 *607:14 *1121:10 2.493e-05 +19 *610:14 *1121:10 0.000986034 +20 *631:5 *1121:15 0 +21 *717:8 *1121:16 0.00153273 +22 *719:8 *1121:16 0.00155451 +23 *720:8 *1121:16 0.000479461 +24 *727:8 *1121:10 0.000436184 +25 *862:10 *1121:10 0.00426871 +26 *864:9 *1121:9 0 +27 *864:10 *1121:10 0.00615173 +28 *870:16 *1121:16 0.000580087 +29 *877:10 *1121:16 0.000120718 +30 *879:14 *1121:16 9.16785e-05 +31 *886:10 *1121:16 0.000371284 +32 *887:10 *1121:16 0.000330596 +33 *982:10 *1121:10 0.00132029 +34 *984:10 *1121:10 0.000205493 +35 *985:12 *1121:10 0.000135066 +*RES +1 *2478:la_iena[103] *1121:9 40.1506 +2 *1121:9 *1121:10 180.97 +3 *1121:10 *1121:15 23.2896 +4 *1121:15 *1121:16 173.205 +5 *1121:16 *2472:la_iena_mprj[103] 44.2421 +*END + +*D_NET *1122 0.0779805 +*CONN +*I *2472:la_iena_mprj[104] I *D mgmt_protect +*I *2478:la_iena[104] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[104] 0.000911389 +2 *2478:la_iena[104] 0.00166518 +3 *1122:16 0.00409344 +4 *1122:15 0.00486502 +5 *1122:12 0.00227964 +6 *1122:9 0.00226185 +7 *2472:la_iena_mprj[104] *2472:la_oenb_mprj[104] 0 +8 *2472:la_iena_mprj[104] *1359:13 6.97064e-05 +9 *1122:9 *2472:mprj_adr_o_core[23] 5.47232e-06 +10 *1122:9 *2472:mprj_dat_o_core[22] 4.63742e-05 +11 *1122:9 *1607:15 0 +12 *1122:12 *1593:30 1.45065e-05 +13 *1122:12 *1658:8 0 +14 *1122:12 *1659:8 0 +15 *1122:12 *1661:8 0.000205749 +16 *1122:12 *1663:12 0.000111708 +17 *1122:12 *1726:10 0.000265631 +18 *1122:12 *1727:24 2.16355e-05 +19 *1122:15 *2472:mprj_adr_o_core[17] 0 +20 *1122:15 *1658:5 0 +21 *1122:16 *1250:10 0.000888955 +22 *1122:16 *1679:8 0.000455956 +23 *2472:la_data_out_mprj[104] *2472:la_iena_mprj[104] 0 +24 *610:14 *1122:12 0.000352103 +25 *864:10 *1122:12 0.000691691 +26 *865:9 *1122:9 0 +27 *1119:10 *1122:16 0.0285158 +28 *1120:16 *1122:16 0.0290913 +29 *1121:10 *1122:12 0.00116741 +*RES +1 *2478:la_iena[104] *1122:9 40.5659 +2 *1122:9 *1122:12 37.3904 +3 *1122:12 *1122:15 35.3997 +4 *1122:15 *1122:16 333.486 +5 *1122:16 *2472:la_iena_mprj[104] 27.2167 +*END + +*D_NET *1123 0.0805729 +*CONN +*I *2472:la_iena_mprj[105] I *D mgmt_protect +*I *2478:la_iena[105] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[105] 0.00159275 +2 *2478:la_iena[105] 0.00218882 +3 *1123:14 0.00549904 +4 *1123:13 0.00609511 +5 *2472:la_iena_mprj[105] *2472:la_oenb_mprj[105] 0 +6 *2472:la_iena_mprj[105] *1360:15 0 +7 *2472:la_iena_mprj[105] *1362:15 0.000487195 +8 *1123:13 *2472:mprj_dat_o_core[25] 0 +9 *1123:13 *1141:15 0.000121156 +10 *1123:13 *1147:15 7.09666e-06 +11 *1123:13 *1667:7 0 +12 *1123:14 *1124:10 0 +13 *1123:14 *1126:10 0.000269759 +14 *1123:14 *1129:16 0.0294006 +15 *1123:14 *1130:14 0.00101876 +16 *1123:14 *1249:10 0 +17 *2472:la_data_out_mprj[105] *2472:la_iena_mprj[105] 0 +18 *2478:la_input[105] *1123:13 0 +19 *2478:la_input[86] *2472:la_iena_mprj[105] 8.8538e-05 +20 *611:7 *2472:la_iena_mprj[105] 0 +21 *718:8 *1123:14 9.43344e-05 +22 *720:8 *1123:14 0 +23 *869:10 *1123:14 0.000163429 +24 *870:16 *1123:14 0.000111438 +25 *871:10 *1123:14 0.032718 +26 *889:10 *1123:14 0.000716813 +*RES +1 *2478:la_iena[105] *1123:13 49.7503 +2 *1123:13 *1123:14 379.518 +3 *1123:14 *2472:la_iena_mprj[105] 47.9793 +*END + +*D_NET *1124 0.085709 +*CONN +*I *2472:la_iena_mprj[106] I *D mgmt_protect +*I *2478:la_iena[106] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[106] 0.00187764 +2 *2478:la_iena[106] 0.00209123 +3 *1124:12 0.00187764 +4 *1124:10 0.0031496 +5 *1124:9 0.00524083 +6 *2472:la_iena_mprj[106] *2472:la_oenb_mprj[106] 0 +7 *1124:9 *2472:mprj_dat_o_core[28] 0 +8 *1124:9 *1143:15 8.62625e-06 +9 *1124:9 *1257:15 8.01597e-05 +10 *1124:10 *1125:10 0.0358506 +11 *1124:10 *1129:10 7.68538e-06 +12 *1124:10 *1136:10 0.000310528 +13 *1124:10 *1141:10 0.00161542 +14 *1124:10 *1249:10 0.0320173 +15 *2472:la_data_out_mprj[106] *2472:la_iena_mprj[106] 0 +16 *2478:la_input[106] *1124:9 0 +17 *612:5 *2472:la_iena_mprj[106] 0 +18 *613:5 *2472:la_iena_mprj[106] 0 +19 *866:10 *1124:10 0.00100215 +20 *867:9 *1124:9 0 +21 *869:10 *1124:10 8.90486e-05 +22 *888:10 *1124:10 0.000490499 +23 *1123:14 *1124:10 0 +*RES +1 *2478:la_iena[106] *1124:9 48.0404 +2 *1124:9 *1124:10 389.501 +3 *1124:10 *1124:12 4.5 +4 *1124:12 *2472:la_iena_mprj[106] 45.9709 +*END + +*D_NET *1125 0.0907769 +*CONN +*I *2472:la_iena_mprj[107] I *D mgmt_protect +*I *2478:la_iena[107] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[107] 0.00165814 +2 *2478:la_iena[107] 0.00215058 +3 *1125:12 0.00165814 +4 *1125:10 0.00300849 +5 *1125:9 0.00515907 +6 *2472:la_iena_mprj[107] *2472:la_oenb_mprj[107] 0 +7 *2472:la_iena_mprj[107] *1365:15 0.000360628 +8 *1125:10 *1141:10 1.41853e-05 +9 *1125:10 *1143:10 0.00190027 +10 *2472:la_data_out_mprj[107] *2472:la_iena_mprj[107] 0 +11 *2478:la_input[107] *1125:9 0 +12 *2478:la_input[87] *2472:la_iena_mprj[107] 0.000253826 +13 *614:5 *2472:la_iena_mprj[107] 0 +14 *868:12 *1125:9 0 +15 *869:10 *1125:10 0.0377856 +16 *888:10 *1125:10 0.000977475 +17 *1124:10 *1125:10 0.0358506 +*RES +1 *2478:la_iena[107] *1125:9 48.4557 +2 *1125:9 *1125:10 401.147 +3 *1125:10 *1125:12 4.5 +4 *1125:12 *2472:la_iena_mprj[107] 45.5556 +*END + +*D_NET *1126 0.0923857 +*CONN +*I *2472:la_iena_mprj[108] I *D mgmt_protect +*I *2478:la_iena[108] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[108] 0.00181927 +2 *2478:la_iena[108] 0.00220844 +3 *1126:10 0.00495474 +4 *1126:9 0.00534391 +5 *2472:la_iena_mprj[108] *2472:la_oenb_mprj[108] 0 +6 *1126:9 *1263:15 4.15661e-05 +7 *1126:10 *1127:10 0.0380511 +8 *2472:la_data_out_mprj[108] *2472:la_iena_mprj[108] 0 +9 *2478:la_input[108] *1126:9 0 +10 *614:5 *2472:la_iena_mprj[108] 0 +11 *615:5 *2472:la_iena_mprj[108] 0 +12 *869:9 *1126:9 0 +13 *869:10 *1126:10 0.000371267 +14 *871:10 *1126:10 0.0382613 +15 *888:10 *1126:10 0.00106437 +16 *1123:14 *1126:10 0.000269759 +*RES +1 *2478:la_iena[108] *1126:9 49.7014 +2 *1126:9 *1126:10 412.794 +3 *1126:10 *2472:la_iena_mprj[108] 48.8099 +*END + +*D_NET *1127 0.0954673 +*CONN +*I *2472:la_iena_mprj[109] I *D mgmt_protect +*I *2478:la_iena[109] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[109] 0.00184754 +2 *2478:la_iena[109] 0.00195155 +3 *1127:10 0.00506372 +4 *1127:9 0.00516773 +5 *2472:la_iena_mprj[109] *2472:la_oenb_mprj[109] 0 +6 *2472:la_iena_mprj[109] *1369:15 0 +7 *1127:9 *1577:16 7.93528e-05 +8 *1127:9 *2193:8 0.000501462 +9 *1127:10 *1259:10 0.000183223 +10 *1127:10 *1263:10 0.00198068 +11 *1127:10 *1265:10 0.00108851 +12 *2472:la_data_out_mprj[109] *2472:la_iena_mprj[109] 0 +13 *2478:la_input[109] *1127:9 0 +14 *2478:la_input[88] *2472:la_iena_mprj[109] 0 +15 *617:5 *2472:la_iena_mprj[109] 0 +16 *869:10 *1127:10 0.0375902 +17 *871:10 *1127:10 0.00037641 +18 *888:10 *1127:10 0.00158577 +19 *1126:10 *1127:10 0.0380511 +*RES +1 *2478:la_iena[109] *1127:9 49.2862 +2 *1127:9 *1127:10 424.441 +3 *1127:10 *2472:la_iena_mprj[109] 49.2251 +*END + +*D_NET *1128 0.182661 +*CONN +*I *2472:la_iena_mprj[10] I *D mgmt_protect +*I *2478:la_iena[10] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[10] 0.000741322 +2 *2478:la_iena[10] 8.29983e-05 +3 *1128:10 0.00654817 +4 *1128:9 0.00580685 +5 *1128:7 0.00286202 +6 *1128:5 0.00294502 +7 *2472:la_iena_mprj[10] *2472:la_oenb_mprj[10] 0 +8 *1128:10 *1148:10 0 +9 *1128:10 *1244:10 0.0784901 +10 *1128:10 *1256:10 0.0782409 +11 *1128:10 *1294:10 0.00308483 +12 *1128:10 *1372:10 0.000480973 +13 *2472:la_data_out_mprj[10] *2472:la_iena_mprj[10] 0 +14 *2478:la_input[10] *1128:7 0 +15 *637:11 *2472:la_iena_mprj[10] 2.27175e-05 +16 *908:10 *1128:10 0.00335543 +17 *988:9 *1128:7 0 +*RES +1 *2478:la_iena[10] *1128:5 2.05183 +2 *1128:5 *1128:7 69.1029 +3 *1128:7 *1128:9 3.36879 +4 *1128:9 *1128:10 100.558 +5 *1128:10 *2472:la_iena_mprj[10] 21.7254 +*END + +*D_NET *1129 0.0931903 +*CONN +*I *2472:la_iena_mprj[110] I *D mgmt_protect +*I *2478:la_iena[110] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[110] 0.00177544 +2 *2478:la_iena[110] 0.00199514 +3 *1129:16 0.00415811 +4 *1129:15 0.00261304 +5 *1129:10 0.00204632 +6 *1129:9 0.00381109 +7 *2472:la_iena_mprj[110] *2472:la_oenb_mprj[110] 0 +8 *1129:10 *1136:10 0.0100941 +9 *1129:10 *1257:10 0.000404207 +10 *1129:15 *2472:mprj_dat_o_core[21] 0 +11 *1129:15 *1663:7 3.95188e-05 +12 *1129:16 *1130:14 0.0285509 +13 *2472:la_data_out_mprj[110] *2472:la_iena_mprj[110] 0 +14 *2478:la_input[110] *1129:9 0 +15 *617:5 *2472:la_iena_mprj[110] 0 +16 *618:5 *2472:la_iena_mprj[110] 0 +17 *866:10 *1129:10 0.00167496 +18 *868:12 *1129:10 8.29362e-06 +19 *868:14 *1129:10 0.00549653 +20 *870:16 *1129:16 0.000111068 +21 *874:10 *1129:16 5.65165e-05 +22 *888:10 *1129:10 0.000107595 +23 *890:10 *1129:16 0.000114589 +24 *891:10 *1129:16 0.000724555 +25 *1123:14 *1129:16 0.0294006 +26 *1124:10 *1129:10 7.68538e-06 +*RES +1 *2478:la_iena[110] *1129:9 45.671 +2 *1129:9 *1129:10 125.509 +3 *1129:10 *1129:15 13.3235 +4 *1129:15 *1129:16 311.856 +5 *1129:16 *2472:la_iena_mprj[110] 47.5641 +*END + +*D_NET *1130 0.0944177 +*CONN +*I *2472:la_iena_mprj[111] I *D mgmt_protect +*I *2478:la_iena[111] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[111] 0.00177447 +2 *2478:la_iena[111] 0.00230323 +3 *1130:14 0.00546978 +4 *1130:12 0.00373789 +5 *1130:7 0.00234581 +6 *2472:la_iena_mprj[111] *2472:la_oenb_mprj[111] 0 +7 *1130:7 *1132:15 7.66983e-06 +8 *1130:7 *1269:15 2.43314e-05 +9 *1130:12 *1268:10 1.67988e-05 +10 *1130:12 *1269:10 6.08467e-05 +11 *1130:14 *1132:16 0.0404129 +12 *1130:14 *1147:10 0.00385789 +13 *1130:14 *1268:10 0.00175162 +14 *2472:la_data_out_mprj[111] *2472:la_iena_mprj[111] 0 +15 *2478:la_input[111] *1130:7 0 +16 *618:5 *2472:la_iena_mprj[111] 0 +17 *619:5 *2472:la_iena_mprj[111] 0 +18 *870:15 *1130:14 0.00073191 +19 *871:10 *1130:14 5.51483e-06 +20 *873:7 *1130:7 0 +21 *874:10 *1130:12 0.000111708 +22 *874:10 *1130:14 0.000735234 +23 *890:10 *1130:14 0.000124641 +24 *891:10 *1130:14 0.00137573 +25 *1123:14 *1130:14 0.00101876 +26 *1129:16 *1130:14 0.0285509 +*RES +1 *2478:la_iena[111] *1130:7 47.2777 +2 *1130:7 *1130:12 6.35672 +3 *1130:12 *1130:14 446.625 +4 *1130:14 *2472:la_iena_mprj[111] 47.1488 +*END + +*D_NET *1131 0.101576 +*CONN +*I *2472:la_iena_mprj[112] I *D mgmt_protect +*I *2478:la_iena[112] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[112] 0.00142689 +2 *2478:la_iena[112] 8.30061e-05 +3 *1131:10 0.00534854 +4 *1131:9 0.00392165 +5 *1131:7 0.00274998 +6 *1131:5 0.00283299 +7 *2472:la_iena_mprj[112] *2472:la_oenb_mprj[112] 0 +8 *1131:10 *1583:24 0.00115127 +9 *1131:10 *1598:24 0.00014568 +10 *2472:la_data_out_mprj[112] *2472:la_iena_mprj[112] 0 +11 *2478:la_input[112] *1131:7 0 +12 *620:5 *2472:la_iena_mprj[112] 0 +13 *873:10 *1131:10 0.0409782 +14 *874:7 *1131:7 0 +15 *875:10 *1131:10 0.000282235 +16 *876:10 *1131:10 0.042565 +17 *878:15 *1131:7 9.04208e-05 +*RES +1 *2478:la_iena[112] *1131:5 2.05183 +2 *1131:5 *1131:7 53.9461 +3 *1131:7 *1131:9 4.5 +4 *1131:9 *1131:10 459.935 +5 *1131:10 *2472:la_iena_mprj[112] 38.0133 +*END + +*D_NET *1132 0.10626 +*CONN +*I *2472:la_iena_mprj[113] I *D mgmt_protect +*I *2478:la_iena[113] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[113] 0.00178717 +2 *2478:la_iena[113] 0.00218572 +3 *1132:16 0.00498893 +4 *1132:15 0.00330362 +5 *1132:10 0.000383664 +6 *1132:7 0.00246752 +7 *2472:la_iena_mprj[113] *2472:la_oenb_mprj[113] 0 +8 *1132:10 *1147:10 0.0040117 +9 *1132:10 *1265:10 0.00401589 +10 *1132:15 *1269:15 7.26959e-06 +11 *2472:la_data_out_mprj[113] *2472:la_iena_mprj[113] 0 +12 *620:5 *2472:la_iena_mprj[113] 0 +13 *621:7 *2472:la_iena_mprj[113] 0 +14 *873:7 *1132:15 2.51488e-05 +15 *874:10 *1132:16 0.0404023 +16 *875:7 *1132:7 0 +17 *889:10 *1132:10 0.000338665 +18 *890:10 *1132:16 0.00192168 +19 *1130:7 *1132:15 7.66983e-06 +20 *1130:14 *1132:16 0.0404129 +*RES +1 *2478:la_iena[113] *1132:7 45.6167 +2 *1132:7 *1132:10 46.8187 +3 *1132:10 *1132:15 10.832 +4 *1132:15 *1132:16 428.877 +5 *1132:16 *2472:la_iena_mprj[113] 46.7336 +*END + +*D_NET *1133 0.107089 +*CONN +*I *2472:la_iena_mprj[114] I *D mgmt_protect +*I *2478:la_iena[114] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[114] 0.00151946 +2 *2478:la_iena[114] 8.30061e-05 +3 *1133:10 0.00536961 +4 *1133:9 0.00385015 +5 *1133:7 0.00264133 +6 *1133:5 0.00272434 +7 *2472:la_iena_mprj[114] *2472:la_oenb_mprj[114] 0 +8 *1133:7 *1275:19 7.09666e-06 +9 *1133:10 *1134:10 0.0446195 +10 *1133:10 *1585:24 0.0015278 +11 *2472:la_data_out_mprj[114] *2472:la_iena_mprj[114] 0 +12 *2478:la_input[114] *1133:7 0 +13 *622:7 *2472:la_iena_mprj[114] 0 +14 *722:11 *2472:la_iena_mprj[114] 0 +15 *875:10 *1133:10 0.000366369 +16 *876:7 *1133:7 0 +17 *877:10 *1133:10 0 +18 *878:16 *1133:10 0.0410764 +19 *880:10 *1133:10 0.00294008 +20 *880:14 *1133:10 0.000364336 +*RES +1 *2478:la_iena[114] *1133:5 2.05183 +2 *1133:5 *1133:7 52.2851 +3 *1133:7 *1133:9 4.5 +4 *1133:9 *1133:10 483.229 +5 *1133:10 *2472:la_iena_mprj[114] 39.6743 +*END + +*D_NET *1134 0.11067 +*CONN +*I *2472:la_iena_mprj[115] I *D mgmt_protect +*I *2478:la_iena[115] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[115] 0.00151173 +2 *2478:la_iena[115] 5.96331e-05 +3 *1134:10 0.00531782 +4 *1134:9 0.00380608 +5 *1134:7 0.00265273 +6 *1134:5 0.00271237 +7 *2472:la_iena_mprj[115] *1262:13 0 +8 *1134:7 *1589:21 2.67003e-05 +9 *1134:10 *1274:10 0.0033255 +10 *1134:10 *1275:14 0.00190673 +11 *1134:10 *1584:24 0.00205834 +12 *2472:la_data_out_mprj[115] *2472:la_iena_mprj[115] 0 +13 *622:11 *1134:7 0 +14 *875:10 *1134:10 0.0421852 +15 *876:10 *1134:10 0.000473003 +16 *877:7 *1134:7 0 +17 *880:10 *1134:10 1.41853e-05 +18 *1133:10 *1134:10 0.0446195 +*RES +1 *2478:la_iena[115] *1134:5 1.49002 +2 *1134:5 *1134:7 52.7004 +3 *1134:7 *1134:9 4.5 +4 *1134:9 *1134:10 494.875 +5 *1134:10 *2472:la_iena_mprj[115] 39.2591 +*END + +*D_NET *1135 0.111011 +*CONN +*I *2472:la_iena_mprj[116] I *D mgmt_protect +*I *2478:la_iena[116] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[116] 0.00174936 +2 *2478:la_iena[116] 0.00236968 +3 *1135:14 0.00526557 +4 *1135:12 0.00384427 +5 *1135:7 0.00269773 +6 *2472:la_iena_mprj[116] *2472:la_oenb_mprj[116] 0 +7 *1135:12 *1144:10 0.00131478 +8 *1135:12 *1598:18 0.000436811 +9 *1135:12 *1600:24 0.000152397 +10 *1135:14 *1144:10 0.0378679 +11 *1135:14 *1600:24 0.00140471 +12 *2472:la_data_out_mprj[116] *2472:la_iena_mprj[116] 0 +13 *623:11 *1135:7 0 +14 *723:11 *2472:la_iena_mprj[116] 0 +15 *878:7 *1135:7 0 +16 *879:10 *1135:12 0.00338505 +17 *879:10 *1135:14 1.67988e-05 +18 *879:12 *1135:12 9.95922e-06 +19 *879:14 *1135:14 0.0443422 +20 *887:10 *1135:14 0.00029642 +21 *1121:16 *1135:14 0.00585757 +*RES +1 *2478:la_iena[116] *1135:7 48.9387 +2 *1135:7 *1135:12 40.7421 +3 *1135:12 *1135:14 471.027 +4 *1135:14 *2472:la_iena_mprj[116] 44.6573 +*END + +*D_NET *1136 0.101704 +*CONN +*I *2472:la_iena_mprj[117] I *D mgmt_protect +*I *2478:la_iena[117] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[117] 0.00132282 +2 *2478:la_iena[117] 0.00200872 +3 *1136:16 0.00421738 +4 *1136:15 0.00377636 +5 *1136:10 0.00482579 +6 *1136:9 0.00595271 +7 *2472:la_iena_mprj[117] *2472:la_oenb_mprj[117] 0 +8 *1136:10 *1141:10 0.0227303 +9 *1136:10 *1257:10 0 +10 *1136:10 *1659:8 0 +11 *1136:15 *2472:mprj_adr_o_core[25] 4.98393e-05 +12 *1136:15 *1250:7 0 +13 *1136:15 *1666:5 0.000272294 +14 *1136:16 *1141:16 0.000713504 +15 *1136:16 *1143:16 0.0203826 +16 *1136:16 *1265:16 0.0242458 +17 *2472:la_data_out_mprj[117] *2472:la_iena_mprj[117] 0 +18 *624:11 *1136:9 0 +19 *864:16 *1136:16 0.000245858 +20 *866:9 *1136:15 8.62625e-06 +21 *873:10 *1136:16 0 +22 *879:7 *1136:9 0 +23 *888:10 *1136:10 0.000547189 +24 *1124:10 *1136:10 0.000310528 +25 *1129:10 *1136:10 0.0100941 +*RES +1 *2478:la_iena[117] *1136:9 47.2099 +2 *1136:9 *1136:10 248.077 +3 *1136:10 *1136:15 26.1963 +4 *1136:15 *1136:16 269.706 +5 *1136:16 *2472:la_iena_mprj[117] 34.276 +*END + +*D_NET *1137 0.115763 +*CONN +*I *2472:la_iena_mprj[118] I *D mgmt_protect +*I *2478:la_iena[118] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[118] 0.00182876 +2 *2478:la_iena[118] 0.00226325 +3 *1137:16 0.00513175 +4 *1137:15 0.00336103 +5 *1137:10 0.000770855 +6 *1137:9 0.000712827 +7 *1137:7 0.00226325 +8 *2472:la_iena_mprj[118] *2472:la_oenb_mprj[118] 0 +9 *1137:10 *1269:10 0.00191074 +10 *1137:10 *1597:16 0.00733588 +11 *1137:10 *1597:22 0.000388082 +12 *1137:10 *1597:24 6.50727e-05 +13 *1137:16 *1597:24 0.0102139 +14 *1137:16 *1600:24 0.00010238 +15 *1137:16 *1605:24 0.00140471 +16 *2472:la_data_out_mprj[118] *2472:la_iena_mprj[118] 0 +17 *625:11 *1137:7 0 +18 *870:16 *1137:16 0.0314684 +19 *874:10 *1137:16 1.41853e-05 +20 *876:7 *1137:15 1.24189e-05 +21 *878:10 *1137:10 0.00400945 +22 *879:14 *1137:16 0.0417171 +23 *880:7 *1137:7 0 +24 *890:10 *1137:10 0.000572015 +25 *890:10 *1137:16 0.000216928 +*RES +1 *2478:la_iena[118] *1137:7 47.6929 +2 *1137:7 *1137:9 4.5 +3 *1137:9 *1137:10 87.2416 +4 *1137:10 *1137:15 10.0015 +5 *1137:15 *1137:16 442.742 +6 *1137:16 *2472:la_iena_mprj[118] 45.4878 +*END + +*D_NET *1138 0.117992 +*CONN +*I *2472:la_iena_mprj[119] I *D mgmt_protect +*I *2478:la_iena[119] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[119] 0.00156777 +2 *2478:la_iena[119] 3.626e-05 +3 *1138:20 0.00516496 +4 *1138:19 0.00374596 +5 *1138:10 0.000755118 +6 *1138:9 0.000606343 +7 *1138:7 0.0024557 +8 *1138:5 0.00249196 +9 *2472:la_iena_mprj[119] *2472:la_oenb_mprj[119] 0 +10 *1138:10 *1588:24 0.000574932 +11 *1138:20 *1586:24 0.000108607 +12 *1138:20 *1588:24 0.00198471 +13 *2472:la_data_out_mprj[119] *2472:la_iena_mprj[119] 0 +14 *626:11 *1138:7 0 +15 *628:7 *2472:la_iena_mprj[119] 0 +16 *724:11 *2472:la_iena_mprj[119] 0.000259105 +17 *877:10 *1138:20 0.00015542 +18 *881:10 *1138:20 1.92172e-05 +19 *881:14 *1138:20 0.0440273 +20 *884:10 *1138:10 0.00161884 +21 *884:14 *1138:10 0.00240578 +22 *884:14 *1138:20 0.000381458 +23 *885:10 *1138:10 0.00696638 +24 *885:14 *1138:20 0.0426659 +*RES +1 *2478:la_iena[119] *1138:5 0.928211 +2 *1138:5 *1138:7 50.2089 +3 *1138:7 *1138:9 4.5 +4 *1138:9 *1138:10 73.3765 +5 *1138:10 *1138:19 11.0518 +6 *1138:19 *1138:20 468.254 +7 *1138:20 *2472:la_iena_mprj[119] 41.7506 +*END + +*D_NET *1139 0.15427 +*CONN +*I *2472:la_iena_mprj[11] I *D mgmt_protect +*I *2478:la_iena[11] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[11] 0.00147305 +2 *2478:la_iena[11] 0.00140873 +3 *1139:18 0.00339168 +4 *1139:10 0.00659866 +5 *1139:9 0.00608876 +6 *2472:la_iena_mprj[11] *2472:la_oenb_mprj[11] 0 +7 *1139:10 *1155:10 1.92172e-05 +8 *1139:10 *1267:10 0.0629386 +9 *1139:10 *1317:10 0.00349721 +10 *1139:10 *1339:10 0.00025506 +11 *1139:18 *2472:la_iena_mprj[6] 1.80266e-05 +12 *1139:18 *2472:la_oenb_mprj[6] 0.000355655 +13 *1139:18 *1154:10 0.00187111 +14 *1139:18 *1267:15 0.00103186 +15 *2472:la_data_out_mprj[11] *2472:la_iena_mprj[11] 0 +16 *2478:la_input[11] *1139:9 0 +17 *2478:la_input[44] *1139:18 4.3116e-06 +18 *677:14 *1139:10 0.000427125 +19 *872:7 *1139:9 0 +20 *896:10 *1139:18 0.00187111 +21 *977:10 *1139:10 0.0622698 +22 *988:10 *1139:10 0.000750112 +*RES +1 *2478:la_iena[11] *1139:9 38.9049 +2 *1139:9 *1139:10 675.121 +3 *1139:10 *1139:18 40.7334 +4 *1139:18 *2472:la_iena_mprj[11] 31.6447 +*END + +*D_NET *1140 0.120458 +*CONN +*I *2472:la_iena_mprj[120] I *D mgmt_protect +*I *2478:la_iena[120] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[120] 0.000515374 +2 *2478:la_iena[120] 8.30061e-05 +3 *1140:10 0.0050055 +4 *1140:9 0.00449013 +5 *1140:7 0.00386084 +6 *1140:5 0.00394384 +7 *2472:la_iena_mprj[120] *2472:la_oenb_mprj[120] 0 +8 *1140:10 *1142:10 0.0504008 +9 *2472:la_data_out_mprj[120] *2472:la_iena_mprj[120] 0 +10 *628:8 *1140:10 0.052002 +11 *628:11 *1140:7 0 +12 *630:8 *1140:10 0.000156579 +13 *882:7 *1140:7 0 +*RES +1 *2478:la_iena[120] *1140:5 2.05183 +2 *1140:5 *1140:7 76.3698 +3 *1140:7 *1140:9 4.5 +4 *1140:9 *1140:10 553.109 +5 *1140:10 *2472:la_iena_mprj[120] 15.5897 +*END + +*D_NET *1141 0.108956 +*CONN +*I *2472:la_iena_mprj[121] I *D mgmt_protect +*I *2478:la_iena[121] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[121] 0.00140666 +2 *2478:la_iena[121] 0.00201649 +3 *1141:16 0.00527283 +4 *1141:15 0.00457709 +5 *1141:10 0.00421462 +6 *1141:9 0.00552019 +7 *2472:la_iena_mprj[121] *2472:la_oenb_mprj[121] 0 +8 *1141:10 *1143:10 0.0287926 +9 *1141:15 *1147:15 0.000524551 +10 *1141:15 *1667:7 0.000131931 +11 *1141:16 *1143:16 0.0211045 +12 *1141:16 *1147:16 0.0030333 +13 *1141:16 *1589:22 0.00565466 +14 *2472:la_data_out_mprj[121] *2472:la_iena_mprj[121] 0 +15 *629:11 *1141:9 0 +16 *873:10 *1141:16 0 +17 *884:7 *1141:9 0 +18 *888:10 *1141:10 0.00151249 +19 *1123:13 *1141:15 0.000121156 +20 *1124:10 *1141:10 0.00161542 +21 *1125:10 *1141:10 1.41853e-05 +22 *1136:10 *1141:10 0.0227303 +23 *1136:16 *1141:16 0.000713504 +*RES +1 *2478:la_iena[121] *1141:9 47.6252 +2 *1141:9 *1141:10 321.839 +3 *1141:10 *1141:15 24.9506 +4 *1141:15 *1141:16 243.085 +5 *1141:16 *2472:la_iena_mprj[121] 35.1065 +*END + +*D_NET *1142 0.123716 +*CONN +*I *2472:la_iena_mprj[122] I *D mgmt_protect +*I *2478:la_iena[122] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[122] 0.000543661 +2 *2478:la_iena[122] 8.30061e-05 +3 *1142:10 0.00527888 +4 *1142:9 0.00473522 +5 *1142:7 0.00380131 +6 *1142:5 0.00388432 +7 *2472:la_iena_mprj[122] *2472:la_oenb_mprj[122] 0 +8 *2472:la_data_out_mprj[122] *2472:la_iena_mprj[122] 0 +9 *471:27 *1142:10 0 +10 *628:8 *1142:10 0.00020979 +11 *629:8 *1142:10 0.00051659 +12 *630:8 *1142:10 0.0542625 +13 *885:7 *1142:7 0 +14 *1140:10 *1142:10 0.0504008 +*RES +1 *2478:la_iena[122] *1142:5 2.05183 +2 *1142:5 *1142:7 75.9545 +3 *1142:7 *1142:9 4.5 +4 *1142:9 *1142:10 576.402 +5 *1142:10 *2472:la_iena_mprj[122] 16.0049 +*END + +*D_NET *1143 0.112991 +*CONN +*I *2472:la_iena_mprj[123] I *D mgmt_protect +*I *2478:la_iena[123] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[123] 0.00141256 +2 *2478:la_iena[123] 0.00202426 +3 *1143:16 0.00363582 +4 *1143:15 0.00312534 +5 *1143:10 0.00582783 +6 *1143:9 0.00695002 +7 *2472:la_iena_mprj[123] *2472:la_oenb_mprj[123] 0 +8 *1143:10 *1147:10 0 +9 *1143:10 *1259:10 0.00993967 +10 *1143:10 *1263:10 0.00352416 +11 *1143:10 *1265:10 0.000659929 +12 *1143:15 *2472:mprj_adr_o_core[29] 2.95757e-05 +13 *1143:15 *1257:15 0.000121929 +14 *1143:16 *1265:16 0.00102025 +15 *1143:16 *1589:22 0.000685805 +16 *1143:16 *1602:30 6.08467e-05 +17 *2472:la_data_out_mprj[123] *2472:la_iena_mprj[123] 0 +18 *2478:la_input[123] *1143:9 0 +19 *869:10 *1143:10 0.000279817 +20 *886:7 *1143:9 0 +21 *888:10 *1143:10 0.0015042 +22 *1124:9 *1143:15 8.62625e-06 +23 *1125:10 *1143:10 0.00190027 +24 *1136:16 *1143:16 0.0203826 +25 *1141:10 *1143:10 0.0287926 +26 *1141:16 *1143:16 0.0211045 +*RES +1 *2478:la_iena[123] *1143:9 48.0404 +2 *1143:9 *1143:10 344.578 +3 *1143:10 *1143:15 24.9506 +4 *1143:15 *1143:16 243.64 +5 *1143:16 *2472:la_iena_mprj[123] 34.6913 +*END + +*D_NET *1144 0.131915 +*CONN +*I *2472:la_iena_mprj[124] I *D mgmt_protect +*I *2478:la_iena[124] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[124] 0.00188508 +2 *2478:la_iena[124] 0.00233983 +3 *1144:10 0.00634576 +4 *1144:9 0.00446068 +5 *1144:7 0.00233983 +6 *2472:la_iena_mprj[124] *2472:la_oenb_mprj[124] 0 +7 *1144:10 *1589:16 0.000120974 +8 *1144:10 *1598:18 0.0158046 +9 *1144:10 *1599:24 0.00331572 +10 *2472:la_data_out_mprj[124] *2472:la_iena_mprj[124] 0 +11 *632:11 *1144:7 0 +12 *887:7 *1144:7 0 +13 *887:10 *1144:10 0.0561203 +14 *1135:12 *1144:10 0.00131478 +15 *1135:14 *1144:10 0.0378679 +*RES +1 *2478:la_iena[124] *1144:7 49.7692 +2 *1144:7 *1144:9 4.5 +3 *1144:9 *1144:10 599.695 +4 *1144:10 *2472:la_iena_mprj[124] 44.2421 +*END + +*D_NET *1145 0.134607 +*CONN +*I *2472:la_iena_mprj[125] I *D mgmt_protect +*I *2478:la_iena[125] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[125] 0.000643991 +2 *2478:la_iena[125] 8.30061e-05 +3 *1145:10 0.00534609 +4 *1145:9 0.0047021 +5 *1145:7 0.00362507 +6 *1145:5 0.00370807 +7 *2472:la_iena_mprj[125] *2472:la_oenb_mprj[125] 0 +8 *1145:7 *1657:13 0 +9 *1145:10 *1741:24 0.00313436 +10 *2472:la_data_out_mprj[125] *2472:la_iena_mprj[125] 0 +11 *609:8 *1145:10 0 +12 *632:8 *1145:10 0.0562411 +13 *633:8 *1145:10 0.000644459 +14 *633:11 *1145:7 0 +15 *635:8 *1145:10 0.0564793 +16 *888:9 *1145:7 0 +*RES +1 *2478:la_iena[125] *1145:5 2.05183 +2 *1145:5 *1145:7 73.8783 +3 *1145:7 *1145:9 4.5 +4 *1145:9 *1145:10 611.342 +5 *1145:10 *2472:la_iena_mprj[125] 18.0812 +*END + +*D_NET *1146 0.129563 +*CONN +*I *2472:la_iena_mprj[126] I *D mgmt_protect +*I *2478:la_iena[126] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[126] 0.000586533 +2 *2478:la_iena[126] 8.30061e-05 +3 *1146:10 0.00634215 +4 *1146:9 0.00575562 +5 *1146:7 0.00365188 +6 *1146:5 0.00373489 +7 *2472:la_iena_mprj[126] *2472:la_oenb_mprj[126] 0 +8 *2472:la_data_out_mprj[126] *2472:la_iena_mprj[126] 0 +9 *2478:la_input[126] *1146:7 0 +10 *630:8 *1146:10 0.0517527 +11 *633:8 *1146:10 0.0573798 +12 *635:8 *1146:10 0.000276534 +13 *889:9 *1146:7 0 +*RES +1 *2478:la_iena[126] *1146:5 2.05183 +2 *1146:5 *1146:7 75.124 +3 *1146:7 *1146:9 4.5 +4 *1146:9 *1146:10 623.543 +5 *1146:10 *2472:la_iena_mprj[126] 16.8354 +*END + +*D_NET *1147 0.0924199 +*CONN +*I *2472:la_iena_mprj[127] I *D mgmt_protect +*I *2478:la_iena[127] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[127] 0.00136371 +2 *2478:la_iena[127] 0.00209551 +3 *1147:16 0.00543951 +4 *1147:15 0.00461334 +5 *1147:10 0.00820716 +6 *1147:9 0.00766962 +7 *1147:7 0.00209551 +8 *2472:la_iena_mprj[127] *2472:la_oenb_mprj[127] 0 +9 *1147:7 *2191:15 0 +10 *1147:10 *1265:10 0.00575396 +11 *1147:10 *1268:10 0.0201121 +12 *1147:10 *1269:10 0.000895002 +13 *1147:10 *1597:16 0.000692134 +14 *1147:15 *2472:mprj_adr_o_core[26] 6.02883e-05 +15 *1147:15 *2472:mprj_dat_o_core[25] 0 +16 *1147:16 *1589:22 0.00168132 +17 *1147:16 *1598:28 0.000778588 +18 *1147:16 *1664:8 0.00177249 +19 *2472:la_data_out_mprj[127] *2472:la_iena_mprj[127] 0 +20 *862:15 *2472:la_iena_mprj[127] 0.000661124 +21 *870:15 *1147:10 0.000736108 +22 *871:10 *1147:10 0.00884108 +23 *873:10 *1147:16 0.0042523 +24 *889:10 *1147:10 0.00298101 +25 *890:7 *1147:7 0 +26 *891:10 *1147:10 0.000283528 +27 *1123:13 *1147:15 7.09666e-06 +28 *1130:14 *1147:10 0.00385789 +29 *1132:10 *1147:10 0.0040117 +30 *1141:15 *1147:15 0.000524551 +31 *1141:16 *1147:16 0.0030333 +32 *1143:10 *1147:10 0 +*RES +1 *2478:la_iena[127] *1147:7 46.0319 +2 *1147:7 *1147:9 4.5 +3 *1147:9 *1147:10 443.852 +4 *1147:10 *1147:15 20.798 +5 *1147:15 *1147:16 190.952 +6 *1147:16 *2472:la_iena_mprj[127] 36.3523 +*END + +*D_NET *1148 0.171551 +*CONN +*I *2472:la_iena_mprj[12] I *D mgmt_protect +*I *2478:la_iena[12] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[12] 0.00112657 +2 *2478:la_iena[12] 8.29983e-05 +3 *1148:10 0.00922799 +4 *1148:9 0.00810141 +5 *1148:7 0.00253265 +6 *1148:5 0.00261565 +7 *2472:la_iena_mprj[12] *2472:la_oenb_mprj[12] 0 +8 *1148:10 *1156:10 0.0666928 +9 *1148:10 *1178:10 0.000383654 +10 *1148:10 *1222:10 0 +11 *1148:10 *1244:10 0 +12 *1148:10 *1256:10 0 +13 *1148:10 *1276:10 0.075601 +14 *1148:10 *1284:10 0.000102747 +15 *1148:10 *1299:10 0.00241024 +16 *1148:10 *1306:10 4.08499e-05 +17 *1148:10 *1328:10 0.00020617 +18 *1148:10 *1350:10 0 +19 *2472:la_data_out_mprj[12] *2472:la_iena_mprj[12] 0 +20 *2478:la_input[12] *1148:7 0 +21 *883:7 *1148:7 0 +22 *914:10 *1148:10 0.00242587 +23 *1128:10 *1148:10 0 +*RES +1 *2478:la_iena[12] *1148:5 2.05183 +2 *1148:5 *1148:7 61.6283 +3 *1148:7 *1148:9 3.36879 +4 *1148:9 *1148:10 97.3454 +5 *1148:10 *2472:la_iena_mprj[12] 29.1999 +*END + +*D_NET *1149 0.180095 +*CONN +*I *2472:la_iena_mprj[13] I *D mgmt_protect +*I *2478:la_iena[13] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[13] 0.00247422 +2 *2478:la_iena[13] 0.00159835 +3 *1149:12 0.00247422 +4 *1149:10 0.00950648 +5 *1149:9 0.0111048 +6 *2472:la_iena_mprj[13] *2472:la_oenb_mprj[13] 0 +7 *2472:la_iena_mprj[13] *1186:7 0 +8 *1149:10 *1159:10 0.000161399 +9 *1149:10 *1161:10 0.000180782 +10 *1149:10 *1163:10 0.000217229 +11 *1149:10 *1233:10 0.0704756 +12 *1149:10 *1277:10 0.0740803 +13 *1149:10 *1279:10 0 +14 *1149:10 *1287:10 0.000169237 +15 *1149:10 *1289:10 0.000196208 +16 *1149:10 *1291:10 0.000236284 +17 *1149:10 *1293:10 0.00237494 +18 *1149:10 *1302:10 0.00155942 +19 *2472:la_data_out_mprj[13] *2472:la_iena_mprj[13] 0 +20 *616:14 *1149:10 0.00307947 +21 *637:21 *1149:9 0 +22 *674:11 *2472:la_iena_mprj[13] 0.000206324 +23 *892:7 *1149:9 0 +*RES +1 *2478:la_iena[13] *1149:9 41.3033 +2 *1149:9 *1149:10 95.7391 +3 *1149:10 *1149:12 3.36879 +4 *1149:12 *2472:la_iena_mprj[13] 51.5768 +*END + +*D_NET *1150 0.166416 +*CONN +*I *2472:la_iena_mprj[14] I *D mgmt_protect +*I *2478:la_iena[14] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[14] 0.00127849 +2 *2478:la_iena[14] 8.29983e-05 +3 *1150:10 0.00759267 +4 *1150:9 0.00631417 +5 *1150:7 0.00249638 +6 *1150:5 0.00257938 +7 *2472:la_iena_mprj[14] *2472:la_oenb_mprj[14] 0 +8 *1150:10 *1152:10 0.0696335 +9 *1150:10 *1276:10 0.000455287 +10 *1150:10 *1278:10 0.072982 +11 *1150:10 *1301:10 0.00200111 +12 *1150:10 *1303:16 0.00100031 +13 *2472:la_data_out_mprj[14] *2472:la_iena_mprj[14] 0 +14 *638:23 *1150:7 0 +15 *639:8 *1150:10 0 +16 *893:7 *1150:7 0 +17 *893:10 *1150:10 0 +*RES +1 *2478:la_iena[14] *1150:5 2.05183 +2 *1150:5 *1150:7 59.1368 +3 *1150:7 *1150:9 3.36879 +4 *1150:9 *1150:10 94.0563 +5 *1150:10 *2472:la_iena_mprj[14] 31.6914 +*END + +*D_NET *1151 0.118721 +*CONN +*I *2472:la_iena_mprj[15] I *D mgmt_protect +*I *2478:la_iena[15] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[15] 0.00239694 +2 *2478:la_iena[15] 0.00169206 +3 *1151:12 0.00239694 +4 *1151:10 0.0151317 +5 *1151:9 0.0168237 +6 *2472:la_iena_mprj[15] *2472:la_oenb_mprj[15] 0 +7 *1151:10 *1163:10 0.000117432 +8 *1151:10 *1165:10 0.000158053 +9 *1151:10 *1168:10 0.000171918 +10 *1151:10 *1277:10 0.0710203 +11 *1151:10 *1291:10 0.000123135 +12 *1151:10 *1293:10 0.000142759 +13 *1151:10 *1296:10 0.000945103 +14 *1151:10 *1302:10 0.000131535 +15 *1151:10 *1305:13 0.000130331 +16 *2472:la_data_out_mprj[15] *2472:la_iena_mprj[15] 0 +17 *616:13 *1151:10 0.00406948 +18 *627:10 *1151:10 0.00107588 +19 *636:8 *1151:10 0.000738553 +20 *636:12 *1151:10 0.000706329 +21 *639:11 *1151:9 0 +22 *643:8 *1151:10 0 +23 *644:8 *1151:10 0 +24 *645:8 *1151:10 0 +25 *668:14 *1151:10 0.000253087 +26 *672:8 *1151:10 0.000112976 +27 *897:10 *1151:10 0 +28 *898:10 *1151:10 0 +29 *909:16 *1151:10 0.000118462 +30 *913:16 *1151:10 0.000137341 +31 *915:16 *1151:10 0.000126544 +*RES +1 *2478:la_iena[15] *1151:9 42.9643 +2 *1151:9 *1151:10 92.5265 +3 *1151:10 *1151:12 3.36879 +4 *1151:12 *2472:la_iena_mprj[15] 49.9158 +*END + +*D_NET *1152 0.160793 +*CONN +*I *2472:la_iena_mprj[16] I *D mgmt_protect +*I *2478:la_iena[16] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[16] 0.00130971 +2 *2478:la_iena[16] 8.29983e-05 +3 *1152:10 0.00791545 +4 *1152:9 0.00660573 +5 *1152:7 0.00252189 +6 *1152:5 0.00260489 +7 *2472:la_iena_mprj[16] *2472:la_oenb_mprj[16] 0 +8 *1152:10 *1154:10 0.0671619 +9 *1152:10 *1176:10 0.00111057 +10 *1152:10 *1186:12 0.000176842 +11 *1152:10 *1278:10 0.000102747 +12 *2472:la_data_out_mprj[16] *2472:la_iena_mprj[16] 0 +13 *639:8 *1152:10 0 +14 *640:11 *1152:7 0 +15 *641:7 *2472:la_iena_mprj[16] 0 +16 *895:7 *1152:7 0 +17 *896:10 *1152:10 0.000326136 +18 *918:10 *1152:10 0.00124078 +19 *1150:10 *1152:10 0.0696335 +*RES +1 *2478:la_iena[16] *1152:5 2.05183 +2 *1152:5 *1152:7 58.3063 +3 *1152:7 *1152:9 3.36879 +4 *1152:9 *1152:10 90.8437 +5 *1152:10 *2472:la_iena_mprj[16] 32.5219 +*END + +*D_NET *1153 0.171031 +*CONN +*I *2472:la_iena_mprj[17] I *D mgmt_protect +*I *2478:la_iena[17] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[17] 0.00209731 +2 *2478:la_iena[17] 0.00114442 +3 *1153:12 0.00209731 +4 *1153:10 0.00863615 +5 *1153:9 0.00978057 +6 *2472:la_iena_mprj[17] *1281:13 0.00318626 +7 *1153:10 *1173:10 0.00181017 +8 *1153:10 *1279:10 0.0685126 +9 *1153:10 *1281:10 0.0689519 +10 *1153:10 *1300:10 0.00196896 +11 *2472:la_data_out_mprj[17] *2472:la_iena_mprj[17] 0 +12 *627:14 *1153:10 0.00284556 +13 *641:11 *1153:9 0 +14 *896:7 *1153:9 0 +15 *931:7 *2472:la_iena_mprj[17] 0 +*RES +1 *2478:la_iena[17] *1153:9 32.9983 +2 *1153:9 *1153:10 89.3139 +3 *1153:10 *1153:12 3.36879 +4 *1153:12 *2472:la_iena_mprj[17] 59.8818 +*END + +*D_NET *1154 0.115611 +*CONN +*I *2472:la_iena_mprj[18] I *D mgmt_protect +*I *2478:la_iena[18] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[18] 0.00136424 +2 *2478:la_iena[18] 3.62521e-05 +3 *1154:10 0.0143511 +4 *1154:9 0.0129868 +5 *1154:7 0.00254516 +6 *1154:5 0.00258141 +7 *2472:la_iena_mprj[18] *2472:la_oenb_mprj[18] 0 +8 *2472:la_iena_mprj[18] *1316:7 0 +9 *1154:10 *1180:10 0.000253421 +10 *1154:10 *1186:12 0 +11 *1154:10 *1305:16 0.000275651 +12 *2472:la_data_out_mprj[18] *2472:la_iena_mprj[18] 0 +13 *896:10 *1154:10 0.0115692 +14 *897:7 *1154:7 0 +15 *916:10 *1154:10 0.00023039 +16 *921:10 *1154:10 0.000275651 +17 *924:16 *1154:10 0.000108607 +18 *1139:18 *1154:10 0.00187111 +19 *1152:10 *1154:10 0.0671619 +*RES +1 *2478:la_iena[18] *1154:5 0.928211 +2 *1154:5 *1154:7 57.4758 +3 *1154:7 *1154:9 3.36879 +4 *1154:9 *1154:10 87.7841 +5 *1154:10 *2472:la_iena_mprj[18] 33.3524 +*END + +*D_NET *1155 0.129245 +*CONN +*I *2472:la_iena_mprj[19] I *D mgmt_protect +*I *2478:la_iena[19] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[19] 0.00257395 +2 *2478:la_iena[19] 0.00149834 +3 *1155:12 0.00257395 +4 *1155:10 0.00591712 +5 *1155:9 0.00741546 +6 *2472:la_iena_mprj[19] *2472:la_oenb_mprj[19] 0 +7 *2472:la_iena_mprj[19] *1316:7 0 +8 *1155:10 *1159:10 0.0532672 +9 *1155:10 *1185:10 0.000975721 +10 *1155:10 *1187:10 0.00333991 +11 *1155:10 *1189:10 0.00254869 +12 *1155:10 *1267:10 0.0485505 +13 *1155:10 *1311:10 0 +14 *1155:10 *1312:10 0 +15 *1155:10 *1339:10 0.000173271 +16 *2472:la_data_out_mprj[19] *2472:la_iena_mprj[19] 0 +17 *2478:la_input[19] *1155:9 0 +18 *616:14 *1155:10 0 +19 *643:7 *2472:la_iena_mprj[19] 0 +20 *898:9 *1155:9 0 +21 *988:10 *1155:10 0.000391594 +22 *1139:10 *1155:10 1.92172e-05 +*RES +1 *2478:la_iena[19] *1155:9 39.7354 +2 *1155:9 *1155:10 624.098 +3 *1155:10 *1155:12 4.5 +4 *1155:12 *2472:la_iena_mprj[19] 54.2759 +*END + +*D_NET *1156 0.202694 +*CONN +*I *2472:la_iena_mprj[1] I *D mgmt_protect +*I *2478:la_iena[1] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[1] 0.00117205 +2 *2478:la_iena[1] 8.29983e-05 +3 *1156:10 0.0105111 +4 *1156:9 0.00933905 +5 *1156:7 0.00230112 +6 *1156:5 0.00238412 +7 *2472:la_iena_mprj[1] *2472:la_oenb_mprj[1] 0 +8 *1156:7 *1562:16 0 +9 *1156:7 *1572:13 0 +10 *1156:7 *2037:11 0 +11 *1156:10 *1284:10 0.0898656 +12 *2472:la_data_out_mprj[1] *2472:la_iena_mprj[1] 0 +13 *644:11 *1156:7 0 +14 *861:7 *1156:7 0 +15 *912:10 *1156:10 0.00349207 +16 *1117:10 *1156:10 0.0168527 +17 *1148:10 *1156:10 0.0666928 +*RES +1 *2478:la_iena[1] *1156:5 2.05183 +2 *1156:5 *1156:7 62.4588 +3 *1156:7 *1156:9 3.36879 +4 *1156:9 *1156:10 115.091 +5 *1156:10 *2472:la_iena_mprj[1] 28.3694 +*END + +*D_NET *1157 0.153698 +*CONN +*I *2472:la_iena_mprj[20] I *D mgmt_protect +*I *2478:la_iena[20] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[20] 0.00282125 +2 *2478:la_iena[20] 0.00109501 +3 *1157:12 0.00282125 +4 *1157:10 0.00703599 +5 *1157:9 0.008131 +6 *2472:la_iena_mprj[20] *2472:la_oenb_mprj[20] 0 +7 *1157:10 *1281:10 0.0635202 +8 *1157:10 *1283:10 0.000371151 +9 *1157:10 *1285:10 0.065285 +10 *1157:10 *1304:10 0.00105713 +11 *2472:la_data_out_mprj[20] *2472:la_iena_mprj[20] 0 +12 *2478:la_input[20] *1157:9 0 +13 *678:11 *2472:la_iena_mprj[20] 0.000260423 +14 *899:7 *1157:9 0 +15 *919:10 *1157:10 0.0012995 +*RES +1 *2478:la_iena[20] *1157:9 31.3372 +2 *1157:9 *1157:10 84.4186 +3 *1157:10 *1157:12 3.36879 +4 *1157:12 *2472:la_iena_mprj[20] 61.5428 +*END + +*D_NET *1158 0.131877 +*CONN +*I *2472:la_iena_mprj[21] I *D mgmt_protect +*I *2478:la_iena[21] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[21] 0.000886989 +2 *2478:la_iena[21] 8.29983e-05 +3 *1158:10 0.00526383 +4 *1158:9 0.00437684 +5 *1158:7 0.00308509 +6 *1158:5 0.00316808 +7 *2472:la_iena_mprj[21] *2472:la_oenb_mprj[21] 0 +8 *1158:10 *1160:10 0.0530002 +9 *1158:10 *1282:10 0.0546643 +10 *1158:10 *1286:10 0.00132061 +11 *1158:10 *1328:10 0.00508031 +12 *646:11 *1158:7 0 +13 *647:7 *2472:la_iena_mprj[21] 0 +14 *901:9 *1158:7 0 +15 *902:13 *2472:la_iena_mprj[21] 0 +16 *931:10 *1158:10 0.000947792 +*RES +1 *2478:la_iena[21] *1158:5 2.05183 +2 *1158:5 *1158:7 65.9885 +3 *1158:7 *1158:9 4.5 +4 *1158:9 *1158:10 600.25 +5 *1158:10 *2472:la_iena_mprj[21] 25.971 +*END + +*D_NET *1159 0.130434 +*CONN +*I *2472:la_iena_mprj[22] I *D mgmt_protect +*I *2478:la_iena[22] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[22] 6.22868e-05 +2 *2478:la_iena[22] 0.00156927 +3 *1159:13 0.00188295 +4 *1159:12 0.00182066 +5 *1159:10 0.00436947 +6 *1159:9 0.00593874 +7 *1159:10 *1187:10 0.000970459 +8 *1159:10 *1287:10 0.0545369 +9 *1159:10 *1339:10 0.00294553 +10 *1159:13 *2472:la_oenb_mprj[22] 0 +11 *2472:la_data_out_mprj[22] *1159:13 0.00290936 +12 *616:14 *1159:10 0 +13 *647:11 *1159:9 0 +14 *902:9 *1159:9 0 +15 *1149:10 *1159:10 0.000161399 +16 *1155:10 *1159:10 0.0532672 +*RES +1 *2478:la_iena[22] *1159:9 40.1506 +2 *1159:9 *1159:10 586.939 +3 *1159:10 *1159:12 4.5 +4 *1159:12 *1159:13 53.9461 +5 *1159:13 *2472:la_iena_mprj[22] 1.77093 +*END + +*D_NET *1160 0.128542 +*CONN +*I *2472:la_iena_mprj[23] I *D mgmt_protect +*I *2478:la_iena[23] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[23] 0.000851711 +2 *2478:la_iena[23] 8.29983e-05 +3 *1160:10 0.00496104 +4 *1160:9 0.00410933 +5 *1160:7 0.00315871 +6 *1160:5 0.00324171 +7 *2472:la_iena_mprj[23] *2472:la_oenb_mprj[23] 0 +8 *2472:la_iena_mprj[23] *1319:7 8.11463e-06 +9 *1160:10 *1286:10 0.0532169 +10 *1160:10 *1288:10 0.000601789 +11 *1160:10 *1328:10 0.0046706 +12 *2472:la_data_out_mprj[23] *2472:la_iena_mprj[23] 0 +13 *648:11 *1160:7 0 +14 *649:7 *2472:la_iena_mprj[23] 0 +15 *903:9 *1160:7 0 +16 *931:10 *1160:10 7.83176e-05 +17 *934:10 *1160:10 0.000560858 +18 *1158:10 *1160:10 0.0530002 +*RES +1 *2478:la_iena[23] *1160:5 2.05183 +2 *1160:5 *1160:7 66.4037 +3 *1160:7 *1160:9 4.5 +4 *1160:9 *1160:10 576.957 +5 *1160:10 *2472:la_iena_mprj[23] 25.5557 +*END + +*D_NET *1161 0.124583 +*CONN +*I *2472:la_iena_mprj[24] I *D mgmt_protect +*I *2478:la_iena[24] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[24] 0.0024754 +2 *2478:la_iena[24] 0.00163745 +3 *1161:12 0.0024754 +4 *1161:10 0.00422769 +5 *1161:9 0.00586514 +6 *2472:la_iena_mprj[24] *2472:la_oenb_mprj[24] 0 +7 *1161:10 *1191:10 0.000356734 +8 *1161:10 *1211:10 0.00266988 +9 *1161:10 *1233:10 0.000101365 +10 *1161:10 *1287:10 0.0520071 +11 *1161:10 *1289:10 0.0524061 +12 *616:14 *1161:10 0.000179601 +13 *649:11 *1161:9 0 +14 *905:13 *2472:la_iena_mprj[24] 0 +15 *1149:10 *1161:10 0.000180782 +*RES +1 *2478:la_iena[24] *1161:9 40.9811 +2 *1161:9 *1161:10 565.31 +3 *1161:10 *1161:12 4.5 +4 *1161:12 *2472:la_iena_mprj[24] 53.0301 +*END + +*D_NET *1162 0.12093 +*CONN +*I *2472:la_iena_mprj[25] I *D mgmt_protect +*I *2478:la_iena[25] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[25] 0.000797169 +2 *2478:la_iena[25] 8.29983e-05 +3 *1162:10 0.00526054 +4 *1162:9 0.00446338 +5 *1162:7 0.00328672 +6 *1162:5 0.00336972 +7 *2472:la_iena_mprj[25] *2472:la_oenb_mprj[25] 0 +8 *1162:10 *1164:10 0.000451273 +9 *1162:10 *1192:10 0.000143313 +10 *1162:10 *1288:10 0.050937 +11 *1162:10 *1290:10 0.000756785 +12 *1162:10 *1292:10 0.0475059 +13 *1162:10 *1350:10 0.003828 +14 *2472:la_data_out_mprj[25] *2472:la_iena_mprj[25] 0 +15 *650:11 *1162:7 0 +16 *905:9 *1162:7 0 +17 *934:10 *1162:10 4.72904e-05 +*RES +1 *2478:la_iena[25] *1162:5 2.05183 +2 *1162:5 *1162:7 67.6495 +3 *1162:7 *1162:9 4.5 +4 *1162:9 *1162:10 553.663 +5 *1162:10 *2472:la_iena_mprj[25] 24.31 +*END + +*D_NET *1163 0.119863 +*CONN +*I *2472:la_iena_mprj[26] I *D mgmt_protect +*I *2478:la_iena[26] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[26] 0.00242823 +2 *2478:la_iena[26] 0.00170563 +3 *1163:12 0.00242823 +4 *1163:10 0.00414226 +5 *1163:9 0.0058479 +6 *2472:la_iena_mprj[26] *2472:la_oenb_mprj[26] 0 +7 *1163:10 *1191:10 8.68552e-05 +8 *1163:10 *1233:10 0.00251236 +9 *1163:10 *1289:10 0.0497701 +10 *1163:10 *1291:10 0.0501627 +11 *2472:la_data_out_mprj[26] *2472:la_iena_mprj[26] 0 +12 *616:14 *1163:10 0.000443728 +13 *651:11 *1163:9 0 +14 *906:9 *1163:9 0 +15 *1149:10 *1163:10 0.000217229 +16 *1151:10 *1163:10 0.000117432 +*RES +1 *2478:la_iena[26] *1163:9 41.8116 +2 *1163:9 *1163:10 542.016 +3 *1163:10 *1163:12 4.5 +4 *1163:12 *2472:la_iena_mprj[26] 52.1996 +*END + +*D_NET *1164 0.119104 +*CONN +*I *2472:la_iena_mprj[27] I *D mgmt_protect +*I *2478:la_iena[27] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[27] 0.00074403 +2 *2478:la_iena[27] 5.96252e-05 +3 *1164:10 0.00466398 +4 *1164:9 0.00391995 +5 *1164:7 0.00337933 +6 *1164:5 0.00343896 +7 *2472:la_iena_mprj[27] *2472:la_oenb_mprj[27] 0 +8 *1164:10 *1244:10 0.00404065 +9 *1164:10 *1290:10 0.0487187 +10 *1164:10 *1292:10 0.0491178 +11 *1164:10 *1294:10 5.2472e-05 +12 *2472:la_data_out_mprj[27] *2472:la_iena_mprj[27] 0 +13 *652:7 *2472:la_iena_mprj[27] 0 +14 *652:11 *1164:7 0 +15 *907:9 *1164:7 0 +16 *908:10 *1164:10 0.000517688 +17 *1162:10 *1164:10 0.000451273 +*RES +1 *2478:la_iena[27] *1164:5 1.49002 +2 *1164:5 *1164:7 68.48 +3 *1164:7 *1164:9 4.5 +4 *1164:9 *1164:10 530.924 +5 *1164:10 *2472:la_iena_mprj[27] 23.4795 +*END + +*D_NET *1165 0.113154 +*CONN +*I *2472:la_iena_mprj[28] I *D mgmt_protect +*I *2478:la_iena[28] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[28] 0.00232689 +2 *2478:la_iena[28] 0.00181039 +3 *1165:12 0.00232689 +4 *1165:10 0.00443281 +5 *1165:9 0.0062432 +6 *2472:la_iena_mprj[28] *2472:la_oenb_mprj[28] 0 +7 *1165:10 *1168:10 0.0450435 +8 *1165:10 *1277:10 0.00244939 +9 *1165:10 *1293:10 0.0479428 +10 *2472:la_data_out_mprj[28] *2472:la_iena_mprj[28] 0 +11 *616:14 *1165:10 0.00041958 +12 *636:16 *1165:10 0 +13 *653:11 *1165:9 0 +14 *908:7 *1165:9 0 +15 *1151:10 *1165:10 0.000158053 +*RES +1 *2478:la_iena[28] *1165:9 43.4726 +2 *1165:9 *1165:10 518.169 +3 *1165:10 *1165:12 4.5 +4 *1165:12 *2472:la_iena_mprj[28] 50.5386 +*END + +*D_NET *1166 0.112047 +*CONN +*I *2472:la_iena_mprj[29] I *D mgmt_protect +*I *2478:la_iena[29] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[29] 0.000665126 +2 *2478:la_iena[29] 8.29983e-05 +3 *1166:10 0.00474955 +4 *1166:9 0.00408442 +5 *1166:7 0.00352781 +6 *1166:5 0.00361081 +7 *2472:la_iena_mprj[29] *2472:la_oenb_mprj[29] 0 +8 *1166:10 *1169:10 0.043917 +9 *1166:10 *1256:10 0.00300887 +10 *1166:10 *1294:10 0.0469419 +11 *2472:la_data_out_mprj[29] *2472:la_iena_mprj[29] 0 +12 *654:11 *1166:7 0 +13 *908:10 *1166:10 0.000378828 +14 *909:9 *1166:7 0 +15 *910:10 *1166:10 0.00107913 +*RES +1 *2478:la_iena[29] *1166:5 2.05183 +2 *1166:5 *1166:7 70.141 +3 *1166:7 *1166:9 4.5 +4 *1166:9 *1166:10 507.076 +5 *1166:10 *2472:la_iena_mprj[29] 21.8185 +*END + +*D_NET *1167 0.2165 +*CONN +*I *2472:la_iena_mprj[2] I *D mgmt_protect +*I *2478:la_iena[2] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[2] 0.00277555 +2 *2478:la_iena[2] 0.000765051 +3 *1167:12 0.00277555 +4 *1167:10 0.0111737 +5 *1167:9 0.0119387 +6 *2472:la_iena_mprj[2] *2472:la_oenb_mprj[2] 0 +7 *1167:9 *2347:16 0.00180761 +8 *1167:10 *1179:10 0.000157517 +9 *1167:10 *1245:10 0.0874927 +10 *1167:10 *1279:10 0.000686467 +11 *1167:10 *1295:10 0.0878751 +12 *2472:la_data_out_mprj[2] *2472:la_iena_mprj[2] 0 +13 *900:7 *1167:9 0 +14 *911:10 *1167:10 0.000277664 +15 *924:15 *2472:la_iena_mprj[2] 0.00121598 +16 *933:10 *1167:10 0.00393788 +17 *955:10 *1167:10 0.0036203 +*RES +1 *2478:la_iena[2] *1167:9 33.8043 +2 *1167:9 *1167:10 113.638 +3 *1167:10 *1167:12 3.36879 +4 *1167:12 *2472:la_iena_mprj[2] 57.3903 +*END + +*D_NET *1168 0.109712 +*CONN +*I *2472:la_iena_mprj[30] I *D mgmt_protect +*I *2478:la_iena[30] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[30] 0.00227731 +2 *2478:la_iena[30] 0.00185386 +3 *1168:12 0.00227731 +4 *1168:10 0.00390042 +5 *1168:9 0.00575428 +6 *2472:la_iena_mprj[30] *2472:la_oenb_mprj[30] 0 +7 *1168:10 *1277:10 0.00225185 +8 *1168:10 *1293:10 9.16621e-05 +9 *1168:10 *1296:10 0.045721 +10 *1168:10 *1302:10 0.000369111 +11 *2472:la_data_out_mprj[30] *2472:la_iena_mprj[30] 0 +12 *636:16 *1168:10 0 +13 *656:11 *1168:9 0 +14 *682:11 *2472:la_iena_mprj[30] 0 +15 *910:7 *1168:9 0 +16 *1151:10 *1168:10 0.000171918 +17 *1165:10 *1168:10 0.0450435 +*RES +1 *2478:la_iena[30] *1168:9 43.8879 +2 *1168:9 *1168:10 494.875 +3 *1168:10 *1168:12 4.5 +4 *1168:12 *2472:la_iena_mprj[30] 50.1234 +*END + +*D_NET *1169 0.107951 +*CONN +*I *2472:la_iena_mprj[31] I *D mgmt_protect +*I *2478:la_iena[31] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[31] 0.000640264 +2 *2478:la_iena[31] 8.29983e-05 +3 *1169:10 0.00465657 +4 *1169:9 0.0040163 +5 *1169:7 0.00360412 +6 *1169:5 0.00368711 +7 *2472:la_iena_mprj[31] *2472:la_oenb_mprj[31] 0 +8 *1169:10 *1256:10 0.00276622 +9 *1169:10 *1294:10 9.16621e-05 +10 *1169:10 *1297:10 0.000779902 +11 *1169:10 *1320:10 0 +12 *2472:la_data_out_mprj[31] *2472:la_iena_mprj[31] 0 +13 *657:11 *1169:7 0 +14 *658:7 *2472:la_iena_mprj[31] 0 +15 *682:8 *1169:10 0 +16 *910:10 *1169:10 0.0437088 +17 *912:7 *1169:7 0 +18 *1166:10 *1169:10 0.043917 +*RES +1 *2478:la_iena[31] *1169:5 2.05183 +2 *1169:5 *1169:7 70.5562 +3 *1169:7 *1169:9 4.5 +4 *1169:9 *1169:10 483.783 +5 *1169:10 *2472:la_iena_mprj[31] 21.4032 +*END + +*D_NET *1170 0.102584 +*CONN +*I *2472:la_iena_mprj[32] I *D mgmt_protect +*I *2478:la_iena[32] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[32] 6.22868e-05 +2 *2478:la_iena[32] 0.00129841 +3 *1170:13 0.00219872 +4 *1170:12 0.00213643 +5 *1170:10 0.00420972 +6 *1170:9 0.00550813 +7 *1170:10 *1173:10 0.0353654 +8 *1170:10 *1279:10 0.00239425 +9 *1170:10 *1298:10 0.0434344 +10 *1170:10 *1300:10 0.000469586 +11 *1170:10 *1300:14 0.0031575 +12 *1170:13 *2472:la_oenb_mprj[32] 0 +13 *1170:13 *1195:9 0 +14 *2472:la_data_out_mprj[32] *1170:13 0.00143683 +15 *627:13 *1170:9 5.48015e-06 +16 *658:7 *1170:13 0 +17 *658:11 *1170:9 0 +18 *683:11 *1170:13 0.000487207 +19 *911:10 *1170:10 0.00041958 +20 *913:9 *1170:9 0 +21 *913:10 *1170:10 0 +*RES +1 *2478:la_iena[32] *1170:9 35.1676 +2 *1170:9 *1170:10 469.918 +3 *1170:10 *1170:12 4.5 +4 *1170:12 *1170:13 58.9292 +5 *1170:13 *2472:la_iena_mprj[32] 1.77093 +*END + +*D_NET *1171 0.103247 +*CONN +*I *2472:la_iena_mprj[33] I *D mgmt_protect +*I *2478:la_iena[33] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[33] 0.000950201 +2 *2478:la_iena[33] 8.29983e-05 +3 *1171:10 0.00485823 +4 *1171:9 0.00390803 +5 *1171:7 0.00306768 +6 *1171:5 0.00315068 +7 *2472:la_iena_mprj[33] *2472:la_oenb_mprj[33] 0 +8 *1171:10 *1172:10 0.0413268 +9 *1171:10 *1276:10 0.00247182 +10 *1171:10 *1299:10 0.0422785 +11 *2472:la_data_out_mprj[33] *2472:la_iena_mprj[33] 0 +12 *2478:la_input[33] *1171:7 0 +13 *914:7 *1171:7 0 +14 *914:10 *1171:10 0.000451273 +15 *935:13 *2472:la_iena_mprj[33] 0.000393005 +16 *936:13 *2472:la_iena_mprj[33] 5.66868e-06 +17 *939:11 *2472:la_iena_mprj[33] 0.000302412 +*RES +1 *2478:la_iena[33] *1171:5 2.05183 +2 *1171:5 *1171:7 61.4207 +3 *1171:7 *1171:9 4.5 +4 *1171:9 *1171:10 460.49 +5 *1171:10 *2472:la_iena_mprj[33] 30.5388 +*END + +*D_NET *1172 0.0998128 +*CONN +*I *2472:la_iena_mprj[34] I *D mgmt_protect +*I *2478:la_iena[34] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[34] 0.00111436 +2 *2478:la_iena[34] 8.29983e-05 +3 *1172:10 0.00501315 +4 *1172:9 0.00389879 +5 *1172:7 0.00307088 +6 *1172:5 0.00315388 +7 *2472:la_iena_mprj[34] *2472:la_oenb_mprj[34] 0 +8 *1172:10 *1174:10 0.0383334 +9 *1172:10 *1276:10 0.00247599 +10 *1172:10 *1299:10 8.90486e-05 +11 *1172:10 *1308:22 3.18085e-05 +12 *2472:la_data_out_mprj[34] *2472:la_iena_mprj[34] 0 +13 *2478:la_input[34] *1172:7 0 +14 *659:16 *1172:7 0 +15 *915:9 *1172:7 0 +16 *916:10 *1172:10 0.00105498 +17 *938:13 *2472:la_iena_mprj[34] 0.000166653 +18 *1171:10 *1172:10 0.0413268 +*RES +1 *2478:la_iena[34] *1172:5 2.05183 +2 *1172:5 *1172:7 61.0054 +3 *1172:7 *1172:9 4.5 +4 *1172:9 *1172:10 448.289 +5 *1172:10 *2472:la_iena_mprj[34] 30.954 +*END + +*D_NET *1173 0.098474 +*CONN +*I *2472:la_iena_mprj[35] I *D mgmt_protect +*I *2478:la_iena[35] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[35] 0.00128436 +2 *2478:la_iena[35] 0.00129488 +3 *1173:25 0.00210941 +4 *1173:16 0.00146119 +5 *1173:15 0.000899344 +6 *1173:10 0.00295582 +7 *1173:9 0.0039875 +8 *2472:la_iena_mprj[35] *2472:la_oenb_mprj[35] 0.000680343 +9 *1173:10 *1300:10 0.0353696 +10 *1173:15 *1304:15 0 +11 *1173:15 *1321:9 0.000284582 +12 *1173:16 *1179:16 0.00383455 +13 *1173:16 *1193:10 0.0057313 +14 *1173:16 *1302:10 0.000490085 +15 *1173:25 *1181:25 0.000894096 +16 *1173:25 *1309:23 1.2693e-05 +17 *1173:25 *1324:9 8.62625e-06 +18 *2472:la_data_out_mprj[35] *2472:la_iena_mprj[35] 0 +19 *2472:la_data_out_mprj[35] *1173:25 0 +20 *2478:la_input[35] *1173:9 0 +21 *684:11 *1173:25 0 +22 *916:7 *1173:9 0 +23 *926:25 *1173:15 0 +24 *940:7 *2472:la_iena_mprj[35] 0 +25 *940:7 *1173:25 0 +26 *1153:10 *1173:10 0.00181017 +27 *1170:10 *1173:10 0.0353654 +*RES +1 *2478:la_iena[35] *1173:9 34.7523 +2 *1173:9 *1173:10 374.526 +3 *1173:10 *1173:15 15.815 +4 *1173:15 *1173:16 61.1752 +5 *1173:16 *1173:25 27.2534 +6 *1173:25 *2472:la_iena_mprj[35] 34.0873 +*END + +*D_NET *1174 0.0950644 +*CONN +*I *2472:la_iena_mprj[36] I *D mgmt_protect +*I *2478:la_iena[36] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[36] 0.00120423 +2 *2478:la_iena[36] 3.62521e-05 +3 *1174:10 0.00504068 +4 *1174:9 0.00383644 +5 *1174:7 0.0031049 +6 *1174:5 0.00314115 +7 *2472:la_iena_mprj[36] *2472:la_oenb_mprj[36] 0 +8 *1174:10 *1278:10 0.00157137 +9 *1174:10 *1301:10 0.00052936 +10 *1174:10 *1303:16 0.000136843 +11 *1174:10 *1308:22 0 +12 *2472:la_data_out_mprj[36] *2472:la_iena_mprj[36] 0 +13 *916:10 *1174:10 0.0381297 +14 *917:9 *1174:7 0 +15 *1172:10 *1174:10 0.0383334 +*RES +1 *2478:la_iena[36] *1174:5 0.928211 +2 *1174:5 *1174:7 60.5902 +3 *1174:7 *1174:9 4.5 +4 *1174:9 *1174:10 426.104 +5 *1174:10 *2472:la_iena_mprj[36] 31.3693 +*END + +*D_NET *1175 0.0863214 +*CONN +*I *2472:la_iena_mprj[37] I *D mgmt_protect +*I *2478:la_iena[37] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[37] 0.00224093 +2 *2478:la_iena[37] 0.00125878 +3 *1175:16 0.00224093 +4 *1175:14 0.000859764 +5 *1175:12 0.000877956 +6 *1175:10 0.00292853 +7 *1175:9 0.00416912 +8 *2472:la_iena_mprj[37] *2472:la_oenb_mprj[37] 0 +9 *2472:la_iena_mprj[37] *1185:15 0 +10 *2472:la_iena_mprj[37] *1187:15 0.00198764 +11 *2472:la_iena_mprj[37] *1325:9 0 +12 *1175:10 *1177:10 0.0295729 +13 *1175:10 *1177:14 7.6719e-06 +14 *1175:10 *1194:10 0.000961455 +15 *1175:10 *1281:10 0.00146262 +16 *1175:10 *1300:10 0.0316377 +17 *1175:10 *1300:14 0.000392997 +18 *1175:10 *1304:10 0.000475421 +19 *1175:12 *1177:12 1.09551e-05 +20 *1175:14 *1177:14 0.00240362 +21 *1175:14 *1194:10 0.00239627 +22 *2472:la_data_out_mprj[37] *2472:la_iena_mprj[37] 0 +23 *2478:la_input[37] *1175:9 0 +24 *662:16 *1175:9 0 +25 *913:10 *1175:10 9.34933e-05 +26 *918:7 *1175:9 0 +27 *919:10 *1175:10 0.000342605 +*RES +1 *2478:la_iena[37] *1175:9 33.9218 +2 *1175:9 *1175:10 354.56 +3 *1175:10 *1175:12 0.578717 +4 *1175:12 *1175:14 58.9568 +5 *1175:14 *1175:16 4.5 +6 *1175:16 *2472:la_iena_mprj[37] 60.5047 +*END + +*D_NET *1176 0.0873055 +*CONN +*I *2472:la_iena_mprj[38] I *D mgmt_protect +*I *2478:la_iena[38] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[38] 0.00129503 +2 *2478:la_iena[38] 8.29983e-05 +3 *1176:10 0.00549688 +4 *1176:9 0.00420185 +5 *1176:7 0.00304042 +6 *1176:5 0.00312342 +7 *2472:la_iena_mprj[38] *2472:la_oenb_mprj[38] 0 +8 *1176:10 *1180:10 0.0318767 +9 *1176:10 *1303:16 0.000534259 +10 *1176:10 *1305:16 0.000282235 +11 *2472:la_data_out_mprj[38] *2472:la_iena_mprj[38] 0 +12 *2478:la_input[38] *1176:7 0 +13 *605:8 *1176:10 0 +14 *652:8 *1176:10 0 +15 *918:10 *1176:10 0.0357521 +16 *919:9 *1176:7 0 +17 *921:10 *1176:10 0.000431815 +18 *941:7 *2472:la_iena_mprj[38] 5.56367e-05 +19 *941:10 *1176:10 2.16355e-05 +20 *1152:10 *1176:10 0.00111057 +*RES +1 *2478:la_iena[38] *1176:5 2.05183 +2 *1176:5 *1176:7 58.5139 +3 *1176:7 *1176:9 4.5 +4 *1176:9 *1176:10 401.702 +5 *1176:10 *2472:la_iena_mprj[38] 33.4455 +*END + +*D_NET *1177 0.0847029 +*CONN +*I *2472:la_iena_mprj[39] I *D mgmt_protect +*I *2478:la_iena[39] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[39] 6.22868e-05 +2 *2478:la_iena[39] 0.0012622 +3 *1177:17 0.002015 +4 *1177:16 0.00195272 +5 *1177:14 0.000963964 +6 *1177:12 0.000998743 +7 *1177:10 0.00237083 +8 *1177:9 0.00359825 +9 *1177:10 *1281:10 0.00114986 +10 *1177:10 *1304:10 0.0282137 +11 *1177:10 *1307:16 3.80872e-05 +12 *1177:14 *1194:10 0.000266465 +13 *1177:14 *1307:16 1.61631e-05 +14 *1177:14 *1307:20 0.000774455 +15 *1177:17 *2472:la_oenb_mprj[39] 0 +16 *1177:17 *1191:18 0.00137009 +17 *1177:17 *1193:15 7.41203e-05 +18 *1177:17 *1194:15 7.45478e-05 +19 *2472:la_data_out_mprj[39] *1177:17 0.00167515 +20 *2478:la_input[39] *1177:9 0 +21 *665:5 *1177:17 0 +22 *686:11 *1177:17 0 +23 *919:10 *1177:10 0.000535391 +24 *919:20 *1177:14 0.00529574 +25 *920:9 *1177:9 0 +26 *1175:10 *1177:10 0.0295729 +27 *1175:10 *1177:14 7.6719e-06 +28 *1175:12 *1177:12 1.09551e-05 +29 *1175:14 *1177:14 0.00240362 +*RES +1 *2478:la_iena[39] *1177:9 33.5066 +2 *1177:9 *1177:10 313.52 +3 *1177:10 *1177:12 0.988641 +4 *1177:12 *1177:14 75.0403 +5 *1177:14 *1177:16 4.5 +6 *1177:16 *1177:17 61.4207 +7 *1177:17 *2472:la_iena_mprj[39] 1.77093 +*END + +*D_NET *1178 0.213209 +*CONN +*I *2472:la_iena_mprj[3] I *D mgmt_protect +*I *2478:la_iena[3] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[3] 0.00107783 +2 *2478:la_iena[3] 6.68436e-05 +3 *1178:10 0.0067042 +4 *1178:9 0.00562637 +5 *1178:7 0.000778007 +6 *1178:5 0.00084485 +7 *2472:la_iena_mprj[3] *2472:la_oenb_mprj[3] 0 +8 *1178:10 *1284:10 0.0868514 +9 *1178:10 *1306:10 0.0872664 +10 *2472:la_data_out_mprj[3] *2472:la_iena_mprj[3] 0 +11 *100:74 *1178:7 0 +12 *467:33 *1178:7 0.00366745 +13 *485:41 *1178:7 0.00385396 +14 *922:10 *1178:10 0.00031861 +15 *944:10 *1178:10 0.00636505 +16 *966:10 *1178:10 0.00940448 +17 *1148:10 *1178:10 0.000383654 +*RES +1 *2478:la_iena[3] *1178:5 1.49002 +2 *1178:5 *1178:7 64.1198 +3 *1178:7 *1178:9 3.36879 +4 *1178:9 *1178:10 111.955 +5 *1178:10 *2472:la_iena_mprj[3] 26.7084 +*END + +*D_NET *1179 0.0836415 +*CONN +*I *2472:la_iena_mprj[40] I *D mgmt_protect +*I *2478:la_iena[40] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[40] 0.00162056 +2 *2478:la_iena[40] 0.00145011 +3 *1179:18 0.00162056 +4 *1179:16 0.000800167 +5 *1179:15 0.00104735 +6 *1179:10 0.00259699 +7 *1179:9 0.00379992 +8 *2472:la_iena_mprj[40] *1307:23 0.00292847 +9 *1179:10 *1183:10 0.019938 +10 *1179:10 *1245:10 0.000260662 +11 *1179:10 *1279:10 0.000319366 +12 *1179:10 *1298:10 0.00136044 +13 *1179:10 *1311:10 0.0189908 +14 *1179:10 *1361:10 0.000185163 +15 *1179:15 *1322:9 8.4419e-05 +16 *1179:16 *1193:10 1.41689e-05 +17 *1179:16 *1302:10 0.000857278 +18 *1179:16 *1323:10 0.00596675 +19 *1179:16 *1324:10 0.00406403 +20 *2472:la_data_out_mprj[40] *2472:la_iena_mprj[40] 0 +21 *2478:la_input[40] *1179:9 0 +22 *667:5 *2472:la_iena_mprj[40] 0 +23 *682:11 *1179:15 0 +24 *911:10 *1179:10 0.0046892 +25 *921:7 *1179:9 0 +26 *928:23 *1179:15 0 +27 *933:10 *1179:10 0.00631397 +28 *955:10 *1179:10 0.000741053 +29 *1167:10 *1179:10 0.000157517 +30 *1173:16 *1179:16 0.00383455 +*RES +1 *2478:la_iena[40] *1179:9 36.4134 +2 *1179:9 *1179:10 293.554 +3 *1179:10 *1179:15 14.5693 +4 *1179:15 *1179:16 85.0231 +5 *1179:16 *1179:18 4.5 +6 *1179:18 *2472:la_iena_mprj[40] 52.1996 +*END + +*D_NET *1180 0.0830765 +*CONN +*I *2472:la_iena_mprj[41] I *D mgmt_protect +*I *2478:la_iena[41] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[41] 0.00133248 +2 *2478:la_iena[41] 1.28869e-05 +3 *1180:16 0.00160473 +4 *1180:10 0.00346225 +5 *1180:9 0.00318999 +6 *1180:7 0.00309643 +7 *1180:5 0.00310932 +8 *2472:la_iena_mprj[41] *2472:la_oenb_mprj[41] 0 +9 *1180:10 *1305:16 0.0326433 +10 *1180:16 *1194:16 0.00160027 +11 *1180:16 *1305:16 1.15389e-05 +12 *2472:la_data_out_mprj[41] *2472:la_iena_mprj[41] 0 +13 *669:7 *2472:la_iena_mprj[41] 0 +14 *687:11 *2472:la_iena_mprj[41] 0 +15 *923:9 *1180:7 0 +16 *941:10 *1180:10 0.000180714 +17 *941:10 *1180:16 0.000702331 +18 *1154:10 *1180:10 0.000253421 +19 *1176:10 *1180:10 0.0318767 +*RES +1 *2478:la_iena[41] *1180:5 0.366399 +2 *1180:5 *1180:7 58.0987 +3 *1180:7 *1180:9 4.5 +4 *1180:9 *1180:10 350.678 +5 *1180:10 *1180:16 22.9948 +6 *1180:16 *2472:la_iena_mprj[41] 28.9455 +*END + +*D_NET *1181 0.0797639 +*CONN +*I *2472:la_iena_mprj[42] I *D mgmt_protect +*I *2478:la_iena[42] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[42] 0.00112091 +2 *2478:la_iena[42] 0.0012976 +3 *1181:26 0.00175412 +4 *1181:25 0.00126242 +5 *1181:20 0.00136811 +6 *1181:18 0.000777117 +7 *1181:16 0.00169054 +8 *1181:15 0.00170345 +9 *1181:12 0.00134872 +10 *2472:la_iena_mprj[42] *2472:la_oenb_mprj[42] 0.0019675 +11 *1181:15 *1307:15 4.15661e-05 +12 *1181:16 *1283:10 0.000383752 +13 *1181:16 *1307:16 0.000933319 +14 *1181:16 *1309:14 0.0200872 +15 *1181:16 *1309:18 1.71154e-05 +16 *1181:18 *1309:16 2.81515e-05 +17 *1181:20 *1307:20 0.000175621 +18 *1181:20 *1309:18 0.00647904 +19 *1181:25 *1309:23 0.00154543 +20 *1181:25 *1324:9 0.000257426 +21 *1181:26 *1309:24 0.00546303 +22 *2472:la_data_out_mprj[42] *2472:la_iena_mprj[42] 0 +23 *669:15 *1181:12 0 +24 *913:10 *1181:12 0.00116323 +25 *913:10 *1181:16 0.00776873 +26 *919:10 *1181:12 0.00116323 +27 *923:16 *1181:26 0.00370249 +28 *924:10 *1181:12 0 +29 *924:15 *1181:15 1.24189e-05 +30 *926:26 *1181:26 0.000792362 +31 *930:10 *1181:16 0.0103339 +32 *930:10 *1181:20 4.89898e-06 +33 *930:16 *1181:18 1.09551e-05 +34 *930:16 *1181:20 0.000264018 +35 *937:12 *1181:20 0.00361193 +36 *938:12 *1181:20 0.000339591 +37 *1173:25 *1181:25 0.000894096 +*RES +1 *2478:la_iena[42] *1181:12 49.1309 +2 *1181:12 *1181:15 5.50149 +3 *1181:15 *1181:16 214.246 +4 *1181:16 *1181:18 1.39857 +5 *1181:18 *1181:20 68.9396 +6 *1181:20 *1181:25 37.4081 +7 *1181:25 *1181:26 59.5114 +8 *1181:26 *2472:la_iena_mprj[42] 40.0896 +*END + +*D_NET *1182 0.0784923 +*CONN +*I *2472:la_iena_mprj[43] I *D mgmt_protect +*I *2478:la_iena[43] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[43] 0.0010971 +2 *2478:la_iena[43] 8.29983e-05 +3 *1182:14 0.00400005 +4 *1182:12 0.00334754 +5 *1182:7 0.0037307 +6 *1182:5 0.00336911 +7 *2472:la_iena_mprj[43] *2472:la_oenb_mprj[43] 0 +8 *1182:12 *1200:16 0.000187171 +9 *1182:12 *1310:12 0.00293248 +10 *1182:12 *1310:14 1.67988e-05 +11 *1182:14 *1308:22 0.0265746 +12 *1182:14 *1310:14 0.0280272 +13 *1182:14 *1314:12 0.000118134 +14 *2472:la_data_out_mprj[43] *2472:la_iena_mprj[43] 0 +15 *2478:la_input[43] *1182:7 0 +16 *638:16 *1182:7 0.000324719 +17 *666:7 *1182:7 0 +18 *677:7 *1182:7 0 +19 *686:8 *1182:14 0.000459395 +20 *912:10 *1182:12 0.00384522 +21 *912:10 *1182:14 1.41689e-05 +22 *925:9 *1182:7 0 +23 *943:10 *1182:14 0.000324166 +24 *977:18 *1182:12 4.0752e-05 +*RES +1 *2478:la_iena[43] *1182:5 2.05183 +2 *1182:5 *1182:7 63.0817 +3 *1182:7 *1182:12 46.2882 +4 *1182:12 *1182:14 302.428 +5 *1182:14 *2472:la_iena_mprj[43] 28.4625 +*END + +*D_NET *1183 0.0695504 +*CONN +*I *2472:la_iena_mprj[44] I *D mgmt_protect +*I *2478:la_iena[44] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[44] 0.00191436 +2 *2478:la_iena[44] 0.00145284 +3 *1183:12 0.00191436 +4 *1183:10 0.00316527 +5 *1183:9 0.00461811 +6 *2472:la_iena_mprj[44] *2472:la_oenb_mprj[44] 0 +7 *2472:la_iena_mprj[44] *1198:15 0.000140635 +8 *2472:la_iena_mprj[44] *1321:15 0.00143068 +9 *2472:la_iena_mprj[44] *1322:15 0 +10 *2472:la_iena_mprj[44] *1323:15 0.000645218 +11 *1183:10 *1194:10 0.000257062 +12 *1183:10 *1197:10 0.00640727 +13 *1183:10 *1201:10 0.000645042 +14 *1183:10 *1279:10 0.000346617 +15 *1183:10 *1298:10 0.0216412 +16 *1183:10 *1300:14 0.000390602 +17 *1183:10 *1311:10 0.00464305 +18 *2472:la_data_out_mprj[44] *2472:la_iena_mprj[44] 0 +19 *2478:la_input[44] *1183:9 0 +20 *671:7 *2472:la_iena_mprj[44] 0 +21 *926:9 *1183:9 0 +22 *1179:10 *1183:10 0.019938 +*RES +1 *2478:la_iena[44] *1183:9 35.9981 +2 *1183:9 *1183:10 331.267 +3 *1183:10 *1183:12 4.5 +4 *1183:12 *2472:la_iena_mprj[44] 58.0132 +*END + +*D_NET *1184 0.0715478 +*CONN +*I *2472:la_iena_mprj[45] I *D mgmt_protect +*I *2478:la_iena[45] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[45] 0.0018312 +2 *2478:la_iena[45] 0.000965545 +3 *1184:16 0.00464577 +4 *1184:15 0.00405622 +5 *1184:12 0.0018676 +6 *1184:9 0.00159149 +7 *2472:la_iena_mprj[45] *2472:la_oenb_mprj[45] 0 +8 *2472:la_iena_mprj[45] *1197:15 0 +9 *1184:15 *1313:9 0.000471736 +10 *2472:la_data_out_mprj[45] *2472:la_iena_mprj[45] 0 +11 *2478:la_input[45] *1184:9 0 +12 *2478:la_input[46] *1184:15 0 +13 *636:5 *1184:15 0.000158189 +14 *671:8 *1184:16 0.0257332 +15 *673:8 *1184:16 0.0268901 +16 *897:10 *1184:16 0.000112976 +17 *907:10 *1184:12 0.000189477 +18 *915:15 *1184:15 9.12633e-05 +19 *925:10 *1184:12 0.00294309 +20 *927:7 *1184:9 0 +21 *929:7 *1184:15 0 +*RES +1 *2478:la_iena[45] *1184:9 27.6931 +2 *1184:9 *1184:12 35.7266 +3 *1184:12 *1184:15 30.8319 +4 *1184:15 *1184:16 289.117 +5 *1184:16 *2472:la_iena_mprj[45] 44.6573 +*END + +*D_NET *1185 0.0602744 +*CONN +*I *2472:la_iena_mprj[46] I *D mgmt_protect +*I *2478:la_iena[46] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[46] 0.000385709 +2 *2478:la_iena[46] 0.00158146 +3 *1185:16 0.00226341 +4 *1185:15 0.00345373 +5 *1185:10 0.00410854 +6 *1185:9 0.00411396 +7 *2472:la_iena_mprj[46] *1313:13 0.000979082 +8 *1185:10 *1187:10 0.00205408 +9 *1185:10 *1312:10 0.00023576 +10 *1185:10 *1313:10 0.00167979 +11 *1185:10 *1315:10 0.0171377 +12 *1185:10 *1318:10 0.0133598 +13 *1185:15 *2472:la_oenb_mprj[37] 0.000593352 +14 *1185:15 *1325:9 5.05252e-05 +15 *2472:la_data_out_mprj[38] *1185:15 0 +16 *2472:la_data_out_mprj[46] *2472:la_iena_mprj[46] 0 +17 *2472:la_iena_mprj[37] *1185:15 0 +18 *2478:la_input[46] *1185:9 0 +19 *664:5 *1185:15 0.000392459 +20 *928:9 *1185:9 0 +21 *940:10 *1185:16 0.00690921 +22 *941:7 *1185:15 0 +23 *1155:10 *1185:10 0.000975721 +*RES +1 *2478:la_iena[46] *1185:9 38.4896 +2 *1185:9 *1185:10 233.657 +3 *1185:10 *1185:15 48.6199 +4 *1185:15 *1185:16 74.4857 +5 *1185:16 *2472:la_iena_mprj[46] 20.5727 +*END + +*D_NET *1186 0.0708955 +*CONN +*I *2472:la_iena_mprj[47] I *D mgmt_protect +*I *2478:la_iena[47] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[47] 0.00115137 +2 *2478:la_iena[47] 8.29983e-05 +3 *1186:12 0.016383 +4 *1186:7 0.0183955 +5 *1186:5 0.00324688 +6 *2472:la_iena_mprj[47] *2472:la_oenb_mprj[47] 0 +7 *1186:7 *2472:la_oenb_mprj[12] 0 +8 *1186:12 *1278:10 0.000377635 +9 *1186:12 *1299:10 0.000108607 +10 *1186:12 *1308:22 0.000108607 +11 *1186:12 *1314:12 0.0305314 +12 *1186:12 *1315:18 9.63897e-05 +13 *2472:la_data_out_mprj[13] *1186:7 0 +14 *2472:la_data_out_mprj[47] *2472:la_iena_mprj[47] 0 +15 *2472:la_iena_mprj[13] *1186:7 0 +16 *674:11 *1186:7 0 +17 *912:10 *1186:12 0.000118134 +18 *914:10 *1186:12 0.000118134 +19 *1152:10 *1186:12 0.000176842 +20 *1154:10 *1186:12 0 +*RES +1 *2478:la_iena[47] *1186:5 2.05183 +2 *1186:5 *1186:7 62.4588 +3 *1186:7 *1186:12 47.7097 +4 *1186:12 *2472:la_iena_mprj[47] 25.0006 +*END + +*D_NET *1187 0.0592245 +*CONN +*I *2472:la_iena_mprj[48] I *D mgmt_protect +*I *2478:la_iena[48] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[48] 0.00615121 +2 *2478:la_iena[48] 0.00160928 +3 *1187:15 0.00723861 +4 *1187:10 0.00289202 +5 *1187:9 0.00341391 +6 *2472:la_iena_mprj[48] *2472:la_oenb_mprj[48] 0 +7 *1187:9 *2472:la_oenb_mprj[15] 0 +8 *1187:10 *1191:10 0.0120644 +9 *1187:10 *1287:10 3.59302e-05 +10 *1187:10 *1318:10 0.0130583 +11 *2472:la_data_out_mprj[37] *1187:15 0.000508068 +12 *2472:la_data_out_mprj[47] *2472:la_iena_mprj[48] 0.00363344 +13 *2472:la_data_out_mprj[48] *2472:la_iena_mprj[48] 0 +14 *2472:la_data_out_mprj[49] *2472:la_iena_mprj[48] 0.00026726 +15 *2472:la_iena_mprj[37] *1187:15 0.00198764 +16 *675:11 *1187:9 0 +17 *917:15 *1187:9 0 +18 *930:9 *1187:9 0 +19 *1155:10 *1187:10 0.00333991 +20 *1159:10 *1187:10 0.000970459 +21 *1185:10 *1187:10 0.00205408 +*RES +1 *2478:la_iena[48] *1187:9 39.3201 +2 *1187:9 *1187:10 189.843 +3 *1187:10 *1187:15 45.2048 +4 *1187:15 *2472:la_iena_mprj[48] 34.0246 +*END + +*D_NET *1188 0.0762647 +*CONN +*I *2472:la_iena_mprj[49] I *D mgmt_protect +*I *2478:la_iena[49] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[49] 0.00101203 +2 *2478:la_iena[49] 8.29983e-05 +3 *1188:12 0.00737862 +4 *1188:7 0.00931235 +5 *1188:5 0.00302876 +6 *2472:la_iena_mprj[49] *2472:la_oenb_mprj[49] 0 +7 *1188:7 *1281:13 0.000408326 +8 *1188:12 *1190:12 0.0270238 +9 *1188:12 *1314:12 0.0274155 +10 *1188:12 *1315:18 0 +11 *1188:12 *1316:12 0.000375597 +12 *2472:la_data_out_mprj[18] *1188:7 0 +13 *2472:la_data_out_mprj[49] *2472:la_iena_mprj[49] 0 +14 *642:7 *1188:7 0 +15 *676:11 *1188:7 0 +16 *927:10 *1188:12 0.000108607 +17 *929:10 *1188:12 0.000118134 +18 *931:7 *1188:7 0 +*RES +1 *2478:la_iena[49] *1188:5 2.05183 +2 *1188:5 *1188:7 64.1198 +3 *1188:7 *1188:12 44.4206 +4 *1188:12 *2472:la_iena_mprj[49] 23.3396 +*END + +*D_NET *1189 0.206048 +*CONN +*I *2472:la_iena_mprj[4] I *D mgmt_protect +*I *2478:la_iena[4] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[4] 0.00252696 +2 *2478:la_iena[4] 0.0013629 +3 *1189:12 0.00252696 +4 *1189:10 0.0108902 +5 *1189:9 0.0122531 +6 *2472:la_iena_mprj[4] *2472:la_oenb_mprj[4] 0 +7 *1189:10 *1211:10 0.000422525 +8 *1189:10 *1267:10 0.00380399 +9 *1189:10 *1295:10 0.000448211 +10 *1189:10 *1317:10 0.0854372 +11 *1189:10 *1339:10 0.0811299 +12 *2472:la_data_out_mprj[4] *2472:la_iena_mprj[4] 0 +13 *2478:la_input[4] *1189:9 0 +14 *677:14 *1189:10 0.00125989 +15 *922:7 *1189:9 0 +16 *977:15 *2472:la_iena_mprj[4] 0.00143699 +17 *1155:10 *1189:10 0.00254869 +*RES +1 *2478:la_iena[4] *1189:9 37.9813 +2 *1189:9 *1189:10 110.196 +3 *1189:10 *1189:12 3.36879 +4 *1189:12 *2472:la_iena_mprj[4] 54.8988 +*END + +*D_NET *1190 0.0741002 +*CONN +*I *2472:la_iena_mprj[50] I *D mgmt_protect +*I *2478:la_iena[50] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[50] 0.00093664 +2 *2478:la_iena[50] 3.62521e-05 +3 *1190:12 0.00618127 +4 *1190:7 0.00787825 +5 *1190:5 0.00266987 +6 *2472:la_iena_mprj[50] *2472:la_oenb_mprj[50] 0 +7 *1190:7 *2472:la_oenb_mprj[19] 0.000452056 +8 *1190:12 *1316:12 0.0272652 +9 *1190:12 *1319:12 0.000275479 +10 *2472:la_data_out_mprj[20] *1190:7 0 +11 *2472:la_data_out_mprj[50] *2472:la_iena_mprj[50] 0 +12 *645:7 *1190:7 0.00115462 +13 *678:7 *2472:la_iena_mprj[50] 0 +14 *931:10 *1190:12 0.000118134 +15 *932:9 *1190:7 0 +16 *934:10 *1190:12 0.000108607 +17 *1188:12 *1190:12 0.0270238 +*RES +1 *2478:la_iena[50] *1190:5 0.928211 +2 *1190:5 *1190:7 64.9503 +3 *1190:7 *1190:12 42.9673 +4 *1190:12 *2472:la_iena_mprj[50] 22.5091 +*END + +*D_NET *1191 0.0550314 +*CONN +*I *2472:la_iena_mprj[51] I *D mgmt_protect +*I *2478:la_iena[51] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[51] 0.00129929 +2 *2478:la_iena[51] 0.00154272 +3 *1191:20 0.00245743 +4 *1191:18 0.00165112 +5 *1191:10 0.00218563 +6 *1191:9 0.00323538 +7 *2472:la_iena_mprj[51] *2472:la_oenb_mprj[51] 0 +8 *1191:10 *1193:10 0.000223371 +9 *1191:10 *1287:10 9.9028e-05 +10 *1191:10 *1289:10 4.03749e-05 +11 *1191:10 *1291:10 0 +12 *1191:10 *1302:10 0 +13 *1191:10 *1318:10 0.000103217 +14 *1191:10 *1321:10 0.00888925 +15 *1191:10 *1325:10 0.0013203 +16 *1191:18 *1193:15 0.00130362 +17 *1191:18 *1194:15 2.33193e-05 +18 *1191:18 *1194:16 1.67988e-05 +19 *1191:18 *1305:16 0.000311235 +20 *1191:20 *1194:16 0.00936007 +21 *1191:20 *1321:16 0.00561217 +22 *2472:la_data_out_mprj[22] *1191:9 0 +23 *2472:la_data_out_mprj[51] *2472:la_iena_mprj[51] 0 +24 *679:7 *2472:la_iena_mprj[51] 0 +25 *679:11 *1191:9 0 +26 *680:7 *2472:la_iena_mprj[51] 0 +27 *924:16 *1191:18 0.000364342 +28 *924:16 *1191:20 0.000481047 +29 *928:24 *1191:20 0.000633643 +30 *934:7 *1191:9 0 +31 *1161:10 *1191:10 0.000356734 +32 *1163:10 *1191:10 8.68552e-05 +33 *1177:17 *1191:18 0.00137009 +34 *1187:10 *1191:10 0.0120644 +*RES +1 *2478:la_iena[51] *1191:9 39.7354 +2 *1191:9 *1191:10 145.475 +3 *1191:10 *1191:18 37.8853 +4 *1191:18 *1191:20 100.552 +5 *1191:20 *2472:la_iena_mprj[51] 34.276 +*END + +*D_NET *1192 0.0560409 +*CONN +*I *2472:la_iena_mprj[52] I *D mgmt_protect +*I *2478:la_iena[52] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[52] 0.00084438 +2 *2478:la_iena[52] 8.29983e-05 +3 *1192:10 0.00320775 +4 *1192:9 0.00236337 +5 *1192:7 0.00264746 +6 *1192:5 0.00273046 +7 *2472:la_iena_mprj[52] *2472:la_oenb_mprj[52] 0 +8 *1192:7 *2472:la_oenb_mprj[24] 0.00162549 +9 *1192:10 *1292:10 6.54613e-05 +10 *1192:10 *1316:12 0.000217213 +11 *1192:10 *1320:10 0.021158 +12 *2472:la_data_out_mprj[25] *1192:7 0 +13 *2472:la_data_out_mprj[52] *2472:la_iena_mprj[52] 0 +14 *650:7 *1192:7 0.000146694 +15 *680:7 *2472:la_iena_mprj[52] 0 +16 *680:11 *1192:7 0 +17 *681:8 *1192:10 0.0198137 +18 *934:10 *1192:10 0.000994607 +19 *935:9 *1192:7 0 +20 *1162:10 *1192:10 0.000143313 +*RES +1 *2478:la_iena[52] *1192:5 2.05183 +2 *1192:5 *1192:7 66.4037 +3 *1192:7 *1192:9 4.5 +4 *1192:9 *1192:10 238.094 +5 *1192:10 *2472:la_iena_mprj[52] 25.5557 +*END + +*D_NET *1193 0.0493362 +*CONN +*I *2472:la_iena_mprj[53] I *D mgmt_protect +*I *2478:la_iena[53] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[53] 0.00112731 +2 *2478:la_iena[53] 0.00150475 +3 *1193:16 0.00271676 +4 *1193:15 0.00206363 +5 *1193:10 0.00157942 +6 *1193:9 0.00261 +7 *2472:la_iena_mprj[53] *2472:la_oenb_mprj[53] 0 +8 *1193:10 *1302:10 0.000147235 +9 *1193:10 *1321:10 0.000863309 +10 *1193:10 *1322:10 0.00700093 +11 *1193:10 *1324:10 0.00322946 +12 *1193:15 *1194:15 0.00134552 +13 *1193:16 *1195:16 0.00870264 +14 *1193:16 *1197:21 0.00119054 +15 *1193:16 *1308:22 0 +16 *2472:la_data_out_mprj[53] *2472:la_iena_mprj[53] 0 +17 *681:7 *2472:la_iena_mprj[53] 0 +18 *681:11 *1193:9 0 +19 *686:8 *1193:16 0 +20 *686:11 *1193:15 4.87343e-05 +21 *687:8 *1193:16 0.000100757 +22 *923:15 *1193:9 0.000117032 +23 *932:16 *1193:9 6.60196e-05 +24 *936:9 *1193:9 0 +25 *941:10 *1193:16 0.00193805 +26 *941:14 *1193:16 0.00558111 +27 *942:10 *1193:16 5.64284e-05 +28 *1173:16 *1193:10 0.0057313 +29 *1177:17 *1193:15 7.41203e-05 +30 *1179:16 *1193:10 1.41689e-05 +31 *1191:10 *1193:10 0.000223371 +32 *1191:18 *1193:15 0.00130362 +*RES +1 *2478:la_iena[53] *1193:9 40.9811 +2 *1193:9 *1193:10 105.543 +3 *1193:10 *1193:15 34.9166 +4 *1193:15 *1193:16 121.072 +5 *1193:16 *2472:la_iena_mprj[53] 31.7845 +*END + +*D_NET *1194 0.0433791 +*CONN +*I *2472:la_iena_mprj[54] I *D mgmt_protect +*I *2478:la_iena[54] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[54] 0.00121398 +2 *2478:la_iena[54] 0.0012357 +3 *1194:16 0.0026517 +4 *1194:15 0.0020217 +5 *1194:10 0.00193363 +6 *1194:9 0.00258536 +7 *2472:la_iena_mprj[54] *2472:la_oenb_mprj[54] 0 +8 *1194:9 *1304:15 0 +9 *1194:10 *1197:10 0.000594894 +10 *1194:10 *1300:14 0.0042234 +11 *1194:10 *1307:20 0 +12 *1194:16 *1305:16 0.000213725 +13 *1194:16 *1321:16 0.000832715 +14 *1194:16 *1323:16 0.000747045 +15 *1194:16 *1324:16 0.00015542 +16 *1194:16 *1325:16 0.00640951 +17 *2472:la_data_out_mprj[54] *2472:la_iena_mprj[54] 0 +18 *682:7 *2472:la_iena_mprj[54] 0 +19 *682:11 *1194:9 0 +20 *686:11 *1194:15 0.00171137 +21 *937:9 *1194:9 0 +22 *941:10 *1194:16 0.000547129 +23 *1175:10 *1194:10 0.000961455 +24 *1175:14 *1194:10 0.00239627 +25 *1177:14 *1194:10 0.000266465 +26 *1177:17 *1194:15 7.45478e-05 +27 *1180:16 *1194:16 0.00160027 +28 *1183:10 *1194:10 0.000257062 +29 *1191:18 *1194:15 2.33193e-05 +30 *1191:18 *1194:16 1.67988e-05 +31 *1191:20 *1194:16 0.00936007 +32 *1193:15 *1194:15 0.00134552 +*RES +1 *2478:la_iena[54] *1194:9 34.3371 +2 *1194:9 *1194:10 86.1323 +3 *1194:10 *1194:15 39.4844 +4 *1194:15 *1194:16 128.837 +5 *1194:16 *2472:la_iena_mprj[54] 33.8608 +*END + +*D_NET *1195 0.0413853 +*CONN +*I *2472:la_iena_mprj[55] I *D mgmt_protect +*I *2478:la_iena[55] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[55] 0.00107856 +2 *2478:la_iena[55] 0.00165852 +3 *1195:16 0.00200827 +4 *1195:15 0.00165435 +5 *1195:10 0.00205123 +6 *1195:9 0.00298512 +7 *2472:la_iena_mprj[55] *2472:la_oenb_mprj[55] 0 +8 *1195:10 *1196:10 0.00298724 +9 *1195:10 *1302:10 0.00388763 +10 *1195:10 *1323:10 0.00213251 +11 *1195:15 *2472:la_oenb_mprj[42] 0.000155929 +12 *1195:15 *1196:15 0 +13 *1195:16 *1196:16 0.0101237 +14 *2472:la_data_out_mprj[42] *1195:15 0 +15 *2472:la_data_out_mprj[55] *2472:la_iena_mprj[55] 0 +16 *670:9 *1195:15 0.000864827 +17 *683:11 *1195:9 0 +18 *687:8 *1195:16 4.41269e-05 +19 *917:16 *1195:10 0.000324724 +20 *930:19 *1195:9 0 +21 *938:9 *1195:9 0 +22 *941:14 *1195:16 0.000725963 +23 *943:7 *1195:15 0 +24 *1170:13 *1195:9 0 +25 *1193:16 *1195:16 0.00870264 +*RES +1 *2478:la_iena[55] *1195:9 43.0574 +2 *1195:9 *1195:10 93.3422 +3 *1195:10 *1195:15 33.2556 +4 *1195:15 *1195:16 109.98 +5 *1195:16 *2472:la_iena_mprj[55] 31.3693 +*END + +*D_NET *1196 0.0368598 +*CONN +*I *2472:la_iena_mprj[56] I *D mgmt_protect +*I *2478:la_iena[56] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[56] 0.00104347 +2 *2478:la_iena[56] 0.0014958 +3 *1196:16 0.00210346 +4 *1196:15 0.0016984 +5 *1196:10 0.00255202 +6 *1196:9 0.0034094 +7 *2472:la_iena_mprj[56] *2472:la_oenb_mprj[56] 0 +8 *1196:10 *1323:10 4.03749e-05 +9 *1196:16 *1197:22 0.00803008 +10 *2472:la_data_out_mprj[56] *2472:la_iena_mprj[56] 0 +11 *670:9 *1196:15 0.000256938 +12 *672:8 *1196:10 0 +13 *684:7 *2472:la_iena_mprj[56] 0 +14 *684:11 *1196:9 0 +15 *687:8 *1196:16 0.00123909 +16 *917:16 *1196:10 0.000342009 +17 *938:13 *1196:9 0.000693825 +18 *941:14 *1196:16 0.000205749 +19 *943:7 *1196:15 0.000638245 +20 *1195:10 *1196:10 0.00298724 +21 *1195:15 *1196:15 0 +22 *1195:16 *1196:16 0.0101237 +*RES +1 *2478:la_iena[56] *1196:9 43.8879 +2 *1196:9 *1196:10 75.0403 +3 *1196:10 *1196:15 32.8404 +4 *1196:15 *1196:16 116.081 +5 *1196:16 *2472:la_iena_mprj[56] 30.954 +*END + +*D_NET *1197 0.0395703 +*CONN +*I *2472:la_iena_mprj[57] I *D mgmt_protect +*I *2478:la_iena[57] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[57] 0.00100942 +2 *2478:la_iena[57] 0.00122932 +3 *1197:22 0.0017716 +4 *1197:21 0.000958937 +5 *1197:15 0.000997255 +6 *1197:10 0.00227805 +7 *1197:9 0.00270687 +8 *2472:la_iena_mprj[57] *2472:la_oenb_mprj[57] 0 +9 *1197:10 *1201:10 0.000221446 +10 *1197:10 *1307:20 0 +11 *1197:10 *1326:10 0 +12 *1197:15 *2472:la_oenb_mprj[45] 0 +13 *1197:15 *1199:15 0.00182256 +14 *1197:21 *1313:13 9.60366e-05 +15 *1197:22 *1326:16 1.45065e-05 +16 *2472:la_data_out_mprj[45] *1197:15 7.52542e-05 +17 *2472:la_data_out_mprj[57] *2472:la_iena_mprj[57] 0 +18 *2472:la_iena_mprj[45] *1197:15 0 +19 *685:11 *1197:9 0 +20 *687:8 *1197:22 0.00886047 +21 *689:8 *1197:10 0 +22 *940:7 *1197:9 0 +23 *941:10 *1197:21 0.00119477 +24 *941:14 *1197:22 0.000111055 +25 *945:9 *1197:15 0 +26 *1183:10 *1197:10 0.00640727 +27 *1193:16 *1197:21 0.00119054 +28 *1194:10 *1197:10 0.000594894 +29 *1196:16 *1197:22 0.00803008 +*RES +1 *2478:la_iena[57] *1197:9 35.5828 +2 *1197:9 *1197:10 73.3765 +3 *1197:10 *1197:15 39.8997 +4 *1197:15 *1197:21 23.7567 +5 *1197:21 *1197:22 93.8968 +6 *1197:22 *2472:la_iena_mprj[57] 30.5388 +*END + +*D_NET *1198 0.0357118 +*CONN +*I *2472:la_iena_mprj[58] I *D mgmt_protect +*I *2478:la_iena[58] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[58] 0.000837926 +2 *2478:la_iena[58] 0.00103457 +3 *1198:16 0.00272206 +4 *1198:15 0.00284336 +5 *1198:10 0.00162089 +6 *1198:9 0.00169623 +7 *2472:la_iena_mprj[58] *2472:la_oenb_mprj[58] 0 +8 *1198:10 *1199:12 0.00280088 +9 *1198:10 *1307:20 0.00041958 +10 *1198:10 *1326:10 0.00369305 +11 *1198:15 *2472:la_oenb_mprj[44] 0.00198724 +12 *1198:15 *1199:15 0 +13 *1198:15 *1321:15 0.00066283 +14 *1198:15 *1329:9 1.77537e-06 +15 *1198:16 *1199:16 2.1801e-05 +16 *1198:16 *1327:16 0.00982861 +17 *2472:la_data_out_mprj[58] *2472:la_iena_mprj[58] 0 +18 *2472:la_iena_mprj[44] *1198:15 0.000140635 +19 *2478:la_input[60] *1198:15 0 +20 *681:8 *1198:16 0.000582801 +21 *682:8 *1198:16 0 +22 *683:8 *1198:16 0 +23 *685:8 *1198:16 0 +24 *686:11 *1198:9 0 +25 *929:10 *1198:16 0.00124365 +26 *931:10 *1198:16 0.00285553 +27 *934:10 *1198:16 0.000718419 +28 *939:14 *1198:16 0 +29 *941:7 *1198:9 0 +*RES +1 *2478:la_iena[58] *1198:9 31.0151 +2 *1198:9 *1198:10 48.9739 +3 *1198:10 *1198:15 49.4505 +4 *1198:15 *1198:16 118.854 +5 *1198:16 *2472:la_iena_mprj[58] 27.2167 +*END + +*D_NET *1199 0.0390417 +*CONN +*I *2472:la_iena_mprj[59] I *D mgmt_protect +*I *2478:la_iena[59] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[59] 0.000895404 +2 *2478:la_iena[59] 0.000996591 +3 *1199:16 0.00196426 +4 *1199:15 0.00196757 +5 *1199:12 0.00130528 +6 *1199:9 0.00140316 +7 *2472:la_iena_mprj[59] *2472:la_oenb_mprj[59] 0 +8 *1199:12 *1326:10 6.24655e-05 +9 *1199:12 *1327:12 0.00205738 +10 *1199:12 *1329:12 0.000167076 +11 *1199:15 *2472:la_oenb_mprj[44] 0 +12 *1199:15 *1329:9 6.08697e-06 +13 *1199:16 *1327:16 0.000291294 +14 *1199:16 *1329:16 0.00998372 +15 *2472:la_data_out_mprj[45] *1199:15 3.82989e-05 +16 *2472:la_data_out_mprj[59] *2472:la_iena_mprj[59] 0 +17 *672:5 *1199:15 0.00136581 +18 *687:11 *1199:9 0 +19 *929:10 *1199:16 0.000406926 +20 *942:7 *1199:9 0 +21 *943:10 *1199:16 0.0114852 +22 *945:9 *1199:15 0 +23 *1197:15 *1199:15 0.00182256 +24 *1198:10 *1199:12 0.00280088 +25 *1198:15 *1199:15 0 +26 *1198:16 *1199:16 2.1801e-05 +*RES +1 *2478:la_iena[59] *1199:9 30.038 +2 *1199:9 *1199:12 37.3904 +3 *1199:12 *1199:15 44.1199 +4 *1199:15 *1199:16 124.4 +5 *1199:16 *2472:la_iena_mprj[59] 28.4625 +*END + +*D_NET *1200 0.171697 +*CONN +*I *2472:la_iena_mprj[5] I *D mgmt_protect +*I *2478:la_iena[5] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[5] 0.00104673 +2 *2478:la_iena[5] 8.29983e-05 +3 *1200:16 0.00156013 +4 *1200:10 0.00522265 +5 *1200:9 0.00470924 +6 *1200:7 0.00249932 +7 *1200:5 0.00258232 +8 *2472:la_iena_mprj[5] *2472:la_oenb_mprj[5] 0 +9 *1200:10 *1284:10 0.00922531 +10 *1200:16 *1280:10 9.16621e-05 +11 *2472:la_data_out_mprj[5] *2472:la_iena_mprj[5] 0 +12 *688:11 *1200:7 0 +13 *699:7 *2472:la_iena_mprj[5] 0 +14 *912:10 *1200:16 4.52739e-05 +15 *922:10 *1200:10 0.0716134 +16 *922:10 *1200:16 0.000731606 +17 *933:9 *1200:7 0 +18 *944:10 *1200:10 0.00175438 +19 *944:10 *1200:16 0.00331837 +20 *966:10 *1200:10 0.0662309 +21 *977:18 *1200:16 0.000795688 +22 *1182:12 *1200:16 0.000187171 +*RES +1 *2478:la_iena[5] *1200:5 2.05183 +2 *1200:5 *1200:7 63.9122 +3 *1200:7 *1200:9 4.5 +4 *1200:9 *1200:10 749.993 +5 *1200:10 *1200:16 42.9606 +6 *1200:16 *2472:la_iena_mprj[5] 23.132 +*END + +*D_NET *1201 0.0304225 +*CONN +*I *2472:la_iena_mprj[60] I *D mgmt_protect +*I *2478:la_iena[60] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[60] 0.00207544 +2 *2478:la_iena[60] 0.00126539 +3 *1201:12 0.00207544 +4 *1201:10 0.0017911 +5 *1201:9 0.00305649 +6 *2472:la_iena_mprj[60] *2472:la_oenb_mprj[60] 0 +7 *1201:10 *1202:10 0 +8 *1201:10 *1203:10 8.47539e-05 +9 *1201:10 *1311:10 0.000848795 +10 *1201:10 *1312:10 0.000363723 +11 *1201:10 *1313:10 0.000163362 +12 *1201:10 *1315:10 5.44727e-05 +13 *1201:10 *1330:10 6.95209e-05 +14 *1201:10 *1333:10 0.00508673 +15 *2472:la_data_out_mprj[60] *2472:la_iena_mprj[60] 0 +16 *2478:la_input[60] *1201:9 0 +17 *2478:la_input[67] *2472:la_iena_mprj[60] 0.000193765 +18 *689:8 *1201:10 0 +19 *690:5 *2472:la_iena_mprj[60] 0 +20 *690:8 *1201:10 0.0112932 +21 *691:8 *1201:10 0.00113384 +22 *943:7 *1201:9 0 +23 *945:10 *1201:10 0 +24 *1183:10 *1201:10 0.000645042 +25 *1197:10 *1201:10 0.000221446 +*RES +1 *2478:la_iena[60] *1201:9 36.4134 +2 *1201:9 *1201:10 144.366 +3 *1201:10 *1201:12 4.5 +4 *1201:12 *2472:la_iena_mprj[60] 57.5979 +*END + +*D_NET *1202 0.0299942 +*CONN +*I *2472:la_iena_mprj[61] I *D mgmt_protect +*I *2478:la_iena[61] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[61] 0.00228292 +2 *2478:la_iena[61] 0.00113487 +3 *1202:12 0.00228292 +4 *1202:10 0.00184374 +5 *1202:9 0.00297861 +6 *2472:la_iena_mprj[61] *2472:la_oenb_mprj[61] 0 +7 *1202:9 *1327:15 0 +8 *1202:10 *1205:10 0.00686016 +9 *2472:la_data_out_mprj[61] *2472:la_iena_mprj[61] 0 +10 *2478:la_input[61] *1202:9 0 +11 *2478:la_input[66] *1202:10 1.67988e-05 +12 *689:8 *1202:10 0.0114161 +13 *690:5 *2472:la_iena_mprj[61] 0 +14 *690:8 *1202:10 0 +15 *695:8 *1202:10 0.00117808 +16 *945:9 *1202:9 0 +17 *1201:10 *1202:10 0 +*RES +1 *2478:la_iena[61] *1202:9 33.0913 +2 *1202:9 *1202:10 133.274 +3 *1202:10 *1202:12 4.5 +4 *1202:12 *2472:la_iena_mprj[61] 60.9199 +*END + +*D_NET *1203 0.0304279 +*CONN +*I *2472:la_iena_mprj[62] I *D mgmt_protect +*I *2478:la_iena[62] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[62] 0.00204013 +2 *2478:la_iena[62] 0.00131014 +3 *1203:12 0.00204013 +4 *1203:10 0.000851859 +5 *1203:9 0.002162 +6 *2472:la_iena_mprj[62] *2472:la_oenb_mprj[62] 0 +7 *1203:10 *1330:10 0.0109472 +8 *1203:10 *1331:10 0.000145188 +9 *2472:la_data_out_mprj[62] *2472:la_iena_mprj[62] 0 +10 *2478:la_input[62] *1203:9 0 +11 *691:5 *2472:la_iena_mprj[62] 0 +12 *691:8 *1203:10 0.0106775 +13 *692:5 *2472:la_iena_mprj[62] 0 +14 *694:12 *1203:10 0.000169038 +15 *946:9 *1203:9 0 +16 *1201:10 *1203:10 8.47539e-05 +*RES +1 *2478:la_iena[62] *1203:9 38.0744 +2 *1203:9 *1203:10 121.072 +3 *1203:10 *1203:12 4.5 +4 *1203:12 *2472:la_iena_mprj[62] 55.9369 +*END + +*D_NET *1204 0.0271544 +*CONN +*I *2472:la_iena_mprj[63] I *D mgmt_protect +*I *2478:la_iena[63] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[63] 0.00196154 +2 *2478:la_iena[63] 0.00137933 +3 *1204:12 0.00196154 +4 *1204:10 0.000933602 +5 *1204:9 0.00231294 +6 *2472:la_iena_mprj[63] *2472:la_oenb_mprj[63] 0 +7 *1204:10 *1206:10 0.000173536 +8 *1204:10 *1331:10 0.00982129 +9 *2472:la_data_out_mprj[63] *2472:la_iena_mprj[63] 0 +10 *2478:la_input[63] *1204:9 0 +11 *694:10 *1204:10 0.000108945 +12 *945:10 *1204:10 0.00780587 +13 *947:9 *1204:9 0 +14 *947:10 *1204:10 0.000695785 +*RES +1 *2478:la_iena[63] *1204:9 39.1736 +2 *1204:9 *1204:10 109.98 +3 *1204:10 *1204:12 4.5 +4 *1204:12 *2472:la_iena_mprj[63] 54.2759 +*END + +*D_NET *1205 0.0209894 +*CONN +*I *2472:la_iena_mprj[64] I *D mgmt_protect +*I *2478:la_iena[64] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[64] 0.00222838 +2 *2478:la_iena[64] 0.00115875 +3 *1205:12 0.00222838 +4 *1205:10 0.0013809 +5 *1205:9 0.00253965 +6 *2472:la_iena_mprj[64] *2472:la_oenb_mprj[64] 0 +7 *1205:10 *1209:10 0.0015623 +8 *1205:10 *1334:10 3.04269e-05 +9 *2472:la_data_out_mprj[64] *2472:la_iena_mprj[64] 0 +10 *2478:la_input[64] *1205:9 0 +11 *690:8 *1205:10 0 +12 *695:8 *1205:10 0.00105799 +13 *948:9 *1205:9 0 +14 *950:10 *1205:10 0.00194244 +15 *1202:10 *1205:10 0.00686016 +*RES +1 *2478:la_iena[64] *1205:9 33.5066 +2 *1205:9 *1205:10 97.779 +3 *1205:10 *1205:12 4.5 +4 *1205:12 *2472:la_iena_mprj[64] 60.5047 +*END + +*D_NET *1206 0.0144945 +*CONN +*I *2472:la_iena_mprj[65] I *D mgmt_protect +*I *2478:la_iena[65] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[65] 0.00189631 +2 *2478:la_iena[65] 0.00153182 +3 *1206:12 0.00189631 +4 *1206:10 0.00251186 +5 *1206:9 0.00404368 +6 *2472:la_iena_mprj[65] *2472:la_oenb_mprj[65] 0 +7 *1206:10 *1337:12 0 +8 *2472:la_data_out_mprj[65] *2472:la_iena_mprj[65] 0 +9 *2478:la_input[65] *1206:9 0 +10 *694:10 *1206:10 0 +11 *696:8 *1206:10 0 +12 *947:10 *1206:10 0.00244103 +13 *1204:10 *1206:10 0.000173536 +*RES +1 *2478:la_iena[65] *1206:9 41.3964 +2 *1206:9 *1206:10 86.1323 +3 *1206:10 *1206:12 4.5 +4 *1206:12 *2472:la_iena_mprj[65] 52.6149 +*END + +*D_NET *1207 0.0178711 +*CONN +*I *2472:la_iena_mprj[66] I *D mgmt_protect +*I *2478:la_iena[66] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[66] 0.0021222 +2 *2478:la_iena[66] 0.00124445 +3 *1207:12 0.0021222 +4 *1207:10 0.000855382 +5 *1207:9 0.00209983 +6 *2472:la_iena_mprj[66] *2472:la_oenb_mprj[66] 0 +7 *1207:10 *1209:10 0.00024962 +8 *1207:10 *1334:10 0.00647328 +9 *1207:10 *1337:12 0 +10 *2472:la_data_out_mprj[66] *2472:la_iena_mprj[66] 0 +11 *2478:la_input[66] *1207:9 0 +12 *697:13 *1207:10 5.04829e-06 +13 *950:9 *1207:9 0 +14 *950:10 *1207:10 0.0026991 +*RES +1 *2478:la_iena[66] *1207:9 35.1676 +2 *1207:9 *1207:10 74.4857 +3 *1207:10 *1207:12 4.5 +4 *1207:12 *2472:la_iena_mprj[66] 58.8437 +*END + +*D_NET *1208 0.0177487 +*CONN +*I *2472:la_iena_mprj[67] I *D mgmt_protect +*I *2478:la_iena[67] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[67] 0.00223808 +2 *2478:la_iena[67] 0.00113074 +3 *1208:12 0.00223808 +4 *1208:10 0.000660432 +5 *1208:9 0.00179117 +6 *2472:la_iena_mprj[67] *2472:la_oenb_mprj[67] 0 +7 *1208:10 *1210:12 0.000520301 +8 *1208:10 *1332:10 0.00289643 +9 *1208:10 *1338:12 0.00115455 +10 *2472:la_data_out_mprj[67] *2472:la_iena_mprj[67] 0 +11 *2478:la_input[67] *1208:9 0 +12 *695:8 *1208:10 0.00473362 +13 *949:10 *1208:10 6.05863e-05 +14 *951:9 *1208:9 0 +15 *951:16 *1208:10 1.75155e-06 +16 *953:12 *1208:10 8.69538e-05 +17 *953:16 *1208:10 0.000236012 +*RES +1 *2478:la_iena[67] *1208:9 32.2608 +2 *1208:9 *1208:10 62.839 +3 *1208:10 *1208:12 4.5 +4 *1208:12 *2472:la_iena_mprj[67] 61.7504 +*END + +*D_NET *1209 0.0147129 +*CONN +*I *2472:la_iena_mprj[68] I *D mgmt_protect +*I *2478:la_iena[68] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[68] 0.0021592 +2 *2478:la_iena[68] 0.00122115 +3 *1209:12 0.0021592 +4 *1209:10 0.000659741 +5 *1209:9 0.00188089 +6 *2472:la_iena_mprj[68] *2472:la_oenb_mprj[68] 0 +7 *1209:10 *1210:12 0.00127023 +8 *1209:10 *1337:12 0 +9 *2472:la_data_out_mprj[68] *2472:la_iena_mprj[68] 0 +10 *2478:la_input[68] *1209:9 0 +11 *692:5 *1209:9 0 +12 *695:8 *1209:10 9.24467e-05 +13 *697:13 *1209:10 0.00126077 +14 *698:5 *2472:la_iena_mprj[68] 0 +15 *950:10 *1209:10 0.00219735 +16 *952:9 *1209:9 0 +17 *1205:10 *1209:10 0.0015623 +18 *1207:10 *1209:10 0.00024962 +*RES +1 *2478:la_iena[68] *1209:9 33.9218 +2 *1209:9 *1209:10 51.1923 +3 *1209:10 *1209:12 4.5 +4 *1209:12 *2472:la_iena_mprj[68] 60.0894 +*END + +*D_NET *1210 0.0112145 +*CONN +*I *2472:la_iena_mprj[69] I *D mgmt_protect +*I *2478:la_iena[69] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[69] 0.00218841 +2 *2478:la_iena[69] 0.00116361 +3 *1210:12 0.00288955 +4 *1210:9 0.00186474 +5 *2472:la_iena_mprj[69] *2472:la_oenb_mprj[69] 0 +6 *1210:12 *1338:12 0.000407049 +7 *2472:la_data_out_mprj[69] *2472:la_iena_mprj[69] 0 +8 *2478:la_input[69] *1210:9 0 +9 *695:8 *1210:12 0.000910579 +10 *953:12 *1210:9 0 +11 *1208:10 *1210:12 0.000520301 +12 *1209:10 *1210:12 0.00127023 +*RES +1 *2478:la_iena[69] *1210:9 33.0913 +2 *1210:9 *1210:12 44.0456 +3 *1210:12 *2472:la_iena_mprj[69] 60.9199 +*END + +*D_NET *1211 0.193674 +*CONN +*I *2472:la_iena_mprj[6] I *D mgmt_protect +*I *2478:la_iena[6] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[6] 0.00235717 +2 *2478:la_iena[6] 0.00145433 +3 *1211:12 0.00235717 +4 *1211:10 0.00829477 +5 *1211:9 0.0097491 +6 *2472:la_iena_mprj[6] *2472:la_oenb_mprj[6] 0 +7 *2472:la_iena_mprj[6] *1267:15 0.000965027 +8 *1211:10 *1233:10 0.0796214 +9 *1211:10 *1289:10 0.00220839 +10 *1211:10 *1339:10 0.082977 +11 *2472:la_data_out_mprj[6] *2472:la_iena_mprj[6] 0 +12 *2478:la_input[44] *2472:la_iena_mprj[6] 0.000579101 +13 *2478:la_input[6] *1211:9 0 +14 *944:7 *1211:9 0 +15 *1139:18 *2472:la_iena_mprj[6] 1.80266e-05 +16 *1161:10 *1211:10 0.00266988 +17 *1189:10 *1211:10 0.000422525 +*RES +1 *2478:la_iena[6] *1211:9 39.6423 +2 *1211:9 *1211:10 106.983 +3 *1211:10 *1211:12 3.36879 +4 *1211:12 *2472:la_iena_mprj[6] 53.2378 +*END + +*D_NET *1212 0.0116279 +*CONN +*I *2472:la_iena_mprj[70] I *D mgmt_protect +*I *2478:la_iena[70] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[70] 0.00224343 +2 *2478:la_iena[70] 0.00103342 +3 *1212:12 0.00257227 +4 *1212:9 0.00136226 +5 *2472:la_iena_mprj[70] *2472:la_oenb_mprj[70] 0 +6 *1212:9 *2472:la_oenb_mprj[67] 0 +7 *1212:12 *1213:10 6.24655e-05 +8 *1212:12 *1338:12 0.00199781 +9 *2472:la_data_out_mprj[67] *1212:9 0.000195139 +10 *2472:la_data_out_mprj[70] *2472:la_iena_mprj[70] 0 +11 *2478:la_input[70] *1212:9 0 +12 *2478:la_input[71] *1212:12 0.000224395 +13 *700:5 *2472:la_iena_mprj[70] 0 +14 *700:10 *1212:12 0.00173451 +15 *953:16 *1212:12 0.000202245 +16 *954:9 *1212:9 0 +*RES +1 *2478:la_iena[70] *1212:9 31.4303 +2 *1212:9 *1212:12 31.8444 +3 *1212:12 *2472:la_iena_mprj[70] 62.5809 +*END + +*D_NET *1213 0.00916956 +*CONN +*I *2472:la_iena_mprj[71] I *D mgmt_protect +*I *2478:la_iena[71] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[71] 0.00227604 +2 *2478:la_iena[71] 0.00134196 +3 *1213:12 0.00227604 +4 *1213:10 0.00134196 +5 *2472:la_iena_mprj[71] *2472:la_oenb_mprj[71] 0 +6 *1213:10 *1340:10 0.000904135 +7 *2472:la_data_out_mprj[69] *1213:10 0 +8 *2472:la_data_out_mprj[71] *2472:la_iena_mprj[71] 0 +9 *2478:la_input[71] *1213:10 0.000636352 +10 *700:10 *1213:10 0.00033061 +11 *702:9 *2472:la_iena_mprj[71] 0 +12 *956:7 *1213:10 0 +13 *1212:12 *1213:10 6.24655e-05 +*RES +1 *2478:la_iena[71] *1213:10 46.8521 +2 *1213:10 *1213:12 4.5 +3 *1213:12 *2472:la_iena_mprj[71] 63.4115 +*END + +*D_NET *1214 0.00704512 +*CONN +*I *2472:la_iena_mprj[72] I *D mgmt_protect +*I *2478:la_iena[72] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[72] 0.00200006 +2 *2478:la_iena[72] 0.00119322 +3 *1214:12 0.00319328 +4 *2472:la_iena_mprj[72] *2472:la_oenb_mprj[72] 0 +5 *2472:la_data_out_mprj[72] *2472:la_iena_mprj[72] 0 +6 *702:9 *2472:la_iena_mprj[72] 0 +7 *702:11 *2472:la_iena_mprj[72] 0.000658569 +8 *702:11 *1214:12 0 +*RES +1 *2478:la_iena[72] *1214:12 39.1508 +2 *1214:12 *2472:la_iena_mprj[72] 63.4115 +*END + +*D_NET *1215 0.00741031 +*CONN +*I *2472:la_iena_mprj[73] I *D mgmt_protect +*I *2478:la_iena[73] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[73] 0.00108849 +2 *2478:la_iena[73] 0.000106379 +3 *1215:7 0.00309696 +4 *1215:5 0.00211484 +5 *2472:la_iena_mprj[73] *2472:la_oenb_mprj[73] 0.00100363 +6 *2472:la_data_out_mprj[73] *2472:la_iena_mprj[73] 0 +7 *703:11 *1215:7 0 +8 *704:7 *2472:la_iena_mprj[73] 0 +9 *958:7 *2472:la_iena_mprj[73] 0 +10 *958:7 *1215:7 0 +*RES +1 *2478:la_iena[73] *1215:5 2.61365 +2 *1215:5 *1215:7 54.6667 +3 *1215:7 *2472:la_iena_mprj[73] 35.9437 +*END + +*D_NET *1216 0.00861777 +*CONN +*I *2472:la_iena_mprj[74] I *D mgmt_protect +*I *2478:la_iena[74] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[74] 6.22868e-05 +2 *2478:la_iena[74] 8.30061e-05 +3 *1216:13 0.00130967 +4 *1216:7 0.00348775 +5 *1216:5 0.00232338 +6 *1216:7 *2472:la_iena_mprj[76] 7.09299e-05 +7 *1216:7 *2472:la_oenb_mprj[75] 0 +8 *1216:13 *2472:la_oenb_mprj[74] 0.000982665 +9 *2472:la_data_out_mprj[74] *1216:13 0 +10 *703:11 *1216:13 0.000223258 +11 *704:11 *1216:7 0 +12 *705:7 *1216:13 0 +13 *706:7 *1216:7 0 +14 *959:7 *1216:7 0 +15 *960:16 *1216:13 7.48293e-05 +*RES +1 *2478:la_iena[74] *1216:5 2.05183 +2 *1216:5 *1216:7 60.5902 +3 *1216:7 *1216:13 49.9886 +4 *1216:13 *2472:la_iena_mprj[74] 1.77093 +*END + +*D_NET *1217 0.00893233 +*CONN +*I *2472:la_iena_mprj[75] I *D mgmt_protect +*I *2478:la_iena[75] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[75] 0.00110156 +2 *2478:la_iena[75] 8.30061e-05 +3 *1217:12 0.00192446 +4 *1217:7 0.00284307 +5 *1217:5 0.00210317 +6 *2472:la_iena_mprj[75] *2472:la_oenb_mprj[75] 0 +7 *2472:la_iena_mprj[75] *1343:7 0 +8 *1217:12 *1218:12 0.000447953 +9 *1217:12 *1345:12 0.000104941 +10 *2472:la_data_out_mprj[75] *2472:la_iena_mprj[75] 0 +11 *704:10 *1217:12 1.08524e-05 +12 *705:11 *1217:7 0 +13 *959:7 *2472:la_iena_mprj[75] 0.000313321 +14 *960:7 *1217:7 0 +*RES +1 *2478:la_iena[75] *1217:5 2.05183 +2 *1217:5 *1217:7 54.7766 +3 *1217:7 *1217:12 35.7898 +4 *1217:12 *2472:la_iena_mprj[75] 32.6828 +*END + +*D_NET *1218 0.0117595 +*CONN +*I *2472:la_iena_mprj[76] I *D mgmt_protect +*I *2478:la_iena[76] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[76] 0.00116921 +2 *2478:la_iena[76] 8.30061e-05 +3 *1218:12 0.00197944 +4 *1218:7 0.00287421 +5 *1218:5 0.00214698 +6 *2472:la_iena_mprj[76] *2472:la_oenb_mprj[76] 0 +7 *1218:12 *1345:12 0.00290288 +8 *2472:la_data_out_mprj[76] *2472:la_iena_mprj[76] 0 +9 *706:7 *2472:la_iena_mprj[76] 0 +10 *706:8 *1218:12 3.04269e-05 +11 *706:11 *1218:7 0 +12 *707:7 *2472:la_iena_mprj[76] 0 +13 *961:7 *1218:7 0 +14 *961:10 *1218:12 5.44727e-05 +15 *1216:7 *2472:la_iena_mprj[76] 7.09299e-05 +16 *1217:12 *1218:12 0.000447953 +*RES +1 *2478:la_iena[76] *1218:5 2.05183 +2 *1218:5 *1218:7 56.0224 +3 *1218:7 *1218:12 47.991 +4 *1218:12 *2472:la_iena_mprj[76] 31.437 +*END + +*D_NET *1219 0.0142449 +*CONN +*I *2472:la_iena_mprj[77] I *D mgmt_protect +*I *2478:la_iena[77] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[77] 0.000692266 +2 *2478:la_iena[77] 8.30061e-05 +3 *1219:10 0.0015062 +4 *1219:9 0.000813932 +5 *1219:7 0.00217521 +6 *1219:5 0.00225822 +7 *2472:la_iena_mprj[77] *2472:la_oenb_mprj[77] 0 +8 *2472:la_iena_mprj[77] *1344:7 0.000267587 +9 *1219:7 *2472:la_iena_mprj[83] 6.31665e-05 +10 *1219:10 *1348:10 0 +11 *1219:10 *1351:10 5.65165e-05 +12 *2472:la_data_out_mprj[77] *2472:la_iena_mprj[77] 0 +13 *2472:la_data_out_mprj[83] *1219:7 0.00107187 +14 *707:11 *1219:7 0 +15 *708:8 *1219:10 0 +16 *709:8 *1219:10 0.000248172 +17 *712:8 *1219:10 0.0019318 +18 *715:7 *1219:7 0 +19 *962:7 *1219:7 0 +20 *962:10 *1219:10 0.00135533 +21 *968:10 *1219:10 0.00172162 +*RES +1 *2478:la_iena[77] *1219:5 2.05183 +2 *1219:5 *1219:7 67.2342 +3 *1219:7 *1219:9 4.5 +4 *1219:9 *1219:10 50.6377 +5 *1219:10 *2472:la_iena_mprj[77] 24.7252 +*END + +*D_NET *1220 0.017568 +*CONN +*I *2472:la_iena_mprj[78] I *D mgmt_protect +*I *2478:la_iena[78] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[78] 0.000601842 +2 *2478:la_iena[78] 3.626e-05 +3 *1220:10 0.00220206 +4 *1220:9 0.00160021 +5 *1220:7 0.002013 +6 *1220:5 0.00204926 +7 *2472:la_iena_mprj[78] *2472:la_oenb_mprj[78] 0 +8 *1220:7 *2472:la_iena_mprj[85] 0 +9 *1220:7 *2472:la_oenb_mprj[85] 0.00278322 +10 *2472:la_data_out_mprj[78] *2472:la_iena_mprj[78] 0 +11 *2472:la_data_out_mprj[85] *1220:7 0 +12 *2472:la_data_out_mprj[86] *1220:7 0 +13 *708:8 *1220:10 0.00587929 +14 *708:11 *1220:7 0 +15 *717:7 *1220:7 0.000402864 +*RES +1 *2478:la_iena[78] *1220:5 0.928211 +2 *1220:5 *1220:7 71.3867 +3 *1220:7 *1220:9 4.5 +4 *1220:9 *1220:10 63.3936 +5 *1220:10 *2472:la_iena_mprj[78] 20.5727 +*END + +*D_NET *1221 0.0221893 +*CONN +*I *2472:la_iena_mprj[79] I *D mgmt_protect +*I *2478:la_iena[79] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[79] 0.000680736 +2 *2478:la_iena[79] 8.30061e-05 +3 *1221:10 0.00146433 +4 *1221:9 0.000783598 +5 *1221:7 0.00208355 +6 *1221:5 0.00216656 +7 *2472:la_iena_mprj[79] *2472:la_oenb_mprj[79] 0 +8 *1221:7 *2472:la_iena_mprj[88] 0 +9 *1221:10 *1224:10 0.00524197 +10 *1221:10 *1348:10 0.00069087 +11 *2472:la_data_out_mprj[79] *2472:la_iena_mprj[79] 0 +12 *2472:la_data_out_mprj[88] *1221:7 0.00115103 +13 *709:8 *1221:10 0.000250542 +14 *709:11 *1221:7 0 +15 *719:7 *1221:7 0.00131893 +16 *964:7 *1221:7 0 +17 *967:10 *1221:10 0.00627414 +*RES +1 *2478:la_iena[79] *1221:5 2.05183 +2 *1221:5 *1221:7 69.7257 +3 *1221:7 *1221:9 4.5 +4 *1221:9 *1221:10 73.9311 +5 *1221:10 *2472:la_iena_mprj[79] 22.2337 +*END + +*D_NET *1222 0.192357 +*CONN +*I *2472:la_iena_mprj[7] I *D mgmt_protect +*I *2478:la_iena[7] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[7] 0.000915997 +2 *2478:la_iena[7] 8.29983e-05 +3 *1222:10 0.00698485 +4 *1222:9 0.00606886 +5 *1222:7 0.00267848 +6 *1222:5 0.00276148 +7 *2472:la_iena_mprj[7] *2472:la_oenb_mprj[7] 0 +8 *1222:10 *1286:10 0.00494642 +9 *1222:10 *1288:10 0.00415649 +10 *1222:10 *1328:10 0.081621 +11 *1222:10 *1350:10 0.0821406 +12 *2472:la_data_out_mprj[7] *2472:la_iena_mprj[7] 0 +13 *710:11 *1222:7 0 +14 *955:9 *1222:7 0 +15 *1148:10 *1222:10 0 +*RES +1 *2478:la_iena[7] *1222:5 2.05183 +2 *1222:5 *1222:7 66.6113 +3 *1222:7 *1222:9 3.36879 +4 *1222:9 *1222:10 105.377 +5 *1222:10 *2472:la_iena_mprj[7] 24.2169 +*END + +*D_NET *1223 0.022062 +*CONN +*I *2472:la_iena_mprj[80] I *D mgmt_protect +*I *2478:la_iena[80] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[80] 0.000845273 +2 *2478:la_iena[80] 8.30061e-05 +3 *1223:10 0.00200608 +4 *1223:9 0.0011608 +5 *1223:7 0.00181194 +6 *1223:5 0.00189495 +7 *2472:la_iena_mprj[80] *2472:la_oenb_mprj[80] 0 +8 *1223:7 *2472:la_iena_mprj[90] 0.00233324 +9 *1223:10 *1346:10 0.000255439 +10 *1223:10 *1349:10 0 +11 *2472:la_data_out_mprj[80] *2472:la_iena_mprj[80] 0 +12 *2472:la_data_out_mprj[90] *1223:7 0.000708286 +13 *711:7 *2472:la_iena_mprj[80] 0 +14 *711:11 *1223:7 0 +15 *712:7 *2472:la_iena_mprj[80] 0 +16 *962:10 *1223:10 0.00184718 +17 *965:7 *1223:7 0 +18 *968:10 *1223:10 0.00260196 +19 *969:10 *1223:10 0.00651389 +*RES +1 *2478:la_iena[80] *1223:5 2.05183 +2 *1223:5 *1223:7 65.9885 +3 *1223:7 *1223:9 4.5 +4 *1223:9 *1223:10 85.5777 +5 *1223:10 *2472:la_iena_mprj[80] 25.971 +*END + +*D_NET *1224 0.0237465 +*CONN +*I *2472:la_iena_mprj[81] I *D mgmt_protect +*I *2478:la_iena[81] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[81] 0.000666141 +2 *2478:la_iena[81] 8.30061e-05 +3 *1224:10 0.00213064 +4 *1224:9 0.0014645 +5 *1224:7 0.00218444 +6 *1224:5 0.00226745 +7 *2472:la_iena_mprj[81] *2472:la_oenb_mprj[81] 0 +8 *1224:7 *2472:la_iena_mprj[92] 0 +9 *1224:7 *2472:la_oenb_mprj[92] 0.00190782 +10 *1224:10 *1348:10 0.00426741 +11 *1224:10 *1359:16 0.00140301 +12 *2472:la_data_out_mprj[81] *2472:la_iena_mprj[81] 0 +13 *2472:la_data_out_mprj[93] *1224:7 0 +14 *724:8 *1224:10 9.44554e-05 +15 *725:5 *1224:7 0.000594313 +16 *967:7 *1224:7 0 +17 *967:10 *1224:10 0.00144135 +18 *1221:10 *1224:10 0.00524197 +*RES +1 *2478:la_iena[81] *1224:5 2.05183 +2 *1224:5 *1224:7 70.141 +3 *1224:7 *1224:9 4.5 +4 *1224:9 *1224:10 97.2244 +5 *1224:10 *2472:la_iena_mprj[81] 21.8185 +*END + +*D_NET *1225 0.0168075 +*CONN +*I *2472:la_iena_mprj[82] I *D mgmt_protect +*I *2478:la_iena[82] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[82] 0.0013455 +2 *2478:la_iena[82] 8.30061e-05 +3 *1225:10 0.00587249 +4 *1225:9 0.00452699 +5 *1225:7 0.00182491 +6 *1225:5 0.00190792 +7 *2472:la_iena_mprj[82] *2472:la_oenb_mprj[82] 0 +8 *2472:la_iena_mprj[82] *1346:7 0 +9 *1225:10 *1353:10 0 +10 *2472:la_data_out_mprj[82] *2472:la_iena_mprj[82] 0 +11 *2472:la_data_out_mprj[95] *1225:7 0.000654353 +12 *713:7 *2472:la_iena_mprj[82] 0 +13 *713:11 *1225:7 0 +14 *727:5 *1225:7 0.000592335 +15 *962:7 *2472:la_iena_mprj[82] 0 +16 *968:7 *1225:7 0 +*RES +1 *2478:la_iena[82] *1225:5 2.05183 +2 *1225:5 *1225:7 54.7766 +3 *1225:7 *1225:9 4.5 +4 *1225:9 *1225:10 108.871 +5 *1225:10 *2472:la_iena_mprj[82] 37.1828 +*END + +*D_NET *1226 0.0321019 +*CONN +*I *2472:la_iena_mprj[83] I *D mgmt_protect +*I *2478:la_iena[83] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[83] 0.000905519 +2 *2478:la_iena[83] 8.30061e-05 +3 *1226:10 0.00209368 +4 *1226:9 0.00118816 +5 *1226:7 0.00248247 +6 *1226:5 0.00256548 +7 *2472:la_iena_mprj[83] *2472:la_oenb_mprj[83] 0 +8 *1226:7 *1241:13 0.000567999 +9 *1226:10 *1354:10 0.0109241 +10 *2472:la_data_out_mprj[83] *2472:la_iena_mprj[83] 0 +11 *2472:la_data_out_mprj[97] *1226:7 0 +12 *714:8 *1226:10 0.0111366 +13 *714:11 *1226:7 0 +14 *715:7 *2472:la_iena_mprj[83] 0 +15 *969:7 *1226:7 0 +16 *969:10 *1226:10 9.16785e-05 +17 *1219:7 *2472:la_iena_mprj[83] 6.31665e-05 +*RES +1 *2478:la_iena[83] *1226:5 2.05183 +2 *1226:5 *1226:7 64.7427 +3 *1226:7 *1226:9 4.5 +4 *1226:9 *1226:10 120.518 +5 *1226:10 *2472:la_iena_mprj[83] 27.2167 +*END + +*D_NET *1227 0.0341202 +*CONN +*I *2472:la_iena_mprj[84] I *D mgmt_protect +*I *2478:la_iena[84] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[84] 0.000995418 +2 *2478:la_iena[84] 8.30061e-05 +3 *1227:10 0.00237591 +4 *1227:9 0.0013805 +5 *1227:7 0.00240071 +6 *1227:5 0.00248372 +7 *2472:la_iena_mprj[84] *2472:la_oenb_mprj[84] 0 +8 *1227:7 *2472:la_iena_mprj[99] 0 +9 *1227:7 *2472:la_oenb_mprj[99] 0.000920759 +10 *1227:10 *1349:10 0.000769711 +11 *1227:10 *1357:16 0.0104613 +12 *2472:la_data_out_mprj[84] *2472:la_iena_mprj[84] 0 +13 *715:8 *1227:10 0.0122492 +14 *715:11 *1227:7 0 +15 *970:9 *1227:7 0 +*RES +1 *2478:la_iena[84] *1227:5 2.05183 +2 *1227:5 *1227:7 63.4969 +3 *1227:7 *1227:9 4.5 +4 *1227:9 *1227:10 132.719 +5 *1227:10 *2472:la_iena_mprj[84] 28.4625 +*END + +*D_NET *1228 0.0362275 +*CONN +*I *2472:la_iena_mprj[85] I *D mgmt_protect +*I *2478:la_iena[85] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[85] 0.0024433 +2 *2478:la_iena[85] 0.00107011 +3 *1228:12 0.0024433 +4 *1228:10 0.00108835 +5 *1228:9 0.00215846 +6 *2472:la_iena_mprj[85] *2472:la_oenb_mprj[85] 0 +7 *1228:10 *1356:10 0.0133546 +8 *1228:10 *1371:12 0.000102438 +9 *2472:la_data_out_mprj[85] *2472:la_iena_mprj[85] 0 +10 *2478:la_input[85] *1228:9 0 +11 *971:9 *1228:9 0 +12 *972:10 *1228:10 0.013567 +13 *1220:7 *2472:la_iena_mprj[85] 0 +*RES +1 *2478:la_iena[85] *1228:9 31.0151 +2 *1228:9 *1228:10 143.811 +3 *1228:10 *1228:12 4.5 +4 *1228:12 *2472:la_iena_mprj[85] 62.9962 +*END + +*D_NET *1229 0.0384275 +*CONN +*I *2472:la_iena_mprj[86] I *D mgmt_protect +*I *2478:la_iena[86] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[86] 0.00212916 +2 *2478:la_iena[86] 0.00102825 +3 *1229:12 0.00212916 +4 *1229:10 0.00118516 +5 *1229:9 0.00221341 +6 *2472:la_iena_mprj[86] *2472:la_oenb_mprj[86] 0 +7 *2472:la_iena_mprj[86] *1348:7 0 +8 *1229:10 *1247:16 0.0023187 +9 *1229:10 *1355:10 0.0120591 +10 *1229:10 *1368:16 0.000151531 +11 *2472:la_data_out_mprj[86] *2472:la_iena_mprj[86] 0 +12 *708:11 *2472:la_iena_mprj[86] 0.000875045 +13 *972:9 *1229:9 0 +14 *972:10 *1229:10 0.0142532 +15 *973:10 *1229:10 8.47539e-05 +*RES +1 *2478:la_iena[86] *1229:9 30.1846 +2 *1229:9 *1229:10 156.012 +3 *1229:10 *1229:12 4.5 +4 *1229:12 *2472:la_iena_mprj[86] 63.8267 +*END + +*D_NET *1230 0.0415482 +*CONN +*I *2472:la_iena_mprj[87] I *D mgmt_protect +*I *2478:la_iena[87] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[87] 0.00239069 +2 *2478:la_iena[87] 0.00103822 +3 *1230:12 0.00239069 +4 *1230:10 0.00126543 +5 *1230:9 0.00230365 +6 *2472:la_iena_mprj[87] *2472:la_oenb_mprj[87] 0 +7 *2472:la_iena_mprj[87] *1348:7 0 +8 *1230:9 *1365:15 9.60903e-06 +9 *1230:9 *1367:15 7.09666e-06 +10 *1230:10 *1231:10 0.0151367 +11 *1230:10 *1371:12 0.000622192 +12 *2472:la_data_out_mprj[87] *2472:la_iena_mprj[87] 0 +13 *2478:la_input[87] *1230:9 0 +14 *613:5 *1230:9 0.000129352 +15 *719:7 *2472:la_iena_mprj[87] 0 +16 *964:7 *2472:la_iena_mprj[87] 0 +17 *973:10 *1230:10 0.000347135 +18 *974:10 *1230:10 0.0159075 +*RES +1 *2478:la_iena[87] *1230:9 30.9906 +2 *1230:9 *1230:10 168.768 +3 *1230:10 *1230:12 4.5 +4 *1230:12 *2472:la_iena_mprj[87] 61.3352 +*END + +*D_NET *1231 0.0438729 +*CONN +*I *2472:la_iena_mprj[88] I *D mgmt_protect +*I *2478:la_iena[88] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[88] 0.00208468 +2 *2478:la_iena[88] 0.00114527 +3 *1231:12 0.00208468 +4 *1231:10 0.00140284 +5 *1231:9 0.00254811 +6 *2472:la_iena_mprj[88] *2472:la_oenb_mprj[88] 0 +7 *1231:10 *1362:10 0.000193117 +8 *1231:10 *1365:10 0.0016154 +9 *1231:10 *1367:10 0.00171937 +10 *1231:10 *1371:12 0.000622192 +11 *2472:la_data_out_mprj[88] *2472:la_iena_mprj[88] 0 +12 *2478:la_input[88] *1231:9 0 +13 *615:5 *1231:9 0 +14 *709:11 *2472:la_iena_mprj[88] 0.000796373 +15 *720:7 *2472:la_iena_mprj[88] 0 +16 *973:10 *1231:10 0.01451 +17 *974:9 *1231:9 0 +18 *974:10 *1231:10 1.41853e-05 +19 *1221:7 *2472:la_iena_mprj[88] 0 +20 *1230:10 *1231:10 0.0151367 +*RES +1 *2478:la_iena[88] *1231:9 32.2608 +2 *1231:9 *1231:10 179.306 +3 *1231:10 *1231:12 4.5 +4 *1231:12 *2472:la_iena_mprj[88] 61.7504 +*END + +*D_NET *1232 0.0477206 +*CONN +*I *2472:la_iena_mprj[89] I *D mgmt_protect +*I *2478:la_iena[89] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[89] 0.00155176 +2 *2478:la_iena[89] 0.00125399 +3 *1232:12 0.00155176 +4 *1232:10 0.001492 +5 *1232:9 0.002746 +6 *2472:la_iena_mprj[89] *2472:la_oenb_mprj[89] 0 +7 *2472:la_iena_mprj[89] *1349:7 0 +8 *1232:10 *1234:10 0.0171305 +9 *2478:la_input[89] *1232:9 0 +10 *619:5 *1232:9 0 +11 *722:7 *2472:la_iena_mprj[89] 0 +12 *965:7 *2472:la_iena_mprj[89] 0 +13 *974:10 *1232:10 0.000347135 +14 *975:9 *1232:9 0 +15 *975:10 *1232:10 0.0174362 +16 *976:10 *1232:10 0.00020979 +17 *976:13 *2472:la_iena_mprj[89] 0.00354533 +18 *1118:12 *1232:10 0.000456167 +*RES +1 *2478:la_iena[89] *1232:9 33.9218 +2 *1232:9 *1232:10 190.398 +3 *1232:10 *1232:12 4.5 +4 *1232:12 *2472:la_iena_mprj[89] 60.0894 +*END + +*D_NET *1233 0.183399 +*CONN +*I *2472:la_iena_mprj[8] I *D mgmt_protect +*I *2478:la_iena[8] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[8] 0.00263256 +2 *2478:la_iena[8] 0.00151094 +3 *1233:12 0.00263256 +4 *1233:10 0.00980836 +5 *1233:9 0.0113193 +6 *2472:la_iena_mprj[8] *2472:la_oenb_mprj[8] 0 +7 *2472:la_iena_mprj[8] *1308:21 0.000299282 +8 *1233:10 *1279:10 0 +9 *1233:10 *1289:10 0.000110257 +10 *1233:10 *1291:10 0.00206357 +11 *1233:10 *1339:10 8.27242e-05 +12 *2472:la_data_out_mprj[8] *2472:la_iena_mprj[8] 0 +13 *616:14 *1233:10 0.000228912 +14 *721:7 *2472:la_iena_mprj[8] 0 +15 *721:11 *1233:9 0 +16 *966:7 *1233:9 0 +17 *1149:10 *1233:10 0.0704756 +18 *1161:10 *1233:10 0.000101365 +19 *1163:10 *1233:10 0.00251236 +20 *1211:10 *1233:10 0.0796214 +*RES +1 *2478:la_iena[8] *1233:9 40.4728 +2 *1233:9 *1233:10 103.771 +3 *1233:10 *1233:12 3.36879 +4 *1233:12 *2472:la_iena_mprj[8] 52.4073 +*END + +*D_NET *1234 0.0490993 +*CONN +*I *2472:la_iena_mprj[90] I *D mgmt_protect +*I *2478:la_iena[90] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[90] 0.00185656 +2 *2478:la_iena[90] 0.00115811 +3 *1234:12 0.00185656 +4 *1234:10 0.00170205 +5 *1234:9 0.00286016 +6 *2472:la_iena_mprj[90] *2472:la_oenb_mprj[90] 0 +7 *1234:10 *1367:10 0.000103234 +8 *1234:10 *1369:10 0.00402611 +9 *2472:la_data_out_mprj[90] *2472:la_iena_mprj[90] 0 +10 *621:7 *1234:9 0.00021575 +11 *711:11 *2472:la_iena_mprj[90] 0 +12 *974:10 *1234:10 0.0148029 +13 *976:9 *1234:9 0 +14 *976:10 *1234:10 0.000370863 +15 *978:10 *1234:10 8.47539e-05 +16 *1118:12 *1234:10 0.000598562 +17 *1223:7 *2472:la_iena_mprj[90] 0.00233324 +18 *1232:10 *1234:10 0.0171305 +*RES +1 *2478:la_iena[90] *1234:9 33.5066 +2 *1234:9 *1234:10 202.599 +3 *1234:10 *1234:12 4.5 +4 *1234:12 *2472:la_iena_mprj[90] 60.5047 +*END + +*D_NET *1235 0.050469 +*CONN +*I *2472:la_iena_mprj[91] I *D mgmt_protect +*I *2478:la_iena[91] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[91] 0.00192801 +2 *2478:la_iena[91] 0.00132587 +3 *1235:12 0.00192801 +4 *1235:10 0.00176574 +5 *1235:9 0.00309162 +6 *2472:la_iena_mprj[91] *2472:la_oenb_mprj[91] 0 +7 *2472:la_iena_mprj[91] *1351:7 0.0010763 +8 *1235:9 *1262:13 0 +9 *1235:10 *1242:10 5.80138e-05 +10 *1235:10 *1243:10 1.15389e-05 +11 *1235:10 *1246:12 0.000765326 +12 *1235:10 *1247:10 0.00479542 +13 *1235:10 *1358:10 0.000854233 +14 *1235:10 *1359:10 0.0049942 +15 *1235:10 *1369:10 0 +16 *2472:la_data_out_mprj[91] *2472:la_iena_mprj[91] 0 +17 *711:11 *2472:la_iena_mprj[91] 0 +18 *723:11 *1235:9 0 +19 *971:10 *1235:10 0.00821673 +20 *978:9 *1235:9 0 +21 *978:10 *1235:10 0.019658 +*RES +1 *2478:la_iena[91] *1235:9 35.5828 +2 *1235:9 *1235:10 214.246 +3 *1235:10 *1235:12 4.5 +4 *1235:12 *2472:la_iena_mprj[91] 58.4284 +*END + +*D_NET *1236 0.0532144 +*CONN +*I *2472:la_iena_mprj[92] I *D mgmt_protect +*I *2478:la_iena[92] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[92] 0.00176616 +2 *2478:la_iena[92] 0.00173335 +3 *1236:12 0.00176616 +4 *1236:10 0.00188185 +5 *1236:9 0.0036152 +6 *2472:la_iena_mprj[92] *2472:la_oenb_mprj[92] 0 +7 *1236:10 *1364:10 0.000258087 +8 *2472:la_data_out_mprj[92] *2472:la_iena_mprj[92] 0 +9 *724:11 *1236:9 0 +10 *725:8 *1236:10 0.0208913 +11 *967:7 *2472:la_iena_mprj[92] 0.00057675 +12 *979:10 *1236:10 0.0207255 +13 *980:10 *1236:10 0 +14 *1224:7 *2472:la_iena_mprj[92] 0 +*RES +1 *2478:la_iena[92] *1236:9 42.349 +2 *1236:9 *1236:10 227.002 +3 *1236:10 *1236:12 4.5 +4 *1236:12 *2472:la_iena_mprj[92] 50.5386 +*END + +*D_NET *1237 0.0559804 +*CONN +*I *2472:la_iena_mprj[93] I *D mgmt_protect +*I *2478:la_iena[93] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[93] 0.00163929 +2 *2478:la_iena[93] 0.00168993 +3 *1237:12 0.00163929 +4 *1237:10 0.00192463 +5 *1237:9 0.00361456 +6 *2472:la_iena_mprj[93] *2472:la_oenb_mprj[93] 0 +7 *1237:9 *1251:15 0 +8 *1237:10 *1239:10 0.0207433 +9 *2472:la_data_out_mprj[93] *2472:la_iena_mprj[93] 0 +10 *2478:la_input[93] *1237:9 0 +11 *712:11 *2472:la_iena_mprj[93] 0.00190271 +12 *725:8 *1237:10 9.66124e-05 +13 *726:8 *1237:10 0.0219239 +14 *980:9 *1237:9 0 +15 *980:10 *1237:10 0.00068824 +16 *985:12 *1237:10 0.000117868 +*RES +1 *2478:la_iena[93] *1237:9 41.8116 +2 *1237:9 *1237:10 237.539 +3 *1237:10 *1237:12 4.5 +4 *1237:12 *2472:la_iena_mprj[93] 52.1996 +*END + +*D_NET *1238 0.0582619 +*CONN +*I *2472:la_iena_mprj[94] I *D mgmt_protect +*I *2478:la_iena[94] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[94] 0.00162572 +2 *2478:la_iena[94] 0.00171234 +3 *1238:12 0.00162572 +4 *1238:10 0.00213573 +5 *1238:9 0.00384808 +6 *2472:la_iena_mprj[94] *2472:la_oenb_mprj[94] 0 +7 *2472:la_data_out_mprj[94] *2472:la_iena_mprj[94] 0 +8 *2478:la_input[94] *1238:9 0 +9 *631:5 *1238:9 0 +10 *725:8 *1238:10 0.0220259 +11 *726:8 *1238:10 0.0234447 +12 *968:7 *2472:la_iena_mprj[94] 0.00180361 +13 *981:9 *1238:9 0 +14 *1121:15 *1238:9 4.01573e-05 +*RES +1 *2478:la_iena[94] *1238:9 42.6421 +2 *1238:9 *1238:10 249.186 +3 *1238:10 *1238:12 4.5 +4 *1238:12 *2472:la_iena_mprj[94] 51.3691 +*END + +*D_NET *1239 0.0563794 +*CONN +*I *2472:la_iena_mprj[95] I *D mgmt_protect +*I *2478:la_iena[95] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[95] 0.00209188 +2 *2478:la_iena[95] 0.0016133 +3 *1239:12 0.00209188 +4 *1239:10 0.00243917 +5 *1239:9 0.00405247 +6 *2472:la_iena_mprj[95] *2472:la_oenb_mprj[95] 0 +7 *1239:10 *1366:10 0.00142928 +8 *2472:la_data_out_mprj[95] *2472:la_iena_mprj[95] 0 +9 *2478:la_input[95] *1239:9 0 +10 *634:5 *1239:9 0.000148202 +11 *713:11 *2472:la_iena_mprj[95] 0 +12 *726:8 *1239:10 0.00103384 +13 *980:10 *1239:10 0.0203758 +14 *982:9 *1239:9 0 +15 *985:12 *1239:10 0.000118356 +16 *1121:10 *1239:10 0.000241935 +17 *1237:10 *1239:10 0.0207433 +*RES +1 *2478:la_iena[95] *1239:9 41.3964 +2 *1239:9 *1239:10 260.832 +3 *1239:10 *1239:12 4.5 +4 *1239:12 *2472:la_iena_mprj[95] 52.6149 +*END + +*D_NET *1240 0.0643875 +*CONN +*I *2472:la_iena_mprj[96] I *D mgmt_protect +*I *2478:la_iena[96] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[96] 0.00200038 +2 *2478:la_iena[96] 0.00144034 +3 *1240:12 0.00200038 +4 *1240:10 0.0021238 +5 *1240:9 0.00356414 +6 *2472:la_iena_mprj[96] *2472:la_oenb_mprj[96] 0 +7 *2472:la_iena_mprj[96] *1353:7 0.000715752 +8 *1240:9 *2472:mprj_we_o_core 0 +9 *1240:10 *1241:10 0.0249001 +10 *2472:la_data_out_mprj[96] *2472:la_iena_mprj[96] 0 +11 *728:11 *1240:9 0 +12 *863:15 *1240:9 5.88009e-05 +13 *983:16 *1240:10 0.000249889 +14 *984:10 *1240:10 0.0258769 +15 *986:12 *1240:10 0.001457 +*RES +1 *2478:la_iena[96] *1240:9 36.8042 +2 *1240:9 *1240:10 274.698 +3 *1240:10 *1240:12 4.5 +4 *1240:12 *2472:la_iena_mprj[96] 55.5217 +*END + +*D_NET *1241 0.0644986 +*CONN +*I *2472:la_iena_mprj[97] I *D mgmt_protect +*I *2478:la_iena[97] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[97] 6.22868e-05 +2 *2478:la_iena[97] 0.00152096 +3 *1241:13 0.0017246 +4 *1241:12 0.00166232 +5 *1241:10 0.00233198 +6 *1241:9 0.00385295 +7 *1241:9 *2472:mprj_adr_o_core[1] 0 +8 *1241:9 *2472:mprj_adr_o_core[2] 0 +9 *1241:10 *1242:10 2.39581e-05 +10 *1241:10 *1357:12 0.00272419 +11 *1241:10 *1368:10 0.0189152 +12 *1241:10 *1370:12 0.0013395 +13 *1241:13 *2472:la_oenb_mprj[97] 0.0016305 +14 *2472:la_data_out_mprj[97] *1241:13 0 +15 *607:13 *1241:9 7.09666e-06 +16 *609:14 *1241:10 0.000791462 +17 *714:11 *1241:13 0 +18 *729:11 *1241:9 0 +19 *862:10 *1241:10 0.000114773 +20 *863:10 *1241:10 5.49209e-05 +21 *983:10 *1241:10 0.000124736 +22 *983:16 *1241:10 0.00160718 +23 *984:9 *1241:9 0 +24 *984:10 *1241:10 0.00054182 +25 *1226:7 *1241:13 0.000567999 +26 *1240:10 *1241:10 0.0249001 +*RES +1 *2478:la_iena[97] *1241:9 38.0744 +2 *1241:9 *1241:10 282.462 +3 *1241:10 *1241:12 4.5 +4 *1241:12 *1241:13 56.0224 +5 *1241:13 *2472:la_iena_mprj[97] 1.77093 +*END + +*D_NET *1242 0.0680364 +*CONN +*I *2472:la_iena_mprj[98] I *D mgmt_protect +*I *2478:la_iena[98] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[98] 0.00209448 +2 *2478:la_iena[98] 0.00141322 +3 *1242:12 0.00209448 +4 *1242:10 0.00233456 +5 *1242:9 0.00374778 +6 *2472:la_iena_mprj[98] *2472:la_oenb_mprj[98] 0 +7 *2472:la_iena_mprj[98] *1354:7 0.000587734 +8 *1242:9 *2472:mprj_adr_o_core[4] 6.22114e-05 +9 *1242:10 *1243:10 0.0200514 +10 *1242:10 *1358:10 0.00500935 +11 *1242:10 *1359:10 1.15389e-05 +12 *1242:10 *1368:10 0.000279817 +13 *2472:la_data_out_mprj[98] *2472:la_iena_mprj[98] 0 +14 *2478:la_input[98] *1242:9 0 +15 *606:11 *1242:9 6.90376e-05 +16 *714:11 *2472:la_iena_mprj[98] 0 +17 *863:10 *1242:10 0.00369876 +18 *970:10 *1242:10 0.000749011 +19 *971:10 *1242:10 0.000792362 +20 *983:10 *1242:10 0.020474 +21 *983:16 *1242:10 0.00302774 +22 *985:9 *1242:9 0 +23 *987:12 *1242:10 0.00145699 +24 *1235:10 *1242:10 5.80138e-05 +25 *1241:10 *1242:10 2.39581e-05 +*RES +1 *2478:la_iena[98] *1242:9 36.8286 +2 *1242:9 *1242:10 296.327 +3 *1242:10 *1242:12 4.5 +4 *1242:12 *2472:la_iena_mprj[98] 57.1827 +*END + +*D_NET *1243 0.0648877 +*CONN +*I *2472:la_iena_mprj[99] I *D mgmt_protect +*I *2478:la_iena[99] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[99] 0.00272558 +2 *2478:la_iena[99] 0.00145328 +3 *1243:18 0.00272558 +4 *1243:16 0.00177976 +5 *1243:15 0.00212069 +6 *1243:10 0.00213719 +7 *1243:9 0.00324954 +8 *2472:la_iena_mprj[99] *2472:la_oenb_mprj[99] 0 +9 *1243:10 *1246:12 0.000883336 +10 *1243:10 *1247:10 0.020032 +11 *1243:10 *1359:10 0.00184072 +12 *1243:15 *1358:9 0.000389717 +13 *1243:16 *1363:10 0.00203157 +14 *1243:16 *1368:16 0.00223788 +15 *2472:la_data_out_mprj[99] *2472:la_iena_mprj[99] 0 +16 *613:8 *1243:16 0.00024397 +17 *731:11 *1243:9 0 +18 *863:10 *1243:10 0.000816494 +19 *970:9 *2472:la_iena_mprj[99] 0.000157445 +20 *974:9 *1243:15 0 +21 *986:9 *1243:9 0 +22 *1227:7 *2472:la_iena_mprj[99] 0 +23 *1235:10 *1243:10 1.15389e-05 +24 *1242:10 *1243:10 0.0200514 +*RES +1 *2478:la_iena[99] *1243:9 36.4134 +2 *1243:9 *1243:10 232.548 +3 *1243:10 *1243:15 17.0608 +4 *1243:15 *1243:16 75.0403 +5 *1243:16 *1243:18 4.5 +6 *1243:18 *2472:la_iena_mprj[99] 65.4877 +*END + +*D_NET *1244 0.185395 +*CONN +*I *2472:la_iena_mprj[9] I *D mgmt_protect +*I *2478:la_iena[9] O *D mgmt_core_wrapper +*CAP +1 *2472:la_iena_mprj[9] 0.000806952 +2 *2478:la_iena[9] 1.28869e-05 +3 *1244:10 0.00709729 +4 *1244:9 0.00629033 +5 *1244:7 0.00280647 +6 *1244:5 0.00281936 +7 *2472:la_iena_mprj[9] *2472:la_oenb_mprj[9] 0 +8 *1244:10 *1290:10 0.00351928 +9 *1244:10 *1350:10 0.0792428 +10 *1244:10 *1372:10 0.000268945 +11 *2472:la_data_out_mprj[9] *2472:la_iena_mprj[9] 0 +12 *977:9 *1244:7 0 +13 *1128:10 *1244:10 0.0784901 +14 *1148:10 *1244:10 0 +15 *1164:10 *1244:10 0.00404065 +*RES +1 *2478:la_iena[9] *1244:5 0.366399 +2 *1244:5 *1244:7 68.2723 +3 *1244:7 *1244:9 3.36879 +4 *1244:9 *1244:10 102.394 +5 *1244:10 *2472:la_iena_mprj[9] 22.5559 +*END + +*D_NET *1245 0.200703 +*CONN +*I *2472:la_oenb_mprj[0] I *D mgmt_protect +*I *2478:la_oenb[0] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[0] 0.00313731 +2 *2478:la_oenb[0] 0.00118279 +3 *1245:12 0.00313731 +4 *1245:10 0.019901 +5 *1245:9 0.0210838 +6 *2472:la_oenb_mprj[0] *1308:15 0.000220346 +7 *1245:9 *1556:27 0 +8 *1245:10 *1279:10 0.057836 +9 *2472:la_data_out_mprj[0] *2472:la_oenb_mprj[0] 0 +10 *2472:la_iena_mprj[0] *2472:la_oenb_mprj[0] 0 +11 *2478:la_input[0] *1245:9 0 +12 *605:14 *1245:10 0.00295416 +13 *644:7 *2472:la_oenb_mprj[0] 0 +14 *861:7 *1245:9 0 +15 *911:10 *1245:10 0.00349683 +16 *1167:10 *1245:10 0.0874927 +17 *1179:10 *1245:10 0.000260662 +*RES +1 *2478:la_oenb[0] *1245:9 34.6593 +2 *1245:9 *1245:10 115.55 +3 *1245:10 *1245:12 3.36879 +4 *1245:12 *2472:la_oenb_mprj[0] 58.2208 +*END + +*D_NET *1246 0.0799988 +*CONN +*I *2472:la_oenb_mprj[100] I *D mgmt_protect +*I *2478:la_oenb[100] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[100] 0.00163434 +2 *2478:la_oenb[100] 0.00142406 +3 *1246:12 0.00810429 +4 *1246:9 0.00789401 +5 *1246:12 *1247:10 0.000952946 +6 *1246:12 *1248:10 0.00994956 +7 *1246:12 *1358:10 0.000159297 +8 *1246:12 *1359:10 0.00010238 +9 *1246:12 *1714:16 0.000337748 +10 *1246:12 *1742:30 2.61599e-05 +11 *1246:12 *1744:10 0.000162159 +12 *1246:12 *2195:10 0.00932531 +13 *2472:la_data_out_mprj[101] *2472:la_oenb_mprj[100] 0 +14 *2478:la_input[100] *1246:9 0 +15 *607:7 *2472:la_oenb_mprj[100] 0 +16 *862:9 *1246:9 0 +17 *971:10 *1246:12 0.00010238 +18 *978:10 *1246:12 0.000679362 +19 *987:12 *1246:12 0.0336534 +20 *1118:12 *1246:12 0.000461614 +21 *1118:13 *2472:la_oenb_mprj[100] 0.00338115 +22 *1235:10 *1246:12 0.000765326 +23 *1243:10 *1246:12 0.000883336 +*RES +1 *2478:la_oenb[100] *1246:9 34.6593 +2 *1246:9 *1246:12 48.5479 +3 *1246:12 *2472:la_oenb_mprj[100] 58.2208 +*END + +*D_NET *1247 0.063934 +*CONN +*I *2472:la_oenb_mprj[101] I *D mgmt_protect +*I *2478:la_oenb[101] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[101] 0.00247821 +2 *2478:la_oenb[101] 0.001439 +3 *1247:18 0.00247821 +4 *1247:16 0.000915201 +5 *1247:15 0.00118499 +6 *1247:10 0.00470705 +7 *1247:9 0.00587626 +8 *2472:la_oenb_mprj[101] *1358:15 0.000603266 +9 *1247:9 *2472:mprj_dat_o_core[14] 2.33103e-06 +10 *1247:9 *1654:7 0 +11 *1247:10 *1369:10 0 +12 *1247:10 *1606:10 0 +13 *1247:10 *1607:16 0.00663637 +14 *1247:10 *1652:8 0.000258087 +15 *1247:15 *1359:9 0.000309381 +16 *1247:16 *1360:10 0.00191915 +17 *1247:16 *1363:10 0.00156814 +18 *1247:16 *1368:16 0.00351212 +19 *2472:la_data_out_mprj[102] *2472:la_oenb_mprj[101] 0 +20 *2472:la_iena_mprj[101] *2472:la_oenb_mprj[101] 0 +21 *2478:la_input[101] *1247:9 0 +22 *608:7 *2472:la_oenb_mprj[101] 0 +23 *618:5 *1247:15 0 +24 *863:10 *1247:10 0.000820045 +25 *865:10 *1247:10 0.000995201 +26 *971:9 *2472:la_oenb_mprj[101] 0.00010835 +27 *973:10 *1247:16 2.35161e-05 +28 *1229:10 *1247:16 0.0023187 +29 *1235:10 *1247:10 0.00479542 +30 *1243:10 *1247:10 0.020032 +31 *1246:12 *1247:10 0.000952946 +*RES +1 *2478:la_oenb[101] *1247:9 35.9981 +2 *1247:9 *1247:10 263.605 +3 *1247:10 *1247:15 15.3998 +4 *1247:15 *1247:16 75.5949 +5 *1247:16 *1247:18 4.5 +6 *1247:18 *2472:la_oenb_mprj[101] 64.242 +*END + +*D_NET *1248 0.0777925 +*CONN +*I *2472:la_oenb_mprj[102] I *D mgmt_protect +*I *2478:la_oenb[102] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[102] 0.00228699 +2 *2478:la_oenb[102] 0.00133011 +3 *1248:12 0.00228699 +4 *1248:10 0.00614821 +5 *1248:9 0.00747832 +6 *2472:la_oenb_mprj[102] *1357:15 0 +7 *1248:9 *2472:mprj_dat_o_core[18] 0 +8 *1248:10 *1611:10 0.000118134 +9 *1248:10 *1650:8 0.000114604 +10 *1248:10 *1678:8 0.000108607 +11 *1248:10 *1680:8 0.000124658 +12 *1248:10 *2195:10 0.0137119 +13 *2472:la_data_out_mprj[102] *2472:la_oenb_mprj[102] 0 +14 *2472:la_data_out_mprj[103] *2472:la_oenb_mprj[102] 0 +15 *2472:la_iena_mprj[102] *2472:la_oenb_mprj[102] 0 +16 *2478:la_input[102] *1248:9 0 +17 *2478:la_input[85] *2472:la_oenb_mprj[102] 0.00108477 +18 *609:7 *2472:la_oenb_mprj[102] 0 +19 *864:9 *1248:9 0 +20 *975:10 *1248:10 0.000370172 +21 *976:10 *1248:10 0.000354801 +22 *1118:12 *1248:10 0.0323247 +23 *1246:12 *1248:10 0.00994956 +*RES +1 *2478:la_oenb[102] *1248:9 32.9983 +2 *1248:9 *1248:10 48.3917 +3 *1248:10 *1248:12 3.36879 +4 *1248:12 *2472:la_oenb_mprj[102] 59.8818 +*END + +*D_NET *1249 0.0646364 +*CONN +*I *2472:la_oenb_mprj[103] I *D mgmt_protect +*I *2478:la_oenb[103] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[103] 0.00186836 +2 *2478:la_oenb[103] 0.00198764 +3 *1249:12 0.00186836 +4 *1249:10 0.00666994 +5 *1249:9 0.00865758 +6 *2472:la_oenb_mprj[103] *1359:13 0 +7 *1249:9 *2472:mprj_adr_o_core[21] 7.16076e-05 +8 *1249:9 *2472:mprj_dat_o_core[21] 0 +9 *1249:10 *1364:10 0 +10 *2472:la_iena_mprj[103] *2472:la_oenb_mprj[103] 0 +11 *2478:la_input[103] *1249:9 0 +12 *610:7 *2472:la_oenb_mprj[103] 0 +13 *865:9 *1249:9 0 +14 *866:10 *1249:10 0.00944613 +15 *868:18 *1249:10 0.00136247 +16 *888:10 *1249:10 0.000686944 +17 *1123:14 *1249:10 0 +18 *1124:10 *1249:10 0.0320173 +*RES +1 *2478:la_oenb[103] *1249:9 47.0634 +2 *1249:9 *1249:10 362.88 +3 *1249:10 *1249:12 4.5 +4 *1249:12 *2472:la_oenb_mprj[103] 46.3861 +*END + +*D_NET *1250 0.0810588 +*CONN +*I *2472:la_oenb_mprj[104] I *D mgmt_protect +*I *2478:la_oenb[104] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[104] 0.000979818 +2 *2478:la_oenb[104] 8.30061e-05 +3 *1250:10 0.00488317 +4 *1250:9 0.00390335 +5 *1250:7 0.00331629 +6 *1250:5 0.0033993 +7 *2472:la_oenb_mprj[104] *1359:13 0 +8 *1250:7 *2472:mprj_adr_o_core[25] 0 +9 *1250:7 *2472:mprj_dat_o_core[24] 0 +10 *1250:10 *1252:10 0.000161493 +11 *1250:10 *1662:8 8.46377e-05 +12 *1250:10 *1679:8 0 +13 *1250:10 *1728:16 9.91596e-05 +14 *2472:la_iena_mprj[104] *2472:la_oenb_mprj[104] 0 +15 *2478:la_input[104] *1250:7 0 +16 *611:7 *2472:la_oenb_mprj[104] 0 +17 *611:8 *1250:10 0.034322 +18 *611:13 *1250:7 0 +19 *723:8 *1250:10 5.65165e-05 +20 *866:9 *1250:7 0 +21 *1120:16 *1250:10 0.0288811 +22 *1122:16 *1250:10 0.000888955 +23 *1136:15 *1250:7 0 +*RES +1 *2478:la_oenb[104] *1250:5 2.05183 +2 *1250:5 *1250:7 63.9122 +3 *1250:7 *1250:9 4.5 +4 *1250:9 *1250:10 373.972 +5 *1250:10 *2472:la_oenb_mprj[104] 28.0472 +*END + +*D_NET *1251 0.0717417 +*CONN +*I *2472:la_oenb_mprj[105] I *D mgmt_protect +*I *2478:la_oenb[105] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[105] 0.00142382 +2 *2478:la_oenb[105] 0.00108786 +3 *1251:16 0.00372359 +4 *1251:15 0.00335596 +5 *1251:10 0.00443767 +6 *1251:9 0.00446935 +7 *2472:la_oenb_mprj[105] *1362:15 7.77309e-06 +8 *2472:la_oenb_mprj[105] *1363:15 0.000794212 +9 *1251:10 *1270:10 0.0226192 +10 *1251:10 *1365:10 0 +11 *1251:10 *1367:10 0 +12 *1251:10 *1720:10 0.000227563 +13 *1251:10 *1722:10 0.00535381 +14 *2472:la_iena_mprj[105] *2472:la_oenb_mprj[105] 0 +15 *2478:la_input[105] *1251:9 0 +16 *2478:la_input[93] *1251:15 0.000710928 +17 *608:14 *1251:10 0.00397947 +18 *612:5 *2472:la_oenb_mprj[105] 0 +19 *613:8 *1251:10 0.000639917 +20 *730:16 *1251:10 0.00331486 +21 *867:9 *1251:9 0 +22 *877:10 *1251:16 0.00271912 +23 *1121:16 *1251:16 0.0128766 +24 *1237:9 *1251:15 0 +*RES +1 *2478:la_oenb[105] *1251:9 29.3541 +2 *1251:9 *1251:10 249.186 +3 *1251:10 *1251:15 34.5014 +4 *1251:15 *1251:16 136.601 +5 *1251:16 *2472:la_oenb_mprj[105] 43.8268 +*END + +*D_NET *1252 0.0815715 +*CONN +*I *2472:la_oenb_mprj[106] I *D mgmt_protect +*I *2478:la_oenb[106] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[106] 0.00103056 +2 *2478:la_oenb[106] 8.30061e-05 +3 *1252:10 0.00534357 +4 *1252:9 0.00431301 +5 *1252:7 0.00277468 +6 *1252:5 0.00285769 +7 *1252:7 *2472:mprj_dat_o_core[30] 0.00155079 +8 *1252:7 *1672:5 0.0002888 +9 *1252:10 *1275:20 0.00065574 +10 *1252:10 *1608:30 0.000520301 +11 *1252:10 *1610:30 0.0142046 +12 *1252:10 *1668:8 4.03749e-05 +13 *1252:10 *1728:16 0.000109568 +14 *1252:10 *1732:10 0.00177894 +15 *2472:la_iena_mprj[106] *2472:la_oenb_mprj[106] 0 +16 *2478:la_input[106] *1252:7 0 +17 *611:8 *1252:10 0.0329268 +18 *613:5 *2472:la_oenb_mprj[106] 0 +19 *723:8 *1252:10 0.0082498 +20 *868:12 *1252:7 0 +21 *868:24 *1252:10 0.00468174 +22 *1250:10 *1252:10 0.000161493 +*RES +1 *2478:la_oenb[106] *1252:5 2.05183 +2 *1252:5 *1252:7 63.0817 +3 *1252:7 *1252:9 4.5 +4 *1252:9 *1252:10 397.265 +5 *1252:10 *2472:la_oenb_mprj[106] 28.8777 +*END + +*D_NET *1253 0.0651276 +*CONN +*I *2472:la_oenb_mprj[107] I *D mgmt_protect +*I *2478:la_oenb[107] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[107] 0.000114947 +2 *2478:la_oenb[107] 1.28869e-05 +3 *1253:10 0.0106824 +4 *1253:9 0.0105674 +5 *1253:7 0.00455174 +6 *1253:5 0.00456462 +7 *1253:7 *1259:15 0 +8 *1253:10 *1254:10 0.00206733 +9 *1253:10 *1261:10 0.0325663 +10 *1253:10 *1558:26 0 +11 *2472:la_iena_mprj[107] *2472:la_oenb_mprj[107] 0 +12 *614:5 *2472:la_oenb_mprj[107] 0 +13 *869:9 *1253:7 0 +*RES +1 *2478:la_oenb[107] *1253:5 0.366399 +2 *1253:5 *1253:7 84.2596 +3 *1253:7 *1253:9 4.5 +4 *1253:9 *1253:10 410.575 +5 *1253:10 *2472:la_oenb_mprj[107] 7.69988 +*END + +*D_NET *1254 0.0905893 +*CONN +*I *2472:la_oenb_mprj[108] I *D mgmt_protect +*I *2478:la_oenb[108] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[108] 0.000150968 +2 *2478:la_oenb[108] 8.30061e-05 +3 *1254:10 0.00438509 +4 *1254:9 0.00423412 +5 *1254:7 0.00431696 +6 *1254:5 0.00439997 +7 *1254:7 *1265:15 9.42966e-05 +8 *1254:7 *1578:8 0 +9 *1254:7 *1578:12 0 +10 *1254:7 *1662:13 2.30095e-05 +11 *1254:7 *1664:13 0.00043595 +12 *1254:7 *1728:15 1.02986e-05 +13 *1254:10 *1255:10 0.00180773 +14 *1254:10 *1261:10 0.0343697 +15 *1254:10 *1558:26 5.13878e-05 +16 *2472:la_iena_mprj[108] *2472:la_oenb_mprj[108] 0 +17 *2478:la_input[108] *1254:7 0 +18 *615:5 *2472:la_oenb_mprj[108] 0 +19 *622:8 *1254:10 0.0341595 +20 *1253:10 *1254:10 0.00206733 +*RES +1 *2478:la_oenb[108] *1254:5 2.05183 +2 *1254:5 *1254:7 83.4291 +3 *1254:7 *1254:9 4.5 +4 *1254:9 *1254:10 421.113 +5 *1254:10 *2472:la_oenb_mprj[108] 8.53039 +*END + +*D_NET *1255 0.0945363 +*CONN +*I *2472:la_oenb_mprj[109] I *D mgmt_protect +*I *2478:la_oenb[109] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[109] 0.000186989 +2 *2478:la_oenb[109] 8.30061e-05 +3 *1255:10 0.00432873 +4 *1255:9 0.00414174 +5 *1255:7 0.00442174 +6 *1255:5 0.00450474 +7 *1255:10 *1258:10 0.0386236 +8 *1255:10 *1558:26 0.000394687 +9 *2472:la_iena_mprj[109] *2472:la_oenb_mprj[109] 0 +10 *2478:la_input[109] *1255:7 0 +11 *617:5 *2472:la_oenb_mprj[109] 0 +12 *622:8 *1255:10 0.0360433 +13 *871:7 *1255:7 0 +14 *1254:10 *1255:10 0.00180773 +*RES +1 *2478:la_oenb[109] *1255:5 2.05183 +2 *1255:5 *1255:7 82.5985 +3 *1255:7 *1255:9 4.5 +4 *1255:9 *1255:10 432.205 +5 *1255:10 *2472:la_oenb_mprj[109] 9.36089 +*END + +*D_NET *1256 0.180422 +*CONN +*I *2472:la_oenb_mprj[10] I *D mgmt_protect +*I *2478:la_oenb[10] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[10] 0.000697907 +2 *2478:la_oenb[10] 8.29983e-05 +3 *1256:10 0.00621378 +4 *1256:9 0.00551587 +5 *1256:7 0.00290707 +6 *1256:5 0.00299007 +7 *1256:10 *1372:10 0.077612 +8 *2472:la_iena_mprj[10] *2472:la_oenb_mprj[10] 0 +9 *2478:la_input[10] *1256:7 0 +10 *627:7 *2472:la_oenb_mprj[10] 0 +11 *637:11 *1256:10 0.000386033 +12 *872:7 *1256:7 0 +13 *1128:10 *1256:10 0.0782409 +14 *1148:10 *1256:10 0 +15 *1166:10 *1256:10 0.00300887 +16 *1169:10 *1256:10 0.00276622 +*RES +1 *2478:la_oenb[10] *1256:5 2.05183 +2 *1256:5 *1256:7 69.9334 +3 *1256:7 *1256:9 3.36879 +4 *1256:9 *1256:10 99.4106 +5 *1256:10 *2472:la_oenb_mprj[10] 20.8949 +*END + +*D_NET *1257 0.0782615 +*CONN +*I *2472:la_oenb_mprj[110] I *D mgmt_protect +*I *2478:la_oenb[110] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[110] 0.00116593 +2 *2478:la_oenb[110] 0.00195765 +3 *1257:16 0.00539757 +4 *1257:15 0.00528528 +5 *1257:10 0.00365288 +6 *1257:9 0.00455689 +7 *1257:10 *1647:10 0.000615448 +8 *1257:10 *1659:8 0.001569 +9 *1257:15 *2472:mprj_adr_o_core[29] 6.9233e-05 +10 *1257:15 *2472:mprj_dat_o_core[28] 0.000378618 +11 *1257:16 *1259:16 0.00388298 +12 *1257:16 *1269:16 0.0239947 +13 *1257:16 *1271:16 0.0223829 +14 *1257:16 *2183:19 0.000419943 +15 *2472:la_iena_mprj[110] *2472:la_oenb_mprj[110] 0 +16 *2478:la_input[110] *1257:9 0 +17 *618:5 *2472:la_oenb_mprj[110] 0 +18 *722:8 *1257:16 0.000820031 +19 *864:16 *1257:16 0.000276368 +20 *868:12 *1257:10 7.32024e-06 +21 *868:14 *1257:10 0.000269426 +22 *868:24 *1257:16 0.000953041 +23 *1124:9 *1257:15 8.01597e-05 +24 *1129:10 *1257:10 0.000404207 +25 *1136:10 *1257:10 0 +26 *1143:15 *1257:15 0.000121929 +*RES +1 *2478:la_oenb[110] *1257:9 44.7184 +2 *1257:9 *1257:10 92.7876 +3 *1257:10 *1257:15 31.5946 +4 *1257:15 *1257:16 351.787 +5 *1257:16 *2472:la_oenb_mprj[110] 31.3693 +*END + +*D_NET *1258 0.0994046 +*CONN +*I *2472:la_oenb_mprj[111] I *D mgmt_protect +*I *2478:la_oenb[111] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[111] 0.000208415 +2 *2478:la_oenb[111] 8.30061e-05 +3 *1258:10 0.00428248 +4 *1258:9 0.00407407 +5 *1258:7 0.00434987 +6 *1258:5 0.00443287 +7 *1258:10 *1260:10 0.0408992 +8 *1258:10 *1558:26 0.000695785 +9 *2472:la_iena_mprj[111] *2472:la_oenb_mprj[111] 0 +10 *2478:la_input[111] *1258:7 0 +11 *619:5 *2472:la_oenb_mprj[111] 0 +12 *622:8 *1258:10 0.00175528 +13 *874:7 *1258:7 0 +14 *1255:10 *1258:10 0.0386236 +*RES +1 *2478:la_oenb[111] *1258:5 2.05183 +2 *1258:5 *1258:7 82.1833 +3 *1258:7 *1258:9 4.5 +4 *1258:9 *1258:10 455.498 +5 *1258:10 *2472:la_oenb_mprj[111] 9.77615 +*END + +*D_NET *1259 0.0992182 +*CONN +*I *2472:la_oenb_mprj[112] I *D mgmt_protect +*I *2478:la_oenb[112] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[112] 0.00120879 +2 *2478:la_oenb[112] 0.00209594 +3 *1259:16 0.0046326 +4 *1259:15 0.00451602 +5 *1259:10 0.00182681 +6 *1259:9 0.00283054 +7 *1259:10 *1263:10 0.00873109 +8 *1259:16 *1263:16 0.0307189 +9 *1259:16 *1271:16 0.0250569 +10 *1259:16 *1713:10 0.000600965 +11 *2472:la_iena_mprj[112] *2472:la_oenb_mprj[112] 0 +12 *2478:la_input[112] *1259:9 0 +13 *620:5 *2472:la_oenb_mprj[112] 0 +14 *864:16 *1259:16 0.00140664 +15 *869:10 *1259:10 0.00079792 +16 *875:7 *1259:9 0 +17 *888:10 *1259:10 0.000789243 +18 *1127:10 *1259:10 0.000183223 +19 *1143:10 *1259:10 0.00993967 +20 *1253:7 *1259:15 0 +21 *1257:16 *1259:16 0.00388298 +*RES +1 *2478:la_oenb[112] *1259:9 48.4557 +2 *1259:9 *1259:10 104.989 +3 *1259:10 *1259:15 27.0268 +4 *1259:15 *1259:16 362.88 +5 *1259:16 *2472:la_oenb_mprj[112] 32.1998 +*END + +*D_NET *1260 0.104421 +*CONN +*I *2472:la_oenb_mprj[113] I *D mgmt_protect +*I *2478:la_oenb[113] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[113] 0.000229852 +2 *2478:la_oenb[113] 8.30061e-05 +3 *1260:10 0.00449599 +4 *1260:9 0.00426614 +5 *1260:7 0.00428225 +6 *1260:5 0.00436525 +7 *1260:7 *1274:15 0 +8 *1260:10 *1558:26 0.0447738 +9 *2472:la_iena_mprj[113] *2472:la_oenb_mprj[113] 0 +10 *2478:la_input[113] *1260:7 0 +11 *621:7 *2472:la_oenb_mprj[113] 0 +12 *622:8 *1260:10 0.00102524 +13 *876:7 *1260:7 0 +14 *1258:10 *1260:10 0.0408992 +*RES +1 *2478:la_oenb[113] *1260:5 2.05183 +2 *1260:5 *1260:7 81.768 +3 *1260:7 *1260:9 4.5 +4 *1260:9 *1260:10 478.792 +5 *1260:10 *2472:la_oenb_mprj[113] 10.1914 +*END + +*D_NET *1261 0.0941256 +*CONN +*I *2472:la_oenb_mprj[114] I *D mgmt_protect +*I *2478:la_oenb[114] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[114] 0.000136373 +2 *2478:la_oenb[114] 8.30061e-05 +3 *1261:10 0.00655455 +4 *1261:9 0.00641818 +5 *1261:7 0.00433192 +6 *1261:5 0.00441492 +7 *2472:la_iena_mprj[114] *2472:la_oenb_mprj[114] 0 +8 *2478:la_input[114] *1261:7 0 +9 *622:7 *2472:la_oenb_mprj[114] 0 +10 *622:8 *1261:10 0.00525072 +11 *877:7 *1261:7 0 +12 *1253:10 *1261:10 0.0325663 +13 *1254:10 *1261:10 0.0343697 +*RES +1 *2478:la_oenb[114] *1261:5 2.05183 +2 *1261:5 *1261:7 83.8443 +3 *1261:7 *1261:9 4.5 +4 *1261:9 *1261:10 490.993 +5 *1261:10 *2472:la_oenb_mprj[114] 8.11514 +*END + +*D_NET *1262 0.106188 +*CONN +*I *2472:la_oenb_mprj[115] I *D mgmt_protect +*I *2478:la_oenb[115] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[115] 6.22868e-05 +2 *2478:la_oenb[115] 0.000838404 +3 *1262:13 0.00304653 +4 *1262:12 0.00298424 +5 *1262:10 0.00435272 +6 *1262:9 0.00519112 +7 *1262:10 *1264:10 0.0010731 +8 *1262:10 *1656:8 0 +9 *2472:la_data_out_mprj[116] *1262:13 0 +10 *2472:la_iena_mprj[115] *1262:13 0 +11 *620:8 *1262:10 0.0431094 +12 *621:10 *1262:10 0.0448934 +13 *622:11 *1262:9 0 +14 *623:7 *1262:13 0.000478162 +15 *878:7 *1262:9 0 +16 *978:9 *1262:13 0.000159106 +17 *1235:9 *1262:13 0 +*RES +1 *2478:la_oenb[115] *1262:9 24.7863 +2 *1262:9 *1262:10 500.976 +3 *1262:10 *1262:12 4.5 +4 *1262:12 *1262:13 69.3105 +5 *1262:13 *2472:la_oenb_mprj[115] 1.77093 +*END + +*D_NET *1263 0.103841 +*CONN +*I *2472:la_oenb_mprj[116] I *D mgmt_protect +*I *2478:la_oenb[116] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[116] 0.00125075 +2 *2478:la_oenb[116] 0.0020216 +3 *1263:16 0.00412678 +4 *1263:15 0.00390493 +5 *1263:10 0.00279405 +6 *1263:9 0.00378676 +7 *1263:10 *1265:10 0.00656234 +8 *1263:16 *1268:16 0.000223975 +9 *1263:16 *1271:16 0.000540322 +10 *1263:16 *1274:16 0.0238699 +11 *1263:16 *1713:10 0.000858442 +12 *2472:la_data_out_mprj[117] *2472:la_oenb_mprj[116] 0 +13 *2472:la_iena_mprj[116] *2472:la_oenb_mprj[116] 0 +14 *624:7 *2472:la_oenb_mprj[116] 0 +15 *723:11 *2472:la_oenb_mprj[116] 0 +16 *864:16 *1263:16 0.00755784 +17 *879:7 *1263:9 0 +18 *888:10 *1263:10 0.00134678 +19 *1126:9 *1263:15 4.15661e-05 +20 *1127:10 *1263:10 0.00198068 +21 *1143:10 *1263:10 0.00352416 +22 *1259:10 *1263:10 0.00873109 +23 *1259:16 *1263:16 0.0307189 +*RES +1 *2478:la_oenb[116] *1263:9 47.1855 +2 *1263:9 *1263:10 175.424 +3 *1263:10 *1263:15 26.1963 +4 *1263:15 *1263:16 341.25 +5 *1263:16 *2472:la_oenb_mprj[116] 32.615 +*END + +*D_NET *1264 0.108649 +*CONN +*I *2472:la_oenb_mprj[117] I *D mgmt_protect +*I *2478:la_oenb[117] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[117] 0.0032002 +2 *2478:la_oenb[117] 0.000802382 +3 *1264:12 0.0032002 +4 *1264:10 0.00477825 +5 *1264:9 0.00558063 +6 *2472:la_oenb_mprj[117] *1363:9 7.06274e-05 +7 *1264:10 *1552:24 0.00108877 +8 *1264:10 *1656:8 0 +9 *2472:la_data_out_mprj[117] *2472:la_oenb_mprj[117] 0 +10 *2472:la_data_out_mprj[118] *2472:la_oenb_mprj[117] 0 +11 *2472:la_iena_mprj[117] *2472:la_oenb_mprj[117] 0 +12 *621:10 *1264:10 0.043428 +13 *624:11 *1264:9 0 +14 *625:7 *2472:la_oenb_mprj[117] 0 +15 *631:8 *1264:10 0.0454268 +16 *880:7 *1264:9 0 +17 *979:9 *2472:la_oenb_mprj[117] 0 +18 *1262:10 *1264:10 0.0010731 +*RES +1 *2478:la_oenb[117] *1264:9 23.9558 +2 *1264:9 *1264:10 525.933 +3 *1264:10 *1264:12 4.5 +4 *1264:12 *2472:la_oenb_mprj[117] 70.0555 +*END + +*D_NET *1265 0.101644 +*CONN +*I *2472:la_oenb_mprj[118] I *D mgmt_protect +*I *2478:la_oenb[118] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[118] 0.00131502 +2 *2478:la_oenb[118] 0.00211624 +3 *1265:16 0.00422045 +4 *1265:15 0.00360333 +5 *1265:10 0.003083 +6 *1265:9 0.00450134 +7 *1265:15 *1597:29 0.00055459 +8 *1265:15 *1664:13 4.70005e-05 +9 *1265:16 *1268:16 0.0296128 +10 *1265:16 *1602:30 0.000134848 +11 *1265:16 *1604:30 0.00409855 +12 *1265:16 *1713:10 0.000163504 +13 *2472:la_data_out_mprj[119] *2472:la_oenb_mprj[118] 0 +14 *2472:la_iena_mprj[118] *2472:la_oenb_mprj[118] 0 +15 *625:11 *1265:9 0 +16 *626:7 *2472:la_oenb_mprj[118] 0 +17 *864:16 *1265:16 0.000713488 +18 *870:15 *1265:15 2.05972e-05 +19 *871:10 *1265:10 0.00251177 +20 *881:7 *1265:9 0 +21 *888:10 *1265:10 0.00150607 +22 *1127:10 *1265:10 0.00108851 +23 *1132:10 *1265:10 0.00401589 +24 *1136:16 *1265:16 0.0242458 +25 *1143:10 *1265:10 0.000659929 +26 *1143:16 *1265:16 0.00102025 +27 *1147:10 *1265:10 0.00575396 +28 *1254:7 *1265:15 9.42966e-05 +29 *1263:10 *1265:10 0.00656234 +*RES +1 *2478:la_oenb[118] *1265:9 49.7014 +2 *1265:9 *1265:10 204.263 +3 *1265:10 *1265:15 24.1201 +4 *1265:15 *1265:16 333.486 +5 *1265:16 *2472:la_oenb_mprj[118] 33.8608 +*END + +*D_NET *1266 0.116834 +*CONN +*I *2472:la_oenb_mprj[119] I *D mgmt_protect +*I *2478:la_oenb[119] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[119] 0.000415044 +2 *2478:la_oenb[119] 8.30061e-05 +3 *1266:10 0.00504163 +4 *1266:9 0.00462659 +5 *1266:7 0.00389262 +6 *1266:5 0.00397562 +7 *1266:7 *1610:29 0.000173308 +8 *2472:la_iena_mprj[119] *2472:la_oenb_mprj[119] 0 +9 *471:27 *1266:10 0.0011524 +10 *623:8 *1266:10 0.0453169 +11 *624:8 *1266:10 0.000885943 +12 *626:8 *1266:10 0.0511501 +13 *626:11 *1266:7 0 +14 *628:7 *2472:la_oenb_mprj[119] 0 +15 *629:8 *1266:10 0.000120974 +16 *882:7 *1266:7 0 +*RES +1 *2478:la_oenb[119] *1266:5 2.05183 +2 *1266:5 *1266:7 78.446 +3 *1266:7 *1266:9 4.5 +4 *1266:9 *1266:10 549.226 +5 *1266:10 *2472:la_oenb_mprj[119] 13.5134 +*END + +*D_NET *1267 0.152014 +*CONN +*I *2472:la_oenb_mprj[11] I *D mgmt_protect +*I *2478:la_oenb[11] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[11] 0.00149704 +2 *2478:la_oenb[11] 0.00144571 +3 *1267:18 0.00234975 +4 *1267:15 0.00162148 +5 *1267:10 0.00553313 +6 *1267:9 0.00621007 +7 *1267:10 *1339:10 0.000159297 +8 *1267:18 *1308:16 0.000241948 +9 *2472:la_iena_mprj[11] *2472:la_oenb_mprj[11] 0 +10 *2472:la_iena_mprj[6] *1267:15 0.000965027 +11 *2478:la_input[11] *1267:9 0 +12 *2478:la_input[44] *1267:15 1.98891e-05 +13 *616:14 *1267:10 0 +14 *636:5 *2472:la_oenb_mprj[11] 0 +15 *652:8 *1267:18 0.00185279 +16 *677:14 *1267:10 0.013081 +17 *883:7 *1267:9 0 +18 *924:16 *1267:18 0.000466074 +19 *966:16 *1267:18 0.000245416 +20 *1139:10 *1267:10 0.0629386 +21 *1139:18 *1267:15 0.00103186 +22 *1155:10 *1267:10 0.0485505 +23 *1189:10 *1267:10 0.00380399 +*RES +1 *2478:la_oenb[11] *1267:9 39.3201 +2 *1267:9 *1267:10 664.584 +3 *1267:10 *1267:15 31.5946 +4 *1267:15 *1267:18 49.5917 +5 *1267:18 *2472:la_oenb_mprj[11] 32.2675 +*END + +*D_NET *1268 0.123602 +*CONN +*I *2472:la_oenb_mprj[120] I *D mgmt_protect +*I *2478:la_oenb[120] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[120] 0.0013199 +2 *2478:la_oenb[120] 0.00218174 +3 *1268:16 0.00426795 +4 *1268:15 0.00379539 +5 *1268:10 0.00226017 +6 *1268:9 0.00141283 +7 *1268:7 0.00218174 +8 *1268:10 *1269:10 0.0183193 +9 *1268:16 *1274:16 0.0278522 +10 *1268:16 *1604:30 0.00136434 +11 *1268:16 *1713:10 0.000991958 +12 *2472:la_data_out_mprj[120] *2472:la_oenb_mprj[120] 0 +13 *2472:la_data_out_mprj[121] *2472:la_oenb_mprj[120] 0 +14 *2472:la_iena_mprj[120] *2472:la_oenb_mprj[120] 0 +15 *628:11 *1268:7 0 +16 *629:7 *2472:la_oenb_mprj[120] 0 +17 *864:16 *1268:16 0.00433832 +18 *891:10 *1268:10 0.0015988 +19 *1130:12 *1268:10 1.67988e-05 +20 *1130:14 *1268:10 0.00175162 +21 *1147:10 *1268:10 0.0201121 +22 *1263:16 *1268:16 0.000223975 +23 *1265:16 *1268:16 0.0296128 +*RES +1 *2478:la_oenb[120] *1268:7 46.4472 +2 *1268:7 *1268:9 4.5 +3 *1268:9 *1268:10 212.027 +4 *1268:10 *1268:15 23.2896 +5 *1268:15 *1268:16 349.014 +6 *1268:16 *2472:la_oenb_mprj[120] 33.4455 +*END + +*D_NET *1269 0.113277 +*CONN +*I *2472:la_oenb_mprj[121] I *D mgmt_protect +*I *2478:la_oenb[121] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[121] 0.00121283 +2 *2478:la_oenb[121] 0.00217052 +3 *1269:16 0.00459982 +4 *1269:15 0.00433242 +5 *1269:10 0.00309663 +6 *1269:9 0.0021512 +7 *1269:7 0.00217052 +8 *1269:10 *1597:16 0.00175459 +9 *1269:10 *1597:24 0.000156918 +10 *1269:16 *1271:16 0.00432251 +11 *1269:16 *1273:16 0.0304351 +12 *1269:16 *2185:10 0.00152795 +13 *2472:la_data_out_mprj[122] *2472:la_oenb_mprj[121] 0 +14 *2472:la_iena_mprj[121] *2472:la_oenb_mprj[121] 0 +15 *629:11 *1269:7 0 +16 *630:7 *2472:la_oenb_mprj[121] 0 +17 *868:24 *1269:16 0.000810095 +18 *874:10 *1269:10 0.000636915 +19 *878:10 *1269:10 0.00718301 +20 *885:7 *1269:7 0 +21 *891:10 *1269:10 0.00150354 +22 *1130:7 *1269:15 2.43314e-05 +23 *1130:12 *1269:10 6.08467e-05 +24 *1132:15 *1269:15 7.26959e-06 +25 *1137:10 *1269:10 0.00191074 +26 *1147:10 *1269:10 0.000895002 +27 *1257:16 *1269:16 0.0239947 +28 *1268:10 *1269:10 0.0183193 +*RES +1 *2478:la_oenb[121] *1269:7 46.3006 +2 *1269:7 *1269:9 4.5 +3 *1269:9 *1269:10 214.246 +4 *1269:10 *1269:15 25.3658 +5 *1269:15 *1269:16 358.997 +6 *1269:16 *2472:la_oenb_mprj[121] 30.954 +*END + +*D_NET *1270 0.10888 +*CONN +*I *2472:la_oenb_mprj[122] I *D mgmt_protect +*I *2478:la_oenb[122] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[122] 0.00296622 +2 *2478:la_oenb[122] 0.00102729 +3 *1270:12 0.00296622 +4 *1270:10 0.00544893 +5 *1270:9 0.00647621 +6 *2472:la_oenb_mprj[122] *1365:9 0 +7 *1270:10 *1367:10 0 +8 *1270:10 *1722:10 0.01456 +9 *2472:la_data_out_mprj[122] *2472:la_oenb_mprj[122] 0 +10 *2472:la_iena_mprj[122] *2472:la_oenb_mprj[122] 0 +11 *630:11 *1270:9 0 +12 *631:5 *2472:la_oenb_mprj[122] 0 +13 *634:8 *1270:10 0.0526084 +14 *886:7 *1270:9 0 +15 *981:9 *2472:la_oenb_mprj[122] 0.000207371 +16 *1251:10 *1270:10 0.0226192 +*RES +1 *2478:la_oenb[122] *1270:9 29.7693 +2 *1270:9 *1270:10 584.721 +3 *1270:10 *1270:12 4.5 +4 *1270:12 *2472:la_oenb_mprj[122] 64.242 +*END + +*D_NET *1271 0.106697 +*CONN +*I *2472:la_oenb_mprj[123] I *D mgmt_protect +*I *2478:la_oenb[123] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[123] 0.00126935 +2 *2478:la_oenb[123] 8.30061e-05 +3 *1271:16 0.00528074 +4 *1271:15 0.00428854 +5 *1271:10 0.00470481 +6 *1271:9 0.00442766 +7 *1271:7 0.00266245 +8 *1271:5 0.00274545 +9 *1271:10 *1273:10 0.0197088 +10 *1271:10 *1582:16 0.00227613 +11 *1271:10 *1598:24 0.00118392 +12 *1271:10 *1602:30 0 +13 *1271:10 *1604:30 0 +14 *1271:16 *1273:16 0.000750597 +15 *1271:16 *1274:16 0.00224907 +16 *1271:16 *2183:19 0.00203988 +17 *2472:la_data_out_mprj[124] *2472:la_oenb_mprj[123] 0 +18 *2472:la_iena_mprj[123] *2472:la_oenb_mprj[123] 0 +19 *2478:la_input[123] *1271:7 0 +20 *632:7 *2472:la_oenb_mprj[123] 0 +21 *876:10 *1271:10 0.000724449 +22 *887:7 *1271:7 0 +23 *1257:16 *1271:16 0.0223829 +24 *1259:16 *1271:16 0.0250569 +25 *1263:16 *1271:16 0.000540322 +26 *1269:16 *1271:16 0.00432251 +*RES +1 *2478:la_oenb[123] *1271:5 2.05183 +2 *1271:5 *1271:7 54.3614 +3 *1271:7 *1271:9 4.5 +4 *1271:9 *1271:10 224.229 +5 *1271:10 *1271:15 14.9845 +6 *1271:15 *1271:16 371.753 +7 *1271:16 *2472:la_oenb_mprj[123] 31.7845 +*END + +*D_NET *1272 0.130655 +*CONN +*I *2472:la_oenb_mprj[124] I *D mgmt_protect +*I *2478:la_oenb[124] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[124] 0.00314158 +2 *2478:la_oenb[124] 0.00075951 +3 *1272:12 0.00314158 +4 *1272:10 0.00473918 +5 *1272:9 0.00549869 +6 *2472:la_oenb_mprj[124] *1366:9 0.000913233 +7 *1272:10 *1552:24 0.0574379 +8 *2472:la_data_out_mprj[124] *2472:la_oenb_mprj[124] 0 +9 *2472:la_data_out_mprj[125] *2472:la_oenb_mprj[124] 0 +10 *2472:la_iena_mprj[124] *2472:la_oenb_mprj[124] 0 +11 *631:8 *1272:10 0.055023 +12 *632:11 *1272:9 0 +13 *633:7 *2472:la_oenb_mprj[124] 0 +14 *982:9 *2472:la_oenb_mprj[124] 0 +*RES +1 *2478:la_oenb[124] *1272:9 23.1253 +2 *1272:9 *1272:10 608.014 +3 *1272:10 *1272:12 4.5 +4 *1272:12 *2472:la_oenb_mprj[124] 70.886 +*END + +*D_NET *1273 0.12135 +*CONN +*I *2472:la_oenb_mprj[125] I *D mgmt_protect +*I *2478:la_oenb[125] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[125] 0.00123135 +2 *2478:la_oenb[125] 8.30061e-05 +3 *1273:16 0.00462093 +4 *1273:15 0.00368272 +5 *1273:10 0.00310312 +6 *1273:9 0.00280998 +7 *1273:7 0.0026169 +8 *1273:5 0.00269991 +9 *1273:10 *1274:10 0.0101333 +10 *1273:10 *1583:24 0.00225187 +11 *1273:15 *1274:15 0.000281696 +12 *1273:16 *1274:16 0 +13 *1273:16 *1275:20 0.033282 +14 *1273:16 *2185:10 0.00200575 +15 *2472:la_data_out_mprj[125] *2472:la_oenb_mprj[125] 0 +16 *2472:la_iena_mprj[125] *2472:la_oenb_mprj[125] 0 +17 *633:11 *1273:7 0 +18 *634:5 *2472:la_oenb_mprj[125] 0 +19 *868:24 *1273:16 0.000698398 +20 *876:10 *1273:10 0.000954996 +21 *889:9 *1273:7 0 +22 *1269:16 *1273:16 0.0304351 +23 *1271:10 *1273:10 0.0197088 +24 *1271:16 *1273:16 0.000750597 +*RES +1 *2478:la_oenb[125] *1273:5 2.05183 +2 *1273:5 *1273:7 53.9461 +3 *1273:7 *1273:9 4.5 +4 *1273:9 *1273:10 248.077 +5 *1273:10 *1273:15 16.6455 +6 *1273:15 *1273:16 371.199 +7 *1273:16 *2472:la_oenb_mprj[125] 30.5388 +*END + +*D_NET *1274 0.113418 +*CONN +*I *2472:la_oenb_mprj[126] I *D mgmt_protect +*I *2478:la_oenb[126] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[126] 0.0013668 +2 *2478:la_oenb[126] 5.96331e-05 +3 *1274:16 0.00551037 +4 *1274:15 0.0043685 +5 *1274:10 0.00315154 +6 *1274:9 0.00292659 +7 *1274:7 0.00255817 +8 *1274:5 0.0026178 +9 *1274:7 *1662:19 3.69268e-05 +10 *1274:10 *1275:10 0.0112408 +11 *1274:10 *1275:14 0.00490273 +12 *1274:10 *1584:24 0.0022229 +13 *1274:16 *1604:30 0.00165041 +14 *1274:16 *1713:10 0.00218951 +15 *2472:la_data_out_mprj[126] *2472:la_oenb_mprj[126] 0 +16 *2472:la_data_out_mprj[127] *2472:la_oenb_mprj[126] 0 +17 *2472:la_iena_mprj[126] *2472:la_oenb_mprj[126] 0 +18 *2478:la_input[126] *1274:7 0 +19 *635:7 *2472:la_oenb_mprj[126] 0 +20 *876:10 *1274:10 0.000904135 +21 *890:7 *1274:7 0 +22 *1134:10 *1274:10 0.0033255 +23 *1260:7 *1274:15 0 +24 *1263:16 *1274:16 0.0238699 +25 *1268:16 *1274:16 0.0278522 +26 *1271:16 *1274:16 0.00224907 +27 *1273:10 *1274:10 0.0101333 +28 *1273:15 *1274:15 0.000281696 +29 *1273:16 *1274:16 0 +*RES +1 *2478:la_oenb[126] *1274:5 1.49002 +2 *1274:5 *1274:7 53.1156 +3 *1274:7 *1274:9 4.5 +4 *1274:9 *1274:10 268.597 +5 *1274:10 *1274:15 14.9845 +6 *1274:15 *1274:16 363.434 +7 *1274:16 *2472:la_oenb_mprj[126] 33.0303 +*END + +*D_NET *1275 0.124566 +*CONN +*I *2472:la_oenb_mprj[127] I *D mgmt_protect +*I *2478:la_oenb[127] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[127] 0.00122459 +2 *2478:la_oenb[127] 8.30061e-05 +3 *1275:20 0.00453583 +4 *1275:19 0.00378554 +5 *1275:14 0.00176282 +6 *1275:12 0.00131155 +7 *1275:10 0.00201319 +8 *1275:9 0.00199016 +9 *1275:7 0.00253372 +10 *1275:5 0.00261673 +11 *2472:la_oenb_mprj[127] *2472:mprj_cyc_o_core 0 +12 *2472:la_oenb_mprj[127] *1579:7 0 +13 *1275:10 *1584:24 0.00131527 +14 *1275:10 *1608:24 0.00246032 +15 *1275:10 *1660:14 0.00160185 +16 *1275:14 *1585:24 0.001295 +17 *1275:14 *1608:24 0.00142453 +18 *1275:20 *1604:30 0 +19 *1275:20 *1608:30 0.0299719 +20 *1275:20 *1735:24 0.00228409 +21 *2472:la_iena_mprj[127] *2472:la_oenb_mprj[127] 0 +22 *635:11 *1275:7 0 +23 *868:24 *1275:20 0.00207401 +24 *880:10 *1275:14 0.00717656 +25 *882:12 *1275:14 9.82896e-06 +26 *882:14 *1275:14 0.00110066 +27 *891:9 *1275:7 0 +28 *1133:7 *1275:19 7.09666e-06 +29 *1134:10 *1275:14 0.00190673 +30 *1252:10 *1275:20 0.00065574 +31 *1273:16 *1275:20 0.033282 +32 *1274:10 *1275:10 0.0112408 +33 *1274:10 *1275:14 0.00490273 +*RES +1 *2478:la_oenb[127] *1275:5 2.05183 +2 *1275:5 *1275:7 52.7004 +3 *1275:7 *1275:9 4.5 +4 *1275:9 *1275:10 137.988 +5 *1275:10 *1275:12 0.578717 +6 *1275:12 *1275:14 135.215 +7 *1275:14 *1275:19 18.7218 +8 *1275:19 *1275:20 369.535 +9 *1275:20 *2472:la_oenb_mprj[127] 30.1235 +*END + +*D_NET *1276 0.171999 +*CONN +*I *2472:la_oenb_mprj[12] I *D mgmt_protect +*I *2478:la_oenb[12] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[12] 0.00117527 +2 *2478:la_oenb[12] 8.29983e-05 +3 *1276:10 0.00720238 +4 *1276:9 0.00602711 +5 *1276:7 0.00251025 +6 *1276:5 0.00259325 +7 *1276:10 *1278:10 0.0714035 +8 *2472:la_data_out_mprj[13] *2472:la_oenb_mprj[12] 0 +9 *2472:la_iena_mprj[12] *2472:la_oenb_mprj[12] 0 +10 *637:11 *2472:la_oenb_mprj[12] 0 +11 *892:7 *1276:7 0 +12 *893:10 *1276:10 0 +13 *1148:10 *1276:10 0.075601 +14 *1150:10 *1276:10 0.000455287 +15 *1171:10 *1276:10 0.00247182 +16 *1172:10 *1276:10 0.00247599 +17 *1186:7 *2472:la_oenb_mprj[12] 0 +*RES +1 *2478:la_oenb[12] *1276:5 2.05183 +2 *1276:5 *1276:7 60.7978 +3 *1276:7 *1276:9 3.36879 +4 *1276:9 *1276:10 96.198 +5 *1276:10 *2472:la_oenb_mprj[12] 30.0304 +*END + +*D_NET *1277 0.175988 +*CONN +*I *2472:la_oenb_mprj[13] I *D mgmt_protect +*I *2478:la_oenb[13] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[13] 0.00242463 +2 *2478:la_oenb[13] 0.00164311 +3 *1277:12 0.00242463 +4 *1277:10 0.00787909 +5 *1277:9 0.0095222 +6 *2472:la_oenb_mprj[13] *1314:7 0 +7 *1277:10 *1279:10 0 +8 *2472:la_iena_mprj[13] *2472:la_oenb_mprj[13] 0 +9 *636:22 *1277:10 0.00209983 +10 *637:21 *1277:9 0 +11 *638:5 *2472:la_oenb_mprj[13] 0 +12 *674:11 *2472:la_oenb_mprj[13] 0.000192538 +13 *893:7 *1277:9 0 +14 *1149:10 *1277:10 0.0740803 +15 *1151:10 *1277:10 0.0710203 +16 *1165:10 *1277:10 0.00244939 +17 *1168:10 *1277:10 0.00225185 +*RES +1 *2478:la_oenb[13] *1277:9 42.1338 +2 *1277:9 *1277:10 94.6682 +3 *1277:10 *1277:12 3.36879 +4 *1277:12 *2472:la_oenb_mprj[13] 50.7463 +*END + +*D_NET *1278 0.168097 +*CONN +*I *2472:la_oenb_mprj[14] I *D mgmt_protect +*I *2478:la_oenb[14] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[14] 0.00122397 +2 *2478:la_oenb[14] 8.29983e-05 +3 *1278:10 0.00724158 +4 *1278:9 0.00601761 +5 *1278:7 0.00254276 +6 *1278:5 0.00262576 +7 *2472:la_iena_mprj[14] *2472:la_oenb_mprj[14] 0 +8 *638:23 *1278:7 0 +9 *639:7 *2472:la_oenb_mprj[14] 0 +10 *894:7 *1278:7 0 +11 *916:10 *1278:10 0.00192462 +12 *1150:10 *1278:10 0.072982 +13 *1152:10 *1278:10 0.000102747 +14 *1174:10 *1278:10 0.00157137 +15 *1186:12 *1278:10 0.000377635 +16 *1276:10 *1278:10 0.0714035 +*RES +1 *2478:la_oenb[14] *1278:5 2.05183 +2 *1278:5 *1278:7 59.9673 +3 *1278:7 *1278:9 3.36879 +4 *1278:9 *1278:10 92.9855 +5 *1278:10 *2472:la_oenb_mprj[14] 30.8609 +*END + +*D_NET *1279 0.160237 +*CONN +*I *2472:la_oenb_mprj[15] I *D mgmt_protect +*I *2478:la_oenb[15] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[15] 0.00274023 +2 *2478:la_oenb[15] 0.00118107 +3 *1279:12 0.00274023 +4 *1279:10 0.00965965 +5 *1279:9 0.0108407 +6 *1279:10 *1295:10 5.53737e-05 +7 *1279:10 *1298:10 0.00195498 +8 *1279:10 *1311:10 0.000107179 +9 *1279:10 *1312:10 0.000102215 +10 *1279:10 *1317:10 0.00034158 +11 *1279:10 *1339:10 0 +12 *2472:la_iena_mprj[15] *2472:la_oenb_mprj[15] 0 +13 *639:11 *1279:9 0 +14 *640:7 *2472:la_oenb_mprj[15] 0 +15 *675:11 *2472:la_oenb_mprj[15] 0.000103038 +16 *895:7 *1279:9 0 +17 *917:15 *2472:la_oenb_mprj[15] 0.000196083 +18 *955:10 *1279:10 0.000119292 +19 *1149:10 *1279:10 0 +20 *1153:10 *1279:10 0.0685126 +21 *1167:10 *1279:10 0.000686467 +22 *1170:10 *1279:10 0.00239425 +23 *1179:10 *1279:10 0.000319366 +24 *1183:10 *1279:10 0.000346617 +25 *1187:9 *2472:la_oenb_mprj[15] 0 +26 *1233:10 *1279:10 0 +27 *1245:10 *1279:10 0.057836 +28 *1277:10 *1279:10 0 +*RES +1 *2478:la_oenb[15] *1279:9 33.8288 +2 *1279:9 *1279:10 91.4557 +3 *1279:10 *1279:12 3.36879 +4 *1279:12 *2472:la_oenb_mprj[15] 59.0513 +*END + +*D_NET *1280 0.142416 +*CONN +*I *2472:la_oenb_mprj[16] I *D mgmt_protect +*I *2478:la_oenb[16] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[16] 0.000925786 +2 *2478:la_oenb[16] 8.29983e-05 +3 *1280:10 0.00588045 +4 *1280:9 0.00495466 +5 *1280:7 0.00289204 +6 *1280:5 0.00297504 +7 *1280:10 *1282:10 0.057949 +8 *1280:10 *1306:10 0.00635629 +9 *2472:la_iena_mprj[16] *2472:la_oenb_mprj[16] 0 +10 *640:11 *1280:7 0 +11 *641:7 *2472:la_oenb_mprj[16] 0 +12 *896:7 *1280:7 0 +13 *927:10 *1280:10 0.00724722 +14 *944:10 *1280:10 0.0526241 +15 *977:18 *1280:10 0.000436805 +16 *1200:16 *1280:10 9.16621e-05 +*RES +1 *2478:la_oenb[16] *1280:5 2.05183 +2 *1280:5 *1280:7 65.158 +3 *1280:7 *1280:9 4.5 +4 *1280:9 *1280:10 650.719 +5 *1280:10 *2472:la_oenb_mprj[16] 26.8015 +*END + +*D_NET *1281 0.158346 +*CONN +*I *2472:la_oenb_mprj[17] I *D mgmt_protect +*I *2478:la_oenb[17] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[17] 6.22868e-05 +2 *2478:la_oenb[17] 0.00111521 +3 *1281:13 0.00203074 +4 *1281:12 0.00196845 +5 *1281:10 0.00655577 +6 *1281:9 0.00767098 +7 *1281:10 *1283:10 0.000263298 +8 *2472:la_iena_mprj[17] *1281:13 0.00318626 +9 *641:11 *1281:9 0 +10 *642:7 *1281:13 0 +11 *897:7 *1281:9 0 +12 *1153:10 *1281:10 0.0689519 +13 *1157:10 *1281:10 0.0635202 +14 *1175:10 *1281:10 0.00146262 +15 *1177:10 *1281:10 0.00114986 +16 *1188:7 *1281:13 0.000408326 +*RES +1 *2478:la_oenb[17] *1281:9 32.1677 +2 *1281:9 *1281:10 87.9371 +3 *1281:10 *1281:12 3.36879 +4 *1281:12 *1281:13 60.7978 +5 *1281:13 *2472:la_oenb_mprj[17] 1.77093 +*END + +*D_NET *1282 0.136999 +*CONN +*I *2472:la_oenb_mprj[18] I *D mgmt_protect +*I *2478:la_oenb[18] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[18] 0.000902653 +2 *2478:la_oenb[18] 8.29983e-05 +3 *1282:10 0.0058177 +4 *1282:9 0.00491505 +5 *1282:7 0.00298352 +6 *1282:5 0.00306652 +7 *2472:la_oenb_mprj[18] *1316:7 3.93117e-06 +8 *1282:10 *1306:10 0.00518472 +9 *2472:la_iena_mprj[18] *2472:la_oenb_mprj[18] 0 +10 *642:11 *1282:7 0 +11 *643:7 *2472:la_oenb_mprj[18] 0 +12 *677:13 *1282:7 0 +13 *898:9 *1282:7 0 +14 *927:10 *1282:10 0.000127366 +15 *931:10 *1282:10 0.00130097 +16 *1158:10 *1282:10 0.0546643 +17 *1280:10 *1282:10 0.057949 +*RES +1 *2478:la_oenb[18] *1282:5 2.05183 +2 *1282:5 *1282:7 65.5732 +3 *1282:7 *1282:9 4.5 +4 *1282:9 *1282:10 627.425 +5 *1282:10 *2472:la_oenb_mprj[18] 26.3862 +*END + +*D_NET *1283 0.121823 +*CONN +*I *2472:la_oenb_mprj[19] I *D mgmt_protect +*I *2478:la_oenb[19] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[19] 0.00285121 +2 *2478:la_oenb[19] 0.00102758 +3 *1283:12 0.00285121 +4 *1283:10 0.0218992 +5 *1283:9 0.0229267 +6 *1283:10 *1285:10 0.0646397 +7 *1283:10 *1309:14 0.000417415 +8 *2472:la_iena_mprj[19] *2472:la_oenb_mprj[19] 0 +9 *2478:la_input[19] *1283:9 0 +10 *645:7 *2472:la_oenb_mprj[19] 0 +11 *899:7 *1283:9 0 +12 *909:10 *1283:10 0.000760262 +13 *915:10 *1283:10 0.00126286 +14 *917:10 *1283:10 0.000948525 +15 *920:10 *1283:10 0.000421804 +16 *923:10 *1283:10 0.000346617 +17 *1157:10 *1283:10 0.000371151 +18 *1181:16 *1283:10 0.000383752 +19 *1190:7 *2472:la_oenb_mprj[19] 0.000452056 +20 *1281:10 *1283:10 0.000263298 +*RES +1 *2478:la_oenb[19] *1283:9 29.6762 +2 *1283:9 *1283:10 84.954 +3 *1283:10 *1283:12 3.36879 +4 *1283:12 *2472:la_oenb_mprj[19] 63.2038 +*END + +*D_NET *1284 0.214873 +*CONN +*I *2472:la_oenb_mprj[1] I *D mgmt_protect +*I *2478:la_oenb[1] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[1] 0.00112408 +2 *2478:la_oenb[1] 5.96252e-05 +3 *1284:10 0.00697818 +4 *1284:9 0.0058541 +5 *1284:7 0.00235887 +6 *1284:5 0.0024185 +7 *1284:7 *1562:16 0 +8 *2472:la_iena_mprj[1] *2472:la_oenb_mprj[1] 0 +9 *644:11 *1284:7 0 +10 *655:7 *2472:la_oenb_mprj[1] 0 +11 *922:10 *1284:10 0.0100346 +12 *1148:10 *1284:10 0.000102747 +13 *1156:10 *1284:10 0.0898656 +14 *1178:10 *1284:10 0.0868514 +15 *1200:10 *1284:10 0.00922531 +*RES +1 *2478:la_oenb[1] *1284:5 1.49002 +2 *1284:5 *1284:7 63.2893 +3 *1284:7 *1284:9 3.36879 +4 *1284:9 *1284:10 114.097 +5 *1284:10 *2472:la_oenb_mprj[1] 27.5389 +*END + +*D_NET *1285 0.15417 +*CONN +*I *2472:la_oenb_mprj[20] I *D mgmt_protect +*I *2478:la_oenb[20] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[20] 0.00266346 +2 *2478:la_oenb[20] 0.000995699 +3 *1285:12 0.00266346 +4 *1285:10 0.00681646 +5 *1285:9 0.00781216 +6 *1285:10 *1307:16 0.000521324 +7 *2472:la_iena_mprj[20] *2472:la_oenb_mprj[20] 0 +8 *2478:la_input[20] *1285:9 0 +9 *646:7 *2472:la_oenb_mprj[20] 0 +10 *678:11 *2472:la_oenb_mprj[20] 0.00124505 +11 *902:13 *2472:la_oenb_mprj[20] 0 +12 *913:10 *1285:10 0.00152789 +13 *1157:10 *1285:10 0.065285 +14 *1283:10 *1285:10 0.0646397 +*RES +1 *2478:la_oenb[20] *1285:9 28.8213 +2 *1285:9 *1285:10 83.5772 +3 *1285:10 *1285:12 3.36879 +4 *1285:12 *2472:la_oenb_mprj[20] 62.3733 +*END + +*D_NET *1286 0.129278 +*CONN +*I *2472:la_oenb_mprj[21] I *D mgmt_protect +*I *2478:la_oenb[21] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[21] 0.000840042 +2 *2478:la_oenb[21] 8.29983e-05 +3 *1286:10 0.0055459 +4 *1286:9 0.00470586 +5 *1286:7 0.00313961 +6 *1286:5 0.00322261 +7 *1286:10 *1288:10 0.0522567 +8 *2472:la_iena_mprj[21] *2472:la_oenb_mprj[21] 0 +9 *646:11 *1286:7 0 +10 *647:7 *2472:la_oenb_mprj[21] 0 +11 *902:9 *1286:7 0 +12 *1158:10 *1286:10 0.00132061 +13 *1160:10 *1286:10 0.0532169 +14 *1222:10 *1286:10 0.00494642 +*RES +1 *2478:la_oenb[21] *1286:5 2.05183 +2 *1286:5 *1286:7 66.819 +3 *1286:7 *1286:9 4.5 +4 *1286:9 *1286:10 592.485 +5 *1286:10 *2472:la_oenb_mprj[21] 25.1405 +*END + +*D_NET *1287 0.126713 +*CONN +*I *2472:la_oenb_mprj[22] I *D mgmt_protect +*I *2478:la_oenb[22] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[22] 0.00250828 +2 *2478:la_oenb[22] 0.00159361 +3 *1287:12 0.00250828 +4 *1287:10 0.00450778 +5 *1287:9 0.0061014 +6 *1287:10 *1339:10 0.00245457 +7 *2472:la_data_out_mprj[23] *2472:la_oenb_mprj[22] 0 +8 *616:14 *1287:10 0.000190613 +9 *647:11 *1287:9 0 +10 *648:7 *2472:la_oenb_mprj[22] 0 +11 *679:11 *2472:la_oenb_mprj[22] 0 +12 *903:9 *1287:9 0 +13 *1149:10 *1287:10 0.000169237 +14 *1159:10 *1287:10 0.0545369 +15 *1159:13 *2472:la_oenb_mprj[22] 0 +16 *1161:10 *1287:10 0.0520071 +17 *1187:10 *1287:10 3.59302e-05 +18 *1191:10 *1287:10 9.9028e-05 +*RES +1 *2478:la_oenb[22] *1287:9 40.5659 +2 *1287:9 *1287:10 580.839 +3 *1287:10 *1287:12 4.5 +4 *1287:12 *2472:la_oenb_mprj[22] 53.4454 +*END + +*D_NET *1288 0.125217 +*CONN +*I *2472:la_oenb_mprj[23] I *D mgmt_protect +*I *2478:la_oenb[23] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[23] 0.000818605 +2 *2478:la_oenb[23] 8.29983e-05 +3 *1288:10 0.00531086 +4 *1288:9 0.00449226 +5 *1288:7 0.00322138 +6 *1288:5 0.00330437 +7 *2472:la_iena_mprj[23] *2472:la_oenb_mprj[23] 0 +8 *648:11 *1288:7 0 +9 *649:7 *2472:la_oenb_mprj[23] 0 +10 *904:9 *1288:7 0 +11 *934:10 *1288:10 3.42853e-05 +12 *1160:10 *1288:10 0.000601789 +13 *1162:10 *1288:10 0.050937 +14 *1222:10 *1288:10 0.00415649 +15 *1286:10 *1288:10 0.0522567 +*RES +1 *2478:la_oenb[23] *1288:5 2.05183 +2 *1288:5 *1288:7 67.2342 +3 *1288:7 *1288:9 4.5 +4 *1288:9 *1288:10 569.192 +5 *1288:10 *2472:la_oenb_mprj[23] 24.7252 +*END + +*D_NET *1289 0.122898 +*CONN +*I *2472:la_oenb_mprj[24] I *D mgmt_protect +*I *2478:la_oenb[24] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[24] 0.00203903 +2 *2478:la_oenb[24] 0.0016618 +3 *1289:12 0.00203903 +4 *1289:10 0.00420067 +5 *1289:9 0.00586247 +6 *2472:la_iena_mprj[24] *2472:la_oenb_mprj[24] 0 +7 *616:14 *1289:10 0.000738221 +8 *649:11 *1289:9 0 +9 *650:7 *2472:la_oenb_mprj[24] 0 +10 *905:9 *1289:9 0 +11 *1149:10 *1289:10 0.000196208 +12 *1161:10 *1289:10 0.0524061 +13 *1163:10 *1289:10 0.0497701 +14 *1191:10 *1289:10 4.03749e-05 +15 *1192:7 *2472:la_oenb_mprj[24] 0.00162549 +16 *1211:10 *1289:10 0.00220839 +17 *1233:10 *1289:10 0.000110257 +*RES +1 *2478:la_oenb[24] *1289:9 41.3964 +2 *1289:9 *1289:10 556.991 +3 *1289:10 *1289:12 4.5 +4 *1289:12 *2472:la_oenb_mprj[24] 52.6149 +*END + +*D_NET *1290 0.11811 +*CONN +*I *2472:la_oenb_mprj[25] I *D mgmt_protect +*I *2478:la_oenb[25] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[25] 0.00073286 +2 *2478:la_oenb[25] 8.29983e-05 +3 *1290:10 0.00549759 +4 *1290:9 0.00476473 +5 *1290:7 0.00336023 +6 *1290:5 0.00344323 +7 *2472:la_iena_mprj[25] *2472:la_oenb_mprj[25] 0 +8 *650:11 *1290:7 0 +9 *651:7 *2472:la_oenb_mprj[25] 0 +10 *906:9 *1290:7 0 +11 *908:10 *1290:10 0.0472339 +12 *1162:10 *1290:10 0.000756785 +13 *1164:10 *1290:10 0.0487187 +14 *1244:10 *1290:10 0.00351928 +*RES +1 *2478:la_oenb[25] *1290:5 2.05183 +2 *1290:5 *1290:7 68.8952 +3 *1290:7 *1290:9 4.5 +4 *1290:9 *1290:10 545.899 +5 *1290:10 *2472:la_oenb_mprj[25] 23.0642 +*END + +*D_NET *1291 0.118168 +*CONN +*I *2472:la_oenb_mprj[26] I *D mgmt_protect +*I *2478:la_oenb[26] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[26] 0.0024068 +2 *2478:la_oenb[26] 0.00172998 +3 *1291:12 0.0024068 +4 *1291:10 0.00394441 +5 *1291:9 0.00567439 +6 *1291:10 *1293:10 0.00077937 +7 *1291:10 *1302:10 0.0311843 +8 *2472:la_iena_mprj[26] *2472:la_oenb_mprj[26] 0 +9 *616:14 *1291:10 0.0174567 +10 *651:11 *1291:9 0 +11 *652:7 *2472:la_oenb_mprj[26] 0 +12 *907:9 *1291:9 0 +13 *923:15 *2472:la_oenb_mprj[26] 0 +14 *1149:10 *1291:10 0.000236284 +15 *1151:10 *1291:10 0.000123135 +16 *1163:10 *1291:10 0.0501627 +17 *1191:10 *1291:10 0 +18 *1233:10 *1291:10 0.00206357 +*RES +1 *2478:la_oenb[26] *1291:9 42.2269 +2 *1291:9 *1291:10 533.697 +3 *1291:10 *1291:12 4.5 +4 *1291:12 *2472:la_oenb_mprj[26] 51.7844 +*END + +*D_NET *1292 0.116939 +*CONN +*I *2472:la_oenb_mprj[27] I *D mgmt_protect +*I *2478:la_oenb[27] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[27] 0.000757621 +2 *2478:la_oenb[27] 8.29983e-05 +3 *1292:10 0.00471299 +4 *1292:9 0.00395537 +5 *1292:7 0.00336841 +6 *1292:5 0.00345141 +7 *1292:10 *1294:10 3.63947e-05 +8 *1292:10 *1320:10 0.000364931 +9 *1292:10 *1350:10 0.00351928 +10 *2472:la_iena_mprj[27] *2472:la_oenb_mprj[27] 0 +11 *652:11 *1292:7 0 +12 *653:7 *2472:la_oenb_mprj[27] 0 +13 *908:7 *1292:7 0 +14 *1162:10 *1292:10 0.0475059 +15 *1164:10 *1292:10 0.0491178 +16 *1192:10 *1292:10 6.54613e-05 +*RES +1 *2478:la_oenb[27] *1292:5 2.05183 +2 *1292:5 *1292:7 68.0647 +3 *1292:7 *1292:9 4.5 +4 *1292:9 *1292:10 522.605 +5 *1292:10 *2472:la_oenb_mprj[27] 23.8947 +*END + +*D_NET *1293 0.113609 +*CONN +*I *2472:la_oenb_mprj[28] I *D mgmt_protect +*I *2478:la_oenb[28] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[28] 0.00223596 +2 *2478:la_oenb[28] 0.00179134 +3 *1293:12 0.00223596 +4 *1293:10 0.0037769 +5 *1293:9 0.00556823 +6 *2472:la_oenb_mprj[28] *1304:15 0.000245236 +7 *1293:10 *1302:10 0.0328026 +8 *2472:la_iena_mprj[28] *2472:la_oenb_mprj[28] 0 +9 *616:14 *1293:10 0.0136208 +10 *653:11 *1293:9 0 +11 *654:7 *2472:la_oenb_mprj[28] 0 +12 *909:9 *1293:9 0 +13 *1149:10 *1293:10 0.00237494 +14 *1151:10 *1293:10 0.000142759 +15 *1165:10 *1293:10 0.0479428 +16 *1168:10 *1293:10 9.16621e-05 +17 *1291:10 *1293:10 0.00077937 +*RES +1 *2478:la_oenb[28] *1293:9 43.0574 +2 *1293:9 *1293:10 510.404 +3 *1293:10 *1293:12 4.5 +4 *1293:12 *2472:la_oenb_mprj[28] 50.9539 +*END + +*D_NET *1294 0.111872 +*CONN +*I *2472:la_oenb_mprj[29] I *D mgmt_protect +*I *2478:la_oenb[29] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[29] 0.000678697 +2 *2478:la_oenb[29] 3.62521e-05 +3 *1294:10 0.00471424 +4 *1294:9 0.00403554 +5 *1294:7 0.00351683 +6 *1294:5 0.00355309 +7 *1294:10 *1320:10 0 +8 *2472:la_iena_mprj[29] *2472:la_oenb_mprj[29] 0 +9 *654:11 *1294:7 0 +10 *656:7 *2472:la_oenb_mprj[29] 0 +11 *908:10 *1294:10 0.0451305 +12 *1128:10 *1294:10 0.00308483 +13 *1164:10 *1294:10 5.2472e-05 +14 *1166:10 *1294:10 0.0469419 +15 *1169:10 *1294:10 9.16621e-05 +16 *1292:10 *1294:10 3.63947e-05 +*RES +1 *2478:la_oenb[29] *1294:5 0.928211 +2 *1294:5 *1294:7 69.7257 +3 *1294:7 *1294:9 4.5 +4 *1294:9 *1294:10 500.421 +5 *1294:10 *2472:la_oenb_mprj[29] 22.2337 +*END + +*D_NET *1295 0.210087 +*CONN +*I *2472:la_oenb_mprj[2] I *D mgmt_protect +*I *2478:la_oenb[2] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[2] 0.00298546 +2 *2478:la_oenb[2] 0.00127363 +3 *1295:12 0.00298546 +4 *1295:10 0.010801 +5 *1295:9 0.0120747 +6 *1295:9 *1574:19 0 +7 *1295:10 *1317:10 0.083813 +8 *1295:10 *1361:10 0.00393788 +9 *2472:la_iena_mprj[2] *2472:la_oenb_mprj[2] 0 +10 *2478:la_input[2] *1295:9 0 +11 *666:7 *2472:la_oenb_mprj[2] 0 +12 *911:9 *1295:9 0 +13 *924:15 *2472:la_oenb_mprj[2] 0.000216869 +14 *988:10 *1295:10 0.0036203 +15 *1167:10 *1295:10 0.0878751 +16 *1189:10 *1295:10 0.000448211 +17 *1279:10 *1295:10 5.53737e-05 +*RES +1 *2478:la_oenb[2] *1295:9 36.3203 +2 *1295:9 *1295:10 112.337 +3 *1295:10 *1295:12 3.36879 +4 *1295:12 *2472:la_oenb_mprj[2] 56.5598 +*END + +*D_NET *1296 0.0966674 +*CONN +*I *2472:la_oenb_mprj[30] I *D mgmt_protect +*I *2478:la_oenb[30] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[30] 0.00210204 +2 *2478:la_oenb[30] 0.00188113 +3 *1296:12 0.00210204 +4 *1296:10 0.00516153 +5 *1296:9 0.00704266 +6 *1296:10 *1302:10 4.03749e-05 +7 *1296:10 *1305:13 0.00211693 +8 *2472:la_iena_mprj[30] *2472:la_oenb_mprj[30] 0 +9 *636:8 *1296:10 0.0114002 +10 *636:12 *1296:10 0.00443635 +11 *636:16 *1296:10 0 +12 *656:11 *1296:9 0 +13 *657:7 *2472:la_oenb_mprj[30] 0 +14 *912:7 *1296:9 0 +15 *913:16 *1296:10 0.0013161 +16 *915:16 *1296:10 2.97286e-05 +17 *917:16 *1296:10 0.0119517 +18 *928:23 *2472:la_oenb_mprj[30] 0.00042048 +19 *1151:10 *1296:10 0.000945103 +20 *1168:10 *1296:10 0.045721 +*RES +1 *2478:la_oenb[30] *1296:9 44.3031 +2 *1296:9 *1296:10 487.111 +3 *1296:10 *1296:12 4.5 +4 *1296:12 *2472:la_oenb_mprj[30] 49.7081 +*END + +*D_NET *1297 0.0770871 +*CONN +*I *2472:la_oenb_mprj[31] I *D mgmt_protect +*I *2478:la_oenb[31] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[31] 0.000604243 +2 *2478:la_oenb[31] 8.29983e-05 +3 *1297:10 0.0117456 +4 *1297:9 0.0111414 +5 *1297:7 0.00366249 +6 *1297:5 0.00374549 +7 *1297:10 *1372:10 0.00263589 +8 *2472:la_iena_mprj[31] *2472:la_oenb_mprj[31] 0 +9 *657:11 *1297:7 0 +10 *658:7 *2472:la_oenb_mprj[31] 0 +11 *682:8 *1297:10 0 +12 *910:10 *1297:10 0.0426891 +13 *913:9 *1297:7 0 +14 *1169:10 *1297:10 0.000779902 +*RES +1 *2478:la_oenb[31] *1297:5 2.05183 +2 *1297:5 *1297:7 71.3867 +3 *1297:7 *1297:9 4.5 +4 *1297:9 *1297:10 475.464 +5 *1297:10 *2472:la_oenb_mprj[31] 20.5727 +*END + +*D_NET *1298 0.102486 +*CONN +*I *2472:la_oenb_mprj[32] I *D mgmt_protect +*I *2478:la_oenb[32] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[32] 0.00265863 +2 *2478:la_oenb[32] 0.00132506 +3 *1298:12 0.00265863 +4 *1298:10 0.00342512 +5 *1298:9 0.00475018 +6 *2472:la_oenb_mprj[32] *1323:9 0 +7 *1298:10 *1300:14 0.000161493 +8 *2472:la_data_out_mprj[33] *2472:la_oenb_mprj[32] 0 +9 *658:11 *1298:9 0 +10 *659:7 *2472:la_oenb_mprj[32] 0 +11 *683:11 *2472:la_oenb_mprj[32] 0 +12 *911:10 *1298:10 0.019116 +13 *914:7 *1298:9 0 +14 *936:13 *2472:la_oenb_mprj[32] 0 +15 *1170:10 *1298:10 0.0434344 +16 *1170:13 *2472:la_oenb_mprj[32] 0 +17 *1179:10 *1298:10 0.00136044 +18 *1183:10 *1298:10 0.0216412 +19 *1279:10 *1298:10 0.00195498 +*RES +1 *2478:la_oenb[32] *1298:9 35.5828 +2 *1298:9 *1298:10 463.817 +3 *1298:10 *1298:12 4.5 +4 *1298:12 *2472:la_oenb_mprj[32] 58.4284 +*END + +*D_NET *1299 0.102404 +*CONN +*I *2472:la_oenb_mprj[33] I *D mgmt_protect +*I *2478:la_oenb[33] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[33] 0.00111938 +2 *2478:la_oenb[33] 8.29983e-05 +3 *1299:10 0.00477885 +4 *1299:9 0.00365948 +5 *1299:7 0.0031046 +6 *1299:5 0.0031876 +7 *1299:10 *1308:22 0.000273107 +8 *2472:la_iena_mprj[33] *2472:la_oenb_mprj[33] 0 +9 *2478:la_input[33] *1299:7 0 +10 *659:16 *1299:7 0 +11 *660:7 *2472:la_oenb_mprj[33] 0 +12 *914:10 *1299:10 0.0412657 +13 *915:9 *1299:7 0 +14 *939:11 *2472:la_oenb_mprj[33] 4.59609e-05 +15 *1148:10 *1299:10 0.00241024 +16 *1171:10 *1299:10 0.0422785 +17 *1172:10 *1299:10 8.90486e-05 +18 *1186:12 *1299:10 0.000108607 +*RES +1 *2478:la_oenb[33] *1299:5 2.05183 +2 *1299:5 *1299:7 61.8359 +3 *1299:7 *1299:9 4.5 +4 *1299:9 *1299:10 452.171 +5 *1299:10 *2472:la_oenb_mprj[33] 30.1235 +*END + +*D_NET *1300 0.0944152 +*CONN +*I *2472:la_oenb_mprj[34] I *D mgmt_protect +*I *2478:la_oenb[34] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[34] 0.00238018 +2 *2478:la_oenb[34] 0.00127734 +3 *1300:16 0.00238018 +4 *1300:14 0.000594984 +5 *1300:12 0.000615617 +6 *1300:10 0.00362716 +7 *1300:9 0.00488387 +8 *2472:la_iena_mprj[34] *2472:la_oenb_mprj[34] 0 +9 *2478:la_input[34] *1300:9 0 +10 *660:16 *1300:9 0 +11 *661:7 *2472:la_oenb_mprj[34] 0 +12 *684:11 *2472:la_oenb_mprj[34] 0.000884009 +13 *913:10 *1300:10 0 +14 *916:7 *1300:9 0 +15 *938:13 *2472:la_oenb_mprj[34] 0 +16 *1153:10 *1300:10 0.00196896 +17 *1170:10 *1300:10 0.000469586 +18 *1170:10 *1300:14 0.0031575 +19 *1173:10 *1300:10 0.0353696 +20 *1175:10 *1300:10 0.0316377 +21 *1175:10 *1300:14 0.000392997 +22 *1183:10 *1300:14 0.000390602 +23 *1194:10 *1300:14 0.0042234 +24 *1298:10 *1300:14 0.000161493 +*RES +1 *2478:la_oenb[34] *1300:9 34.3371 +2 *1300:9 *1300:10 386.173 +3 *1300:10 *1300:12 0.578717 +4 *1300:12 *1300:14 54.5199 +5 *1300:14 *1300:16 4.5 +6 *1300:16 *2472:la_oenb_mprj[34] 59.2589 +*END + +*D_NET *1301 0.0944212 +*CONN +*I *2472:la_oenb_mprj[35] I *D mgmt_protect +*I *2478:la_oenb[35] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[35] 0.000947931 +2 *2478:la_oenb[35] 8.29983e-05 +3 *1301:10 0.00490188 +4 *1301:9 0.00395395 +5 *1301:7 0.00301275 +6 *1301:5 0.00309575 +7 *1301:10 *1303:16 0.0345966 +8 *2472:la_iena_mprj[35] *2472:la_oenb_mprj[35] 0.000680343 +9 *616:13 *1301:7 7.17667e-05 +10 *662:7 *2472:la_oenb_mprj[35] 0 +11 *916:10 *1301:10 0.0389836 +12 *917:9 *1301:7 0 +13 *918:10 *1301:10 0.00144135 +14 *940:7 *2472:la_oenb_mprj[35] 0.000121802 +15 *1150:10 *1301:10 0.00200111 +16 *1174:10 *1301:10 0.00052936 +*RES +1 *2478:la_oenb[35] *1301:5 2.05183 +2 *1301:5 *1301:7 59.7597 +3 *1301:7 *1301:9 4.5 +4 *1301:9 *1301:10 428.877 +5 *1301:10 *2472:la_oenb_mprj[35] 32.1998 +*END + +*D_NET *1302 0.0903328 +*CONN +*I *2472:la_oenb_mprj[36] I *D mgmt_protect +*I *2478:la_oenb[36] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[36] 0.00229706 +2 *2478:la_oenb[36] 0.00182938 +3 *1302:12 0.00229706 +4 *1302:10 0.00379598 +5 *1302:9 0.00562536 +6 *1302:10 *1323:10 0.0029617 +7 *2472:la_data_out_mprj[36] *2472:la_oenb_mprj[36] 0 +8 *2472:la_iena_mprj[36] *2472:la_oenb_mprj[36] 0 +9 *2478:la_input[36] *1302:9 0 +10 *663:5 *2472:la_oenb_mprj[36] 0 +11 *685:11 *2472:la_oenb_mprj[36] 0 +12 *917:16 *1302:10 5.6766e-05 +13 *918:7 *1302:9 0 +14 *1149:10 *1302:10 0.00155942 +15 *1151:10 *1302:10 0.000131535 +16 *1168:10 *1302:10 0.000369111 +17 *1173:16 *1302:10 0.000490085 +18 *1179:16 *1302:10 0.000857278 +19 *1191:10 *1302:10 0 +20 *1193:10 *1302:10 0.000147235 +21 *1195:10 *1302:10 0.00388763 +22 *1291:10 *1302:10 0.0311843 +23 *1293:10 *1302:10 0.0328026 +24 *1296:10 *1302:10 4.03749e-05 +*RES +1 *2478:la_oenb[36] *1302:9 42.6421 +2 *1302:9 *1302:10 417.231 +3 *1302:10 *1302:12 4.5 +4 *1302:12 *2472:la_oenb_mprj[36] 51.3691 +*END + +*D_NET *1303 0.0901042 +*CONN +*I *2472:la_oenb_mprj[37] I *D mgmt_protect +*I *2478:la_oenb[37] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[37] 0.00110635 +2 *2478:la_oenb[37] 0.00145725 +3 *1303:16 0.00465301 +4 *1303:15 0.00567993 +5 *1303:10 0.00359052 +6 *1303:15 *1304:9 5.71264e-05 +7 *1303:16 *1308:22 0 +8 *2472:la_iena_mprj[37] *2472:la_oenb_mprj[37] 0 +9 *2478:la_input[37] *1303:10 0 +10 *2478:la_input[38] *1303:15 0 +11 *664:5 *2472:la_oenb_mprj[37] 0 +12 *907:10 *1303:10 0 +13 *917:10 *1303:10 0.00174097 +14 *918:10 *1303:16 0.0349577 +15 *919:9 *1303:10 0 +16 *1150:10 *1303:16 0.00100031 +17 *1174:10 *1303:16 0.000136843 +18 *1176:10 *1303:16 0.000534259 +19 *1185:15 *2472:la_oenb_mprj[37] 0.000593352 +20 *1301:10 *1303:16 0.0345966 +*RES +1 *2478:la_oenb[37] *1303:10 48.24 +2 *1303:10 *1303:15 45.2979 +3 *1303:15 *1303:16 387.282 +4 *1303:16 *2472:la_oenb_mprj[37] 32.615 +*END + +*D_NET *1304 0.0794292 +*CONN +*I *2472:la_oenb_mprj[38] I *D mgmt_protect +*I *2478:la_oenb[38] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[38] 0.00146372 +2 *2478:la_oenb[38] 0.00116109 +3 *1304:16 0.00429276 +4 *1304:15 0.00396951 +5 *1304:10 0.0034221 +6 *1304:9 0.00344273 +7 *1304:15 *1321:9 0 +8 *1304:16 *1309:24 0 +9 *2472:la_iena_mprj[38] *2472:la_oenb_mprj[38] 0 +10 *2472:la_oenb_mprj[28] *1304:15 0.000245236 +11 *2478:la_input[38] *1304:9 0 +12 *654:7 *1304:15 0.00057315 +13 *665:5 *2472:la_oenb_mprj[38] 0 +14 *919:10 *1304:10 0.0292736 +15 *923:16 *1304:16 0 +16 *924:16 *1304:16 0.000266261 +17 *928:24 *1304:16 0.00151568 +18 *941:7 *2472:la_oenb_mprj[38] 0 +19 *1157:10 *1304:10 0.00105713 +20 *1173:15 *1304:15 0 +21 *1175:10 *1304:10 0.000475421 +22 *1177:10 *1304:10 0.0282137 +23 *1194:9 *1304:15 0 +24 *1303:15 *1304:9 5.71264e-05 +*RES +1 *2478:la_oenb[38] *1304:9 31.9677 +2 *1304:9 *1304:10 310.192 +3 *1304:10 *1304:15 37.8234 +4 *1304:15 *1304:16 84.4685 +5 *1304:16 *2472:la_oenb_mprj[38] 36.7675 +*END + +*D_NET *1305 0.0871114 +*CONN +*I *2472:la_oenb_mprj[39] I *D mgmt_protect +*I *2478:la_oenb[39] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[39] 0.00133499 +2 *2478:la_oenb[39] 0.00198341 +3 *1305:16 0.004566 +4 *1305:15 0.00323101 +5 *1305:13 0.00133449 +6 *1305:9 0.00331791 +7 *2478:la_input[39] *1305:9 0 +8 *636:12 *1305:13 0.00211272 +9 *667:5 *2472:la_oenb_mprj[39] 0 +10 *686:11 *2472:la_oenb_mprj[39] 8.08916e-05 +11 *909:15 *1305:13 0 +12 *921:7 *1305:9 0 +13 *921:10 *1305:16 0.0331342 +14 *924:16 *1305:16 3.07561e-05 +15 *1151:10 *1305:13 0.000130331 +16 *1154:10 *1305:16 0.000275651 +17 *1176:10 *1305:16 0.000282235 +18 *1177:17 *2472:la_oenb_mprj[39] 0 +19 *1180:10 *1305:16 0.0326433 +20 *1180:16 *1305:16 1.15389e-05 +21 *1191:18 *1305:16 0.000311235 +22 *1194:16 *1305:16 0.000213725 +23 *1296:10 *1305:13 0.00211693 +*RES +1 *2478:la_oenb[39] *1305:9 44.7184 +2 *1305:9 *1305:13 46.5408 +3 *1305:13 *1305:15 4.5 +4 *1305:15 *1305:16 360.107 +5 *1305:16 *2472:la_oenb_mprj[39] 34.276 +*END + +*D_NET *1306 0.200923 +*CONN +*I *2472:la_oenb_mprj[3] I *D mgmt_protect +*I *2478:la_oenb[3] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[3] 0.00102504 +2 *2478:la_oenb[3] 8.29983e-05 +3 *1306:10 0.00689982 +4 *1306:9 0.00587478 +5 *1306:7 0.00250014 +6 *1306:5 0.00258314 +7 *1306:10 *1328:10 0.0831092 +8 *2472:la_iena_mprj[3] *2472:la_oenb_mprj[3] 0 +9 *677:7 *2472:la_oenb_mprj[3] 0 +10 *922:7 *1306:7 0 +11 *1148:10 *1306:10 4.08499e-05 +12 *1178:10 *1306:10 0.0872664 +13 *1280:10 *1306:10 0.00635629 +14 *1282:10 *1306:10 0.00518472 +*RES +1 *2478:la_oenb[3] *1306:5 2.05183 +2 *1306:5 *1306:7 64.9503 +3 *1306:7 *1306:9 3.36879 +4 *1306:9 *1306:10 110.731 +5 *1306:10 *2472:la_oenb_mprj[3] 25.8779 +*END + +*D_NET *1307 0.0744184 +*CONN +*I *2472:la_oenb_mprj[40] I *D mgmt_protect +*I *2478:la_oenb[40] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[40] 6.22868e-05 +2 *2478:la_oenb[40] 0.00100936 +3 *1307:23 0.00184802 +4 *1307:22 0.00178573 +5 *1307:20 0.0015097 +6 *1307:18 0.00153033 +7 *1307:16 0.00192476 +8 *1307:15 0.00209784 +9 *1307:12 0.0011751 +10 *1307:9 0.00199075 +11 *1307:15 *1309:12 0 +12 *1307:20 *1309:18 2.18041e-06 +13 *1307:20 *1326:10 0.00033061 +14 *2472:la_iena_mprj[40] *1307:23 0.00292847 +15 *2478:la_input[40] *1307:9 0 +16 *668:7 *1307:23 0 +17 *907:10 *1307:12 0 +18 *913:10 *1307:16 0.00771785 +19 *919:10 *1307:16 0.0224405 +20 *919:20 *1307:20 0.00530418 +21 *920:10 *1307:12 0.00409858 +22 *923:9 *1307:9 0 +23 *924:15 *1307:15 2.55661e-06 +24 *930:10 *1307:16 0.0103763 +25 *930:16 *1307:16 0.00107068 +26 *930:16 *1307:20 0.000629908 +27 *938:12 *1307:20 0.00104548 +28 *942:7 *1307:23 0.000617068 +29 *1177:10 *1307:16 3.80872e-05 +30 *1177:14 *1307:16 1.61631e-05 +31 *1177:14 *1307:20 0.000774455 +32 *1181:15 *1307:15 4.15661e-05 +33 *1181:16 *1307:16 0.000933319 +34 *1181:20 *1307:20 0.000175621 +35 *1194:10 *1307:20 0 +36 *1197:10 *1307:20 0 +37 *1198:10 *1307:20 0.00041958 +38 *1285:10 *1307:16 0.000521324 +*RES +1 *2478:la_oenb[40] *1307:9 28.9388 +2 *1307:9 *1307:12 47.9279 +3 *1307:12 *1307:15 7.993 +4 *1307:15 *1307:16 239.758 +5 *1307:16 *1307:18 0.578717 +6 *1307:18 *1307:20 85.5777 +7 *1307:20 *1307:22 4.5 +8 *1307:22 *1307:23 62.2512 +9 *1307:23 *2472:la_oenb_mprj[40] 1.77093 +*END + +*D_NET *1308 0.0766377 +*CONN +*I *2472:la_oenb_mprj[41] I *D mgmt_protect +*I *2478:la_oenb[41] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[41] 0.00112436 +2 *2478:la_oenb[41] 0.00116939 +3 *1308:22 0.00480918 +4 *1308:21 0.0040215 +5 *1308:16 0.00111992 +6 *1308:15 0.00265739 +7 *1308:12 0.00304354 +8 *2472:la_data_out_mprj[0] *1308:15 0 +9 *2472:la_iena_mprj[41] *2472:la_oenb_mprj[41] 0 +10 *2472:la_iena_mprj[8] *1308:21 0.000299282 +11 *2472:la_oenb_mprj[0] *1308:15 0.000220346 +12 *2478:la_input[41] *1308:12 0 +13 *638:19 *1308:15 8.72256e-06 +14 *652:8 *1308:16 2.31462e-05 +15 *669:7 *2472:la_oenb_mprj[41] 0 +16 *686:8 *1308:22 0.00176605 +17 *721:7 *1308:21 0 +18 *912:10 *1308:22 0.0174359 +19 *913:10 *1308:12 0.00019069 +20 *914:10 *1308:22 0.000690837 +21 *915:10 *1308:12 0.000436825 +22 *921:10 *1308:16 0.000688207 +23 *924:10 *1308:12 0 +24 *924:10 *1308:15 7.09666e-06 +25 *924:16 *1308:16 0.0047245 +26 *941:10 *1308:22 0 +27 *966:16 *1308:16 0.00497069 +28 *1172:10 *1308:22 3.18085e-05 +29 *1174:10 *1308:22 0 +30 *1182:14 *1308:22 0.0265746 +31 *1186:12 *1308:22 0.000108607 +32 *1193:16 *1308:22 0 +33 *1267:18 *1308:16 0.000241948 +34 *1299:10 *1308:22 0.000273107 +35 *1303:16 *1308:22 0 +*RES +1 *2478:la_oenb[41] *1308:12 40.1207 +2 *1308:12 *1308:15 36.6454 +3 *1308:15 *1308:16 67.8304 +4 *1308:16 *1308:21 15.815 +5 *1308:21 *1308:22 286.899 +6 *1308:22 *2472:la_oenb_mprj[41] 28.8777 +*END + +*D_NET *1309 0.072502 +*CONN +*I *2472:la_oenb_mprj[42] I *D mgmt_protect +*I *2478:la_oenb[42] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[42] 0.00109933 +2 *2478:la_oenb[42] 0.001196 +3 *1309:24 0.00261201 +4 *1309:23 0.00248333 +5 *1309:18 0.00183233 +6 *1309:16 0.000902331 +7 *1309:14 0.0019541 +8 *1309:12 0.00310945 +9 *1309:23 *1324:9 4.3116e-06 +10 *2472:la_iena_mprj[42] *2472:la_oenb_mprj[42] 0.0019675 +11 *669:15 *1309:12 0 +12 *670:9 *2472:la_oenb_mprj[42] 0 +13 *913:10 *1309:12 0.000164843 +14 *913:10 *1309:14 1.67988e-05 +15 *915:10 *1309:12 1.67988e-05 +16 *915:10 *1309:14 0.00742277 +17 *917:10 *1309:14 0.00150433 +18 *923:10 *1309:14 0.000743184 +19 *924:10 *1309:12 0.000113968 +20 *924:15 *1309:12 7.26959e-06 +21 *925:9 *1309:12 0 +22 *926:26 *1309:24 1.41291e-05 +23 *928:24 *1309:24 0 +24 *932:10 *1309:14 0.00631775 +25 *935:10 *1309:18 4.33655e-05 +26 *936:10 *1309:14 7.02172e-06 +27 *936:10 *1309:18 0.00476095 +28 *940:7 *1309:23 0 +29 *1173:25 *1309:23 1.2693e-05 +30 *1181:16 *1309:14 0.0200872 +31 *1181:16 *1309:18 1.71154e-05 +32 *1181:18 *1309:16 2.81515e-05 +33 *1181:20 *1309:18 0.00647904 +34 *1181:25 *1309:23 0.00154543 +35 *1181:26 *1309:24 0.00546303 +36 *1195:15 *2472:la_oenb_mprj[42] 0.000155929 +37 *1283:10 *1309:14 0.000417415 +38 *1304:16 *1309:24 0 +39 *1307:15 *1309:12 0 +40 *1307:20 *1309:18 2.18041e-06 +*RES +1 *2478:la_oenb[42] *1309:12 33.8416 +2 *1309:12 *1309:14 213.691 +3 *1309:14 *1309:16 1.39857 +4 *1309:16 *1309:18 70.6034 +5 *1309:18 *1309:23 38.2386 +6 *1309:23 *1309:24 59.5114 +7 *1309:24 *2472:la_oenb_mprj[42] 41.5307 +*END + +*D_NET *1310 0.0768628 +*CONN +*I *2472:la_oenb_mprj[43] I *D mgmt_protect +*I *2478:la_oenb[43] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[43] 0.00106984 +2 *2478:la_oenb[43] 5.96252e-05 +3 *1310:14 0.00405055 +4 *1310:12 0.00326078 +5 *1310:7 0.00305048 +6 *1310:5 0.00283004 +7 *1310:7 *2472:la_oenb_mprj[4] 0.00145413 +8 *1310:14 *1314:12 0.000108607 +9 *2472:la_data_out_mprj[4] *1310:7 0 +10 *2472:la_iena_mprj[43] *2472:la_oenb_mprj[43] 0 +11 *2478:la_input[43] *1310:7 0 +12 *671:7 *2472:la_oenb_mprj[43] 0 +13 *927:10 *1310:12 9.82896e-06 +14 *927:10 *1310:14 0.00135572 +15 *929:10 *1310:14 0.0251794 +16 *943:10 *1310:14 9.65932e-05 +17 *977:15 *1310:7 0.000492326 +18 *977:18 *1310:12 0.00286842 +19 *1182:12 *1310:12 0.00293248 +20 *1182:12 *1310:14 1.67988e-05 +21 *1182:14 *1310:14 0.0280272 +*RES +1 *2478:la_oenb[43] *1310:5 1.49002 +2 *1310:5 *1310:7 63.4969 +3 *1310:7 *1310:12 36.3053 +4 *1310:12 *1310:14 305.201 +5 *1310:14 *2472:la_oenb_mprj[43] 28.0472 +*END + +*D_NET *1311 0.0686205 +*CONN +*I *2472:la_oenb_mprj[44] I *D mgmt_protect +*I *2478:la_oenb[44] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[44] 0.00200725 +2 *2478:la_oenb[44] 0.00149571 +3 *1311:12 0.00200725 +4 *1311:10 0.00294428 +5 *1311:9 0.00443999 +6 *1311:10 *1312:10 0.0284436 +7 *1311:10 *1361:10 0.000598598 +8 *2472:la_iena_mprj[44] *2472:la_oenb_mprj[44] 0 +9 *672:5 *2472:la_oenb_mprj[44] 0 +10 *927:7 *1311:9 0 +11 *988:10 *1311:10 0.000106845 +12 *1155:10 *1311:10 0 +13 *1179:10 *1311:10 0.0189908 +14 *1183:10 *1311:10 0.00464305 +15 *1198:15 *2472:la_oenb_mprj[44] 0.00198724 +16 *1199:15 *2472:la_oenb_mprj[44] 0 +17 *1201:10 *1311:10 0.000848795 +18 *1279:10 *1311:10 0.000107179 +*RES +1 *2478:la_oenb[44] *1311:9 36.8286 +2 *1311:9 *1311:10 323.503 +3 *1311:10 *1311:12 4.5 +4 *1311:12 *2472:la_oenb_mprj[44] 57.1827 +*END + +*D_NET *1312 0.0699235 +*CONN +*I *2472:la_oenb_mprj[45] I *D mgmt_protect +*I *2478:la_oenb[45] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[45] 0.00245533 +2 *2478:la_oenb[45] 0.00152156 +3 *1312:12 0.00245533 +4 *1312:10 0.00272154 +5 *1312:9 0.0042431 +6 *2472:la_oenb_mprj[45] *1318:15 0 +7 *2472:la_oenb_mprj[45] *1327:15 0 +8 *1312:10 *1313:10 0.0273814 +9 *2472:la_iena_mprj[45] *2472:la_oenb_mprj[45] 0 +10 *2478:la_input[45] *1312:9 0 +11 *673:7 *2472:la_oenb_mprj[45] 0 +12 *928:9 *1312:9 0 +13 *945:9 *2472:la_oenb_mprj[45] 0 +14 *1155:10 *1312:10 0 +15 *1185:10 *1312:10 0.00023576 +16 *1197:15 *2472:la_oenb_mprj[45] 0 +17 *1201:10 *1312:10 0.000363723 +18 *1279:10 *1312:10 0.000102215 +19 *1311:10 *1312:10 0.0284436 +*RES +1 *2478:la_oenb[45] *1312:9 37.2439 +2 *1312:9 *1312:10 311.856 +3 *1312:10 *1312:12 4.5 +4 *1312:12 *2472:la_oenb_mprj[45] 56.7674 +*END + +*D_NET *1313 0.0683431 +*CONN +*I *2472:la_oenb_mprj[46] I *D mgmt_protect +*I *2478:la_oenb[46] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[46] 6.22868e-05 +2 *2478:la_oenb[46] 0.00137365 +3 *1313:13 0.0016342 +4 *1313:12 0.00157191 +5 *1313:10 0.00253814 +6 *1313:9 0.00391179 +7 *1313:10 *1315:10 0.0241999 +8 *1313:13 *1325:15 0.00155583 +9 *1313:13 *1326:15 3.64684e-05 +10 *1313:13 *1327:15 0.000579395 +11 *2472:la_data_out_mprj[46] *1313:13 0 +12 *2472:la_iena_mprj[46] *1313:13 0.000979082 +13 *2478:la_input[46] *1313:9 0 +14 *674:7 *1313:13 0 +15 *915:15 *1313:9 0.000108139 +16 *929:7 *1313:9 0 +17 *1184:15 *1313:9 0.000471736 +18 *1185:10 *1313:10 0.00167979 +19 *1197:21 *1313:13 9.60366e-05 +20 *1201:10 *1313:10 0.000163362 +21 *1312:10 *1313:10 0.0273814 +*RES +1 *2478:la_oenb[46] *1313:9 37.6591 +2 *1313:9 *1313:10 298.546 +3 *1313:10 *1313:12 4.5 +4 *1313:12 *1313:13 56.4377 +5 *1313:13 *2472:la_oenb_mprj[46] 1.77093 +*END + +*D_NET *1314 0.0805455 +*CONN +*I *2472:la_oenb_mprj[47] I *D mgmt_protect +*I *2478:la_oenb[47] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[47] 0.00109225 +2 *2478:la_oenb[47] 3.62521e-05 +3 *1314:12 0.00766733 +4 *1314:7 0.00939263 +5 *1314:5 0.00285381 +6 *1314:12 *1315:18 2.99894e-05 +7 *2472:la_data_out_mprj[14] *1314:7 0.000202496 +8 *2472:la_iena_mprj[47] *2472:la_oenb_mprj[47] 0 +9 *2472:la_oenb_mprj[13] *1314:7 0 +10 *638:5 *1314:7 0.00109711 +11 *674:11 *1314:7 0 +12 *675:7 *2472:la_oenb_mprj[47] 0 +13 *1182:14 *1314:12 0.000118134 +14 *1186:12 *1314:12 0.0305314 +15 *1188:12 *1314:12 0.0274155 +16 *1310:14 *1314:12 0.000108607 +*RES +1 *2478:la_oenb[47] *1314:5 0.928211 +2 *1314:5 *1314:7 63.2893 +3 *1314:7 *1314:12 46.7153 +4 *1314:12 *2472:la_oenb_mprj[47] 24.1701 +*END + +*D_NET *1315 0.0606378 +*CONN +*I *2472:la_oenb_mprj[48] I *D mgmt_protect +*I *2478:la_oenb[48] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[48] 0.00129538 +2 *2478:la_oenb[48] 0.00150281 +3 *1315:18 0.00349389 +4 *1315:10 0.00458199 +5 *1315:9 0.00388629 +6 *1315:10 *1318:10 0.00302606 +7 *1315:10 *1325:10 7.23987e-05 +8 *1315:18 *1326:15 0.000523883 +9 *1315:18 *1329:15 0 +10 *1315:18 *1330:9 1.44611e-05 +11 *2472:la_data_out_mprj[48] *2472:la_oenb_mprj[48] 0 +12 *2472:la_iena_mprj[48] *2472:la_oenb_mprj[48] 0 +13 *674:7 *1315:18 0.000625622 +14 *675:11 *1315:9 0 +15 *676:7 *2472:la_oenb_mprj[48] 0 +16 *920:15 *1315:9 8.20611e-05 +17 *931:7 *1315:9 0 +18 *945:10 *1315:10 1.44742e-05 +19 *1185:10 *1315:10 0.0171377 +20 *1186:12 *1315:18 9.63897e-05 +21 *1188:12 *1315:18 0 +22 *1201:10 *1315:10 5.44727e-05 +23 *1313:10 *1315:10 0.0241999 +24 *1314:12 *1315:18 2.99894e-05 +*RES +1 *2478:la_oenb[48] *1315:9 38.0744 +2 *1315:9 *1315:10 261.387 +3 *1315:10 *1315:18 41.2144 +4 *1315:18 *2472:la_oenb_mprj[48] 28.3226 +*END + +*D_NET *1316 0.0732774 +*CONN +*I *2472:la_oenb_mprj[49] I *D mgmt_protect +*I *2478:la_oenb[49] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[49] 0.000905438 +2 *2478:la_oenb[49] 8.29983e-05 +3 *1316:12 0.00714731 +4 *1316:7 0.00913288 +5 *1316:5 0.002974 +6 *1316:12 *1319:12 0.0239003 +7 *2472:la_iena_mprj[18] *1316:7 0 +8 *2472:la_iena_mprj[19] *1316:7 0 +9 *2472:la_iena_mprj[49] *2472:la_oenb_mprj[49] 0 +10 *2472:la_oenb_mprj[18] *1316:7 3.93117e-06 +11 *643:7 *1316:7 0.00115441 +12 *676:11 *1316:7 0 +13 *678:7 *2472:la_oenb_mprj[49] 0 +14 *681:8 *1316:12 0.000118134 +15 *932:9 *1316:7 0 +16 *1188:12 *1316:12 0.000375597 +17 *1190:12 *1316:12 0.0272652 +18 *1192:10 *1316:12 0.000217213 +*RES +1 *2478:la_oenb[49] *1316:5 2.05183 +2 *1316:5 *1316:7 65.7808 +3 *1316:7 *1316:12 43.3498 +4 *1316:12 *2472:la_oenb_mprj[49] 21.6786 +*END + +*D_NET *1317 0.207995 +*CONN +*I *2472:la_oenb_mprj[4] I *D mgmt_protect +*I *2478:la_oenb[4] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[4] 0.0025659 +2 *2478:la_oenb[4] 0.0013337 +3 *1317:12 0.0025659 +4 *1317:10 0.01074 +5 *1317:9 0.0120737 +6 *1317:10 *1339:10 9.5672e-05 +7 *2472:la_iena_mprj[4] *2472:la_oenb_mprj[4] 0 +8 *2478:la_input[4] *1317:9 0 +9 *688:7 *2472:la_oenb_mprj[4] 0 +10 *933:9 *1317:9 0 +11 *977:10 *1317:10 0.00407177 +12 *977:15 *2472:la_oenb_mprj[4] 5.66868e-06 +13 *1139:10 *1317:10 0.00349721 +14 *1189:10 *1317:10 0.0854372 +15 *1279:10 *1317:10 0.00034158 +16 *1295:10 *1317:10 0.083813 +17 *1310:7 *2472:la_oenb_mprj[4] 0.00145413 +*RES +1 *2478:la_oenb[4] *1317:9 37.1508 +2 *1317:9 *1317:10 109.125 +3 *1317:10 *1317:12 3.36879 +4 *1317:12 *2472:la_oenb_mprj[4] 55.7293 +*END + +*D_NET *1318 0.0517459 +*CONN +*I *2472:la_oenb_mprj[50] I *D mgmt_protect +*I *2478:la_oenb[50] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[50] 0.00143506 +2 *2478:la_oenb[50] 0.0014208 +3 *1318:18 0.00247109 +4 *1318:15 0.00163391 +5 *1318:10 0.00249138 +6 *1318:9 0.00331429 +7 *1318:10 *1325:10 0.00686166 +8 *1318:15 *1327:15 0.000639482 +9 *1318:18 *1322:16 0.00160483 +10 *2472:la_iena_mprj[50] *2472:la_oenb_mprj[50] 0 +11 *2472:la_oenb_mprj[45] *1318:15 0 +12 *673:7 *1318:15 0.000124484 +13 *678:11 *1318:9 0 +14 *679:7 *2472:la_oenb_mprj[50] 0 +15 *902:13 *1318:9 0.000201529 +16 *934:7 *1318:9 0 +17 *1185:10 *1318:10 0.0133598 +18 *1187:10 *1318:10 0.0130583 +19 *1191:10 *1318:10 0.000103217 +20 *1315:10 *1318:10 0.00302606 +*RES +1 *2478:la_oenb[50] *1318:9 38.9049 +2 *1318:9 *1318:10 214.8 +3 *1318:10 *1318:15 31.5946 +4 *1318:15 *1318:18 43.491 +5 *1318:18 *2472:la_oenb_mprj[50] 32.6828 +*END + +*D_NET *1319 0.0631213 +*CONN +*I *2472:la_oenb_mprj[51] I *D mgmt_protect +*I *2478:la_oenb[51] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[51] 0.000844065 +2 *2478:la_oenb[51] 8.29983e-05 +3 *1319:12 0.0079793 +4 *1319:7 0.0102933 +5 *1319:5 0.00324105 +6 *2472:la_data_out_mprj[23] *1319:7 0 +7 *2472:la_data_out_mprj[49] *1319:12 0.0164967 +8 *2472:la_iena_mprj[23] *1319:7 8.11463e-06 +9 *2472:la_iena_mprj[51] *2472:la_oenb_mprj[51] 0 +10 *649:7 *1319:7 0 +11 *679:11 *1319:7 0 +12 *680:7 *2472:la_oenb_mprj[51] 0 +13 *905:13 *1319:7 0 +14 *935:9 *1319:7 0 +15 *1190:12 *1319:12 0.000275479 +16 *1316:12 *1319:12 0.0239003 +*RES +1 *2478:la_oenb[51] *1319:5 2.05183 +2 *1319:5 *1319:7 66.6113 +3 *1319:7 *1319:12 40.1372 +4 *1319:12 *2472:la_oenb_mprj[51] 20.8481 +*END + +*D_NET *1320 0.0531309 +*CONN +*I *2472:la_oenb_mprj[52] I *D mgmt_protect +*I *2478:la_oenb[52] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[52] 0.000819528 +2 *2478:la_oenb[52] 5.96252e-05 +3 *1320:10 0.00340873 +4 *1320:9 0.0025892 +5 *1320:7 0.00296291 +6 *1320:5 0.00302253 +7 *2472:la_data_out_mprj[26] *1320:7 0 +8 *2472:la_iena_mprj[52] *2472:la_oenb_mprj[52] 0 +9 *651:7 *1320:7 0.000665846 +10 *680:11 *1320:7 0 +11 *681:7 *2472:la_oenb_mprj[52] 0 +12 *681:8 *1320:10 9.65932e-05 +13 *682:8 *1320:10 0.0179829 +14 *936:9 *1320:7 0 +15 *1169:10 *1320:10 0 +16 *1192:10 *1320:10 0.021158 +17 *1292:10 *1320:10 0.000364931 +18 *1294:10 *1320:10 0 +*RES +1 *2478:la_oenb[52] *1320:5 1.49002 +2 *1320:5 *1320:7 66.819 +3 *1320:7 *1320:9 4.5 +4 *1320:9 *1320:10 230.329 +5 *1320:10 *2472:la_oenb_mprj[52] 25.1405 +*END + +*D_NET *1321 0.048016 +*CONN +*I *2472:la_oenb_mprj[53] I *D mgmt_protect +*I *2478:la_oenb[53] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[53] 0.00126024 +2 *2478:la_oenb[53] 0.0014706 +3 *1321:16 0.00207939 +4 *1321:15 0.0011987 +5 *1321:10 0.00165693 +6 *1321:9 0.00274796 +7 *1321:10 *1322:10 0.0108922 +8 *1321:10 *1324:10 6.03996e-05 +9 *1321:10 *1325:10 0.00187904 +10 *1321:16 *1322:16 0.000180303 +11 *1321:16 *1324:16 0.00578931 +12 *2472:la_iena_mprj[44] *1321:15 0.00143068 +13 *2472:la_iena_mprj[53] *2472:la_oenb_mprj[53] 0 +14 *682:7 *2472:la_oenb_mprj[53] 0 +15 *926:25 *1321:9 0 +16 *928:24 *1321:16 0.000225363 +17 *937:9 *1321:9 0 +18 *1173:15 *1321:9 0.000284582 +19 *1191:10 *1321:10 0.00888925 +20 *1191:20 *1321:16 0.00561217 +21 *1193:10 *1321:10 0.000863309 +22 *1194:16 *1321:16 0.000832715 +23 *1198:15 *1321:15 0.00066283 +24 *1304:15 *1321:9 0 +*RES +1 *2478:la_oenb[53] *1321:9 40.1506 +2 *1321:9 *1321:10 139.374 +3 *1321:10 *1321:15 32.8404 +4 *1321:15 *1321:16 79.4771 +5 *1321:16 *2472:la_oenb_mprj[53] 34.6913 +*END + +*D_NET *1322 0.0377935 +*CONN +*I *2472:la_oenb_mprj[54] I *D mgmt_protect +*I *2478:la_oenb[54] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[54] 0.00130498 +2 *2478:la_oenb[54] 0.00145072 +3 *1322:16 0.00356841 +4 *1322:15 0.00285898 +5 *1322:10 0.00162261 +6 *1322:9 0.00247777 +7 *1322:10 *1324:10 0.00173676 +8 *1322:15 *1323:15 0.00056331 +9 *1322:16 *1324:16 0.00170046 +10 *2472:la_iena_mprj[44] *1322:15 0 +11 *2472:la_iena_mprj[54] *2472:la_oenb_mprj[54] 0 +12 *671:7 *1322:15 0.000150958 +13 *682:11 *1322:9 0 +14 *683:7 *2472:la_oenb_mprj[54] 0 +15 *919:19 *1322:9 1.9101e-05 +16 *928:23 *1322:9 0.000248358 +17 *928:24 *1322:16 0.000328385 +18 *932:21 *1322:9 0 +19 *938:9 *1322:9 0 +20 *1179:15 *1322:9 8.4419e-05 +21 *1193:10 *1322:10 0.00700093 +22 *1318:18 *1322:16 0.00160483 +23 *1321:10 *1322:10 0.0108922 +24 *1321:16 *1322:16 0.000180303 +*RES +1 *2478:la_oenb[54] *1322:9 40.5659 +2 *1322:9 *1322:10 116.081 +3 *1322:10 *1322:15 30.7641 +4 *1322:15 *1322:16 90.5692 +5 *1322:16 *2472:la_oenb_mprj[54] 36.3523 +*END + +*D_NET *1323 0.0417752 +*CONN +*I *2472:la_oenb_mprj[55] I *D mgmt_protect +*I *2478:la_oenb[55] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[55] 0.00114721 +2 *2478:la_oenb[55] 0.00160982 +3 *1323:16 0.00199139 +4 *1323:15 0.00135237 +5 *1323:10 0.00171104 +6 *1323:9 0.00281267 +7 *1323:10 *1324:10 0.00141117 +8 *1323:16 *1325:16 0.00746299 +9 *1323:16 *1326:16 0.007359 +10 *2472:la_iena_mprj[44] *1323:15 0.000645218 +11 *2472:la_iena_mprj[55] *2472:la_oenb_mprj[55] 0 +12 *2472:la_oenb_mprj[32] *1323:9 0 +13 *671:7 *1323:15 0 +14 *672:8 *1323:10 0 +15 *683:11 *1323:9 0 +16 *684:7 *2472:la_oenb_mprj[55] 0 +17 *936:13 *1323:9 0 +18 *939:9 *1323:9 0 +19 *939:11 *1323:9 0 +20 *941:10 *1323:16 0.00184646 +21 *941:14 *1323:16 1.41689e-05 +22 *1179:16 *1323:10 0.00596675 +23 *1194:16 *1323:16 0.000747045 +24 *1195:10 *1323:10 0.00213251 +25 *1196:10 *1323:10 4.03749e-05 +26 *1302:10 *1323:10 0.0029617 +27 *1322:15 *1323:15 0.00056331 +*RES +1 *2478:la_oenb[55] *1323:9 42.2269 +2 *1323:9 *1323:10 97.2244 +3 *1323:10 *1323:15 32.4251 +4 *1323:15 *1323:16 98.3336 +5 *1323:16 *2472:la_oenb_mprj[55] 33.0303 +*END + +*D_NET *1324 0.0335025 +*CONN +*I *2472:la_oenb_mprj[56] I *D mgmt_protect +*I *2478:la_oenb[56] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[56] 0.00120656 +2 *2478:la_oenb[56] 0.00143637 +3 *1324:16 0.0027123 +4 *1324:15 0.00174521 +5 *1324:10 0.00173835 +6 *1324:9 0.00293525 +7 *1324:10 *1325:10 4.00372e-05 +8 *1324:15 *1325:15 0.00134685 +9 *1324:15 *1326:15 0.00134325 +10 *1324:16 *1325:16 0.00034321 +11 *2472:la_data_out_mprj[57] *2472:la_oenb_mprj[56] 0 +12 *2472:la_iena_mprj[56] *2472:la_oenb_mprj[56] 0 +13 *684:11 *1324:9 0 +14 *685:7 *2472:la_oenb_mprj[56] 0 +15 *940:7 *1324:9 0 +16 *945:10 *1324:10 0.000237769 +17 *1173:25 *1324:9 8.62625e-06 +18 *1179:16 *1324:10 0.00406403 +19 *1181:25 *1324:9 0.000257426 +20 *1193:10 *1324:10 0.00322946 +21 *1194:16 *1324:16 0.00015542 +22 *1309:23 *1324:9 4.3116e-06 +23 *1321:10 *1324:10 6.03996e-05 +24 *1321:16 *1324:16 0.00578931 +25 *1322:10 *1324:10 0.00173676 +26 *1322:16 *1324:16 0.00170046 +27 *1323:10 *1324:10 0.00141117 +*RES +1 *2478:la_oenb[56] *1324:9 41.3964 +2 *1324:9 *1324:10 96.6698 +3 *1324:10 *1324:15 31.1794 +4 *1324:15 *1324:16 86.687 +5 *1324:16 *2472:la_oenb_mprj[56] 35.1065 +*END + +*D_NET *1325 0.0379491 +*CONN +*I *2472:la_oenb_mprj[57] I *D mgmt_protect +*I *2478:la_oenb[57] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[57] 0.00112426 +2 *2478:la_oenb[57] 0.00139556 +3 *1325:16 0.00211516 +4 *1325:15 0.00131555 +5 *1325:10 0.00118053 +6 *1325:9 0.00225144 +7 *1325:15 *1326:15 8.61936e-05 +8 *1325:16 *1326:16 0.000736122 +9 *2472:la_data_out_mprj[37] *1325:9 0 +10 *2472:la_data_out_mprj[57] *2472:la_oenb_mprj[57] 0 +11 *2472:la_iena_mprj[37] *1325:9 0 +12 *2472:la_iena_mprj[57] *2472:la_oenb_mprj[57] 0 +13 *685:11 *1325:9 0 +14 *686:7 *2472:la_oenb_mprj[57] 0 +15 *941:7 *1325:9 0 +16 *945:10 *1325:10 0.000401978 +17 *1185:15 *1325:9 5.05252e-05 +18 *1191:10 *1325:10 0.0013203 +19 *1194:16 *1325:16 0.00640951 +20 *1313:13 *1325:15 0.00155583 +21 *1315:10 *1325:10 7.23987e-05 +22 *1318:10 *1325:10 0.00686166 +23 *1321:10 *1325:10 0.00187904 +24 *1323:16 *1325:16 0.00746299 +25 *1324:10 *1325:10 4.00372e-05 +26 *1324:15 *1325:15 0.00134685 +27 *1324:16 *1325:16 0.00034321 +*RES +1 *2478:la_oenb[57] *1325:9 39.3201 +2 *1325:9 *1325:10 76.1495 +3 *1325:10 *1325:15 34.9166 +4 *1325:15 *1325:16 96.1152 +5 *1325:16 *2472:la_oenb_mprj[57] 33.4455 +*END + +*D_NET *1326 0.0350384 +*CONN +*I *2472:la_oenb_mprj[58] I *D mgmt_protect +*I *2478:la_oenb[58] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[58] 0.00106772 +2 *2478:la_oenb[58] 0.001056 +3 *1326:16 0.00213538 +4 *1326:15 0.00180862 +5 *1326:10 0.0018045 +6 *1326:9 0.00211953 +7 *1326:10 *1329:12 0.00151986 +8 *1326:15 *1327:15 0 +9 *1326:15 *1330:9 0 +10 *2472:la_data_out_mprj[58] *2472:la_oenb_mprj[58] 0 +11 *2472:la_iena_mprj[58] *2472:la_oenb_mprj[58] 0 +12 *2478:la_input[61] *1326:15 0.000279872 +13 *686:11 *1326:9 0 +14 *687:7 *2472:la_oenb_mprj[58] 0 +15 *687:8 *1326:16 0 +16 *689:8 *1326:10 0.00049599 +17 *941:14 *1326:16 0.00856535 +18 *942:7 *1326:9 0 +19 *1197:10 *1326:10 0 +20 *1197:22 *1326:16 1.45065e-05 +21 *1198:10 *1326:10 0.00369305 +22 *1199:12 *1326:10 6.24655e-05 +23 *1307:20 *1326:10 0.00033061 +24 *1313:13 *1326:15 3.64684e-05 +25 *1315:18 *1326:15 0.000523883 +26 *1323:16 *1326:16 0.007359 +27 *1324:15 *1326:15 0.00134325 +28 *1325:15 *1326:15 8.61936e-05 +29 *1325:16 *1326:16 0.000736122 +*RES +1 *2478:la_oenb[58] *1326:9 31.4303 +2 *1326:9 *1326:10 56.7384 +3 *1326:10 *1326:15 43.6369 +4 *1326:15 *1326:16 103.325 +5 *1326:16 *2472:la_oenb_mprj[58] 32.615 +*END + +*D_NET *1327 0.0339856 +*CONN +*I *2472:la_oenb_mprj[59] I *D mgmt_protect +*I *2478:la_oenb[59] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[59] 0.000852531 +2 *2478:la_oenb[59] 0.00100536 +3 *1327:16 0.00194033 +4 *1327:15 0.0023291 +5 *1327:12 0.00201954 +6 *1327:9 0.00178361 +7 *1327:12 *1329:12 0.000462946 +8 *1327:16 *1329:16 0.0101939 +9 *2472:la_data_out_mprj[46] *1327:15 2.1203e-06 +10 *2472:la_iena_mprj[59] *2472:la_oenb_mprj[59] 0 +11 *2472:la_oenb_mprj[45] *1327:15 0 +12 *2478:la_input[61] *1327:15 0 +13 *673:7 *1327:15 0 +14 *687:11 *1327:9 0 +15 *689:5 *2472:la_oenb_mprj[59] 0 +16 *943:7 *1327:9 0 +17 *1198:16 *1327:16 0.00982861 +18 *1199:12 *1327:12 0.00205738 +19 *1199:16 *1327:16 0.000291294 +20 *1202:9 *1327:15 0 +21 *1313:13 *1327:15 0.000579395 +22 *1318:15 *1327:15 0.000639482 +23 *1326:15 *1327:15 0 +*RES +1 *2478:la_oenb[59] *1327:9 30.1846 +2 *1327:9 *1327:12 37.3904 +3 *1327:12 *1327:15 45.3657 +4 *1327:15 *1327:16 115.526 +5 *1327:16 *2472:la_oenb_mprj[59] 27.632 +*END + +*D_NET *1328 0.196977 +*CONN +*I *2472:la_oenb_mprj[5] I *D mgmt_protect +*I *2478:la_oenb[5] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[5] 0.00097052 +2 *2478:la_oenb[5] 8.29983e-05 +3 *1328:10 0.00720305 +4 *1328:9 0.00623253 +5 *1328:7 0.0025967 +6 *1328:5 0.0026797 +7 *2472:la_iena_mprj[5] *2472:la_oenb_mprj[5] 0 +8 *688:11 *1328:7 0 +9 *699:7 *2472:la_oenb_mprj[5] 0 +10 *944:7 *1328:7 0 +11 *944:10 *1328:10 0.00252463 +12 *1148:10 *1328:10 0.00020617 +13 *1158:10 *1328:10 0.00508031 +14 *1160:10 *1328:10 0.0046706 +15 *1222:10 *1328:10 0.081621 +16 *1306:10 *1328:10 0.0831092 +*RES +1 *2478:la_oenb[5] *1328:5 2.05183 +2 *1328:5 *1328:7 65.7808 +3 *1328:7 *1328:9 3.36879 +4 *1328:9 *1328:10 107.519 +5 *1328:10 *2472:la_oenb_mprj[5] 25.0474 +*END + +*D_NET *1329 0.0327051 +*CONN +*I *2472:la_oenb_mprj[60] I *D mgmt_protect +*I *2478:la_oenb[60] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[60] 0.000867136 +2 *2478:la_oenb[60] 0.00104725 +3 *1329:16 0.00206277 +4 *1329:15 0.00268006 +5 *1329:12 0.0018331 +6 *1329:9 0.00139592 +7 *1329:15 *1330:9 0.000207119 +8 *2472:la_iena_mprj[60] *2472:la_oenb_mprj[60] 0 +9 *2478:la_input[60] *1329:9 0 +10 *674:7 *1329:15 0.000215788 +11 *689:8 *1329:12 6.05863e-05 +12 *690:5 *2472:la_oenb_mprj[60] 0 +13 *945:9 *1329:9 0 +14 *946:9 *1329:15 0 +15 *1198:15 *1329:9 1.77537e-06 +16 *1199:12 *1329:12 0.000167076 +17 *1199:15 *1329:9 6.08697e-06 +18 *1199:16 *1329:16 0.00998372 +19 *1315:18 *1329:15 0 +20 *1326:10 *1329:12 0.00151986 +21 *1327:12 *1329:12 0.000462946 +22 *1327:16 *1329:16 0.0101939 +*RES +1 *2478:la_oenb[60] *1329:9 31.0151 +2 *1329:9 *1329:12 24.6345 +3 *1329:12 *1329:15 44.1199 +4 *1329:15 *1329:16 116.636 +5 *1329:16 *2472:la_oenb_mprj[60] 28.0472 +*END + +*D_NET *1330 0.0310114 +*CONN +*I *2472:la_oenb_mprj[61] I *D mgmt_protect +*I *2478:la_oenb[61] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[61] 0.00202581 +2 *2478:la_oenb[61] 0.00119252 +3 *1330:12 0.00202581 +4 *1330:10 0.00102 +5 *1330:9 0.00221252 +6 *1330:10 *1331:10 0.000180711 +7 *2472:la_iena_mprj[61] *2472:la_oenb_mprj[61] 0 +8 *2478:la_input[61] *1330:9 0 +9 *691:5 *2472:la_oenb_mprj[61] 0 +10 *945:10 *1330:10 0.000108945 +11 *946:10 *1330:10 0.0110068 +12 *1201:10 *1330:10 6.95209e-05 +13 *1203:10 *1330:10 0.0109472 +14 *1315:18 *1330:9 1.44611e-05 +15 *1326:15 *1330:9 0 +16 *1329:15 *1330:9 0.000207119 +*RES +1 *2478:la_oenb[61] *1330:9 36.8042 +2 *1330:9 *1330:10 126.618 +3 *1330:10 *1330:12 4.5 +4 *1330:12 *2472:la_oenb_mprj[61] 55.5217 +*END + +*D_NET *1331 0.0285727 +*CONN +*I *2472:la_oenb_mprj[62] I *D mgmt_protect +*I *2478:la_oenb[62] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[62] 0.00198298 +2 *2478:la_oenb[62] 0.00138369 +3 *1331:12 0.00198298 +4 *1331:10 0.000824155 +5 *1331:9 0.00220785 +6 *2472:la_iena_mprj[62] *2472:la_oenb_mprj[62] 0 +7 *2478:la_input[62] *1331:9 0 +8 *692:5 *2472:la_oenb_mprj[62] 0 +9 *694:10 *1331:10 4.66876e-05 +10 *694:12 *1331:10 2.52287e-06 +11 *945:10 *1331:10 0.00041958 +12 *946:10 *1331:10 0.00957509 +13 *947:9 *1331:9 0 +14 *1203:10 *1331:10 0.000145188 +15 *1204:10 *1331:10 0.00982129 +16 *1330:10 *1331:10 0.000180711 +*RES +1 *2478:la_oenb[62] *1331:9 39.3201 +2 *1331:9 *1331:10 113.308 +3 *1331:10 *1331:12 4.5 +4 *1331:12 *2472:la_oenb_mprj[62] 54.6912 +*END + +*D_NET *1332 0.0245384 +*CONN +*I *2472:la_oenb_mprj[63] I *D mgmt_protect +*I *2478:la_oenb[63] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[63] 0.00223049 +2 *2478:la_oenb[63] 0.00107302 +3 *1332:12 0.00223049 +4 *1332:10 0.000852579 +5 *1332:9 0.0019256 +6 *2472:la_oenb_mprj[63] *1337:9 0.000327461 +7 *2472:la_data_out_mprj[63] *2472:la_oenb_mprj[63] 0 +8 *2472:la_iena_mprj[63] *2472:la_oenb_mprj[63] 0 +9 *2478:la_input[63] *1332:9 0 +10 *2478:la_input[66] *1332:10 0.00139276 +11 *689:8 *1332:10 0.00235447 +12 *692:8 *1332:10 0.00901823 +13 *693:5 *2472:la_oenb_mprj[63] 0 +14 *695:8 *1332:10 3.83172e-05 +15 *948:9 *1332:9 0 +16 *948:10 *1332:10 9.16621e-05 +17 *949:10 *1332:10 0.000106845 +18 *1208:10 *1332:10 0.00289643 +*RES +1 *2478:la_oenb[63] *1332:9 31.8456 +2 *1332:9 *1332:10 101.661 +3 *1332:10 *1332:12 4.5 +4 *1332:12 *2472:la_oenb_mprj[63] 62.1657 +*END + +*D_NET *1333 0.0213295 +*CONN +*I *2472:la_oenb_mprj[64] I *D mgmt_protect +*I *2478:la_oenb[64] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[64] 0.00207742 +2 *2478:la_oenb[64] 0.00130287 +3 *1333:12 0.00207742 +4 *1333:10 0.0009954 +5 *1333:9 0.00229827 +6 *1333:10 *1334:10 0.000769972 +7 *1333:10 *1337:12 0.000353682 +8 *2472:la_data_out_mprj[65] *2472:la_oenb_mprj[64] 0 +9 *2472:la_iena_mprj[64] *2472:la_oenb_mprj[64] 0 +10 *2478:la_input[64] *1333:9 0 +11 *690:8 *1333:10 0.000180711 +12 *691:8 *1333:10 0.000661063 +13 *694:5 *2472:la_oenb_mprj[64] 0 +14 *694:10 *1333:10 0.000235491 +15 *694:12 *1333:10 0.00529049 +16 *949:9 *1333:9 0 +17 *1201:10 *1333:10 0.00508673 +*RES +1 *2478:la_oenb[64] *1333:9 36.8286 +2 *1333:9 *1333:10 90.0146 +3 *1333:10 *1333:12 4.5 +4 *1333:12 *2472:la_oenb_mprj[64] 57.1827 +*END + +*D_NET *1334 0.0196789 +*CONN +*I *2472:la_oenb_mprj[65] I *D mgmt_protect +*I *2478:la_oenb[65] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[65] 0.002111 +2 *2478:la_oenb[65] 0.00124983 +3 *1334:12 0.002111 +4 *1334:10 0.000975456 +5 *1334:9 0.00222529 +6 *1334:10 *1337:12 5.4367e-05 +7 *2472:la_data_out_mprj[65] *2472:la_oenb_mprj[65] 0 +8 *2472:la_iena_mprj[65] *2472:la_oenb_mprj[65] 0 +9 *2478:la_input[65] *1334:9 0 +10 *690:8 *1334:10 0.00355727 +11 *695:5 *2472:la_oenb_mprj[65] 0 +12 *950:9 *1334:9 0 +13 *950:10 *1334:10 0.000120974 +14 *1205:10 *1334:10 3.04269e-05 +15 *1207:10 *1334:10 0.00647328 +16 *1333:10 *1334:10 0.000769972 +*RES +1 *2478:la_oenb[65] *1334:9 35.5828 +2 *1334:9 *1334:10 78.3679 +3 *1334:10 *1334:12 4.5 +4 *1334:12 *2472:la_oenb_mprj[65] 58.4284 +*END + +*D_NET *1335 0.0172303 +*CONN +*I *2472:la_oenb_mprj[66] I *D mgmt_protect +*I *2478:la_oenb[66] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[66] 0.00235538 +2 *2478:la_oenb[66] 0.000946938 +3 *1335:18 0.00253943 +4 *1335:13 0.00109026 +5 *1335:9 0.00185316 +6 *1335:13 *1336:10 0.00227204 +7 *1335:18 *1336:10 6.24655e-05 +8 *2472:la_data_out_mprj[66] *2472:la_oenb_mprj[66] 0 +9 *2472:la_data_out_mprj[67] *2472:la_oenb_mprj[66] 0 +10 *2472:la_iena_mprj[66] *2472:la_oenb_mprj[66] 0 +11 *2478:la_input[66] *1335:9 0 +12 *693:8 *1335:13 8.47539e-05 +13 *696:5 *2472:la_oenb_mprj[66] 0 +14 *697:13 *2472:la_oenb_mprj[66] 0 +15 *697:16 *1335:18 0.00190029 +16 *951:9 *1335:9 0 +17 *951:12 *1335:13 0.000362922 +18 *951:16 *1335:18 0.00169207 +19 *952:10 *1335:13 0.00179828 +20 *953:12 *1335:13 2.652e-05 +21 *953:16 *1335:18 0.000180711 +22 *954:12 *1335:18 6.50727e-05 +*RES +1 *2478:la_oenb[66] *1335:9 28.5236 +2 *1335:9 *1335:13 49.8992 +3 *1335:13 *1335:18 31.3529 +4 *1335:18 *2472:la_oenb_mprj[66] 64.242 +*END + +*D_NET *1336 0.0165679 +*CONN +*I *2472:la_oenb_mprj[67] I *D mgmt_protect +*I *2478:la_oenb[67] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[67] 0.00236532 +2 *2478:la_oenb[67] 0.000970785 +3 *1336:12 0.00236532 +4 *1336:10 0.000465929 +5 *1336:9 0.00143671 +6 *2472:la_data_out_mprj[67] *2472:la_oenb_mprj[67] 0 +7 *2472:la_data_out_mprj[68] *2472:la_oenb_mprj[67] 0 +8 *2472:la_iena_mprj[67] *2472:la_oenb_mprj[67] 0 +9 *2478:la_input[70] *2472:la_oenb_mprj[67] 5.54078e-05 +10 *697:5 *2472:la_oenb_mprj[67] 0 +11 *697:16 *1336:10 0.00268822 +12 *698:10 *1336:10 0.00184493 +13 *951:12 *1336:10 0.000661063 +14 *952:9 *1336:9 0 +15 *952:10 *1336:10 0.000482046 +16 *954:12 *1336:10 0.000897677 +17 *1212:9 *2472:la_oenb_mprj[67] 0 +18 *1335:13 *1336:10 0.00227204 +19 *1335:18 *1336:10 6.24655e-05 +*RES +1 *2478:la_oenb[67] *1336:9 28.9388 +2 *1336:9 *1336:10 55.0746 +3 *1336:10 *1336:12 4.5 +4 *1336:12 *2472:la_oenb_mprj[67] 65.0725 +*END + +*D_NET *1337 0.0112481 +*CONN +*I *2472:la_oenb_mprj[68] I *D mgmt_protect +*I *2478:la_oenb[68] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[68] 0.00202095 +2 *2478:la_oenb[68] 0.00127729 +3 *1337:12 0.00345807 +4 *1337:9 0.00271442 +5 *2472:la_data_out_mprj[63] *1337:9 0 +6 *2472:la_iena_mprj[68] *2472:la_oenb_mprj[68] 0 +7 *2472:la_oenb_mprj[63] *1337:9 0.000327461 +8 *2478:la_input[68] *1337:9 0 +9 *693:5 *1337:9 0 +10 *694:10 *1337:12 0.00104188 +11 *697:13 *1337:12 0 +12 *698:5 *2472:la_oenb_mprj[68] 0 +13 *953:12 *1337:9 0 +14 *1206:10 *1337:12 0 +15 *1207:10 *1337:12 0 +16 *1209:10 *1337:12 0 +17 *1333:10 *1337:12 0.000353682 +18 *1334:10 *1337:12 5.4367e-05 +*RES +1 *2478:la_oenb[68] *1337:9 37.0973 +2 *1337:9 *1337:12 47.9279 +3 *1337:12 *2472:la_oenb_mprj[68] 56.3522 +*END + +*D_NET *1338 0.011513 +*CONN +*I *2472:la_oenb_mprj[69] I *D mgmt_protect +*I *2478:la_oenb[69] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[69] 0.00223563 +2 *2478:la_oenb[69] 0.00109854 +3 *1338:12 0.00273743 +4 *1338:9 0.00160034 +5 *2472:la_data_out_mprj[66] *1338:9 4.41474e-05 +6 *2472:la_data_out_mprj[69] *2472:la_oenb_mprj[69] 0 +7 *2472:la_iena_mprj[69] *2472:la_oenb_mprj[69] 0 +8 *2478:la_input[69] *1338:9 0 +9 *700:5 *2472:la_oenb_mprj[69] 0 +10 *953:16 *1338:12 0.0002375 +11 *954:9 *1338:9 0 +12 *1208:10 *1338:12 0.00115455 +13 *1210:12 *1338:12 0.000407049 +14 *1212:12 *1338:12 0.00199781 +*RES +1 *2478:la_oenb[69] *1338:9 31.8456 +2 *1338:9 *1338:12 36.2812 +3 *1338:12 *2472:la_oenb_mprj[69] 62.1657 +*END + +*D_NET *1339 0.195769 +*CONN +*I *2472:la_oenb_mprj[6] I *D mgmt_protect +*I *2478:la_oenb[6] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[6] 0.00273096 +2 *2478:la_oenb[6] 0.00140235 +3 *1339:12 0.00273096 +4 *1339:10 0.00831462 +5 *1339:9 0.00971697 +6 *1339:10 *1361:10 0.000119292 +7 *2472:la_iena_mprj[6] *2472:la_oenb_mprj[6] 0 +8 *2478:la_input[6] *1339:9 0 +9 *710:7 *2472:la_oenb_mprj[6] 0 +10 *955:9 *1339:9 0 +11 *988:10 *1339:10 0.000125085 +12 *1139:10 *1339:10 0.00025506 +13 *1139:18 *2472:la_oenb_mprj[6] 0.000355655 +14 *1155:10 *1339:10 0.000173271 +15 *1159:10 *1339:10 0.00294553 +16 *1189:10 *1339:10 0.0811299 +17 *1211:10 *1339:10 0.082977 +18 *1233:10 *1339:10 8.27242e-05 +19 *1267:10 *1339:10 0.000159297 +20 *1279:10 *1339:10 0 +21 *1287:10 *1339:10 0.00245457 +22 *1317:10 *1339:10 9.5672e-05 +*RES +1 *2478:la_oenb[6] *1339:9 38.25 +2 *1339:9 *1339:10 105.989 +3 *1339:10 *1339:12 3.36879 +4 *1339:12 *2472:la_oenb_mprj[6] 54.0683 +*END + +*D_NET *1340 0.0107303 +*CONN +*I *2472:la_oenb_mprj[70] I *D mgmt_protect +*I *2478:la_oenb[70] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[70] 0.00164968 +2 *2478:la_oenb[70] 0.00139367 +3 *1340:12 0.00164968 +4 *1340:10 0.00139367 +5 *2472:la_oenb_mprj[70] *1341:7 0.00296619 +6 *2472:la_iena_mprj[70] *2472:la_oenb_mprj[70] 0 +7 *2478:la_input[70] *1340:10 0 +8 *698:5 *1340:10 0 +9 *700:10 *1340:10 0.000452771 +10 *701:5 *2472:la_oenb_mprj[70] 0 +11 *954:12 *1340:10 0.000320474 +12 *1213:10 *1340:10 0.000904135 +*RES +1 *2478:la_oenb[70] *1340:10 49.7501 +2 *1340:10 *1340:12 4.5 +3 *1340:12 *2472:la_oenb_mprj[70] 63.8267 +*END + +*D_NET *1341 0.0091465 +*CONN +*I *2472:la_oenb_mprj[71] I *D mgmt_protect +*I *2478:la_oenb[71] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[71] 0.000970793 +2 *2478:la_oenb[71] 8.29983e-05 +3 *1341:7 0.00250873 +4 *1341:5 0.00162094 +5 *2472:la_data_out_mprj[71] *1341:7 0 +6 *2472:la_iena_mprj[71] *2472:la_oenb_mprj[71] 0 +7 *2472:la_oenb_mprj[70] *1341:7 0.00296619 +8 *2478:la_input[71] *1341:7 0 +9 *701:5 *1341:7 0.00099685 +10 *702:9 *2472:la_oenb_mprj[71] 0 +*RES +1 *2478:la_oenb[71] *1341:5 2.05183 +2 *1341:5 *1341:7 70.9715 +3 *1341:7 *2472:la_oenb_mprj[71] 33.9758 +*END + +*D_NET *1342 0.00657239 +*CONN +*I *2472:la_oenb_mprj[72] I *D mgmt_protect +*I *2478:la_oenb[72] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[72] 0.00320319 +2 *2478:la_oenb[72] 8.30061e-05 +3 *1342:5 0.00328619 +4 *2472:la_data_out_mprj[73] *2472:la_oenb_mprj[72] 0 +5 *2472:la_iena_mprj[72] *2472:la_oenb_mprj[72] 0 +6 *702:11 *2472:la_oenb_mprj[72] 0 +7 *703:10 *2472:la_oenb_mprj[72] 0 +8 *958:7 *2472:la_oenb_mprj[72] 0 +*RES +1 *2478:la_oenb[72] *1342:5 2.05183 +2 *1342:5 *2472:la_oenb_mprj[72] 87.2885 +*END + +*D_NET *1343 0.00976621 +*CONN +*I *2472:la_oenb_mprj[73] I *D mgmt_protect +*I *2478:la_oenb[73] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[73] 0.000546444 +2 *2478:la_oenb[73] 8.30061e-05 +3 *1343:7 0.00290138 +4 *1343:5 0.00243794 +5 *2472:la_oenb_mprj[73] *2472:la_oenb_mprj[74] 0.000324151 +6 *2472:la_data_out_mprj[73] *2472:la_oenb_mprj[73] 0.00109499 +7 *2472:la_data_out_mprj[75] *1343:7 0.000393199 +8 *2472:la_iena_mprj[73] *2472:la_oenb_mprj[73] 0.00100363 +9 *2472:la_iena_mprj[75] *1343:7 0 +10 *703:10 *2472:la_oenb_mprj[73] 0.000579046 +11 *703:11 *1343:7 0 +12 *704:7 *2472:la_oenb_mprj[73] 0 +13 *705:7 *1343:7 0.000402434 +14 *959:7 *1343:7 0 +*RES +1 *2478:la_oenb[73] *1343:5 2.05183 +2 *1343:5 *1343:7 70.9715 +3 *1343:7 *2472:la_oenb_mprj[73] 37.3034 +*END + +*D_NET *1344 0.00969025 +*CONN +*I *2472:la_oenb_mprj[74] I *D mgmt_protect +*I *2478:la_oenb[74] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[74] 0.00123784 +2 *2478:la_oenb[74] 8.30061e-05 +3 *1344:7 0.00376755 +4 *1344:5 0.00261272 +5 *1344:7 *2472:la_oenb_mprj[77] 0 +6 *2472:la_data_out_mprj[73] *2472:la_oenb_mprj[74] 0.000194701 +7 *2472:la_data_out_mprj[77] *1344:7 0.000220041 +8 *2472:la_iena_mprj[77] *1344:7 0.000267587 +9 *2472:la_oenb_mprj[73] *2472:la_oenb_mprj[74] 0.000324151 +10 *704:11 *1344:7 0 +11 *705:7 *2472:la_oenb_mprj[74] 0 +12 *707:7 *1344:7 0 +13 *960:7 *1344:7 0 +14 *1216:13 *2472:la_oenb_mprj[74] 0.000982665 +*RES +1 *2478:la_oenb[74] *1344:5 2.05183 +2 *1344:5 *1344:7 71.3867 +3 *1344:7 *2472:la_oenb_mprj[74] 48.5348 +*END + +*D_NET *1345 0.0132479 +*CONN +*I *2472:la_oenb_mprj[75] I *D mgmt_protect +*I *2478:la_oenb[75] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[75] 0.00097785 +2 *2478:la_oenb[75] 1.28869e-05 +3 *1345:12 0.0013562 +4 *1345:7 0.00246376 +5 *1345:5 0.0020983 +6 *2472:la_iena_mprj[75] *2472:la_oenb_mprj[75] 0 +7 *704:10 *1345:12 0.000897691 +8 *705:10 *1345:12 0.00044131 +9 *706:7 *2472:la_oenb_mprj[75] 0 +10 *959:7 *2472:la_oenb_mprj[75] 0.000867253 +11 *960:16 *1345:12 0.00096144 +12 *961:7 *1345:7 0 +13 *961:10 *1345:12 0.000163362 +14 *1216:7 *2472:la_oenb_mprj[75] 0 +15 *1217:12 *1345:12 0.000104941 +16 *1218:12 *1345:12 0.00290288 +*RES +1 *2478:la_oenb[75] *1345:5 0.366399 +2 *1345:5 *1345:7 56.4377 +3 *1345:7 *1345:12 45.7726 +4 *1345:12 *2472:la_oenb_mprj[75] 31.0218 +*END + +*D_NET *1346 0.010433 +*CONN +*I *2472:la_oenb_mprj[76] I *D mgmt_protect +*I *2478:la_oenb[76] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[76] 0.000863752 +2 *2478:la_oenb[76] 8.30061e-05 +3 *1346:10 0.00230304 +4 *1346:9 0.00143929 +5 *1346:7 0.00237473 +6 *1346:5 0.00245773 +7 *1346:10 *1347:10 0 +8 *1346:10 *1349:10 0.000356413 +9 *2472:la_iena_mprj[76] *2472:la_oenb_mprj[76] 0 +10 *2472:la_iena_mprj[82] *1346:7 0 +11 *706:11 *1346:7 0 +12 *707:7 *2472:la_oenb_mprj[76] 0 +13 *707:8 *1346:10 0 +14 *713:7 *1346:7 0.000108413 +15 *962:7 *1346:7 0 +16 *962:10 *1346:10 0.000191201 +17 *963:10 *1346:10 0 +18 *964:10 *1346:10 0 +19 *965:10 *1346:10 0 +20 *1223:10 *1346:10 0.000255439 +*RES +1 *2478:la_oenb[76] *1346:5 2.05183 +2 *1346:5 *1346:7 64.3275 +3 *1346:7 *1346:9 4.5 +4 *1346:9 *1346:10 46.7555 +5 *1346:10 *2472:la_oenb_mprj[76] 27.632 +*END + +*D_NET *1347 0.0184528 +*CONN +*I *2472:la_oenb_mprj[77] I *D mgmt_protect +*I *2478:la_oenb[77] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[77] 0.0009864 +2 *2478:la_oenb[77] 8.30061e-05 +3 *1347:10 0.00144914 +4 *1347:9 0.000462741 +5 *1347:7 0.0019597 +6 *1347:5 0.0020427 +7 *1347:7 *2472:la_oenb_mprj[84] 0.000780411 +8 *2472:la_data_out_mprj[78] *2472:la_oenb_mprj[77] 0 +9 *2472:la_data_out_mprj[84] *1347:7 0 +10 *2472:la_iena_mprj[77] *2472:la_oenb_mprj[77] 0 +11 *707:11 *1347:7 0 +12 *708:7 *2472:la_oenb_mprj[77] 0 +13 *963:7 *1347:7 0 +14 *963:10 *1347:10 0.00553023 +15 *964:10 *1347:10 0.00515848 +16 *1344:7 *2472:la_oenb_mprj[77] 0 +17 *1346:10 *1347:10 0 +*RES +1 *2478:la_oenb[77] *1347:5 2.05183 +2 *1347:5 *1347:7 61.0054 +3 *1347:7 *1347:9 4.5 +4 *1347:9 *1347:10 58.4022 +5 *1347:10 *2472:la_oenb_mprj[77] 30.954 +*END + +*D_NET *1348 0.0200932 +*CONN +*I *2472:la_oenb_mprj[78] I *D mgmt_protect +*I *2478:la_oenb[78] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[78] 0.00064369 +2 *2478:la_oenb[78] 8.30061e-05 +3 *1348:10 0.00152262 +4 *1348:9 0.000878927 +5 *1348:7 0.00252538 +6 *1348:5 0.00260839 +7 *1348:7 *2472:la_oenb_mprj[86] 0.000152985 +8 *2472:la_data_out_mprj[78] *2472:la_oenb_mprj[78] 0 +9 *2472:la_data_out_mprj[87] *1348:7 0 +10 *2472:la_iena_mprj[78] *2472:la_oenb_mprj[78] 0 +11 *2472:la_iena_mprj[86] *1348:7 0 +12 *2472:la_iena_mprj[87] *1348:7 0 +13 *708:8 *1348:10 0.00602151 +14 *708:11 *1348:7 0 +15 *709:7 *2472:la_oenb_mprj[78] 0 +16 *709:8 *1348:10 7.06933e-05 +17 *718:7 *1348:7 0.000627745 +18 *1219:10 *1348:10 0 +19 *1221:10 *1348:10 0.00069087 +20 *1224:10 *1348:10 0.00426741 +*RES +1 *2478:la_oenb[78] *1348:5 2.05183 +2 *1348:5 *1348:7 70.5562 +3 *1348:7 *1348:9 4.5 +4 *1348:9 *1348:10 70.0488 +5 *1348:10 *2472:la_oenb_mprj[78] 21.4032 +*END + +*D_NET *1349 0.016608 +*CONN +*I *2472:la_oenb_mprj[79] I *D mgmt_protect +*I *2478:la_oenb[79] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[79] 0.000962074 +2 *2478:la_oenb[79] 8.30061e-05 +3 *1349:10 0.00235828 +4 *1349:9 0.0013962 +5 *1349:7 0.00215962 +6 *1349:5 0.00224262 +7 *1349:10 *1357:16 0.00201868 +8 *2472:la_data_out_mprj[79] *2472:la_oenb_mprj[79] 0 +9 *2472:la_iena_mprj[79] *2472:la_oenb_mprj[79] 0 +10 *2472:la_iena_mprj[89] *1349:7 0 +11 *709:11 *1349:7 0 +12 *711:7 *2472:la_oenb_mprj[79] 0 +13 *714:8 *1349:10 0.00014932 +14 *715:8 *1349:10 9.27159e-05 +15 *720:7 *1349:7 0 +16 *965:7 *1349:7 0 +17 *965:10 *1349:10 0.00333337 +18 *969:10 *1349:10 0 +19 *976:13 *1349:7 0.000685962 +20 *1223:10 *1349:10 0 +21 *1227:10 *1349:10 0.000769711 +22 *1346:10 *1349:10 0.000356413 +*RES +1 *2478:la_oenb[79] *1349:5 2.05183 +2 *1349:5 *1349:7 62.6664 +3 *1349:7 *1349:9 4.5 +4 *1349:9 *1349:10 81.6955 +5 *1349:10 *2472:la_oenb_mprj[79] 29.293 +*END + +*D_NET *1350 0.187885 +*CONN +*I *2472:la_oenb_mprj[7] I *D mgmt_protect +*I *2478:la_oenb[7] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[7] 0.000861475 +2 *2478:la_oenb[7] 8.29983e-05 +3 *1350:10 0.00676848 +4 *1350:9 0.00590701 +5 *1350:7 0.00272575 +6 *1350:5 0.00280875 +7 *2472:la_iena_mprj[7] *2472:la_oenb_mprj[7] 0 +8 *710:11 *1350:7 0 +9 *721:7 *2472:la_oenb_mprj[7] 0 +10 *966:7 *1350:7 0 +11 *1148:10 *1350:10 0 +12 *1162:10 *1350:10 0.003828 +13 *1222:10 *1350:10 0.0821406 +14 *1244:10 *1350:10 0.0792428 +15 *1292:10 *1350:10 0.00351928 +*RES +1 *2478:la_oenb[7] *1350:5 2.05183 +2 *1350:5 *1350:7 67.4418 +3 *1350:7 *1350:9 3.36879 +4 *1350:9 *1350:10 104.306 +5 *1350:10 *2472:la_oenb_mprj[7] 23.3864 +*END + +*D_NET *1351 0.0251683 +*CONN +*I *2472:la_oenb_mprj[80] I *D mgmt_protect +*I *2478:la_oenb[80] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[80] 0.000745035 +2 *2478:la_oenb[80] 8.30061e-05 +3 *1351:10 0.00176237 +4 *1351:9 0.00101733 +5 *1351:7 0.00235692 +6 *1351:5 0.00243992 +7 *1351:7 *2472:la_oenb_mprj[91] 0.000156444 +8 *1351:10 *1352:10 0.00785602 +9 *2472:la_data_out_mprj[92] *1351:7 0 +10 *2472:la_iena_mprj[80] *2472:la_oenb_mprj[80] 0 +11 *2472:la_iena_mprj[91] *1351:7 0.0010763 +12 *709:8 *1351:10 0.00632081 +13 *711:11 *1351:7 0 +14 *712:7 *2472:la_oenb_mprj[80] 0 +15 *712:8 *1351:10 0.00027732 +16 *967:7 *1351:7 0 +17 *967:10 *1351:10 0.00102027 +18 *1219:10 *1351:10 5.65165e-05 +*RES +1 *2478:la_oenb[80] *1351:5 2.05183 +2 *1351:5 *1351:7 68.48 +3 *1351:7 *1351:9 4.5 +4 *1351:9 *1351:10 93.8968 +5 *1351:10 *2472:la_oenb_mprj[80] 23.4795 +*END + +*D_NET *1352 0.0287339 +*CONN +*I *2472:la_oenb_mprj[81] I *D mgmt_protect +*I *2478:la_oenb[81] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[81] 0.000772307 +2 *2478:la_oenb[81] 8.30061e-05 +3 *1352:10 0.00190419 +4 *1352:9 0.00113188 +5 *1352:7 0.00232962 +6 *1352:5 0.00241263 +7 *1352:7 *2472:la_oenb_mprj[93] 9.32536e-05 +8 *1352:10 *1358:20 0.000129801 +9 *2472:la_data_out_mprj[81] *2472:la_oenb_mprj[81] 0 +10 *2472:la_data_out_mprj[94] *1352:7 0 +11 *2472:la_iena_mprj[81] *2472:la_oenb_mprj[81] 0 +12 *712:8 *1352:10 0.00938895 +13 *712:11 *1352:7 0 +14 *713:7 *2472:la_oenb_mprj[81] 0 +15 *724:8 *1352:10 0.000658933 +16 *726:5 *1352:7 0.00190121 +17 *967:10 *1352:10 7.2143e-05 +18 *968:7 *1352:7 0 +19 *1351:10 *1352:10 0.00785602 +*RES +1 *2478:la_oenb[81] *1352:5 2.05183 +2 *1352:5 *1352:7 68.0647 +3 *1352:7 *1352:9 4.5 +4 *1352:9 *1352:10 104.989 +5 *1352:10 *2472:la_oenb_mprj[81] 23.8947 +*END + +*D_NET *1353 0.0196463 +*CONN +*I *2472:la_oenb_mprj[82] I *D mgmt_protect +*I *2478:la_oenb[82] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[82] 0.000909876 +2 *2478:la_oenb[82] 8.30061e-05 +3 *1353:10 0.00449486 +4 *1353:9 0.00358498 +5 *1353:7 0.00192397 +6 *1353:5 0.00200697 +7 *1353:7 *2472:la_oenb_mprj[96] 0 +8 *1353:10 *1365:16 2.60015e-05 +9 *1353:10 *1367:16 4.95977e-05 +10 *2472:la_data_out_mprj[83] *2472:la_oenb_mprj[82] 0 +11 *2472:la_data_out_mprj[96] *1353:7 0.000704506 +12 *2472:la_iena_mprj[82] *2472:la_oenb_mprj[82] 0 +13 *2472:la_iena_mprj[96] *1353:7 0.000715752 +14 *711:8 *1353:10 0.00275944 +15 *713:8 *1353:10 0.000909351 +16 *713:11 *1353:7 0 +17 *714:7 *2472:la_oenb_mprj[82] 0.00135413 +18 *962:7 *2472:la_oenb_mprj[82] 0.000123893 +19 *969:7 *1353:7 0 +20 *1225:10 *1353:10 0 +*RES +1 *2478:la_oenb[82] *1353:5 2.05183 +2 *1353:5 *1353:7 58.5139 +3 *1353:7 *1353:9 4.5 +4 *1353:9 *1353:10 115.526 +5 *1353:10 *2472:la_oenb_mprj[82] 35.3019 +*END + +*D_NET *1354 0.0336903 +*CONN +*I *2472:la_oenb_mprj[83] I *D mgmt_protect +*I *2478:la_oenb[83] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[83] 0.000909673 +2 *2478:la_oenb[83] 8.30061e-05 +3 *1354:10 0.00228166 +4 *1354:9 0.00137199 +5 *1354:7 0.0020536 +6 *1354:5 0.0021366 +7 *1354:7 *2472:la_oenb_mprj[98] 0.00197704 +8 *1354:10 *1358:16 0.00060672 +9 *2472:la_iena_mprj[83] *2472:la_oenb_mprj[83] 0 +10 *2472:la_iena_mprj[98] *1354:7 0.000587734 +11 *714:8 *1354:10 0.000194684 +12 *714:11 *1354:7 0 +13 *715:7 *2472:la_oenb_mprj[83] 0 +14 *715:8 *1354:10 0.000108598 +15 *969:10 *1354:10 0.0104549 +16 *1226:10 *1354:10 0.0109241 +*RES +1 *2478:la_oenb[83] *1354:5 2.05183 +2 *1354:5 *1354:7 65.158 +3 *1354:7 *1354:9 4.5 +4 *1354:9 *1354:10 128.282 +5 *1354:10 *2472:la_oenb_mprj[83] 26.8015 +*END + +*D_NET *1355 0.0286488 +*CONN +*I *2472:la_oenb_mprj[84] I *D mgmt_protect +*I *2478:la_oenb[84] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[84] 0.00216326 +2 *2478:la_oenb[84] 0.000936695 +3 *1355:12 0.00216326 +4 *1355:10 0.00271939 +5 *1355:9 0.00365608 +6 *1355:10 *1368:16 0.00372683 +7 *2472:la_data_out_mprj[84] *2472:la_oenb_mprj[84] 0 +8 *2472:la_iena_mprj[84] *2472:la_oenb_mprj[84] 0 +9 *716:7 *2472:la_oenb_mprj[84] 0 +10 *963:7 *2472:la_oenb_mprj[84] 0 +11 *971:9 *1355:9 0 +12 *972:10 *1355:10 0.000443728 +13 *1229:10 *1355:10 0.0120591 +14 *1347:7 *2472:la_oenb_mprj[84] 0.000780411 +*RES +1 *2478:la_oenb[84] *1355:9 28.0839 +2 *1355:9 *1355:10 142.702 +3 *1355:10 *1355:12 4.5 +4 *1355:12 *2472:la_oenb_mprj[84] 64.242 +*END + +*D_NET *1356 0.0387651 +*CONN +*I *2472:la_oenb_mprj[85] I *D mgmt_protect +*I *2478:la_oenb[85] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[85] 0.00179757 +2 *2478:la_oenb[85] 0.00108571 +3 *1356:12 0.00179757 +4 *1356:10 0.00119564 +5 *1356:9 0.00228135 +6 *1356:10 *1371:12 0.000111178 +7 *2472:la_iena_mprj[85] *2472:la_oenb_mprj[85] 0 +8 *2478:la_input[85] *1356:9 0 +9 *717:7 *2472:la_oenb_mprj[85] 0 +10 *972:9 *1356:9 0 +11 *972:10 *1356:10 0.00041958 +12 *973:10 *1356:10 0.0139388 +13 *1220:7 *2472:la_oenb_mprj[85] 0.00278322 +14 *1228:10 *1356:10 0.0133546 +*RES +1 *2478:la_oenb[85] *1356:9 31.4303 +2 *1356:9 *1356:10 151.576 +3 *1356:10 *1356:12 4.5 +4 *1356:12 *2472:la_oenb_mprj[85] 62.5809 +*END + +*D_NET *1357 0.0395907 +*CONN +*I *2472:la_oenb_mprj[86] I *D mgmt_protect +*I *2478:la_oenb[86] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[86] 0.000947287 +2 *2478:la_oenb[86] 0.0013587 +3 *1357:16 0.00256746 +4 *1357:15 0.00296561 +5 *1357:12 0.00157068 +6 *1357:9 0.00158394 +7 *1357:9 *1363:15 0.00041536 +8 *1357:12 *1370:12 0.000173271 +9 *1357:15 *1358:15 0 +10 *1357:16 *1360:16 0.000416163 +11 *2472:la_data_out_mprj[102] *1357:15 5.41467e-05 +12 *2472:la_iena_mprj[86] *2472:la_oenb_mprj[86] 0 +13 *2472:la_oenb_mprj[102] *1357:15 0 +14 *2478:la_input[86] *1357:9 0 +15 *612:5 *1357:9 0 +16 *715:8 *1357:16 0.00020979 +17 *718:7 *2472:la_oenb_mprj[86] 0 +18 *723:8 *1357:16 0.00910478 +19 *731:8 *1357:16 0 +20 *965:10 *1357:16 9.41997e-05 +21 *973:9 *1357:9 0 +22 *983:10 *1357:12 0.00272839 +23 *1119:10 *1357:16 4.37921e-05 +24 *1227:10 *1357:16 0.0104613 +25 *1241:10 *1357:12 0.00272419 +26 *1348:7 *2472:la_oenb_mprj[86] 0.000152985 +27 *1349:10 *1357:16 0.00201868 +*RES +1 *2478:la_oenb[86] *1357:9 37.6591 +2 *1357:9 *1357:12 33.5082 +3 *1357:12 *1357:15 36.6454 +4 *1357:15 *1357:16 134.937 +5 *1357:16 *2472:la_oenb_mprj[86] 28.8777 +*END + +*D_NET *1358 0.0398388 +*CONN +*I *2472:la_oenb_mprj[87] I *D mgmt_protect +*I *2478:la_oenb[87] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[87] 0.000795701 +2 *2478:la_oenb[87] 0.00116302 +3 *1358:20 0.0017779 +4 *1358:18 0.00103206 +5 *1358:16 0.000642292 +6 *1358:15 0.00189776 +7 *1358:10 0.00177467 +8 *1358:9 0.00163236 +9 *1358:10 *1359:10 0.00311683 +10 *2472:la_data_out_mprj[102] *1358:15 0 +11 *2472:la_iena_mprj[87] *2472:la_oenb_mprj[87] 0 +12 *2472:la_oenb_mprj[101] *1358:15 0.000603266 +13 *2478:la_input[87] *1358:9 0 +14 *614:5 *1358:9 0.000388524 +15 *712:8 *1358:20 0.0046198 +16 *715:8 *1358:16 1.40453e-05 +17 *719:7 *2472:la_oenb_mprj[87] 0 +18 *724:8 *1358:16 0 +19 *724:8 *1358:20 0.000264175 +20 *728:8 *1358:16 0.000193373 +21 *729:8 *1358:16 0.00401097 +22 *731:8 *1358:16 0.00240557 +23 *968:10 *1358:20 0.00538192 +24 *969:10 *1358:16 0.000785032 +25 *969:10 *1358:20 0.000190399 +26 *974:9 *1358:9 0 +27 *1235:10 *1358:10 0.000854233 +28 *1242:10 *1358:10 0.00500935 +29 *1243:15 *1358:9 0.000389717 +30 *1246:12 *1358:10 0.000159297 +31 *1352:10 *1358:20 0.000129801 +32 *1354:10 *1358:16 0.00060672 +33 *1357:15 *1358:15 0 +*RES +1 *2478:la_oenb[87] *1358:9 36.4134 +2 *1358:9 *1358:10 53.4107 +3 *1358:10 *1358:15 45.2979 +4 *1358:15 *1358:16 51.7469 +5 *1358:16 *1358:18 1.39857 +6 *1358:18 *1358:20 70.6034 +7 *1358:20 *2472:la_oenb_mprj[87] 24.7252 +*END + +*D_NET *1359 0.0328236 +*CONN +*I *2472:la_oenb_mprj[88] I *D mgmt_protect +*I *2478:la_oenb[88] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[88] 0.000616447 +2 *2478:la_oenb[88] 0.00129407 +3 *1359:16 0.0048582 +4 *1359:15 0.00424175 +5 *1359:13 0.00182322 +6 *1359:10 0.00227605 +7 *1359:9 0.0017469 +8 *2472:la_data_out_mprj[104] *1359:13 5.05252e-05 +9 *2472:la_iena_mprj[104] *1359:13 6.97064e-05 +10 *2472:la_iena_mprj[88] *2472:la_oenb_mprj[88] 0 +11 *2472:la_oenb_mprj[103] *1359:13 0 +12 *2472:la_oenb_mprj[104] *1359:13 0 +13 *2478:la_input[88] *1359:9 0 +14 *607:8 *1359:16 0.00263889 +15 *609:8 *1359:16 0.00104186 +16 *610:7 *1359:13 0 +17 *617:5 *1359:9 0 +18 *618:5 *1359:9 0 +19 *720:7 *2472:la_oenb_mprj[88] 0 +20 *724:8 *1359:16 0.00038789 +21 *975:9 *1359:9 0 +22 *1224:10 *1359:16 0.00140301 +23 *1235:10 *1359:10 0.0049942 +24 *1242:10 *1359:10 1.15389e-05 +25 *1243:10 *1359:10 0.00184072 +26 *1246:12 *1359:10 0.00010238 +27 *1247:15 *1359:9 0.000309381 +28 *1358:10 *1359:10 0.00311683 +*RES +1 *2478:la_oenb[88] *1359:9 35.9981 +2 *1359:9 *1359:10 53.4107 +3 *1359:10 *1359:13 46.1962 +4 *1359:13 *1359:15 4.5 +5 *1359:15 *1359:16 133.828 +6 *1359:16 *2472:la_oenb_mprj[88] 20.988 +*END + +*D_NET *1360 0.0442492 +*CONN +*I *2472:la_oenb_mprj[89] I *D mgmt_protect +*I *2478:la_oenb[89] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[89] 0.00103235 +2 *2478:la_oenb[89] 0.000979315 +3 *1360:16 0.00229667 +4 *1360:15 0.00301716 +5 *1360:10 0.00269298 +6 *1360:9 0.00191945 +7 *1360:10 *1362:10 0.0058648 +8 *1360:10 *1363:10 0.000339026 +9 *2472:la_iena_mprj[105] *1360:15 0 +10 *2472:la_iena_mprj[89] *2472:la_oenb_mprj[89] 0 +11 *2478:la_input[86] *1360:15 0 +12 *2478:la_input[89] *1360:9 0 +13 *620:5 *1360:9 0.000315176 +14 *713:8 *1360:16 0 +15 *722:7 *2472:la_oenb_mprj[89] 0 +16 *722:8 *1360:16 0.0120208 +17 *723:8 *1360:16 0.0112542 +18 *965:10 *1360:16 6.50586e-05 +19 *973:10 *1360:10 0.000116943 +20 *976:9 *1360:9 0 +21 *1247:16 *1360:10 0.00191915 +22 *1357:16 *1360:16 0.000416163 +*RES +1 *2478:la_oenb[89] *1360:9 30.038 +2 *1360:9 *1360:10 67.8304 +3 *1360:10 *1360:15 47.3742 +4 *1360:15 *1360:16 131.61 +5 *1360:16 *2472:la_oenb_mprj[89] 29.7083 +*END + +*D_NET *1361 0.161333 +*CONN +*I *2472:la_oenb_mprj[8] I *D mgmt_protect +*I *2478:la_oenb[8] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[8] 0.00283044 +2 *2478:la_oenb[8] 0.00132694 +3 *1361:12 0.00283044 +4 *1361:10 0.00527555 +5 *1361:9 0.00660249 +6 *2472:la_data_out_mprj[9] *2472:la_oenb_mprj[8] 0 +7 *2472:la_iena_mprj[8] *2472:la_oenb_mprj[8] 0 +8 *2478:la_input[45] *2472:la_oenb_mprj[8] 0.000173926 +9 *677:14 *1361:10 3.40535e-05 +10 *721:11 *1361:9 0 +11 *732:7 *2472:la_oenb_mprj[8] 0 +12 *955:10 *1361:10 0.068472 +13 *977:9 *1361:9 0 +14 *977:10 *1361:10 0.00083916 +15 *988:10 *1361:10 0.0681067 +16 *1179:10 *1361:10 0.000185163 +17 *1295:10 *1361:10 0.00393788 +18 *1311:10 *1361:10 0.000598598 +19 *1339:10 *1361:10 0.000119292 +*RES +1 *2478:la_oenb[8] *1361:9 37.6591 +2 *1361:9 *1361:10 744.447 +3 *1361:10 *1361:12 4.5 +4 *1361:12 *2472:la_oenb_mprj[8] 56.3522 +*END + +*D_NET *1362 0.0462368 +*CONN +*I *2472:la_oenb_mprj[90] I *D mgmt_protect +*I *2478:la_oenb[90] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[90] 0.00106838 +2 *2478:la_oenb[90] 0.00110524 +3 *1362:16 0.00230497 +4 *1362:15 0.0022701 +5 *1362:10 0.00226267 +6 *1362:9 0.00233439 +7 *1362:10 *1363:10 0.000113651 +8 *1362:10 *1365:10 0.00279002 +9 *1362:15 *1363:15 0.0020274 +10 *1362:16 *1363:16 0.0111035 +11 *2472:la_data_out_mprj[91] *2472:la_oenb_mprj[90] 0 +12 *2472:la_iena_mprj[105] *1362:15 0.000487195 +13 *2472:la_iena_mprj[90] *2472:la_oenb_mprj[90] 0 +14 *2472:la_oenb_mprj[105] *1362:15 7.77309e-06 +15 *2478:la_input[86] *1362:15 0 +16 *713:8 *1362:16 0.000143231 +17 *722:8 *1362:16 0.0119275 +18 *722:11 *1362:9 0 +19 *723:7 *2472:la_oenb_mprj[90] 0 +20 *973:10 *1362:10 0.000232907 +21 *978:9 *1362:9 0 +22 *1231:10 *1362:10 0.000193117 +23 *1360:10 *1362:10 0.0058648 +*RES +1 *2478:la_oenb[90] *1362:9 31.0151 +2 *1362:9 *1362:10 82.2501 +3 *1362:10 *1362:15 46.1284 +4 *1362:15 *1362:16 128.282 +5 *1362:16 *2472:la_oenb_mprj[90] 30.5388 +*END + +*D_NET *1363 0.0435152 +*CONN +*I *2472:la_oenb_mprj[91] I *D mgmt_protect +*I *2478:la_oenb[91] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[91] 0.0010364 +2 *2478:la_oenb[91] 0.00100224 +3 *1363:16 0.0022637 +4 *1363:15 0.00221526 +5 *1363:10 0.00337641 +6 *1363:9 0.00339068 +7 *1363:10 *1365:10 0 +8 *1363:10 *1368:16 0.000317707 +9 *1363:16 *1365:16 0.00956833 +10 *2472:la_data_out_mprj[92] *2472:la_oenb_mprj[91] 0 +11 *2472:la_iena_mprj[91] *2472:la_oenb_mprj[91] 0 +12 *2472:la_oenb_mprj[105] *1363:15 0.000794212 +13 *2472:la_oenb_mprj[117] *1363:9 7.06274e-05 +14 *2478:la_input[86] *1363:15 0 +15 *612:5 *1363:15 0 +16 *613:8 *1363:10 0.00132561 +17 *713:8 *1363:16 0.00038227 +18 *722:8 *1363:16 1.65872e-05 +19 *723:11 *1363:9 0 +20 *724:7 *2472:la_oenb_mprj[91] 0 +21 *979:9 *1363:9 0 +22 *1243:16 *1363:10 0.00203157 +23 *1247:16 *1363:10 0.00156814 +24 *1351:7 *2472:la_oenb_mprj[91] 0.000156444 +25 *1357:9 *1363:15 0.00041536 +26 *1360:10 *1363:10 0.000339026 +27 *1362:10 *1363:10 0.000113651 +28 *1362:15 *1363:15 0.0020274 +29 *1362:16 *1363:16 0.0111035 +*RES +1 *2478:la_oenb[91] *1363:9 28.9388 +2 *1363:9 *1363:10 102.216 +3 *1363:10 *1363:15 47.7894 +4 *1363:15 *1363:16 119.963 +5 *1363:16 *2472:la_oenb_mprj[91] 30.954 +*END + +*D_NET *1364 0.0406836 +*CONN +*I *2472:la_oenb_mprj[92] I *D mgmt_protect +*I *2478:la_oenb[92] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[92] 0.00153087 +2 *2478:la_oenb[92] 0.00180929 +3 *1364:12 0.00153087 +4 *1364:10 0.00555321 +5 *1364:9 0.0073625 +6 *2472:la_iena_mprj[92] *2472:la_oenb_mprj[92] 0 +7 *724:11 *1364:9 0 +8 *725:5 *2472:la_oenb_mprj[92] 0 +9 *725:8 *1364:10 0.00021369 +10 *979:10 *1364:10 0.0205173 +11 *1224:7 *2472:la_oenb_mprj[92] 0.00190782 +12 *1236:10 *1364:10 0.000258087 +13 *1249:10 *1364:10 0 +*RES +1 *2478:la_oenb[92] *1364:9 44.3031 +2 *1364:9 *1364:10 233.657 +3 *1364:10 *1364:12 4.5 +4 *1364:12 *2472:la_oenb_mprj[92] 49.7081 +*END + +*D_NET *1365 0.0398562 +*CONN +*I *2472:la_oenb_mprj[93] I *D mgmt_protect +*I *2478:la_oenb[93] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[93] 0.00102371 +2 *2478:la_oenb[93] 0.00114813 +3 *1365:16 0.00274494 +4 *1365:15 0.00283209 +5 *1365:10 0.00355535 +6 *1365:9 0.00359262 +7 *1365:10 *1367:10 0.00464251 +8 *1365:15 *1367:15 0.00030546 +9 *1365:16 *1367:16 0 +10 *1365:16 *1369:16 0.00335258 +11 *2472:la_data_out_mprj[107] *1365:15 0.00110887 +12 *2472:la_iena_mprj[107] *1365:15 0.000360628 +13 *2472:la_iena_mprj[93] *2472:la_oenb_mprj[93] 0 +14 *2472:la_oenb_mprj[122] *1365:9 0 +15 *2478:la_input[87] *1365:15 0 +16 *2478:la_input[93] *1365:9 0 +17 *712:11 *2472:la_oenb_mprj[93] 8.75713e-05 +18 *713:8 *1365:16 0.000683081 +19 *722:8 *1365:16 0.000316073 +20 *726:5 *2472:la_oenb_mprj[93] 0 +21 *981:9 *1365:9 0 +22 *1230:9 *1365:15 9.60903e-06 +23 *1231:10 *1365:10 0.0016154 +24 *1251:10 *1365:10 0 +25 *1352:7 *2472:la_oenb_mprj[93] 9.32536e-05 +26 *1353:10 *1365:16 2.60015e-05 +27 *1362:10 *1365:10 0.00279002 +28 *1363:10 *1365:10 0 +29 *1363:16 *1365:16 0.00956833 +*RES +1 *2478:la_oenb[93] *1365:9 31.8456 +2 *1365:9 *1365:10 128.837 +3 *1365:10 *1365:15 44.4674 +4 *1365:15 *1365:16 116.636 +5 *1365:16 *2472:la_oenb_mprj[93] 31.3693 +*END + +*D_NET *1366 0.0588076 +*CONN +*I *2472:la_oenb_mprj[94] I *D mgmt_protect +*I *2478:la_oenb[94] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[94] 0.00189759 +2 *2478:la_oenb[94] 0.00141176 +3 *1366:12 0.00189759 +4 *1366:10 0.00208354 +5 *1366:9 0.0034953 +6 *2472:la_iena_mprj[94] *2472:la_oenb_mprj[94] 0 +7 *2472:la_oenb_mprj[124] *1366:9 0.000913233 +8 *2478:la_input[94] *1366:9 0 +9 *727:5 *2472:la_oenb_mprj[94] 0 +10 *968:7 *2472:la_oenb_mprj[94] 0.000571834 +11 *980:10 *1366:10 0.0209895 +12 *981:10 *1366:10 0.0227672 +13 *982:9 *1366:9 0 +14 *982:10 *1366:10 0.000264727 +15 *985:12 *1366:10 0.000235008 +16 *1121:10 *1366:10 0.000851028 +17 *1239:10 *1366:10 0.00142928 +*RES +1 *2478:la_oenb[94] *1366:9 40.5659 +2 *1366:9 *1366:10 257.505 +3 *1366:10 *1366:12 4.5 +4 *1366:12 *2472:la_oenb_mprj[94] 53.4454 +*END + +*D_NET *1367 0.0440454 +*CONN +*I *2472:la_oenb_mprj[95] I *D mgmt_protect +*I *2478:la_oenb[95] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[95] 0.00104032 +2 *2478:la_oenb[95] 0.00121326 +3 *1367:16 0.00476978 +4 *1367:15 0.00464926 +5 *1367:10 0.00317988 +6 *1367:9 0.00347334 +7 *1367:10 *1369:10 0.0145309 +8 *1367:10 *1371:12 0.000220514 +9 *1367:16 *1369:16 0 +10 *2472:la_data_out_mprj[107] *1367:15 0.000888202 +11 *2472:la_data_out_mprj[96] *2472:la_oenb_mprj[95] 0 +12 *2472:la_iena_mprj[95] *2472:la_oenb_mprj[95] 0 +13 *2478:la_input[95] *1367:9 0 +14 *613:5 *1367:15 0.000464812 +15 *634:8 *1367:10 0 +16 *713:11 *2472:la_oenb_mprj[95] 5.82975e-05 +17 *728:7 *2472:la_oenb_mprj[95] 0.00122938 +18 *864:16 *1367:16 0.000250133 +19 *974:10 *1367:10 0.0012501 +20 *983:9 *1367:9 0 +21 *1230:9 *1367:15 7.09666e-06 +22 *1231:10 *1367:10 0.00171937 +23 *1234:10 *1367:10 0.000103234 +24 *1251:10 *1367:10 0 +25 *1270:10 *1367:10 0 +26 *1353:10 *1367:16 4.95977e-05 +27 *1365:10 *1367:10 0.00464251 +28 *1365:15 *1367:15 0.00030546 +29 *1365:16 *1367:16 0 +*RES +1 *2478:la_oenb[95] *1367:9 32.6761 +2 *1367:9 *1367:10 170.432 +3 *1367:10 *1367:15 39.8997 +4 *1367:15 *1367:16 96.6698 +5 *1367:16 *2472:la_oenb_mprj[95] 36.963 +*END + +*D_NET *1368 0.0636085 +*CONN +*I *2472:la_oenb_mprj[96] I *D mgmt_protect +*I *2478:la_oenb[96] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[96] 0.00240441 +2 *2478:la_oenb[96] 0.00136631 +3 *1368:18 0.00240441 +4 *1368:16 0.0011228 +5 *1368:15 0.00150155 +6 *1368:10 0.00184524 +7 *1368:9 0.0028328 +8 *1368:10 *1370:12 0.00115772 +9 *2472:la_data_out_mprj[97] *2472:la_oenb_mprj[96] 0 +10 *2472:la_iena_mprj[96] *2472:la_oenb_mprj[96] 0 +11 *612:5 *1368:15 0.000397835 +12 *728:11 *1368:9 0 +13 *729:7 *2472:la_oenb_mprj[96] 0 +14 *730:11 *1368:9 0.00046086 +15 *863:10 *1368:10 0.000838111 +16 *969:7 *2472:la_oenb_mprj[96] 0.000681256 +17 *973:9 *1368:15 0 +18 *983:10 *1368:10 0.017454 +19 *984:9 *1368:9 0 +20 *1229:10 *1368:16 0.000151531 +21 *1241:10 *1368:10 0.0189152 +22 *1242:10 *1368:10 0.000279817 +23 *1243:16 *1368:16 0.00223788 +24 *1247:16 *1368:16 0.00351212 +25 *1353:7 *2472:la_oenb_mprj[96] 0 +26 *1355:10 *1368:16 0.00372683 +27 *1363:10 *1368:16 0.000317707 +*RES +1 *2478:la_oenb[96] *1368:9 37.6591 +2 *1368:9 *1368:10 200.381 +3 *1368:10 *1368:15 17.476 +4 *1368:15 *1368:16 80.5863 +5 *1368:16 *1368:18 4.5 +6 *1368:18 *2472:la_oenb_mprj[96] 64.6572 +*END + +*D_NET *1369 0.0473394 +*CONN +*I *2472:la_oenb_mprj[97] I *D mgmt_protect +*I *2478:la_oenb[97] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[97] 0.000746694 +2 *2478:la_oenb[97] 0.00125287 +3 *1369:16 0.00280049 +4 *1369:15 0.00300344 +5 *1369:10 0.00534635 +6 *1369:9 0.00564958 +7 *1369:9 *2472:mprj_adr_o_core[3] 0 +8 *1369:9 *1674:5 0 +9 *1369:10 *1371:12 0.000224455 +10 *1369:10 *1592:24 0 +11 *1369:10 *1603:10 3.58963e-05 +12 *2472:la_data_out_mprj[109] *1369:15 0.000316275 +13 *2472:la_data_out_mprj[98] *2472:la_oenb_mprj[97] 0 +14 *2472:la_iena_mprj[109] *1369:15 0 +15 *615:5 *1369:15 0.00184111 +16 *634:8 *1369:10 0 +17 *714:11 *2472:la_oenb_mprj[97] 0 +18 *722:8 *1369:16 8.46377e-05 +19 *729:11 *1369:9 0 +20 *730:7 *2472:la_oenb_mprj[97] 0 +21 *864:16 *1369:16 0.00240627 +22 *978:10 *1369:10 9.12806e-05 +23 *1234:10 *1369:10 0.00402611 +24 *1235:10 *1369:10 0 +25 *1241:13 *2472:la_oenb_mprj[97] 0.0016305 +26 *1247:10 *1369:10 0 +27 *1365:16 *1369:16 0.00335258 +28 *1367:10 *1369:10 0.0145309 +29 *1367:16 *1369:16 0 +*RES +1 *2478:la_oenb[97] *1369:9 33.0913 +2 *1369:9 *1369:10 194.835 +3 *1369:10 *1369:15 42.3912 +4 *1369:15 *1369:16 97.2244 +5 *1369:16 *2472:la_oenb_mprj[97] 32.1998 +*END + +*D_NET *1370 0.0854548 +*CONN +*I *2472:la_oenb_mprj[98] I *D mgmt_protect +*I *2478:la_oenb[98] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[98] 0.00185192 +2 *2478:la_oenb[98] 0.00143985 +3 *1370:12 0.00618152 +4 *1370:9 0.00576945 +5 *1370:9 *1676:5 4.38172e-05 +6 *2472:la_data_out_mprj[99] *2472:la_oenb_mprj[98] 0 +7 *2472:la_iena_mprj[98] *2472:la_oenb_mprj[98] 0 +8 *609:14 *1370:12 0.000212637 +9 *731:7 *2472:la_oenb_mprj[98] 0 +10 *986:9 *1370:9 0 +11 *986:12 *1370:12 0.0329032 +12 *987:12 *1370:12 0.0324049 +13 *1241:10 *1370:12 0.0013395 +14 *1354:7 *2472:la_oenb_mprj[98] 0.00197704 +15 *1357:12 *1370:12 0.000173271 +16 *1368:10 *1370:12 0.00115772 +*RES +1 *2478:la_oenb[98] *1370:9 35.1966 +2 *1370:9 *1370:12 45.4883 +3 *1370:12 *2472:la_oenb_mprj[98] 56.5598 +*END + +*D_NET *1371 0.0707426 +*CONN +*I *2472:la_oenb_mprj[99] I *D mgmt_protect +*I *2478:la_oenb[99] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[99] 0.00226909 +2 *2478:la_oenb[99] 0.00123515 +3 *1371:12 0.0153532 +4 *1371:9 0.0143192 +5 *1371:12 *1592:24 0.000107179 +6 *1371:12 *1603:10 0.000114491 +7 *1371:12 *1606:10 0.000127812 +8 *1371:12 *1674:8 0.00012426 +9 *2472:la_iena_mprj[99] *2472:la_oenb_mprj[99] 0 +10 *606:7 *2472:la_oenb_mprj[99] 0 +11 *634:8 *1371:12 0.000102215 +12 *731:11 *1371:9 0 +13 *973:10 *1371:12 0.000477891 +14 *974:10 *1371:12 0.000477891 +15 *987:9 *1371:9 0 +16 *987:12 *1371:12 9.74681e-05 +17 *1118:12 *1371:12 0.033113 +18 *1227:7 *2472:la_oenb_mprj[99] 0.000920759 +19 *1228:10 *1371:12 0.000102438 +20 *1230:10 *1371:12 0.000622192 +21 *1231:10 *1371:12 0.000622192 +22 *1356:10 *1371:12 0.000111178 +23 *1367:10 *1371:12 0.000220514 +24 *1369:10 *1371:12 0.000224455 +*RES +1 *2478:la_oenb[99] *1371:9 31.3372 +2 *1371:9 *1371:12 46.8651 +3 *1371:12 *2472:la_oenb_mprj[99] 61.5428 +*END + +*D_NET *1372 0.124369 +*CONN +*I *2472:la_oenb_mprj[9] I *D mgmt_protect +*I *2478:la_oenb[9] O *D mgmt_core_wrapper +*CAP +1 *2472:la_oenb_mprj[9] 0.000643385 +2 *2478:la_oenb[9] 8.29983e-05 +3 *1372:10 0.0172567 +4 *1372:9 0.0166134 +5 *1372:7 0.00291215 +6 *1372:5 0.00299515 +7 *2472:la_iena_mprj[9] *2472:la_oenb_mprj[9] 0 +8 *616:7 *2472:la_oenb_mprj[9] 0 +9 *732:11 *1372:7 0 +10 *910:10 *1372:10 0.00286711 +11 *988:9 *1372:7 0 +12 *1128:10 *1372:10 0.000480973 +13 *1244:10 *1372:10 0.000268945 +14 *1256:10 *1372:10 0.077612 +15 *1297:10 *1372:10 0.00263589 +*RES +1 *2478:la_oenb[9] *1372:5 2.05183 +2 *1372:5 *1372:7 70.7639 +3 *1372:7 *1372:9 3.36879 +4 *1372:9 *1372:10 101.093 +5 *1372:10 *2472:la_oenb_mprj[9] 20.0644 +*END + +*D_NET *1373 0.0611022 +*CONN +*I *2473:la_oenb[0] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[0] O *D mgmt_protect +*CAP +1 *2473:la_oenb[0] 0.00261458 +2 *2472:la_oenb_core[0] 0.00105537 +3 *1373:10 0.00261458 +4 *1373:8 0.00305513 +5 *1373:7 0.0041105 +6 *2473:la_oenb[0] *1648:7 0.00024398 +7 *1373:8 *1613:8 0.000385391 +8 *1373:8 *1632:8 0 +9 *1373:8 *1638:8 3.91975e-05 +10 *1373:8 *1681:8 5.03285e-05 +11 *1373:8 *1697:8 0.000505953 +12 *1373:8 *1699:8 0 +13 *1373:8 *1701:8 0 +14 *1373:8 *1758:8 0.0182208 +15 *1373:8 *1762:8 6.58943e-05 +16 *1373:8 *1764:8 0 +17 *2472:la_data_out_core[0] *1373:7 0 +18 *733:7 *1373:7 0 +19 *733:13 *1373:8 0.00284978 +20 *772:5 *1373:7 0 +21 *794:11 *1373:7 7.75059e-05 +22 *794:11 *1373:8 0 +23 *1028:8 *1373:8 0.0252132 +*RES +1 *2472:la_oenb_core[0] *1373:7 33.8608 +2 *1373:7 *1373:8 283.017 +3 *1373:8 *1373:10 4.5 +4 *1373:10 *2473:la_oenb[0] 54.6912 +*END + +*D_NET *1374 0.227273 +*CONN +*I *2473:la_oenb[100] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[100] O *D mgmt_protect +*CAP +1 *2473:la_oenb[100] 0.00229285 +2 *2472:la_oenb_core[100] 0.00159628 +3 *1374:10 0.00229285 +4 *1374:8 0.00702759 +5 *1374:7 0.00862388 +6 *1374:8 *1376:8 0.000206147 +7 *1374:8 *1378:8 0.0967987 +8 *1374:8 *1380:8 0.00926612 +9 *1374:8 *1499:8 0.0978286 +10 *2472:la_data_out_core[100] *1374:7 0 +11 *734:7 *1374:7 0 +12 *735:7 *1374:7 0 +13 *1063:5 *1374:7 0 +14 *1065:8 *1374:8 0.00134021 +*RES +1 *2472:la_oenb_core[100] *1374:7 37.598 +2 *1374:7 *1374:8 1049.48 +3 *1374:8 *1374:10 4.5 +4 *1374:10 *2473:la_oenb[100] 50.9539 +*END + +*D_NET *1375 0.231197 +*CONN +*I *2473:la_oenb[101] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[101] O *D mgmt_protect +*CAP +1 *2473:la_oenb[101] 0.0014943 +2 *2472:la_oenb_core[101] 0.00172099 +3 *1375:8 0.00849619 +4 *1375:7 0.00700188 +5 *1375:5 0.00172099 +6 *1375:8 *1377:8 0.0993943 +7 *1375:8 *1379:8 0.000141029 +8 *1375:8 *1448:14 0.000634418 +9 *1375:8 *1468:10 1.55995e-05 +10 *1375:8 *1472:10 0.0459911 +11 *1375:8 *1492:14 1.41689e-05 +12 *1375:8 *1498:18 0.00642687 +13 *2473:la_data_in[52] *1375:5 0.000686056 +14 *736:7 *1375:5 0 +15 *991:11 *1375:5 0.00258673 +16 *1014:8 *1375:8 0.0548721 +*RES +1 *2472:la_oenb_core[101] *1375:5 50.9539 +2 *1375:5 *1375:7 4.5 +3 *1375:7 *1375:8 1062.79 +4 *1375:8 *2473:la_oenb[101] 37.598 +*END + +*D_NET *1376 0.272661 +*CONN +*I *2473:la_oenb[102] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[102] O *D mgmt_protect +*CAP +1 *2473:la_oenb[102] 0.00226263 +2 *2472:la_oenb_core[102] 0.0016287 +3 *1376:10 0.00226263 +4 *1376:8 0.0125647 +5 *1376:7 0.0141934 +6 *1376:8 *1380:8 0.113597 +7 *1376:8 *1499:8 0.010549 +8 *2472:la_data_out_core[102] *1376:7 0 +9 *737:7 *1376:7 0 +10 *1103:8 *1376:8 0 +11 *1112:8 *1376:8 0.00841036 +12 *1114:8 *1376:8 0.106987 +13 *1374:8 *1376:8 0.000206147 +*RES +1 *2472:la_oenb_core[102] *1376:7 36.6745 +2 *1376:7 *1376:8 148.517 +3 *1376:8 *1376:10 3.36879 +4 *1376:10 *2473:la_oenb[102] 50.7463 +*END + +*D_NET *1377 0.231196 +*CONN +*I *2473:la_oenb[103] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[103] O *D mgmt_protect +*CAP +1 *2473:la_oenb[103] 0.00150075 +2 *2472:la_oenb_core[103] 0.00196723 +3 *1377:8 0.00888686 +4 *1377:7 0.00738612 +5 *1377:5 0.00196723 +6 *1377:8 *1379:8 0.000305243 +7 *1377:8 *1381:16 0.0957542 +8 *1377:8 *1468:10 0.00315438 +9 *1377:8 *1468:16 0.000423922 +10 *1377:8 *1468:18 8.66138e-05 +11 *738:7 *1377:5 0 +12 *993:11 *1377:5 0.00255694 +13 *1014:8 *1377:8 0.00182431 +14 *1115:8 *1377:8 0.00598824 +15 *1375:8 *1377:8 0.0993943 +*RES +1 *2472:la_oenb_core[103] *1377:5 50.5386 +2 *1377:5 *1377:7 4.5 +3 *1377:7 *1377:8 1089.41 +4 *1377:8 *2473:la_oenb[103] 38.0133 +*END + +*D_NET *1378 0.236042 +*CONN +*I *2473:la_oenb[104] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[104] O *D mgmt_protect +*CAP +1 *2473:la_oenb[104] 0.00227303 +2 *2472:la_oenb_core[104] 0.00151437 +3 *1378:10 0.00227303 +4 *1378:8 0.00828916 +5 *1378:7 0.00980353 +6 *1378:8 *1380:8 0.011271 +7 *1378:8 *1382:8 0.101957 +8 *2472:la_data_out_core[104] *1378:7 0 +9 *2473:la_data_in[53] *1378:7 0.000227718 +10 *739:7 *1378:7 0 +11 *1065:8 *1378:8 0.000538827 +12 *1067:10 *1378:8 0.00109633 +13 *1374:8 *1378:8 0.0967987 +*RES +1 *2472:la_oenb_core[104] *1378:7 37.1828 +2 *1378:7 *1378:8 1103.28 +3 *1378:8 *1378:10 4.5 +4 *1378:10 *2473:la_oenb[104] 51.3691 +*END + +*D_NET *1379 0.283057 +*CONN +*I *2473:la_oenb[105] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[105] O *D mgmt_protect +*CAP +1 *2473:la_oenb[105] 0.00156779 +2 *2472:la_oenb_core[105] 0.00256779 +3 *1379:8 0.020881 +4 *1379:7 0.0193132 +5 *1379:5 0.00256779 +6 *1379:8 *1381:16 0.000579822 +7 *1379:8 *1383:16 0.00730115 +8 *1379:8 *1386:16 0.000110257 +9 *1379:8 *1387:12 0.000101365 +10 *1379:8 *1391:8 0.111586 +11 *1379:8 *1450:14 0.000157517 +12 *1379:8 *1452:10 0.00024852 +13 *1379:8 *1465:14 0.000228981 +14 *1379:8 *1468:10 0.000259403 +15 *1379:8 *1468:18 0.00183192 +16 *1379:8 *1490:10 0.00112836 +17 *1379:8 *1494:14 0.000384538 +18 *2472:la_data_out_core[106] *1379:5 0 +19 *740:7 *1379:5 0 +20 *995:11 *1379:5 0 +21 *1014:8 *1379:8 0.000403492 +22 *1016:8 *1379:8 0.00469217 +23 *1068:8 *1379:8 0.000253421 +24 *1115:8 *1379:8 0.106446 +25 *1375:8 *1379:8 0.000141029 +26 *1377:8 *1379:8 0.000305243 +*RES +1 *2472:la_oenb_core[105] *1379:5 49.0853 +2 *1379:5 *1379:7 3.36879 +3 *1379:7 *1379:8 153.948 +4 *1379:8 *2473:la_oenb[105] 38.3355 +*END + +*D_NET *1380 0.285805 +*CONN +*I *2473:la_oenb[106] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[106] O *D mgmt_protect +*CAP +1 *2473:la_oenb[106] 0.00225867 +2 *2472:la_oenb_core[106] 0.00161862 +3 *1380:10 0.00225867 +4 *1380:8 0.0131568 +5 *1380:7 0.0147754 +6 *1380:8 *1382:8 0.00010238 +7 *1380:8 *1388:8 0.116796 +8 *2472:la_data_out_core[106] *1380:7 0 +9 *2473:la_data_in[54] *1380:7 0 +10 *741:7 *1380:7 0 +11 *1103:8 *1380:8 0.000704755 +12 *1374:8 *1380:8 0.00926612 +13 *1376:8 *1380:8 0.113597 +14 *1378:8 *1380:8 0.011271 +*RES +1 *2472:la_oenb_core[106] *1380:7 35.844 +2 *1380:7 *1380:8 155.86 +3 *1380:8 *1380:10 3.36879 +4 *1380:10 *2473:la_oenb[106] 51.5768 +*END + +*D_NET *1381 0.239801 +*CONN +*I *2473:la_oenb[107] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[107] O *D mgmt_protect +*CAP +1 *2473:la_oenb[107] 0.00149907 +2 *2472:la_oenb_core[107] 0.00193896 +3 *1381:16 0.00912095 +4 *1381:15 0.00828052 +5 *1381:10 0.00131141 +6 *1381:9 0.00259173 +7 *1381:9 *1451:17 0.000256748 +8 *1381:10 *1383:15 0.00284109 +9 *1381:10 *1386:15 0.00120988 +10 *1381:10 *1477:10 0.000875064 +11 *1381:15 *2473:la_oenb[56] 0 +12 *1381:15 *1383:15 0 +13 *1381:16 *1383:16 1.41689e-05 +14 *1381:16 *1391:8 0.000135387 +15 *1381:16 *1468:18 0.0286484 +16 *2472:la_data_out_core[107] *1381:9 0 +17 *2472:la_data_out_core[108] *1381:9 0 +18 *742:7 *1381:9 0 +19 *813:11 *1381:15 0 +20 *1014:8 *1381:16 0.00211615 +21 *1016:8 *1381:16 0.0751938 +22 *1066:8 *1381:10 0.000217951 +23 *1076:8 *1381:10 0.000694433 +24 *1108:8 *1381:10 0.00011056 +25 *1115:8 *1381:16 0.00641114 +26 *1377:8 *1381:16 0.0957542 +27 *1379:8 *1381:16 0.000579822 +*RES +1 *2472:la_oenb_core[107] *1381:9 44.1444 +2 *1381:9 *1381:10 48.9739 +3 *1381:10 *1381:15 20.3828 +4 *1381:15 *1381:16 1093.29 +5 *1381:16 *2473:la_oenb[107] 38.4285 +*END + +*D_NET *1382 0.247599 +*CONN +*I *2473:la_oenb[108] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[108] O *D mgmt_protect +*CAP +1 *2473:la_oenb[108] 0.00224878 +2 *2472:la_oenb_core[108] 0.00162001 +3 *1382:10 0.00224878 +4 *1382:8 0.00849244 +5 *1382:7 0.0101125 +6 *1382:8 *1385:8 0.108582 +7 *1382:8 *1388:8 0.0108327 +8 *2472:la_data_out_core[108] *1382:7 0 +9 *2472:la_data_out_core[109] *1382:7 0 +10 *743:7 *1382:7 0 +11 *1067:10 *1382:8 0.00131609 +12 *1069:12 *1382:8 8.66138e-05 +13 *1378:8 *1382:8 0.101957 +14 *1380:8 *1382:8 0.00010238 +*RES +1 *2472:la_oenb_core[108] *1382:7 36.7675 +2 *1382:7 *1382:8 1156.52 +3 *1382:8 *1382:10 4.5 +4 *1382:10 *2473:la_oenb[108] 51.7844 +*END + +*D_NET *1383 0.254017 +*CONN +*I *2473:la_oenb[109] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[109] O *D mgmt_protect +*CAP +1 *2473:la_oenb[109] 0.00152697 +2 *2472:la_oenb_core[109] 0.00166852 +3 *1383:16 0.00885769 +4 *1383:15 0.00801376 +5 *1383:9 0.00235157 +6 *1383:15 *1386:15 0.00045075 +7 *1383:16 *1386:16 0.108157 +8 *1383:16 *1391:8 0.000398957 +9 *1383:16 *1468:18 0.0288586 +10 *2472:la_data_out_core[109] *1383:9 0 +11 *2472:la_data_out_core[110] *1383:9 0 +12 *2473:la_data_in[55] *1383:9 0.00128379 +13 *745:7 *1383:9 0.000195317 +14 *1004:17 *1383:15 7.5909e-06 +15 *1016:8 *1383:16 0.0792531 +16 *1067:7 *1383:9 0 +17 *1076:8 *1383:15 0.00283686 +18 *1379:8 *1383:16 0.00730115 +19 *1381:10 *1383:15 0.00284109 +20 *1381:15 *1383:15 0 +21 *1381:16 *1383:16 1.41689e-05 +*RES +1 *2472:la_oenb_core[109] *1383:9 45.1214 +2 *1383:9 *1383:15 49.2544 +3 *1383:15 *1383:16 1138.22 +4 *1383:16 *2473:la_oenb[109] 39.2591 +*END + +*D_NET *1384 0.0357462 +*CONN +*I *2473:la_oenb[10] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[10] O *D mgmt_protect +*CAP +1 *2473:la_oenb[10] 0.00134823 +2 *2472:la_oenb_core[10] 0.00176953 +3 *1384:8 0.00231276 +4 *1384:7 0.000964521 +5 *1384:5 0.00176953 +6 *1384:5 *2473:la_oenb[17] 0.000231941 +7 *2472:la_data_out_core[10] *1384:5 0 +8 *744:8 *1384:8 0.000313928 +9 *755:5 *1384:5 0 +10 *755:8 *1384:8 0.0135388 +11 *1000:8 *1384:8 0.000591248 +12 *1011:8 *1384:8 0.0129057 +*RES +1 *2472:la_oenb_core[10] *1384:5 48.8776 +2 *1384:5 *1384:7 4.5 +3 *1384:7 *1384:8 149.357 +4 *1384:8 *2473:la_oenb[10] 39.6743 +*END + +*D_NET *1385 0.256092 +*CONN +*I *2473:la_oenb[110] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[110] O *D mgmt_protect +*CAP +1 *2473:la_oenb[110] 0.00225248 +2 *2472:la_oenb_core[110] 0.0015084 +3 *1385:10 0.00225248 +4 *1385:8 0.00806445 +5 *1385:7 0.00957285 +6 *1385:8 *1388:8 0.0124681 +7 *1385:8 *1389:8 0.109557 +8 *2472:la_data_out_core[110] *1385:7 0 +9 *2472:la_data_out_core[111] *1385:7 0 +10 *746:7 *1385:7 0 +11 *1069:10 *1385:8 0.00128951 +12 *1069:12 *1385:8 0.000171288 +13 *1070:13 *1385:7 0.000373157 +14 *1382:8 *1385:8 0.108582 +*RES +1 *2472:la_oenb_core[110] *1385:7 36.3523 +2 *1385:7 *1385:8 1183.14 +3 *1385:8 *1385:10 4.5 +4 *1385:10 *2473:la_oenb[110] 52.1996 +*END + +*D_NET *1386 0.255932 +*CONN +*I *2473:la_oenb[111] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[111] O *D mgmt_protect +*CAP +1 *2473:la_oenb[111] 0.00153341 +2 *2472:la_oenb_core[111] 0.00199938 +3 *1386:16 0.00924623 +4 *1386:15 0.00819727 +5 *1386:9 0.00248382 +6 *1386:15 *1477:10 0.000535212 +7 *1386:16 *1387:12 0.112261 +8 *1386:16 *1391:8 0.00713709 +9 *1386:16 *1468:16 7.92757e-06 +10 *2472:la_data_out_core[111] *1386:9 0 +11 *2472:la_data_out_core[112] *1386:9 0 +12 *2473:la_data_in[56] *1386:9 0 +13 *747:7 *1386:9 0.000285554 +14 *1004:17 *1386:15 0.000492649 +15 *1016:8 *1386:16 0.00182431 +16 *1379:8 *1386:16 0.000110257 +17 *1381:10 *1386:15 0.00120988 +18 *1383:15 *1386:15 0.00045075 +19 *1383:16 *1386:16 0.108157 +*RES +1 *2472:la_oenb_core[111] *1386:9 44.8527 +2 *1386:9 *1386:15 32.477 +3 *1386:15 *1386:16 1181.47 +4 *1386:16 *2473:la_oenb[111] 39.6743 +*END + +*D_NET *1387 0.260281 +*CONN +*I *2473:la_oenb[112] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[112] O *D mgmt_protect +*CAP +1 *2473:la_oenb[112] 0.00155211 +2 *2472:la_oenb_core[112] 0.00247658 +3 *1387:12 0.00949224 +4 *1387:10 0.00804108 +5 *1387:5 0.00257754 +6 *1387:10 *1452:10 1.41689e-05 +7 *1387:10 *1468:16 7.45288e-05 +8 *1387:10 *1494:14 0.000277502 +9 *1387:12 *1390:8 0.112652 +10 *1387:12 *1391:8 0.0081727 +11 *1387:12 *1452:10 0.000625682 +12 *1387:12 *1465:14 0.000482046 +13 *1387:12 *1468:16 2.77625e-06 +14 *2472:la_data_out_core[112] *1387:5 0 +15 *2473:la_data_in[56] *1387:5 0.000404197 +16 *748:7 *1387:5 0 +17 *1016:8 *1387:12 0.000534616 +18 *1068:7 *1387:5 0 +19 *1068:8 *1387:10 0.000538827 +20 *1379:8 *1387:12 0.000101365 +21 *1386:16 *1387:12 0.112261 +*RES +1 *2472:la_oenb_core[112] *1387:5 48.8776 +2 *1387:5 *1387:10 11.3481 +3 *1387:10 *1387:12 1203.66 +4 *1387:12 *2473:la_oenb[112] 40.0896 +*END + +*D_NET *1388 0.304082 +*CONN +*I *2473:la_oenb[113] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[113] O *D mgmt_protect +*CAP +1 *2473:la_oenb[113] 0.00222649 +2 *2472:la_oenb_core[113] 0.0016365 +3 *1388:10 0.00222649 +4 *1388:8 0.0147882 +5 *1388:7 0.0164247 +6 *1388:8 *1398:8 0.125304 +7 *749:7 *1388:7 0 +8 *813:11 *1388:7 0 +9 *1004:17 *1388:7 0 +10 *1103:8 *1388:8 0.00137859 +11 *1380:8 *1388:8 0.116796 +12 *1382:8 *1388:8 0.0108327 +13 *1385:8 *1388:8 0.0124681 +*RES +1 *2472:la_oenb_core[113] *1388:7 35.0134 +2 *1388:7 *1388:8 168.711 +3 *1388:8 *1388:10 3.36879 +4 *1388:10 *2473:la_oenb[113] 52.4073 +*END + +*D_NET *1389 0.264086 +*CONN +*I *2473:la_oenb[114] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[114] O *D mgmt_protect +*CAP +1 *2473:la_oenb[114] 0.00223157 +2 *2472:la_oenb_core[114] 0.00164267 +3 *1389:10 0.00223157 +4 *1389:8 0.00898476 +5 *1389:7 0.0106274 +6 *1389:8 *1392:8 0.115452 +7 *1389:8 *1398:8 0.0119551 +8 *1389:8 *1453:12 0.000301452 +9 *1389:8 *1455:8 0.000150716 +10 *2472:la_data_out_core[114] *1389:7 0 +11 *2472:la_data_out_core[115] *1389:7 0 +12 *750:7 *1389:7 0 +13 *813:11 *1389:7 0 +14 *1069:10 *1389:8 0.000950798 +15 *1385:8 *1389:8 0.109557 +*RES +1 *2472:la_oenb_core[114] *1389:7 35.937 +2 *1389:7 *1389:8 1235.83 +3 *1389:8 *1389:10 4.5 +4 *1389:10 *2473:la_oenb[114] 52.6149 +*END + +*D_NET *1390 0.265375 +*CONN +*I *2473:la_oenb[115] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[115] O *D mgmt_protect +*CAP +1 *2473:la_oenb[115] 0.00155387 +2 *2472:la_oenb_core[115] 0.00263214 +3 *1390:8 0.0101056 +4 *1390:7 0.00855175 +5 *1390:5 0.00263214 +6 *1390:8 *1391:8 0.00012601 +7 *1390:8 *1393:14 0.0002798 +8 *1390:8 *1399:8 0.00756682 +9 *1390:8 *1465:14 0.0231575 +10 *2472:la_data_out_core[115] *1390:5 0 +11 *751:7 *1390:5 0 +12 *1016:8 *1390:8 0.00113035 +13 *1019:8 *1390:8 0.0949867 +14 *1069:5 *1390:5 0 +15 *1387:12 *1390:8 0.112652 +*RES +1 *2472:la_oenb_core[115] *1390:5 48.0471 +2 *1390:5 *1390:7 4.5 +3 *1390:7 *1390:8 1249.69 +4 *1390:8 *2473:la_oenb[115] 40.5048 +*END + +*D_NET *1391 0.313894 +*CONN +*I *2473:la_oenb[116] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[116] O *D mgmt_protect +*CAP +1 *2473:la_oenb[116] 0.00153864 +2 *2472:la_oenb_core[116] 0.00255752 +3 *1391:8 0.0235536 +4 *1391:7 0.0220149 +5 *1391:5 0.00255752 +6 *1391:5 *2473:la_oenb[57] 0.000286862 +7 *1391:8 *1393:14 0.000104638 +8 *1391:8 *1399:8 0.131141 +9 *1391:8 *1465:14 0.000115848 +10 *1391:8 *1490:10 0.00107487 +11 *2472:la_data_out_core[116] *1391:5 0 +12 *751:7 *1391:5 0 +13 *752:5 *1391:5 0 +14 *1014:8 *1391:8 0.000653639 +15 *1016:8 *1391:8 0.000739113 +16 *1379:8 *1391:8 0.111586 +17 *1381:16 *1391:8 0.000135387 +18 *1383:16 *1391:8 0.000398957 +19 *1386:16 *1391:8 0.00713709 +20 *1387:12 *1391:8 0.0081727 +21 *1390:8 *1391:8 0.00012601 +*RES +1 *2472:la_oenb_core[116] *1391:5 48.2547 +2 *1391:5 *1391:7 3.36879 +3 *1391:7 *1391:8 174.142 +4 *1391:8 *2473:la_oenb[116] 39.166 +*END + +*D_NET *1392 0.276168 +*CONN +*I *2473:la_oenb[117] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[117] O *D mgmt_protect +*CAP +1 *2473:la_oenb[117] 0.00219785 +2 *2472:la_oenb_core[117] 0.00131999 +3 *1392:10 0.00219785 +4 *1392:8 0.00888599 +5 *1392:7 0.010206 +6 *1392:8 *1394:8 0.120092 +7 *1392:8 *1398:8 0.0142009 +8 *1392:8 *1455:8 0.000139764 +9 *1392:8 *1455:14 0.000293761 +10 *2472:la_data_out_core[117] *1392:7 0 +11 *2473:la_data_in[58] *1392:7 0.00118109 +12 *753:7 *1392:7 0 +13 *1389:8 *1392:8 0.115452 +*RES +1 *2472:la_oenb_core[117] *1392:7 35.5218 +2 *1392:7 *1392:8 1276.31 +3 *1392:8 *1392:10 4.5 +4 *1392:10 *2473:la_oenb[117] 53.0301 +*END + +*D_NET *1393 0.275906 +*CONN +*I *2473:la_oenb[118] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[118] O *D mgmt_protect +*CAP +1 *2473:la_oenb[118] 0.00155738 +2 *2472:la_oenb_core[118] 0.00125798 +3 *1393:14 0.009828 +4 *1393:13 0.00961488 +5 *1393:10 0.00260224 +6 *1393:10 *1458:14 0.000203727 +7 *1393:13 *1394:7 0.000175504 +8 *1393:14 *1397:14 0.113743 +9 *1393:14 *1399:8 0.00010238 +10 *1393:14 *1401:10 0.00351861 +11 *1393:14 *1401:12 1.41689e-05 +12 *1393:14 *1457:12 0.00119797 +13 *1393:14 *1459:8 0.000156904 +14 *1393:14 *1465:14 0.0200461 +15 *1393:14 *1646:8 0.00823899 +16 *2472:la_data_out_core[118] *1393:10 0 +17 *2472:la_data_out_core[119] *1393:13 0 +18 *753:7 *1393:10 0 +19 *754:5 *1393:10 0 +20 *756:5 *1393:13 0.000247277 +21 *856:8 *1393:10 0.000842351 +22 *1019:8 *1393:14 0.10107 +23 *1071:8 *1393:14 0.00110368 +24 *1390:8 *1393:14 0.0002798 +25 *1391:8 *1393:14 0.000104638 +*RES +1 *2472:la_oenb_core[118] *1393:10 39.0982 +2 *1393:10 *1393:13 30.8319 +3 *1393:13 *1393:14 1280.19 +4 *1393:14 *2473:la_oenb[118] 41.3353 +*END + +*D_NET *1394 0.282264 +*CONN +*I *2473:la_oenb[119] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[119] O *D mgmt_protect +*CAP +1 *2473:la_oenb[119] 0.00217475 +2 *2472:la_oenb_core[119] 0.00156694 +3 *1394:10 0.00217475 +4 *1394:8 0.00858894 +5 *1394:7 0.0101559 +6 *1394:8 *1396:8 0.123398 +7 *1394:8 *1400:8 0.0136061 +8 *1394:8 *1455:14 0.000332046 +9 *2472:la_data_out_core[119] *1394:7 0 +10 *756:5 *1394:7 0 +11 *1392:8 *1394:8 0.120092 +12 *1393:13 *1394:7 0.000175504 +*RES +1 *2472:la_oenb_core[119] *1394:7 35.1065 +2 *1394:7 *1394:8 1302.93 +3 *1394:8 *1394:10 4.5 +4 *1394:10 *2473:la_oenb[119] 53.4454 +*END + +*D_NET *1395 0.0301921 +*CONN +*I *2473:la_oenb[11] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[11] O *D mgmt_protect +*CAP +1 *2473:la_oenb[11] 0.0012684 +2 *2472:la_oenb_core[11] 0.00185498 +3 *1395:8 0.00259428 +4 *1395:7 0.00132588 +5 *1395:5 0.00185498 +6 *1395:8 *1405:8 0.00891622 +7 *2472:la_data_out_core[11] *1395:5 0 +8 *2473:la_data_in[18] *1395:5 0.000182519 +9 *764:5 *1395:5 0 +10 *764:8 *1395:8 0.000936869 +11 *765:10 *1395:8 0.0102397 +12 *1000:8 *1395:8 0.000183679 +13 *1020:8 *1395:8 0.000544848 +14 *1021:8 *1395:8 0.00028978 +*RES +1 *2472:la_oenb_core[11] *1395:5 50.9539 +2 *1395:5 *1395:7 4.5 +3 *1395:7 *1395:8 136.601 +4 *1395:8 *2473:la_oenb[11] 37.598 +*END + +*D_NET *1396 0.286307 +*CONN +*I *2473:la_oenb[120] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[120] O *D mgmt_protect +*CAP +1 *2473:la_oenb[120] 0.00217802 +2 *2472:la_oenb_core[120] 0.00160867 +3 *1396:10 0.00217802 +4 *1396:8 0.00851259 +5 *1396:7 0.0101213 +6 *1396:8 *1400:8 0.0153037 +7 *1396:8 *1402:8 0.120898 +8 *1396:8 *1455:14 0.00109722 +9 *1396:8 *1475:8 0.000650226 +10 *2472:la_data_out_core[120] *1396:7 0 +11 *757:5 *1396:7 0 +12 *759:10 *1396:8 0.000361243 +13 *815:11 *1396:7 0 +14 *1394:8 *1396:8 0.123398 +*RES +1 *2472:la_oenb_core[120] *1396:7 34.6913 +2 *1396:7 *1396:8 1315.69 +3 *1396:8 *1396:10 4.5 +4 *1396:10 *2473:la_oenb[120] 53.8607 +*END + +*D_NET *1397 0.281848 +*CONN +*I *2473:la_oenb[121] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[121] O *D mgmt_protect +*CAP +1 *2473:la_oenb[121] 0.00152441 +2 *2472:la_oenb_core[121] 0.00163893 +3 *1397:14 0.00952013 +4 *1397:13 0.00847676 +5 *1397:8 0.00161917 +6 *1397:7 0.00277707 +7 *1397:8 *1477:10 0.00116739 +8 *1397:13 *2473:la_oenb[62] 6.16595e-06 +9 *1397:14 *1401:12 0.119891 +10 *1397:14 *1646:8 0.0101918 +11 *758:5 *1397:7 0 +12 *1013:11 *1397:7 0.00192124 +13 *1019:8 *1397:14 0.00278118 +14 *1076:8 *1397:8 0.00658974 +15 *1393:14 *1397:14 0.113743 +*RES +1 *2472:la_oenb_core[121] *1397:7 43.8268 +2 *1397:7 *1397:8 70.0488 +3 *1397:8 *1397:13 16.6455 +4 *1397:13 *1397:14 1259.67 +5 *1397:14 *2473:la_oenb[121] 41.7506 +*END + +*D_NET *1398 0.33194 +*CONN +*I *2473:la_oenb[122] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[122] O *D mgmt_protect +*CAP +1 *2473:la_oenb[122] 0.00210045 +2 *2472:la_oenb_core[122] 0.00165369 +3 *1398:10 0.00210045 +4 *1398:8 0.0140178 +5 *1398:7 0.0156714 +6 *1398:8 *1400:8 0.144522 +7 *2472:la_data_out_core[122] *1398:7 0 +8 *2472:la_data_out_core[123] *1398:7 0 +9 *758:5 *1398:7 0 +10 *759:10 *1398:7 0 +11 *1103:8 *1398:8 0.000414164 +12 *1388:8 *1398:8 0.125304 +13 *1389:8 *1398:8 0.0119551 +14 *1392:8 *1398:8 0.0142009 +*RES +1 *2472:la_oenb_core[122] *1398:7 34.1829 +2 *1398:7 *1398:8 185.156 +3 *1398:8 *1398:10 3.36879 +4 *1398:10 *2473:la_oenb[122] 53.2378 +*END + +*D_NET *1399 0.340531 +*CONN +*I *2473:la_oenb[123] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[123] O *D mgmt_protect +*CAP +1 *2473:la_oenb[123] 0.00145807 +2 *2472:la_oenb_core[123] 0.0026525 +3 *1399:8 0.0199936 +4 *1399:7 0.0185355 +5 *1399:5 0.0026525 +6 *1399:8 *1403:8 0.000121359 +7 *1399:8 *1465:14 0.00147281 +8 *1399:8 *1490:10 0.000828329 +9 *1399:8 *1646:8 0.143709 +10 *2472:la_data_out_core[123] *1399:5 0 +11 *760:5 *1399:5 0 +12 *1016:8 *1399:8 0.000950405 +13 *1019:8 *1399:8 0.00934631 +14 *1390:8 *1399:8 0.00756682 +15 *1391:8 *1399:8 0.131141 +16 *1393:14 *1399:8 0.00010238 +*RES +1 *2472:la_oenb_core[123] *1399:5 47.4242 +2 *1399:5 *1399:7 3.36879 +3 *1399:7 *1399:8 187.068 +4 *1399:8 *2473:la_oenb[123] 39.9965 +*END + +*D_NET *1400 0.35084 +*CONN +*I *2473:la_oenb[124] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[124] O *D mgmt_protect +*CAP +1 *2473:la_oenb[124] 0.00209024 +2 *2472:la_oenb_core[124] 0.00162096 +3 *1400:10 0.00209024 +4 *1400:8 0.0113032 +5 *1400:7 0.0129242 +6 *1400:8 *2413:8 0.146097 +7 *2472:la_data_out_core[124] *1400:7 0 +8 *759:13 *1400:7 0 +9 *760:5 *1400:7 0 +10 *761:5 *1400:7 0 +11 *1103:8 *1400:8 0.00128357 +12 *1394:8 *1400:8 0.0136061 +13 *1396:8 *1400:8 0.0153037 +14 *1398:8 *1400:8 0.144522 +*RES +1 *2472:la_oenb_core[124] *1400:7 33.3524 +2 *1400:7 *1400:8 188.904 +3 *1400:8 *1400:10 3.36879 +4 *1400:10 *2473:la_oenb[124] 54.0683 +*END + +*D_NET *1401 0.292978 +*CONN +*I *2473:la_oenb[125] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[125] O *D mgmt_protect +*CAP +1 *2473:la_oenb[125] 0.00147047 +2 *2472:la_oenb_core[125] 0.00263758 +3 *1401:12 0.0103698 +4 *1401:10 0.00927647 +5 *1401:5 0.00301469 +6 *1401:10 *1459:8 0.00100217 +7 *1401:10 *1646:8 0.000133887 +8 *1401:10 *2414:8 1.67988e-05 +9 *1401:10 *2414:14 0.0011774 +10 *1401:12 *1403:8 0.0101881 +11 *1401:12 *2414:8 0.128107 +12 *2472:la_data_out_core[125] *1401:5 0 +13 *761:5 *1401:5 0 +14 *762:5 *1401:5 0 +15 *1019:8 *1401:12 0.00215947 +16 *1393:14 *1401:10 0.00351861 +17 *1393:14 *1401:12 1.41689e-05 +18 *1397:14 *1401:12 0.119891 +*RES +1 *2472:la_oenb_core[125] *1401:5 46.8014 +2 *1401:5 *1401:10 42.4059 +3 *1401:10 *1401:12 1345.64 +4 *1401:12 *2473:la_oenb[125] 42.1658 +*END + +*D_NET *1402 0.296674 +*CONN +*I *2473:la_oenb[126] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[126] O *D mgmt_protect +*CAP +1 *2473:la_oenb[126] 0.00205293 +2 *2472:la_oenb_core[126] 0.00148266 +3 *1402:10 0.00205293 +4 *1402:8 0.010278 +5 *1402:7 0.0117606 +6 *1402:8 *1475:8 0.00076823 +7 *1402:8 *2413:8 0.0155613 +8 *1402:8 *2415:10 0.131446 +9 *2472:la_data_out_core[126] *1402:7 0 +10 *763:5 *1402:7 0 +11 *1074:5 *1402:7 0.00037344 +12 *1396:8 *1402:8 0.120898 +*RES +1 *2472:la_oenb_core[126] *1402:7 34.276 +2 *1402:7 *1402:8 1396.11 +3 *1402:8 *1402:10 4.5 +4 *1402:10 *2473:la_oenb[126] 54.2759 +*END + +*D_NET *1403 0.324367 +*CONN +*I *2473:la_oenb[127] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[127] O *D mgmt_protect +*CAP +1 *2473:la_oenb[127] 0.00146862 +2 *2472:la_oenb_core[127] 0.00252088 +3 *1403:8 0.0325862 +4 *1403:7 0.0336385 +5 *1403:7 *2473:la_oenb[61] 0.000193734 +6 *1403:7 *1646:7 0 +7 *1403:8 *1490:10 0.0672204 +8 *1403:8 *1646:8 0.15304 +9 *1403:8 *2414:8 0.0152772 +10 *2472:la_data_out_core[127] *1403:7 0 +11 *763:5 *1403:7 0 +12 *1007:8 *1403:8 0.00811257 +13 *1108:8 *1403:8 0 +14 *1399:8 *1403:8 0.000121359 +15 *1401:12 *1403:8 0.0101881 +*RES +1 *2472:la_oenb_core[127] *1403:7 49.132 +2 *1403:7 *1403:8 194.411 +3 *1403:8 *2473:la_oenb[127] 41.6575 +*END + +*D_NET *1404 0.02897 +*CONN +*I *2473:la_oenb[12] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[12] O *D mgmt_protect +*CAP +1 *2473:la_oenb[12] 0.00120998 +2 *2472:la_oenb_core[12] 0.0018952 +3 *1404:8 0.00257295 +4 *1404:7 0.00136297 +5 *1404:5 0.0018952 +6 *1404:5 *2473:la_oenb[18] 0 +7 *2472:la_data_out_core[12] *1404:5 0 +8 *765:7 *1404:5 0 +9 *1020:8 *1404:8 0.011446 +10 *1021:8 *1404:8 8.14875e-05 +11 *1022:8 *1404:8 0.00827893 +12 *1026:7 *1404:5 0.000227207 +*RES +1 *2472:la_oenb_core[12] *1404:5 52.6149 +2 *1404:5 *1404:7 4.5 +3 *1404:7 *1404:8 122.736 +4 *1404:8 *2473:la_oenb[12] 35.937 +*END + +*D_NET *1405 0.0278375 +*CONN +*I *2473:la_oenb[13] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[13] O *D mgmt_protect +*CAP +1 *2473:la_oenb[13] 0.00127429 +2 *2472:la_oenb_core[13] 0.00193456 +3 *1405:8 0.00213938 +4 *1405:7 0.000865093 +5 *1405:5 0.00193456 +6 *2473:la_data_in[19] *1405:5 0 +7 *765:10 *1405:8 0.000525216 +8 *766:5 *1405:5 0 +9 *766:8 *1405:8 0 +10 *1021:8 *1405:8 0.0102139 +11 *1021:11 *1405:5 0 +12 *1022:8 *1405:8 3.42853e-05 +13 *1395:8 *1405:8 0.00891622 +*RES +1 *2472:la_oenb_core[13] *1405:5 51.3691 +2 *1405:5 *1405:7 4.5 +3 *1405:7 *1405:8 109.98 +4 *1405:8 *2473:la_oenb[13] 37.1828 +*END + +*D_NET *1406 0.0196321 +*CONN +*I *2473:la_oenb[14] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[14] O *D mgmt_protect +*CAP +1 *2473:la_oenb[14] 0.00118077 +2 *2472:la_oenb_core[14] 0.00201102 +3 *1406:8 0.00335337 +4 *1406:7 0.00217261 +5 *1406:5 0.00201102 +6 *2472:la_data_out_core[14] *1406:5 0 +7 *2472:la_data_out_core[15] *1406:5 0 +8 *767:5 *1406:5 0 +9 *1022:8 *1406:8 0.00890333 +*RES +1 *2472:la_oenb_core[14] *1406:5 53.4454 +2 *1406:5 *1406:7 4.5 +3 *1406:7 *1406:8 96.6698 +4 *1406:8 *2473:la_oenb[14] 35.1065 +*END + +*D_NET *1407 0.0222226 +*CONN +*I *2473:la_oenb[15] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[15] O *D mgmt_protect +*CAP +1 *2473:la_oenb[15] 0.00155977 +2 *2472:la_oenb_core[15] 0.00172426 +3 *1407:8 0.00252459 +4 *1407:7 0.000964825 +5 *1407:5 0.00172426 +6 *2472:la_data_out_core[15] *1407:5 0 +7 *2472:la_data_out_core[16] *1407:5 0 +8 *767:8 *1407:8 0.00722852 +9 *768:7 *1407:5 0 +10 *1023:12 *1407:8 6.44576e-05 +11 *1024:8 *1407:8 0.00643191 +*RES +1 *2472:la_oenb_core[15] *1407:5 45.9709 +2 *1407:5 *1407:7 4.5 +3 *1407:7 *1407:8 82.8047 +4 *1407:8 *2473:la_oenb[15] 42.5811 +*END + +*D_NET *1408 0.0158592 +*CONN +*I *2473:la_oenb[16] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[16] O *D mgmt_protect +*CAP +1 *2473:la_oenb[16] 0.00169025 +2 *2472:la_oenb_core[16] 0.00154596 +3 *1408:8 0.00302589 +4 *1408:7 0.0028816 +5 *2472:la_data_out_core[16] *1408:7 0 +6 *768:8 *1408:8 0.00152165 +7 *769:7 *1408:7 0 +8 *769:8 *1408:8 0 +9 *1024:8 *1408:8 0 +10 *1025:8 *1408:8 0.00519379 +11 *1026:8 *1408:8 0 +*RES +1 *2472:la_oenb_core[16] *1408:7 47.1488 +2 *1408:7 *1408:8 70.0488 +3 *1408:8 *2473:la_oenb[16] 45.9031 +*END + +*D_NET *1409 0.0166846 +*CONN +*I *2473:la_oenb[17] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[17] O *D mgmt_protect +*CAP +1 *2473:la_oenb[17] 0.00155003 +2 *2472:la_oenb_core[17] 0.00126804 +3 *1409:8 0.00227775 +4 *1409:7 0.00199576 +5 *1409:8 *1410:10 0.00328262 +6 *2472:la_data_out_core[11] *2473:la_oenb[17] 0 +7 *2472:la_data_out_core[17] *1409:7 0.000897663 +8 *755:5 *2473:la_oenb[17] 0 +9 *769:8 *1409:8 0.0047116 +10 *770:7 *1409:7 0 +11 *770:8 *1409:8 0.000326185 +12 *773:10 *1409:8 3.40535e-05 +13 *1025:8 *1409:8 0.000108945 +14 *1384:5 *2473:la_oenb[17] 0.000231941 +*RES +1 *2472:la_oenb_core[17] *1409:7 49.2251 +2 *1409:7 *1409:8 56.1838 +3 *1409:8 *2473:la_oenb[17] 43.8268 +*END + +*D_NET *1410 0.0147724 +*CONN +*I *2473:la_oenb[18] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[18] O *D mgmt_protect +*CAP +1 *2473:la_oenb[18] 0.00139324 +2 *2472:la_oenb_core[18] 0.00161022 +3 *1410:10 0.00188344 +4 *1410:7 0.00210041 +5 *1410:10 *1413:10 9.18559e-06 +6 *2472:la_data_out_core[18] *1410:7 0 +7 *765:7 *2473:la_oenb[18] 0.000362171 +8 *770:7 *1410:7 0 +9 *770:8 *1410:10 0.000291294 +10 *771:7 *1410:7 0 +11 *771:10 *1410:10 0.00340818 +12 *773:10 *1410:10 0 +13 *1021:11 *2473:la_oenb[18] 0.000431615 +14 *1404:5 *2473:la_oenb[18] 0 +15 *1409:8 *1410:10 0.00328262 +*RES +1 *2472:la_oenb_core[18] *1410:7 48.8099 +2 *1410:7 *1410:10 47.9279 +3 *1410:10 *2473:la_oenb[18] 39.7421 +*END + +*D_NET *1411 0.0113395 +*CONN +*I *2473:la_oenb[19] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[19] O *D mgmt_protect +*CAP +1 *2473:la_oenb[19] 0.00116616 +2 *2472:la_oenb_core[19] 0.00179056 +3 *1411:10 0.00162028 +4 *1411:5 0.00224468 +5 *2472:la_data_out_core[19] *1411:5 0 +6 *2472:la_data_out_core[20] *1411:5 0 +7 *2473:la_data_in[21] *1411:5 0.000483512 +8 *773:5 *1411:5 0 +9 *1027:10 *1411:10 0.00254822 +10 *1029:10 *1411:10 0.00148607 +*RES +1 *2472:la_oenb_core[19] *1411:5 53.8607 +2 *1411:5 *1411:10 38.5628 +3 *1411:10 *2473:la_oenb[19] 30.1913 +*END + +*D_NET *1412 0.0543624 +*CONN +*I *2473:la_oenb[1] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[1] O *D mgmt_protect +*CAP +1 *2473:la_oenb[1] 0.00178888 +2 *2472:la_oenb_core[1] 0.00172881 +3 *1412:8 0.00550203 +4 *1412:7 0.00544195 +5 *2473:la_oenb[1] *1692:11 8.8054e-05 +6 *1412:8 *1631:8 0.00020979 +7 *1412:8 *1633:8 3.04269e-05 +8 *1412:8 *1637:8 0 +9 *1412:8 *1698:8 0.0190352 +10 *1412:8 *1700:18 0.000270207 +11 *1412:8 *1702:8 0 +12 *1412:8 *1763:8 0.0200465 +13 *1412:8 *1769:8 0 +14 *1412:8 *1776:8 0.000220514 +15 *2472:la_data_out_core[1] *1412:7 0 +16 *766:14 *1412:8 0 +17 *772:5 *1412:7 0 +18 *772:8 *1412:8 0 +19 *783:7 *1412:7 0 +*RES +1 *2472:la_oenb_core[1] *1412:7 47.9793 +2 *1412:7 *1412:8 269.706 +3 *1412:8 *2473:la_oenb[1] 45.0726 +*END + +*D_NET *1413 0.00783379 +*CONN +*I *2473:la_oenb[20] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[20] O *D mgmt_protect +*CAP +1 *2473:la_oenb[20] 0.00147094 +2 *2472:la_oenb_core[20] 0.00166861 +3 *1413:10 0.00200227 +4 *1413:5 0.00219993 +5 *2472:la_data_out_core[19] *2473:la_oenb[20] 0 +6 *2472:la_data_out_core[20] *1413:5 0 +7 *2472:la_data_out_core[21] *1413:5 0 +8 *771:10 *1413:10 1.22938e-05 +9 *773:10 *1413:10 0.000457836 +10 *774:7 *1413:5 0 +11 *1030:7 *1413:5 0 +12 *1030:10 *1413:10 1.27226e-05 +13 *1410:10 *1413:10 9.18559e-06 +*RES +1 *2472:la_oenb_core[20] *1413:5 45.9709 +2 *1413:5 *1413:10 25.8069 +3 *1413:10 *2473:la_oenb[20] 38.0811 +*END + +*D_NET *1414 0.00675766 +*CONN +*I *2473:la_oenb[21] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[21] O *D mgmt_protect +*CAP +1 *2473:la_oenb[21] 0.000286792 +2 *2472:la_oenb_core[21] 0.00309204 +3 *1414:5 0.00337883 +4 *2472:la_data_out_core[21] *1414:5 0 +5 *775:5 *1414:5 0 +*RES +1 *2472:la_oenb_core[21] *1414:5 83.9664 +2 *1414:5 *2473:la_oenb[21] 2.89455 +*END + +*D_NET *1415 0.00735542 +*CONN +*I *2473:la_oenb[22] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[22] O *D mgmt_protect +*CAP +1 *2473:la_oenb[22] 0.00175498 +2 *2472:la_oenb_core[22] 0.00134687 +3 *1415:10 0.00201706 +4 *1415:7 0.00160895 +5 *1415:10 *1416:10 0.000220183 +6 *2472:la_data_out_core[22] *1415:7 0 +7 *2472:la_data_out_core[23] *1415:7 0 +8 *776:8 *1415:7 0 +9 *777:7 *2473:la_oenb[22] 8.62625e-06 +10 *1031:10 *1415:10 0.000277502 +11 *1032:10 *1415:10 0.000121243 +*RES +1 *2472:la_oenb_core[22] *1415:7 41.7506 +2 *1415:7 *1415:10 14.6517 +3 *1415:10 *2473:la_oenb[22] 46.8014 +*END + +*D_NET *1416 0.00956404 +*CONN +*I *2473:la_oenb[23] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[23] O *D mgmt_protect +*CAP +1 *2473:la_oenb[23] 0.00173576 +2 *2472:la_oenb_core[23] 0.00138434 +3 *1416:10 0.00227656 +4 *1416:7 0.00192514 +5 *2472:la_data_out_core[23] *1416:7 0 +6 *777:7 *1416:7 0 +7 *777:10 *1416:10 0.00201716 +8 *1032:10 *1416:10 4.89898e-06 +9 *1415:10 *1416:10 0.000220183 +*RES +1 *2472:la_oenb_core[23] *1416:7 42.1658 +2 *1416:7 *1416:10 28.5167 +3 *1416:10 *2473:la_oenb[23] 46.3861 +*END + +*D_NET *1417 0.0111734 +*CONN +*I *2473:la_oenb[24] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[24] O *D mgmt_protect +*CAP +1 *2473:la_oenb[24] 0.00185353 +2 *2472:la_oenb_core[24] 0.00121114 +3 *1417:10 0.00265185 +4 *1417:7 0.00200946 +5 *2473:la_oenb[24] *1421:7 0 +6 *2472:la_data_out_core[24] *1417:7 0 +7 *2472:la_data_out_core[29] *2473:la_oenb[24] 7.50872e-05 +8 *2473:la_data_in[23] *1417:7 0.000163304 +9 *778:7 *1417:7 0 +10 *778:10 *1417:10 0.000185642 +11 *782:13 *1417:10 2.93863e-05 +12 *1032:10 *1417:10 5.82695e-05 +13 *1034:8 *1417:10 0.00280311 +14 *1035:14 *1417:10 0.000132587 +*RES +1 *2472:la_oenb_core[24] *1417:7 39.2591 +2 *1417:7 *1417:10 41.2726 +3 *1417:10 *2473:la_oenb[24] 49.2929 +*END + +*D_NET *1418 0.014394 +*CONN +*I *2473:la_oenb[25] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[25] O *D mgmt_protect +*CAP +1 *2473:la_oenb[25] 0.00214521 +2 *2472:la_oenb_core[25] 0.000614721 +3 *1418:10 0.00214521 +4 *1418:8 0.00122559 +5 *1418:7 0.00184031 +6 *2473:la_oenb[25] *1425:7 0 +7 *2472:la_data_out_core[25] *1418:7 0.00165997 +8 *2472:la_data_out_core[26] *1418:7 0 +9 *2472:la_data_out_core[32] *2473:la_oenb[25] 0 +10 *779:7 *1418:7 0 +11 *779:8 *1418:8 0.00449543 +12 *786:7 *2473:la_oenb[25] 0.000267602 +*RES +1 *2472:la_oenb_core[25] *1418:7 31.3693 +2 *1418:7 *1418:8 50.6377 +3 *1418:8 *1418:10 4.5 +4 *1418:10 *2473:la_oenb[25] 57.1827 +*END + +*D_NET *1419 0.0154911 +*CONN +*I *2473:la_oenb[26] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[26] O *D mgmt_protect +*CAP +1 *2473:la_oenb[26] 0.00201973 +2 *2472:la_oenb_core[26] 0.000894111 +3 *1419:10 0.00201973 +4 *1419:8 0.00142713 +5 *1419:7 0.00232124 +6 *2473:la_oenb[26] *1428:7 0.000492241 +7 *2472:la_data_out_core[26] *1419:7 0 +8 *2472:la_data_out_core[34] *2473:la_oenb[26] 0.000355859 +9 *779:8 *1419:8 0.000289241 +10 *780:7 *1419:7 0 +11 *780:8 *1419:8 0.00567179 +*RES +1 *2472:la_oenb_core[26] *1419:7 28.8777 +2 *1419:7 *1419:8 63.3936 +3 *1419:8 *1419:10 4.5 +4 *1419:10 *2473:la_oenb[26] 59.6742 +*END + +*D_NET *1420 0.01755 +*CONN +*I *2473:la_oenb[27] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[27] O *D mgmt_protect +*CAP +1 *2473:la_oenb[27] 0.0022832 +2 *2472:la_oenb_core[27] 0.000611708 +3 *1420:10 0.0022832 +4 *1420:8 0.00157633 +5 *1420:7 0.00218804 +6 *2473:la_oenb[27] *1430:7 0 +7 *2472:la_data_out_core[27] *1420:7 0.000642344 +8 *780:7 *1420:7 0 +9 *780:8 *1420:8 0.00100572 +10 *781:7 *1420:7 0 +11 *791:13 *2473:la_oenb[27] 0.000421307 +12 *1036:8 *1420:8 0.00653818 +*RES +1 *2472:la_oenb_core[27] *1420:7 26.8015 +2 *1420:7 *1420:8 77.2587 +3 *1420:8 *1420:10 4.5 +4 *1420:10 *2473:la_oenb[27] 61.7504 +*END + +*D_NET *1421 0.020792 +*CONN +*I *2473:la_oenb[28] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[28] O *D mgmt_protect +*CAP +1 *2473:la_oenb[28] 0.00181243 +2 *2472:la_oenb_core[28] 0.00140048 +3 *1421:14 0.00181243 +4 *1421:12 0.000880908 +5 *1421:10 0.00114905 +6 *1421:7 0.00166862 +7 *2473:la_oenb[28] *1433:5 0.000299581 +8 *2472:la_data_out_core[28] *1421:7 0 +9 *2472:la_data_out_core[29] *1421:7 0 +10 *2472:la_data_out_core[39] *2473:la_oenb[28] 0 +11 *2472:la_data_out_core[40] *2473:la_oenb[28] 0 +12 *2473:la_oenb[24] *1421:7 0 +13 *778:10 *1421:10 0.000114773 +14 *782:7 *1421:7 0 +15 *1037:8 *1421:12 0.00244933 +16 *1037:14 *1421:10 0.00212788 +17 *1037:14 *1421:12 9.82896e-06 +18 *1038:8 *1421:10 9.82896e-06 +19 *1038:8 *1421:12 0.00633412 +20 *1038:14 *1421:10 0.00072275 +*RES +1 *2472:la_oenb_core[28] *1421:7 41.3353 +2 *1421:7 *1421:10 23.3416 +3 *1421:10 *1421:12 67.8304 +4 *1421:12 *1421:14 4.5 +5 *1421:14 *2473:la_oenb[28] 48.0471 +*END + +*D_NET *1422 0.0268693 +*CONN +*I *2473:la_oenb[29] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[29] O *D mgmt_protect +*CAP +1 *2473:la_oenb[29] 0.00187627 +2 *2472:la_oenb_core[29] 0.00131381 +3 *1422:10 0.00187627 +4 *1422:8 0.00100733 +5 *1422:7 0.00232114 +6 *2472:la_data_out_core[29] *1422:7 0 +7 *2472:la_data_out_core[42] *2473:la_oenb[29] 0.000297677 +8 *782:13 *1422:8 4.4379e-05 +9 *782:14 *1422:8 0.00727297 +10 *784:7 *1422:7 0 +11 *784:8 *1422:8 0.00947126 +12 *797:8 *1422:8 0 +13 *798:7 *2473:la_oenb[29] 0 +14 *1034:8 *1422:8 0.00107411 +15 *1038:8 *1422:8 0.000306383 +16 *1038:14 *1422:8 7.6719e-06 +*RES +1 *2472:la_oenb_core[29] *1422:7 39.2591 +2 *1422:7 *1422:8 103.88 +3 *1422:8 *1422:10 4.5 +4 *1422:10 *2473:la_oenb[29] 49.2929 +*END + +*D_NET *1423 0.0578039 +*CONN +*I *2473:la_oenb[2] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[2] O *D mgmt_protect +*CAP +1 *2473:la_oenb[2] 0.00243779 +2 *2472:la_oenb_core[2] 0.00111462 +3 *1423:10 0.00243779 +4 *1423:8 0.00229822 +5 *1423:7 0.00341284 +6 *2473:la_oenb[2] *2472:mprj_dat_i_user[3] 0.00028143 +7 *2473:la_oenb[2] *1638:7 2.71397e-05 +8 *2473:la_oenb[2] *1770:5 0 +9 *2473:la_oenb[2] *2189:7 0 +10 *1423:8 *1434:8 0.0220639 +11 *1423:8 *1640:8 0.000338574 +12 *2472:la_data_out_core[2] *1423:7 0 +13 *2472:la_data_out_core[3] *1423:7 0 +14 *794:7 *1423:7 0 +15 *1028:8 *1423:8 0.0231003 +16 *1050:8 *1423:8 0.000291294 +*RES +1 *2472:la_oenb_core[2] *1423:7 34.6913 +2 *1423:7 *1423:8 256.396 +3 *1423:8 *1423:10 4.5 +4 *1423:10 *2473:la_oenb[2] 53.8607 +*END + +*D_NET *1424 0.0255754 +*CONN +*I *2473:la_oenb[30] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[30] O *D mgmt_protect +*CAP +1 *2473:la_oenb[30] 0.00205224 +2 *2472:la_oenb_core[30] 0.00127 +3 *1424:10 0.00205224 +4 *1424:8 0.00132549 +5 *1424:7 0.00259549 +6 *1424:8 *1425:8 0.00379883 +7 *1424:8 *1438:8 0 +8 *2472:la_data_out_core[30] *1424:7 0 +9 *2472:la_data_out_core[31] *1424:7 0 +10 *2472:la_data_out_core[45] *2473:la_oenb[30] 4.93854e-05 +11 *782:13 *1424:8 0.000326398 +12 *785:7 *1424:7 0 +13 *1035:10 *1424:8 0.00190449 +14 *1035:14 *1424:8 1.67988e-05 +15 *1040:10 *1424:8 0.0101423 +16 *1055:8 *1424:8 4.17356e-05 +*RES +1 *2472:la_oenb_core[30] *1424:7 38.0133 +2 *1424:7 *1424:8 116.636 +3 *1424:8 *1424:10 4.5 +4 *1424:10 *2473:la_oenb[30] 50.5386 +*END + +*D_NET *1425 0.0273175 +*CONN +*I *2473:la_oenb[31] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[31] O *D mgmt_protect +*CAP +1 *2473:la_oenb[31] 0.00212352 +2 *2472:la_oenb_core[31] 0.00124321 +3 *1425:10 0.00212352 +4 *1425:8 0.00170918 +5 *1425:7 0.00295239 +6 *2473:la_oenb[31] *1442:7 5.68404e-05 +7 *1425:8 *1440:8 0 +8 *2472:la_data_out_core[31] *1425:7 0 +9 *2472:la_data_out_core[48] *2473:la_oenb[31] 0 +10 *2473:la_oenb[25] *1425:7 0 +11 *786:7 *1425:7 0 +12 *1035:10 *1425:8 0.00153275 +13 *1041:8 *1425:8 0.0115462 +14 *1042:8 *1425:8 0.000171303 +15 *1055:8 *1425:8 0 +16 *1058:8 *1425:8 5.96914e-05 +17 *1424:8 *1425:8 0.00379883 +*RES +1 *2472:la_oenb_core[31] *1425:7 37.1828 +2 *1425:7 *1425:8 130.501 +3 *1425:8 *1425:10 4.5 +4 *1425:10 *2473:la_oenb[31] 51.3691 +*END + +*D_NET *1426 0.0355502 +*CONN +*I *2473:la_oenb[32] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[32] O *D mgmt_protect +*CAP +1 *2473:la_oenb[32] 0.00208889 +2 *2472:la_oenb_core[32] 0.00109471 +3 *1426:10 0.00208889 +4 *1426:8 0.00131871 +5 *1426:7 0.00241342 +6 *2473:la_oenb[32] *1446:5 0.000447918 +7 *1426:8 *1427:8 0.000113197 +8 *2472:la_data_out_core[32] *1426:7 0 +9 *2472:la_data_out_core[50] *2473:la_oenb[32] 5.93521e-05 +10 *2473:la_data_in[26] *1426:7 0.000112532 +11 *779:8 *1426:8 0 +12 *786:8 *1426:8 0.000105652 +13 *787:7 *1426:7 0 +14 *787:8 *1426:8 0.0132199 +15 *788:8 *1426:8 0.012487 +*RES +1 *2472:la_oenb_core[32] *1426:7 34.6913 +2 *1426:7 *1426:8 143.811 +3 *1426:8 *1426:10 4.5 +4 *1426:10 *2473:la_oenb[32] 53.8607 +*END + +*D_NET *1427 0.0352572 +*CONN +*I *2473:la_oenb[33] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[33] O *D mgmt_protect +*CAP +1 *2473:la_oenb[33] 0.00228344 +2 *2472:la_oenb_core[33] 0.000849038 +3 *1427:10 0.00228344 +4 *1427:8 0.00208852 +5 *1427:7 0.00293756 +6 *2472:la_data_out_core[33] *1427:7 0.000592544 +7 *2472:la_data_out_core[53] *2473:la_oenb[33] 5.82975e-05 +8 *788:7 *1427:7 0 +9 *788:8 *1427:8 0.014488 +10 *795:8 *1427:8 0.000339591 +11 *796:8 *1427:8 0.00922354 +12 *1426:8 *1427:8 0.000113197 +*RES +1 *2472:la_oenb_core[33] *1427:7 33.8608 +2 *1427:7 *1427:8 157.122 +3 *1427:8 *1427:10 4.5 +4 *1427:10 *2473:la_oenb[33] 54.6912 +*END + +*D_NET *1428 0.0371709 +*CONN +*I *2473:la_oenb[34] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[34] O *D mgmt_protect +*CAP +1 *2473:la_oenb[34] 0.00173807 +2 *2472:la_oenb_core[34] 0.00154943 +3 *1428:14 0.00254344 +4 *1428:8 0.0022059 +5 *1428:7 0.00294995 +6 *2473:la_oenb[34] *1439:13 0 +7 *1428:8 *1431:8 0.000113197 +8 *2472:la_data_out_core[34] *1428:7 0 +9 *2473:la_oenb[26] *1428:7 0.000492241 +10 *789:7 *1428:7 0 +11 *792:8 *1428:8 0.010852 +12 *792:8 *1428:14 0.000562618 +13 *792:12 *1428:14 0.000536581 +14 *793:18 *2473:la_oenb[34] 0 +15 *1043:8 *1428:8 0.0132665 +16 *1044:8 *1428:8 0.000360915 +17 *1054:17 *2473:la_oenb[34] 0 +*RES +1 *2472:la_oenb_core[34] *1428:7 47.9793 +2 *1428:7 *1428:8 142.147 +3 *1428:8 *1428:14 33.9422 +4 *1428:14 *2473:la_oenb[34] 41.4031 +*END + +*D_NET *1429 0.042647 +*CONN +*I *2473:la_oenb[35] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[35] O *D mgmt_protect +*CAP +1 *2473:la_oenb[35] 0.00151521 +2 *2472:la_oenb_core[35] 0.00141805 +3 *1429:8 0.00297742 +4 *1429:7 0.00146222 +5 *1429:5 0.00141805 +6 *2473:la_oenb[35] *1454:5 1.77537e-06 +7 *1429:8 *1433:8 0.0142532 +8 *2472:la_data_out_core[35] *1429:5 0.00129087 +9 *2473:la_data_in[27] *1429:5 8.92568e-06 +10 *789:10 *1429:8 0.00134929 +11 *790:5 *1429:5 0 +12 *791:14 *1429:8 0.000313928 +13 *1045:8 *1429:8 0.0166381 +*RES +1 *2472:la_oenb_core[35] *1429:5 47.2166 +2 *1429:5 *1429:7 4.5 +3 *1429:7 *1429:8 183.743 +4 *1429:8 *2473:la_oenb[35] 41.3353 +*END + +*D_NET *1430 0.0466321 +*CONN +*I *2473:la_oenb[36] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[36] O *D mgmt_protect +*CAP +1 *2473:la_oenb[36] 0.00133762 +2 *2472:la_oenb_core[36] 8.20467e-05 +3 *1430:10 0.00276877 +4 *1430:9 0.00143115 +5 *1430:7 0.00191482 +6 *1430:5 0.00199687 +7 *1430:10 *1433:8 1.41689e-05 +8 *1430:10 *1446:8 1.41689e-05 +9 *1430:10 *1450:14 0.00130966 +10 *1430:10 *1452:10 0.00336573 +11 *2473:la_oenb[27] *1430:7 0 +12 *789:10 *1430:10 0.0149773 +13 *790:8 *1430:10 0.0170334 +14 *791:9 *1430:7 0 +15 *791:13 *1430:7 0 +16 *818:5 *2473:la_oenb[36] 0.000386365 +17 *1046:11 *1430:7 0 +18 *1073:17 *2473:la_oenb[36] 0 +*RES +1 *2472:la_oenb_core[36] *1430:5 2.33274 +2 *1430:5 *1430:7 48.5479 +3 *1430:7 *1430:9 4.5 +4 *1430:9 *1430:10 194.835 +5 *1430:10 *2473:la_oenb[36] 40.0896 +*END + +*D_NET *1431 0.0492101 +*CONN +*I *2473:la_oenb[37] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[37] O *D mgmt_protect +*CAP +1 *2473:la_oenb[37] 0.00168642 +2 *2472:la_oenb_core[37] 0.00131369 +3 *1431:8 0.00331124 +4 *1431:7 0.00293851 +5 *1431:8 *1439:14 0.000301452 +6 *2472:la_data_out_core[37] *1431:7 0.00123291 +7 *2473:la_data_in[28] *1431:7 0 +8 *792:7 *1431:7 0 +9 *792:8 *1431:8 0.0130166 +10 *792:12 *1431:8 9.82896e-06 +11 *793:8 *1431:8 1.19856e-05 +12 *821:11 *2473:la_oenb[37] 0 +13 *1044:8 *1431:8 0.000226394 +14 *1047:8 *1431:8 0.00572108 +15 *1047:12 *1431:8 7.02172e-06 +16 *1048:8 *1431:8 0.00650705 +17 *1048:14 *1431:8 0.0128128 +18 *1068:13 *2473:la_oenb[37] 0 +19 *1428:8 *1431:8 0.000113197 +*RES +1 *2472:la_oenb_core[37] *1431:7 48.8099 +2 *1431:7 *1431:8 210.364 +3 *1431:8 *2473:la_oenb[37] 44.2421 +*END + +*D_NET *1432 0.0375373 +*CONN +*I *2473:la_oenb[38] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[38] O *D mgmt_protect +*CAP +1 *2473:la_oenb[38] 0.00120614 +2 *2472:la_oenb_core[38] 0.0021563 +3 *1432:8 0.00629322 +4 *1432:7 0.00508708 +5 *1432:5 0.0021563 +6 *2472:la_data_out_core[38] *1432:5 0 +7 *2473:la_data_in[28] *1432:5 0 +8 *793:5 *1432:5 0 +9 *820:8 *1432:8 0 +10 *823:5 *2473:la_oenb[38] 0 +11 *824:5 *2473:la_oenb[38] 0 +12 *1037:5 *1432:5 0 +13 *1046:8 *1432:8 0 +14 *1049:8 *1432:8 0.0206383 +*RES +1 *2472:la_oenb_core[38] *1432:5 54.2759 +2 *1432:5 *1432:7 4.5 +3 *1432:7 *1432:8 223.674 +4 *1432:8 *2473:la_oenb[38] 34.276 +*END + +*D_NET *1433 0.0552907 +*CONN +*I *2473:la_oenb[39] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[39] O *D mgmt_protect +*CAP +1 *2473:la_oenb[39] 0.0013985 +2 *2472:la_oenb_core[39] 0.00179274 +3 *1433:8 0.00314942 +4 *1433:7 0.00175092 +5 *1433:5 0.00179274 +6 *2473:la_oenb[39] *1465:13 8.62625e-06 +7 *1433:8 *1452:10 0.00937219 +8 *1433:8 *1454:8 0.0079023 +9 *2472:la_data_out_core[39] *1433:5 0 +10 *2472:la_data_out_core[40] *1433:5 0 +11 *2473:la_oenb[28] *1433:5 0.000299581 +12 *789:10 *1433:8 0.0128895 +13 *791:14 *1433:8 3.59302e-05 +14 *795:7 *1433:5 0 +15 *826:5 *2473:la_oenb[39] 0.000630902 +16 *1429:8 *1433:8 0.0142532 +17 *1430:10 *1433:8 1.41689e-05 +*RES +1 *2472:la_oenb_core[39] *1433:5 47.6319 +2 *1433:5 *1433:7 4.5 +3 *1433:7 *1433:8 236.43 +4 *1433:8 *2473:la_oenb[39] 40.9201 +*END + +*D_NET *1434 0.0561452 +*CONN +*I *2473:la_oenb[3] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[3] O *D mgmt_protect +*CAP +1 *2473:la_oenb[3] 0.00226797 +2 *2472:la_oenb_core[3] 0.00112241 +3 *1434:10 0.00226797 +4 *1434:8 0.00201894 +5 *1434:7 0.00314136 +6 *2473:la_oenb[3] *2472:mprj_dat_i_user[5] 0.00017338 +7 *2473:la_oenb[3] *1772:5 0.000385299 +8 *2472:la_data_out_core[3] *1434:7 0 +9 *805:5 *1434:7 0 +10 *1050:8 *1434:8 0.0225908 +11 *1061:8 *1434:8 0.000113197 +12 *1423:8 *1434:8 0.0220639 +*RES +1 *2472:la_oenb_core[3] *1434:7 35.1065 +2 *1434:7 *1434:8 243.085 +3 *1434:8 *1434:10 4.5 +4 *1434:10 *2473:la_oenb[3] 53.4454 +*END + +*D_NET *1435 0.0557126 +*CONN +*I *2473:la_oenb[40] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[40] O *D mgmt_protect +*CAP +1 *2473:la_oenb[40] 0.00126951 +2 *2472:la_oenb_core[40] 0.00189732 +3 *1435:8 0.00360275 +4 *1435:7 0.00233324 +5 *1435:5 0.00189732 +6 *2472:la_data_out_core[40] *1435:5 0 +7 *2473:la_data_in[29] *1435:5 0.00105786 +8 *796:7 *1435:5 0 +9 *820:8 *1435:8 0 +10 *830:5 *2473:la_oenb[40] 0 +11 *1046:8 *1435:8 0 +12 *1049:8 *1435:8 0.0209505 +13 *1052:8 *1435:8 0.000298839 +14 *1053:8 *1435:8 0.0224053 +*RES +1 *2472:la_oenb_core[40] *1435:5 53.4454 +2 *1435:5 *1435:7 4.5 +3 *1435:7 *1435:8 250.295 +4 *1435:8 *2473:la_oenb[40] 35.1065 +*END + +*D_NET *1436 0.0555961 +*CONN +*I *2473:la_oenb[41] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[41] O *D mgmt_protect +*CAP +1 *2473:la_oenb[41] 0.00137667 +2 *2472:la_oenb_core[41] 0.00185211 +3 *1436:8 0.00388087 +4 *1436:7 0.0025042 +5 *1436:5 0.00185211 +6 *1436:8 *1441:8 0.0210862 +7 *2472:la_data_out_core[42] *1436:5 0 +8 *797:7 *1436:5 0 +9 *833:5 *2473:la_oenb[41] 0 +10 *1038:5 *1436:5 0.000910279 +11 *1046:8 *1436:8 0.00128833 +12 *1052:8 *1436:8 0.000903336 +13 *1052:11 *1436:5 0 +14 *1057:8 *1436:8 0.000113197 +15 *1059:8 *1436:8 0.0198288 +*RES +1 *2472:la_oenb_core[41] *1436:5 51.3691 +2 *1436:5 *1436:7 4.5 +3 *1436:7 *1436:8 263.051 +4 *1436:8 *2473:la_oenb[41] 37.1828 +*END + +*D_NET *1437 0.0497466 +*CONN +*I *2473:la_oenb[42] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[42] O *D mgmt_protect +*CAP +1 *2473:la_oenb[42] 0.0017036 +2 *2472:la_oenb_core[42] 0.000996348 +3 *1437:16 0.0017036 +4 *1437:14 0.00223728 +5 *1437:13 0.00274874 +6 *1437:8 0.00190819 +7 *1437:7 0.00239307 +8 *2473:la_oenb[42] *1474:9 5.78262e-05 +9 *1437:8 *1439:8 0.00269558 +10 *1437:8 *1448:8 0.00154564 +11 *1437:14 *1447:14 0.00183377 +12 *2472:la_data_out_core[42] *1437:7 0 +13 *2472:la_data_out_core[55] *1437:13 0 +14 *2473:la_data_in[34] *1437:13 0 +15 *795:8 *1437:8 0.000128915 +16 *797:8 *1437:14 0.0156161 +17 *798:7 *1437:7 0 +18 *798:8 *1437:14 0.000612767 +19 *835:7 *2473:la_oenb[42] 0.00127266 +20 *1054:18 *1437:8 0.00382957 +21 *1064:8 *1437:14 0.0084629 +*RES +1 *2472:la_oenb_core[42] *1437:7 31.7845 +2 *1437:7 *1437:8 96.6698 +3 *1437:8 *1437:13 19.9675 +4 *1437:13 *1437:14 180.415 +5 *1437:14 *1437:16 4.5 +6 *1437:16 *2473:la_oenb[42] 45.9709 +*END + +*D_NET *1438 0.0648337 +*CONN +*I *2473:la_oenb[43] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[43] O *D mgmt_protect +*CAP +1 *2473:la_oenb[43] 0.00212129 +2 *2472:la_oenb_core[43] 0.00142248 +3 *1438:10 0.00212129 +4 *1438:8 0.00234979 +5 *1438:7 0.00377227 +6 *2473:la_oenb[43] *1477:9 8.52802e-05 +7 *2472:la_data_out_core[43] *1438:7 0 +8 *2472:la_data_out_core[79] *2473:la_oenb[43] 0 +9 *2473:la_data_in[30] *1438:7 0 +10 *798:7 *1438:7 0 +11 *798:8 *1438:8 8.90486e-05 +12 *799:7 *1438:7 0 +13 *799:8 *1438:8 0.0263656 +14 *799:14 *1438:8 0.000119063 +15 *837:5 *2473:la_oenb[43] 0 +16 *1040:10 *1438:8 0 +17 *1055:8 *1438:8 0.000347135 +18 *1056:14 *1438:8 0.0260405 +19 *1424:8 *1438:8 0 +*RES +1 *2472:la_oenb_core[43] *1438:7 40.9201 +2 *1438:7 *1438:8 289.672 +3 *1438:8 *1438:10 4.5 +4 *1438:10 *2473:la_oenb[43] 47.6319 +*END + +*D_NET *1439 0.0467454 +*CONN +*I *2473:la_oenb[44] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[44] O *D mgmt_protect +*CAP +1 *2473:la_oenb[44] 0.00173916 +2 *2472:la_oenb_core[44] 0.000967137 +3 *1439:14 0.00470822 +4 *1439:13 0.00373346 +5 *1439:8 0.00279469 +6 *1439:7 0.00299742 +7 *2473:la_oenb[44] *1481:7 0 +8 *1439:8 *1448:8 0.00211915 +9 *1439:8 *1450:10 0.000589703 +10 *1439:14 *1459:8 0.00506317 +11 *1439:14 *1474:10 4.89898e-06 +12 *1439:14 *1476:10 0.000378805 +13 *1439:14 *1479:10 0.000146388 +14 *2472:la_data_out_core[44] *1439:7 0 +15 *2472:la_data_out_core[45] *1439:7 0 +16 *2472:la_data_out_core[55] *1439:13 0.000321771 +17 *2473:la_oenb[34] *1439:13 0 +18 *793:20 *1439:14 0.00905776 +19 *800:7 *1439:7 0 +20 *842:7 *2473:la_oenb[44] 0 +21 *1047:8 *1439:14 0.00566601 +22 *1048:8 *1439:14 0.000338561 +23 *1048:13 *1439:13 1.03986e-05 +24 *1054:8 *1439:14 0.0018007 +25 *1054:12 *1439:14 0.000562009 +26 *1064:14 *1439:8 0 +27 *1096:8 *1439:14 0.000749011 +28 *1431:8 *1439:14 0.000301452 +29 *1437:8 *1439:8 0.00269558 +*RES +1 *2472:la_oenb_core[44] *1439:7 30.954 +2 *1439:7 *1439:8 86.687 +3 *1439:8 *1439:13 27.8573 +4 *1439:13 *1439:14 217.019 +5 *1439:14 *2473:la_oenb[44] 43.4116 +*END + +*D_NET *1440 0.0703669 +*CONN +*I *2473:la_oenb[45] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[45] O *D mgmt_protect +*CAP +1 *2473:la_oenb[45] 0.00170431 +2 *2472:la_oenb_core[45] 0.00137623 +3 *1440:10 0.00170431 +4 *1440:8 0.00279427 +5 *1440:7 0.0041705 +6 *2473:la_oenb[45] *1483:9 0.000339101 +7 *1440:8 *1442:8 0.0283192 +8 *1440:8 *1480:8 9.48476e-05 +9 *1440:8 *1482:8 0.000472909 +10 *2472:la_data_out_core[45] *1440:7 0 +11 *2472:la_data_out_core[46] *1440:7 0 +12 *2473:la_data_in[31] *1440:7 0 +13 *801:7 *1440:7 0 +14 *844:7 *2473:la_oenb[45] 0.00190798 +15 *1055:8 *1440:8 0.0271235 +16 *1058:8 *1440:8 0.000113197 +17 *1095:8 *1440:8 9.00479e-06 +18 *1097:8 *1440:8 0.0002375 +19 *1425:8 *1440:8 0 +*RES +1 *2472:la_oenb_core[45] *1440:7 39.6743 +2 *1440:7 *1440:8 316.847 +3 *1440:8 *1440:10 4.5 +4 *1440:10 *2473:la_oenb[45] 48.8776 +*END + +*D_NET *1441 0.0737252 +*CONN +*I *2473:la_oenb[46] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[46] O *D mgmt_protect +*CAP +1 *2473:la_oenb[46] 0.00142252 +2 *2472:la_oenb_core[46] 0.00213112 +3 *1441:8 0.00382902 +4 *1441:7 0.0024065 +5 *1441:5 0.00213112 +6 *1441:8 *1472:10 0.00978832 +7 *1441:8 *1481:10 0.000293908 +8 *2472:la_data_out_core[46] *1441:5 0 +9 *2472:la_data_out_core[47] *1441:5 0 +10 *2472:la_data_out_core[87] *2473:la_oenb[46] 0 +11 *802:7 *1441:5 0 +12 *846:5 *2473:la_oenb[46] 0 +13 *1041:5 *1441:5 0 +14 *1057:8 *1441:8 0.0305015 +15 *1059:8 *1441:8 0.00013491 +16 *1436:8 *1441:8 0.0210862 +*RES +1 *2472:la_oenb_core[46] *1441:5 51.7844 +2 *1441:5 *1441:7 4.5 +3 *1441:7 *1441:8 330.158 +4 *1441:8 *2473:la_oenb[46] 36.7675 +*END + +*D_NET *1442 0.0747945 +*CONN +*I *2473:la_oenb[47] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[47] O *D mgmt_protect +*CAP +1 *2473:la_oenb[47] 0.000170636 +2 *2472:la_oenb_core[47] 0.00135117 +3 *1442:11 0.00250655 +4 *1442:10 0.00233591 +5 *1442:8 0.00289082 +6 *1442:7 0.00424199 +7 *1442:8 *1482:8 0.000886242 +8 *1442:8 *1488:8 0.000142393 +9 *1442:11 *1488:7 0 +10 *2472:la_data_out_core[47] *1442:7 0 +11 *2472:la_data_out_core[48] *1442:7 0 +12 *2472:la_data_out_core[90] *1442:11 9.35753e-06 +13 *2473:la_oenb[31] *1442:7 5.68404e-05 +14 *803:7 *1442:7 0 +15 *1058:5 *1442:11 0 +16 *1058:8 *1442:8 0.0316494 +17 *1060:8 *1442:8 0.000233938 +18 *1440:8 *1442:8 0.0283192 +*RES +1 *2472:la_oenb_core[47] *1442:7 39.2591 +2 *1442:7 *1442:8 341.805 +3 *1442:8 *1442:10 4.5 +4 *1442:10 *1442:11 49.3784 +5 *1442:11 *2473:la_oenb[47] 1.77093 +*END + +*D_NET *1443 0.0799465 +*CONN +*I *2473:la_oenb[48] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[48] O *D mgmt_protect +*CAP +1 *2473:la_oenb[48] 0.00137447 +2 *2472:la_oenb_core[48] 0.00183293 +3 *1443:8 0.00395734 +4 *1443:7 0.00258287 +5 *1443:5 0.00183293 +6 *2473:la_oenb[48] *1492:11 3.49417e-05 +7 *1443:8 *1448:14 0.0248174 +8 *1443:8 *1472:10 0.000308997 +9 *2472:la_data_out_core[48] *1443:5 0 +10 *2472:la_data_out_core[49] *1443:5 0 +11 *2473:la_data_in[32] *1443:5 0.00109013 +12 *804:7 *1443:5 0 +13 *853:7 *2473:la_oenb[48] 0.000255256 +14 *1046:8 *1443:8 0.00883955 +15 *1051:8 *1443:8 1.41689e-05 +16 *1059:8 *1443:8 0.0330055 +*RES +1 *2472:la_oenb_core[48] *1443:5 50.5386 +2 *1443:5 *1443:7 4.5 +3 *1443:7 *1443:8 356.779 +4 *1443:8 *2473:la_oenb[48] 38.0133 +*END + +*D_NET *1444 0.0819024 +*CONN +*I *2473:la_oenb[49] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[49] O *D mgmt_protect +*CAP +1 *2473:la_oenb[49] 0.00248326 +2 *2472:la_oenb_core[49] 0.00127489 +3 *1444:10 0.00248326 +4 *1444:8 0.00306538 +5 *1444:7 0.00434027 +6 *2473:la_oenb[49] *1495:7 8.01837e-05 +7 *2472:la_data_out_core[49] *1444:7 0 +8 *2472:la_data_out_core[50] *1444:7 0 +9 *2472:la_data_out_core[95] *2473:la_oenb[49] 0 +10 *787:8 *1444:8 0 +11 *788:8 *1444:8 0 +12 *806:7 *1444:7 0 +13 *855:5 *2473:la_oenb[49] 0 +14 *1042:5 *1444:7 0.000111326 +15 *1060:8 *1444:8 0.00020979 +16 *1062:8 *1444:8 0.0343401 +17 *1063:8 *1444:8 0.0335139 +*RES +1 *2472:la_oenb_core[49] *1444:7 37.598 +2 *1444:7 *1444:8 370.089 +3 *1444:8 *1444:10 4.5 +4 *1444:10 *2473:la_oenb[49] 50.9539 +*END + +*D_NET *1445 0.0524806 +*CONN +*I *2473:la_oenb[4] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[4] O *D mgmt_protect +*CAP +1 *2473:la_oenb[4] 0.000170594 +2 *2472:la_oenb_core[4] 0.00121251 +3 *1445:11 0.00238961 +4 *1445:10 0.00221902 +5 *1445:8 0.00187177 +6 *1445:7 0.00308429 +7 *1445:8 *1456:8 0.0196179 +8 *1445:8 *1614:8 0.00030032 +9 *1445:8 *1644:8 0.000479276 +10 *1445:8 *1683:8 1.5006e-05 +11 *1445:8 *1746:8 0.000128915 +12 *1445:11 *2472:mprj_dat_i_user[8] 8.62625e-06 +13 *1445:11 *1618:13 6.88675e-05 +14 *1445:11 *1643:5 0 +15 *1445:11 *1687:17 4.34379e-05 +16 *1445:11 *1691:13 0.000124169 +17 *1445:11 *1759:13 8.29573e-05 +18 *1445:11 *1775:7 0 +19 *2472:la_data_out_core[4] *1445:7 0 +20 *816:7 *1445:7 0 +21 *816:8 *1445:8 0.000221463 +22 *1061:8 *1445:8 0.000157173 +23 *1072:8 *1445:8 0.0202847 +*RES +1 *2472:la_oenb_core[4] *1445:7 37.1828 +2 *1445:7 *1445:8 227.556 +3 *1445:8 *1445:10 4.5 +4 *1445:10 *1445:11 51.4546 +5 *1445:11 *2473:la_oenb[4] 1.77093 +*END + +*D_NET *1446 0.0853949 +*CONN +*I *2473:la_oenb[50] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[50] O *D mgmt_protect +*CAP +1 *2473:la_oenb[50] 0.00162462 +2 *2472:la_oenb_core[50] 0.00189583 +3 *1446:8 0.00442181 +4 *1446:7 0.00279719 +5 *1446:5 0.00189583 +6 *1446:8 *1448:14 1.41689e-05 +7 *1446:8 *1450:14 0.0295004 +8 *1446:8 *1468:10 0.0207755 +9 *2472:la_data_out_core[50] *1446:5 0 +10 *2473:la_data_in[33] *1446:5 0 +11 *2473:la_oenb[32] *1446:5 0.000447918 +12 *790:8 *1446:8 0.00664129 +13 *807:7 *1446:5 0 +14 *1051:8 *1446:8 0.0153662 +15 *1430:10 *1446:8 1.41689e-05 +*RES +1 *2472:la_oenb_core[50] *1446:5 49.2929 +2 *1446:5 *1446:7 4.5 +3 *1446:7 *1446:8 383.4 +4 *1446:8 *2473:la_oenb[50] 39.2591 +*END + +*D_NET *1447 0.0771424 +*CONN +*I *2473:la_oenb[51] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[51] O *D mgmt_protect +*CAP +1 *2473:la_oenb[51] 0.00225437 +2 *2472:la_oenb_core[51] 0.000839672 +3 *1447:14 0.00536802 +4 *1447:13 0.00349748 +5 *1447:8 0.00239853 +6 *1447:7 0.00285437 +7 *1447:8 *1449:8 0.00224181 +8 *1447:13 *1448:13 0.000650382 +9 *2472:la_data_out_core[51] *1447:7 0 +10 *2472:la_data_out_core[52] *1447:7 0 +11 *792:12 *1447:14 0 +12 *800:8 *1447:8 0 +13 *808:7 *1447:7 0 +14 *817:7 *1447:13 8.83765e-05 +15 *991:11 *2473:la_oenb[51] 0 +16 *1064:8 *1447:14 0.0265279 +17 *1064:14 *1447:8 0 +18 *1066:8 *1447:14 0.0267361 +19 *1073:17 *1447:13 0.000959915 +20 *1076:8 *1447:14 0.000759171 +21 *1108:8 *1447:14 0.00013257 +22 *1437:14 *1447:14 0.00183377 +*RES +1 *2472:la_oenb_core[51] *1447:7 25.971 +2 *1447:7 *1447:8 70.0488 +3 *1447:8 *1447:13 26.6116 +4 *1447:13 *1447:14 326.83 +5 *1447:14 *2473:la_oenb[51] 49.6404 +*END + +*D_NET *1448 0.0826465 +*CONN +*I *2473:la_oenb[52] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[52] O *D mgmt_protect +*CAP +1 *2473:la_oenb[52] 0.00140412 +2 *2472:la_oenb_core[52] 0.00105923 +3 *1448:14 0.00455297 +4 *1448:13 0.00380253 +5 *1448:8 0.00166647 +6 *1448:7 0.00207203 +7 *1448:8 *1450:10 0.00135039 +8 *1448:14 *1468:10 0.0247916 +9 *1448:14 *1472:10 0.00165794 +10 *2472:la_data_out_core[52] *1448:7 0 +11 *2472:la_data_out_core[53] *1448:7 0 +12 *795:8 *1448:8 0.00053673 +13 *809:7 *1448:7 0 +14 *817:7 *1448:13 0.00051899 +15 *993:11 *2473:la_oenb[52] 0.000866262 +16 *1046:7 *1448:13 8.62625e-06 +17 *1051:8 *1448:14 0.00800429 +18 *1054:18 *1448:8 0.00031258 +19 *1064:14 *1448:8 0 +20 *1073:17 *1448:13 0.000260534 +21 *1375:8 *1448:14 0.000634418 +22 *1437:8 *1448:8 0.00154564 +23 *1439:8 *1448:8 0.00211915 +24 *1443:8 *1448:14 0.0248174 +25 *1446:8 *1448:14 1.41689e-05 +26 *1447:13 *1448:13 0.000650382 +*RES +1 *2472:la_oenb_core[52] *1448:7 31.3693 +2 *1448:7 *1448:8 61.7298 +3 *1448:8 *1448:13 32.4251 +4 *1448:13 *1448:14 348.46 +5 *1448:14 *2473:la_oenb[52] 38.4285 +*END + +*D_NET *1449 0.0707264 +*CONN +*I *2473:la_oenb[53] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[53] O *D mgmt_protect +*CAP +1 *2473:la_oenb[53] 0.00151049 +2 *2472:la_oenb_core[53] 0.000889356 +3 *1449:18 0.00188841 +4 *1449:17 0.00186707 +5 *1449:12 0.00307661 +6 *1449:10 0.0016081 +7 *1449:8 0.00600715 +8 *1449:7 0.00687587 +9 *1449:8 *1451:8 0.00126707 +10 *1449:8 *1451:12 0.0163709 +11 *1449:8 *1460:8 0.00457807 +12 *1449:12 *1451:12 0.00247199 +13 *1449:12 *1498:13 0.000683029 +14 *1449:17 *1498:17 0.000272318 +15 *1449:17 *1499:7 0.000568328 +16 *1449:18 *1481:10 0.00493538 +17 *1449:18 *1492:14 0.00493959 +18 *2472:la_data_out_core[53] *1449:7 0 +19 *2472:la_data_out_core[54] *1449:7 0 +20 *2473:la_data_in[51] *1449:17 0 +21 *810:7 *1449:7 0 +22 *856:8 *1449:12 0.000703115 +23 *1063:5 *1449:17 0 +24 *1064:14 *1449:8 8.47539e-05 +25 *1066:14 *1449:8 0.000264018 +26 *1068:14 *1449:8 0.00595019 +27 *1080:16 *1449:8 0.00167272 +28 *1447:8 *1449:8 0.00224181 +*RES +1 *2472:la_oenb_core[53] *1449:7 26.8015 +2 *1449:7 *1449:8 303.26 +3 *1449:8 *1449:10 0.578717 +4 *1449:10 *1449:12 68.1077 +5 *1449:12 *1449:17 39.4844 +6 *1449:17 *1449:18 52.3015 +7 *1449:18 *2473:la_oenb[53] 36.3523 +*END + +*D_NET *1450 0.0917886 +*CONN +*I *2473:la_oenb[54] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[54] O *D mgmt_protect +*CAP +1 *2473:la_oenb[54] 0.00172713 +2 *2472:la_oenb_core[54] 0.0010505 +3 *1450:14 0.00478848 +4 *1450:13 0.00383964 +5 *1450:10 0.00162531 +6 *1450:7 0.00189752 +7 *1450:13 *1455:7 0 +8 *1450:14 *1452:10 0.00103897 +9 *1450:14 *1468:10 0.000312991 +10 *1450:14 *1494:14 0.00732031 +11 *2472:la_data_out_core[109] *2473:la_oenb[54] 0 +12 *2472:la_data_out_core[54] *1450:7 0 +13 *2472:la_data_out_core[55] *1450:7 0 +14 *2472:la_data_out_core[59] *1450:13 0.00115301 +15 *2473:la_data_in[36] *1450:13 8.62625e-06 +16 *811:7 *1450:7 0 +17 *1064:14 *1450:10 0.00023441 +18 *1068:8 *1450:14 0.033884 +19 *1379:8 *1450:14 0.000157517 +20 *1430:10 *1450:14 0.00130966 +21 *1439:8 *1450:10 0.000589703 +22 *1446:8 *1450:14 0.0295004 +23 *1448:8 *1450:10 0.00135039 +*RES +1 *2472:la_oenb_core[54] *1450:7 30.5388 +2 *1450:7 *1450:10 42.9364 +3 *1450:10 *1450:13 27.5099 +4 *1450:13 *1450:14 398.374 +5 *1450:14 *2473:la_oenb[54] 39.6743 +*END + +*D_NET *1451 0.0918502 +*CONN +*I *2473:la_oenb[55] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[55] O *D mgmt_protect +*CAP +1 *2473:la_oenb[55] 0.00150862 +2 *2472:la_oenb_core[55] 0.000939039 +3 *1451:20 0.00172859 +4 *1451:17 0.00179417 +5 *1451:12 0.0051497 +6 *1451:10 0.00359614 +7 *1451:8 0.00101728 +8 *1451:7 0.00193569 +9 *1451:8 *1462:8 0.00296819 +10 *1451:12 *1460:14 0.0287718 +11 *1451:12 *1462:8 3.07561e-05 +12 *1451:12 *1498:13 0.000678803 +13 *1451:20 *1481:10 0.00278378 +14 *1451:20 *1492:14 0.00278799 +15 *2472:la_data_out_core[107] *1451:17 0 +16 *2472:la_data_out_core[111] *2473:la_oenb[55] 5.33526e-05 +17 *2472:la_data_out_core[55] *1451:7 0 +18 *2472:la_data_out_core[56] *1451:7 0 +19 *812:7 *1451:7 0 +20 *856:8 *1451:12 0.000394741 +21 *1064:14 *1451:8 1.41689e-05 +22 *1066:7 *1451:17 0.000198577 +23 *1066:14 *1451:8 0.00751763 +24 *1068:14 *1451:8 0.00594597 +25 *1080:16 *1451:8 0.00166851 +26 *1381:9 *1451:17 0.000256748 +27 *1449:8 *1451:8 0.00126707 +28 *1449:8 *1451:12 0.0163709 +29 *1449:12 *1451:12 0.00247199 +*RES +1 *2472:la_oenb_core[55] *1451:7 27.632 +2 *1451:7 *1451:8 112.199 +3 *1451:8 *1451:10 0.578717 +4 *1451:10 *1451:12 308.528 +5 *1451:12 *1451:17 38.6539 +6 *1451:17 *1451:20 34.0628 +7 *1451:20 *2473:la_oenb[55] 31.8523 +*END + +*D_NET *1452 0.102204 +*CONN +*I *2473:la_oenb[56] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[56] O *D mgmt_protect +*CAP +1 *2473:la_oenb[56] 0.00132646 +2 *2472:la_oenb_core[56] 0.00208952 +3 *1452:10 0.00483328 +4 *1452:9 0.00350682 +5 *1452:7 0.00208952 +6 *1452:10 *1454:8 1.41689e-05 +7 *1452:10 *1465:14 0.0342558 +8 *1452:10 *1490:10 0.000246453 +9 *2472:la_data_out_core[56] *1452:7 0 +10 *2472:la_data_out_core[57] *1452:7 0 +11 *2473:la_data_in[35] *1452:7 8.62625e-06 +12 *813:7 *1452:7 0 +13 *813:11 *2473:la_oenb[56] 0.00187058 +14 *1054:17 *1452:7 0 +15 *1068:8 *1452:10 0.0372973 +16 *1379:8 *1452:10 0.00024852 +17 *1381:15 *2473:la_oenb[56] 0 +18 *1387:10 *1452:10 1.41689e-05 +19 *1387:12 *1452:10 0.000625682 +20 *1430:10 *1452:10 0.00336573 +21 *1433:8 *1452:10 0.00937219 +22 *1450:14 *1452:10 0.00103897 +*RES +1 *2472:la_oenb_core[56] *1452:7 48.7799 +2 *1452:7 *1452:9 4.5 +3 *1452:9 *1452:10 463.263 +4 *1452:10 *2473:la_oenb[56] 40.5048 +*END + +*D_NET *1453 0.104775 +*CONN +*I *2473:la_oenb[57] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[57] O *D mgmt_protect +*CAP +1 *2473:la_oenb[57] 0.00254668 +2 *2472:la_oenb_core[57] 0.001286 +3 *1453:14 0.00254668 +4 *1453:12 0.000400491 +5 *1453:8 0.00384222 +6 *1453:7 0.00472773 +7 *1453:8 *1455:8 1.41853e-05 +8 *1453:12 *1455:8 0.00461873 +9 *2472:la_data_out_core[117] *2473:la_oenb[57] 0 +10 *2472:la_data_out_core[57] *1453:7 0 +11 *2472:la_data_out_core[58] *1453:7 0 +12 *752:5 *2473:la_oenb[57] 0.00050637 +13 *796:8 *1453:8 3.40535e-05 +14 *814:7 *1453:7 0 +15 *1069:10 *1453:12 0.00389262 +16 *1069:12 *1453:8 0.0401714 +17 *1069:12 *1453:12 1.67988e-05 +18 *1070:14 *1453:8 0.039583 +19 *1389:8 *1453:12 0.000301452 +20 *1391:5 *2473:la_oenb[57] 0.000286862 +*RES +1 *2472:la_oenb_core[57] *1453:7 35.5218 +2 *1453:7 *1453:8 427.768 +3 *1453:8 *1453:12 49.5526 +4 *1453:12 *1453:14 4.5 +5 *1453:14 *2473:la_oenb[57] 53.4454 +*END + +*D_NET *1454 0.107802 +*CONN +*I *2473:la_oenb[58] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[58] O *D mgmt_protect +*CAP +1 *2473:la_oenb[58] 0.00183329 +2 *2472:la_oenb_core[58] 0.00204843 +3 *1454:8 0.00552918 +4 *1454:7 0.00369589 +5 *1454:5 0.00204843 +6 *1454:8 *1465:14 0.0382571 +7 *1454:8 *1490:10 0.000355068 +8 *2472:la_data_out_core[119] *2473:la_oenb[58] 0.000215198 +9 *2472:la_data_out_core[58] *1454:5 0 +10 *2472:la_data_out_core[59] *1454:5 0 +11 *2473:la_oenb[35] *1454:5 1.77537e-06 +12 *756:5 *2473:la_oenb[58] 0 +13 *791:14 *1454:8 0.000233938 +14 *815:7 *1454:5 0 +15 *1071:8 *1454:8 0.0456672 +16 *1433:8 *1454:8 0.0079023 +17 *1452:10 *1454:8 1.41689e-05 +*RES +1 *2472:la_oenb_core[58] *1454:5 47.2166 +2 *1454:5 *1454:7 4.5 +3 *1454:7 *1454:8 490.438 +4 *1454:8 *2473:la_oenb[58] 41.3353 +*END + +*D_NET *1455 0.108331 +*CONN +*I *2473:la_oenb[59] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[59] O *D mgmt_protect +*CAP +1 *2473:la_oenb[59] 0.0027757 +2 *2472:la_oenb_core[59] 0.00126702 +3 *1455:14 0.00323473 +4 *1455:8 0.00435767 +5 *1455:7 0.00516567 +6 *1455:8 *1458:8 0.0426181 +7 *1455:14 *1475:8 0.000264516 +8 *2472:la_data_out_core[59] *1455:7 0 +9 *758:5 *2473:la_oenb[59] 0.000584078 +10 *796:8 *1455:8 0.000366401 +11 *817:7 *1455:7 0 +12 *1013:11 *2473:la_oenb[59] 0 +13 *1070:14 *1455:8 0.0385933 +14 *1075:14 *1455:8 1.41853e-05 +15 *1075:14 *1455:14 0.00244356 +16 *1389:8 *1455:8 0.000150716 +17 *1392:8 *1455:8 0.000139764 +18 *1392:8 *1455:14 0.000293761 +19 *1394:8 *1455:14 0.000332046 +20 *1396:8 *1455:14 0.00109722 +21 *1450:13 *1455:7 0 +22 *1453:8 *1455:8 1.41853e-05 +23 *1453:12 *1455:8 0.00461873 +*RES +1 *2472:la_oenb_core[59] *1455:7 34.6913 +2 *1455:7 *1455:8 470.473 +3 *1455:8 *1455:14 37.9691 +4 *1455:14 *2473:la_oenb[59] 54.2759 +*END + +*D_NET *1456 0.0493982 +*CONN +*I *2473:la_oenb[5] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[5] O *D mgmt_protect +*CAP +1 *2473:la_oenb[5] 0.00222699 +2 *2472:la_oenb_core[5] 0.00121245 +3 *1456:10 0.00222699 +4 *1456:8 0.00175867 +5 *1456:7 0.00297113 +6 *2473:la_oenb[5] *2472:mprj_dat_i_user[10] 0 +7 *2473:la_oenb[5] *2472:mprj_dat_i_user[11] 0 +8 *2473:la_oenb[5] *1746:7 2.71397e-05 +9 *1456:8 *1467:8 0.0183884 +10 *1456:8 *1683:8 9.51286e-05 +11 *1456:8 *1748:8 4.92912e-05 +12 *2472:la_data_out_core[5] *1456:7 0 +13 *827:7 *1456:7 0 +14 *827:8 *1456:8 0.000590133 +15 *1072:8 *1456:8 0.000233938 +16 *1445:8 *1456:8 0.0196179 +*RES +1 *2472:la_oenb_core[5] *1456:7 37.598 +2 *1456:7 *1456:8 216.464 +3 *1456:8 *1456:10 4.5 +4 *1456:10 *2473:la_oenb[5] 50.9539 +*END + +*D_NET *1457 0.112317 +*CONN +*I *2473:la_oenb[60] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[60] O *D mgmt_protect +*CAP +1 *2473:la_oenb[60] 0.00199253 +2 *2472:la_oenb_core[60] 0.00168455 +3 *1457:12 0.00585212 +4 *1457:10 0.00398928 +5 *1457:5 0.00181424 +6 *1457:12 *1459:8 0.0471815 +7 *1457:12 *1490:10 0.000506175 +8 *759:13 *2473:la_oenb[60] 0 +9 *761:5 *2473:la_oenb[60] 9.1098e-05 +10 *791:14 *1457:10 0.00106767 +11 *793:20 *1457:10 0.00135332 +12 *793:20 *1457:12 7.45396e-05 +13 *818:5 *1457:5 0 +14 *1071:8 *1457:10 0.000117922 +15 *1071:8 *1457:12 0.0446551 +16 *1073:17 *1457:5 0.000739351 +17 *1393:14 *1457:12 0.00119797 +*RES +1 *2472:la_oenb_core[60] *1457:5 45.9709 +2 *1457:5 *1457:10 19.3899 +3 *1457:10 *1457:12 502.917 +4 *1457:12 *2473:la_oenb[60] 42.1658 +*END + +*D_NET *1458 0.112632 +*CONN +*I *2473:la_oenb[61] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[61] O *D mgmt_protect +*CAP +1 *2473:la_oenb[61] 0.0034277 +2 *2472:la_oenb_core[61] 0.00124123 +3 *1458:16 0.0034277 +4 *1458:14 0.00137176 +5 *1458:13 0.00177793 +6 *1458:8 0.0039955 +7 *1458:7 0.00483056 +8 *2473:la_oenb[61] *1646:7 0 +9 *1458:14 *1460:14 0.000849929 +10 *1458:14 *1460:18 0.0046885 +11 *2472:la_data_out_core[61] *1458:7 0 +12 *2473:la_data_in[58] *1458:13 0 +13 *753:7 *1458:13 0.000116915 +14 *763:5 *2473:la_oenb[61] 0 +15 *796:8 *1458:8 0.000226377 +16 *818:5 *1458:7 0 +17 *819:7 *1458:7 0 +18 *856:8 *1458:14 0.00108523 +19 *1070:8 *1458:14 0.000483488 +20 *1075:14 *1458:8 0.0420935 +21 *1393:10 *1458:14 0.000203727 +22 *1403:7 *2473:la_oenb[61] 0.000193734 +23 *1455:8 *1458:8 0.0426181 +*RES +1 *2472:la_oenb_core[61] *1458:7 34.276 +2 *1458:7 *1458:8 453.835 +3 *1458:8 *1458:13 16.6455 +4 *1458:13 *1458:14 76.1495 +5 *1458:14 *1458:16 4.5 +6 *1458:16 *2473:la_oenb[61] 61.7504 +*END + +*D_NET *1459 0.111065 +*CONN +*I *2473:la_oenb[62] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[62] O *D mgmt_protect +*CAP +1 *2473:la_oenb[62] 0.0021048 +2 *2472:la_oenb_core[62] 0.00201179 +3 *1459:8 0.00673772 +4 *1459:7 0.00463293 +5 *1459:5 0.00201179 +6 *1459:8 *1490:10 0.000654003 +7 *1459:8 *2414:14 0.00111656 +8 *2472:la_data_out_core[62] *1459:5 0 +9 *793:20 *1459:8 0.00355462 +10 *819:7 *1459:5 0 +11 *820:5 *1459:5 0 +12 *1047:7 *1459:5 1.47102e-05 +13 *1096:8 *1459:8 0.000186902 +14 *1098:8 *1459:8 0.00067765 +15 *1100:8 *1459:8 0.0339516 +16 *1393:14 *1459:8 0.000156904 +17 *1397:13 *2473:la_oenb[62] 6.16595e-06 +18 *1401:10 *1459:8 0.00100217 +19 *1439:14 *1459:8 0.00506317 +20 *1457:12 *1459:8 0.0471815 +*RES +1 *2472:la_oenb_core[62] *1459:5 45.9709 +2 *1459:5 *1459:7 4.5 +3 *1459:7 *1459:8 543.68 +4 *1459:8 *2473:la_oenb[62] 42.5811 +*END + +*D_NET *1460 0.113132 +*CONN +*I *2473:la_oenb[63] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[63] O *D mgmt_protect +*CAP +1 *2473:la_oenb[63] 0.0035014 +2 *2472:la_oenb_core[63] 0.000916947 +3 *1460:20 0.0035014 +4 *1460:18 0.00128402 +5 *1460:16 0.00130465 +6 *1460:14 0.00382374 +7 *1460:13 0.00385522 +8 *1460:8 0.00123023 +9 *1460:7 0.00209507 +10 *1460:13 *1466:7 7.50872e-05 +11 *1460:14 *1462:8 0.0388726 +12 *1460:18 *1462:8 0.00124343 +13 *2472:la_data_out_core[63] *1460:7 0 +14 *821:9 *1460:7 0 +15 *821:11 *1460:7 0 +16 *856:8 *1460:14 0 +17 *856:8 *1460:18 0.000268186 +18 *1016:16 *1460:18 0.000284032 +19 *1070:8 *1460:14 0.00600554 +20 *1075:8 *1460:18 0.00598173 +21 *1449:8 *1460:8 0.00457807 +22 *1451:12 *1460:14 0.0287718 +23 *1458:14 *1460:14 0.000849929 +24 *1458:14 *1460:18 0.0046885 +*RES +1 *2472:la_oenb_core[63] *1460:7 26.3862 +2 *1460:7 *1460:8 48.9739 +3 *1460:8 *1460:13 10.4167 +4 *1460:13 *1460:14 415.012 +5 *1460:14 *1460:16 0.578717 +6 *1460:16 *1460:18 92.7876 +7 *1460:18 *1460:20 4.5 +8 *1460:20 *2473:la_oenb[63] 61.3352 +*END + +*D_NET *1461 0.122281 +*CONN +*I *2473:la_oenb[64] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[64] O *D mgmt_protect +*CAP +1 *2473:la_oenb[64] 0.00244889 +2 *2472:la_oenb_core[64] 0.00177708 +3 *1461:8 0.00745919 +4 *1461:7 0.00678738 +5 *1461:8 *1463:8 0.0520936 +6 *1461:8 *1477:10 0 +7 *1461:8 *1483:10 0.000789395 +8 *2472:la_data_out_core[64] *1461:7 0 +9 *821:11 *1461:7 0 +10 *822:5 *1461:7 0 +11 *1054:12 *1461:8 0 +12 *1076:8 *1461:8 0.0509252 +*RES +1 *2472:la_oenb_core[64] *1461:7 44.6573 +2 *1461:7 *1461:8 569.747 +3 *1461:8 *2473:la_oenb[64] 48.3946 +*END + +*D_NET *1462 0.123864 +*CONN +*I *2473:la_oenb[65] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[65] O *D mgmt_protect +*CAP +1 *2473:la_oenb[65] 0.00344489 +2 *2472:la_oenb_core[65] 0.00100871 +3 *1462:10 0.00344489 +4 *1462:8 0.00540859 +5 *1462:7 0.0064173 +6 *2472:la_data_out_core[65] *1462:7 0 +7 *822:5 *1462:7 0 +8 *823:5 *1462:7 0 +9 *856:8 *1462:8 0 +10 *1064:14 *1462:8 0.000171288 +11 *1066:13 *1462:7 8.62625e-06 +12 *1075:8 *1462:8 0.00597332 +13 *1079:8 *1462:8 0.0541904 +14 *1099:8 *1462:8 0.000680957 +15 *1451:8 *1462:8 0.00296819 +16 *1451:12 *1462:8 3.07561e-05 +17 *1460:14 *1462:8 0.0388726 +18 *1460:18 *1462:8 0.00124343 +*RES +1 *2472:la_oenb_core[65] *1462:7 28.0472 +2 *1462:7 *1462:8 583.057 +3 *1462:8 *1462:10 4.5 +4 *1462:10 *2473:la_oenb[65] 60.5047 +*END + +*D_NET *1463 0.126972 +*CONN +*I *2473:la_oenb[66] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[66] O *D mgmt_protect +*CAP +1 *2473:la_oenb[66] 0.00241382 +2 *2472:la_oenb_core[66] 0.00181907 +3 *1463:8 0.00788226 +4 *1463:7 0.00728751 +5 *1463:8 *1477:10 0 +6 *1463:8 *1483:10 0.00139304 +7 *2472:la_data_out_core[66] *1463:7 0 +8 *823:5 *1463:7 0 +9 *824:5 *1463:7 0 +10 *1054:12 *1463:8 0 +11 *1080:8 *1463:8 0.0540183 +12 *1082:8 *1463:8 6.49003e-05 +13 *1461:8 *1463:8 0.0520936 +*RES +1 *2472:la_oenb_core[66] *1463:7 45.0726 +2 *1463:7 *1463:8 596.368 +3 *1463:8 *2473:la_oenb[66] 47.9793 +*END + +*D_NET *1464 0.132295 +*CONN +*I *2473:la_oenb[67] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[67] O *D mgmt_protect +*CAP +1 *2473:la_oenb[67] 0.00337618 +2 *2472:la_oenb_core[67] 0.00105823 +3 *1464:10 0.00337618 +4 *1464:8 0.00528767 +5 *1464:7 0.00634591 +6 *2472:la_data_out_core[67] *1464:7 0 +7 *795:8 *1464:8 0 +8 *824:5 *1464:7 0 +9 *825:7 *1464:7 0 +10 *856:8 *1464:8 0 +11 *1079:8 *1464:8 0.054575 +12 *1081:8 *1464:8 0.0568055 +13 *1099:8 *1464:8 0.00146993 +*RES +1 *2472:la_oenb_core[67] *1464:7 28.8777 +2 *1464:7 *1464:8 610.233 +3 *1464:8 *1464:10 4.5 +4 *1464:10 *2473:la_oenb[67] 59.6742 +*END + +*D_NET *1465 0.136098 +*CONN +*I *2473:la_oenb[68] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[68] O *D mgmt_protect +*CAP +1 *2473:la_oenb[68] 0.00194814 +2 *2472:la_oenb_core[68] 0.0012193 +3 *1465:14 0.00646213 +4 *1465:13 0.00528677 +5 *1465:10 0.00199207 +6 *1465:14 *1490:10 0.000246453 +7 *2472:la_data_out_core[68] *1465:10 0 +8 *2472:la_data_out_core[69] *1465:13 0.000201199 +9 *2473:la_oenb[39] *1465:13 8.62625e-06 +10 *795:8 *1465:10 0 +11 *825:7 *1465:10 0 +12 *826:5 *1465:10 0 +13 *826:5 *1465:13 0.000490751 +14 *1071:8 *1465:14 8.66138e-05 +15 *1081:8 *1465:10 0.00013978 +16 *1379:8 *1465:14 0.000228981 +17 *1387:12 *1465:14 0.000482046 +18 *1390:8 *1465:14 0.0231575 +19 *1391:8 *1465:14 0.000115848 +20 *1393:14 *1465:14 0.0200461 +21 *1399:8 *1465:14 0.00147281 +22 *1452:10 *1465:14 0.0342558 +23 *1454:8 *1465:14 0.0382571 +*RES +1 *2472:la_oenb_core[68] *1465:10 38.1199 +2 *1465:10 *1465:13 26.6794 +3 *1465:13 *1465:14 619.661 +4 *1465:14 *2473:la_oenb[68] 40.9201 +*END + +*D_NET *1466 0.139113 +*CONN +*I *2473:la_oenb[69] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[69] O *D mgmt_protect +*CAP +1 *2473:la_oenb[69] 0.00330393 +2 *2472:la_oenb_core[69] 0.00107129 +3 *1466:10 0.00330393 +4 *1466:8 0.00540409 +5 *1466:7 0.00647538 +6 *2472:la_data_out_core[69] *1466:7 0 +7 *795:8 *1466:8 0 +8 *796:8 *1466:8 0 +9 *828:7 *1466:7 0 +10 *1080:16 *1466:7 5.33266e-05 +11 *1081:8 *1466:8 0.0571564 +12 *1084:8 *1466:8 0.0593869 +13 *1099:8 *1466:8 0.00288264 +14 *1460:13 *1466:7 7.50872e-05 +*RES +1 *2472:la_oenb_core[69] *1466:7 29.7083 +2 *1466:7 *1466:8 636.854 +3 *1466:8 *1466:10 4.5 +4 *1466:10 *2473:la_oenb[69] 58.8437 +*END + +*D_NET *1467 0.0473481 +*CONN +*I *2473:la_oenb[6] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[6] O *D mgmt_protect +*CAP +1 *2473:la_oenb[6] 0.00205104 +2 *2472:la_oenb_core[6] 0.00122465 +3 *1467:10 0.00205104 +4 *1467:8 0.00161546 +5 *1467:7 0.00284011 +6 *2473:la_oenb[6] *1617:5 0 +7 *2473:la_oenb[6] *1749:5 0.000325317 +8 *2472:la_data_out_core[6] *1467:7 0 +9 *827:8 *1467:8 0.0186498 +10 *838:7 *1467:7 0 +11 *1094:8 *1467:8 0.000202245 +12 *1456:8 *1467:8 0.0183884 +*RES +1 *2472:la_oenb_core[6] *1467:7 38.0133 +2 *1467:7 *1467:8 202.599 +3 *1467:8 *1467:10 4.5 +4 *1467:10 *2473:la_oenb[6] 50.5386 +*END + +*D_NET *1468 0.139265 +*CONN +*I *2473:la_oenb[70] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[70] O *D mgmt_protect +*CAP +1 *2473:la_oenb[70] 0.00179596 +2 *2472:la_oenb_core[70] 6.22868e-05 +3 *1468:18 0.00387596 +4 *1468:16 0.00221454 +5 *1468:10 0.00285768 +6 *1468:9 0.00272314 +7 *1468:7 0.00160043 +8 *1468:5 0.00166272 +9 *1468:10 *1494:14 0.0104745 +10 *2472:la_data_out_core[70] *1468:7 0 +11 *828:7 *1468:7 0 +12 *829:5 *1468:7 0.00273745 +13 *1016:13 *2473:la_oenb[70] 7.09666e-06 +14 *1051:7 *1468:7 8.62625e-06 +15 *1375:8 *1468:10 1.55995e-05 +16 *1377:8 *1468:10 0.00315438 +17 *1377:8 *1468:16 0.000423922 +18 *1377:8 *1468:18 8.66138e-05 +19 *1379:8 *1468:10 0.000259403 +20 *1379:8 *1468:18 0.00183192 +21 *1381:16 *1468:18 0.0286484 +22 *1383:16 *1468:18 0.0288586 +23 *1386:16 *1468:16 7.92757e-06 +24 *1387:10 *1468:16 7.45288e-05 +25 *1387:12 *1468:16 2.77625e-06 +26 *1446:8 *1468:10 0.0207755 +27 *1448:14 *1468:10 0.0247916 +28 *1450:14 *1468:10 0.000312991 +*RES +1 *2472:la_oenb_core[70] *1468:5 1.77093 +2 *1468:5 *1468:7 49.7936 +3 *1468:7 *1468:9 4.5 +4 *1468:9 *1468:10 339.032 +5 *1468:10 *1468:16 5.76305 +6 *1468:16 *1468:18 304.646 +7 *1468:18 *2473:la_oenb[70] 38.8438 +*END + +*D_NET *1469 0.144923 +*CONN +*I *2473:la_oenb[71] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[71] O *D mgmt_protect +*CAP +1 *2473:la_oenb[71] 0.00322762 +2 *2472:la_oenb_core[71] 0.00113815 +3 *1469:10 0.00322762 +4 *1469:8 0.00551315 +5 *1469:7 0.0066513 +6 *1469:8 *1484:8 0.00346547 +7 *2472:la_data_out_core[71] *1469:7 0 +8 *796:8 *1469:8 0 +9 *830:5 *1469:7 0 +10 *1084:8 *1469:8 0.0597314 +11 *1086:8 *1469:8 0.0619683 +*RES +1 *2472:la_oenb_core[71] *1469:7 30.5388 +2 *1469:7 *1469:8 663.475 +3 *1469:8 *1469:10 4.5 +4 *1469:10 *2473:la_oenb[71] 58.0132 +*END + +*D_NET *1470 0.148538 +*CONN +*I *2473:la_oenb[72] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[72] O *D mgmt_protect +*CAP +1 *2473:la_oenb[72] 0.0022466 +2 *2472:la_oenb_core[72] 0.00164252 +3 *1470:10 0.00733915 +4 *1470:9 0.00673507 +5 *1470:10 *1485:8 0.00347326 +6 *2472:la_data_out_core[72] *1470:9 0.000418015 +7 *2473:la_data_in[41] *1470:9 0.00070507 +8 *830:5 *1470:9 0 +9 *831:5 *1470:9 0 +10 *1054:8 *1470:10 0.00052801 +11 *1085:8 *1470:10 0.000242981 +12 *1087:8 *1470:10 0.063363 +13 *1089:8 *1470:10 0.0618445 +*RES +1 *2472:la_oenb_core[72] *1470:9 48.4435 +2 *1470:9 *1470:10 677.34 +3 *1470:10 *2473:la_oenb[72] 45.9031 +*END + +*D_NET *1471 0.150786 +*CONN +*I *2473:la_oenb[73] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[73] O *D mgmt_protect +*CAP +1 *2473:la_oenb[73] 0.00314048 +2 *2472:la_oenb_core[73] 0.00118102 +3 *1471:10 0.00314048 +4 *1471:8 0.00561491 +5 *1471:7 0.00679593 +6 *2472:la_data_out_core[73] *1471:7 0 +7 *2472:la_data_out_core[74] *1471:7 0 +8 *832:7 *1471:7 0 +9 *1075:14 *1471:8 0 +10 *1086:8 *1471:8 0.0623063 +11 *1088:8 *1471:8 0.0645433 +12 *1101:8 *1471:8 0.00406408 +*RES +1 *2472:la_oenb_core[73] *1471:7 31.3693 +2 *1471:7 *1471:8 690.096 +3 *1471:8 *1471:10 4.5 +4 *1471:10 *2473:la_oenb[73] 57.1827 +*END + +*D_NET *1472 0.146797 +*CONN +*I *2473:la_oenb[74] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[74] O *D mgmt_protect +*CAP +1 *2473:la_oenb[74] 0.00166377 +2 *2472:la_oenb_core[74] 2.27669e-05 +3 *1472:10 0.00743026 +4 *1472:9 0.00576649 +5 *1472:7 0.00239646 +6 *1472:5 0.00241923 +7 *1472:10 *1481:10 0.00105402 +8 *1472:10 *1492:14 0.0525029 +9 *1472:10 *1498:18 0.00265013 +10 *2472:la_data_out_core[74] *1472:7 0 +11 *833:5 *1472:7 0 +12 *1014:13 *2473:la_oenb[74] 7.09666e-06 +13 *1059:8 *1472:10 0.0131378 +14 *1375:8 *1472:10 0.0459911 +15 *1441:8 *1472:10 0.00978832 +16 *1443:8 *1472:10 0.000308997 +17 *1448:14 *1472:10 0.00165794 +*RES +1 *2472:la_oenb_core[74] *1472:5 0.647305 +2 *1472:5 *1472:7 51.4546 +3 *1472:7 *1472:9 4.5 +4 *1472:9 *1472:10 702.297 +5 *1472:10 *2473:la_oenb[74] 37.1828 +*END + +*D_NET *1473 0.156542 +*CONN +*I *2473:la_oenb[75] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[75] O *D mgmt_protect +*CAP +1 *2473:la_oenb[75] 0.00306967 +2 *2472:la_oenb_core[75] 0.00123073 +3 *1473:10 0.00306967 +4 *1473:8 0.00571153 +5 *1473:7 0.00694225 +6 *1473:8 *1486:8 0.00444999 +7 *2472:la_data_out_core[75] *1473:7 0 +8 *2472:la_data_out_core[76] *1473:7 0 +9 *834:7 *1473:7 0 +10 *1075:14 *1473:8 9.48476e-05 +11 *1088:8 *1473:8 0.0648748 +12 *1090:8 *1473:8 0.0670989 +*RES +1 *2472:la_oenb_core[75] *1473:7 32.1998 +2 *1473:7 *1473:8 716.717 +3 *1473:8 *1473:10 4.5 +4 *1473:10 *2473:la_oenb[75] 56.3522 +*END + +*D_NET *1474 0.158642 +*CONN +*I *2473:la_oenb[76] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[76] O *D mgmt_protect +*CAP +1 *2473:la_oenb[76] 0.00217579 +2 *2472:la_oenb_core[76] 0.0020546 +3 *1474:10 0.00781745 +4 *1474:9 0.00769625 +5 *1474:10 *1476:10 0.067255 +6 *1474:10 *1485:8 0.000104638 +7 *1474:10 *1487:8 0.00383555 +8 *2472:la_data_out_core[76] *1474:9 0 +9 *2473:la_oenb[42] *1474:9 5.78262e-05 +10 *835:7 *1474:9 0 +11 *1054:8 *1474:10 0.00151983 +12 *1089:8 *1474:10 0.0661204 +13 *1439:14 *1474:10 4.89898e-06 +*RES +1 *2472:la_oenb_core[76] *1474:9 49.274 +2 *1474:9 *1474:10 728.363 +3 *1474:10 *2473:la_oenb[76] 45.0726 +*END + +*D_NET *1475 0.160242 +*CONN +*I *2473:la_oenb[77] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[77] O *D mgmt_protect +*CAP +1 *2473:la_oenb[77] 0.00296333 +2 *2472:la_oenb_core[77] 0.00129502 +3 *1475:10 0.00296333 +4 *1475:8 0.00563116 +5 *1475:7 0.00692619 +6 *1475:8 *2415:10 0.0314182 +7 *2472:la_data_out_core[77] *1475:7 0 +8 *759:10 *1475:8 0.000842351 +9 *836:5 *1475:7 0 +10 *1075:14 *1475:8 0.0329946 +11 *1090:8 *1475:8 0.000226394 +12 *1092:8 *1475:8 0.069678 +13 *1103:8 *1475:8 0.00362051 +14 *1396:8 *1475:8 0.000650226 +15 *1402:8 *1475:8 0.00076823 +16 *1455:14 *1475:8 0.000264516 +*RES +1 *2472:la_oenb_core[77] *1475:7 33.4455 +2 *1475:7 *1475:8 743.338 +3 *1475:8 *1475:10 4.5 +4 *1475:10 *2473:la_oenb[77] 55.1064 +*END + +*D_NET *1476 0.162202 +*CONN +*I *2473:la_oenb[78] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[78] O *D mgmt_protect +*CAP +1 *2473:la_oenb[78] 0.00213211 +2 *2472:la_oenb_core[78] 0.0017713 +3 *1476:10 0.00823792 +4 *1476:9 0.00610581 +5 *1476:7 0.0017713 +6 *1476:10 *1479:10 0.0698637 +7 *1476:10 *1487:8 0.00370005 +8 *2472:la_data_out_core[78] *1476:7 0 +9 *837:5 *1476:7 0.000977067 +10 *1054:7 *1476:7 8.62625e-06 +11 *1439:14 *1476:10 0.000378805 +12 *1474:10 *1476:10 0.067255 +*RES +1 *2472:la_oenb_core[78] *1476:7 45.751 +2 *1476:7 *1476:9 4.5 +3 *1476:9 *1476:10 754.984 +4 *1476:10 *2473:la_oenb[78] 44.6573 +*END + +*D_NET *1477 0.123968 +*CONN +*I *2473:la_oenb[79] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[79] O *D mgmt_protect +*CAP +1 *2473:la_oenb[79] 0.00237469 +2 *2472:la_oenb_core[79] 0.00174151 +3 *1477:12 0.00237469 +4 *1477:10 0.0133474 +5 *1477:9 0.0150889 +6 *2472:la_data_out_core[79] *1477:9 0 +7 *2472:la_data_out_core[80] *1477:9 0 +8 *2473:la_oenb[43] *1477:9 8.52802e-05 +9 *799:14 *1477:10 0.000649254 +10 *839:7 *1477:9 0 +11 *1056:14 *1477:10 8.47539e-05 +12 *1064:8 *1477:10 0.00739831 +13 *1066:8 *1477:10 0.000682903 +14 *1076:8 *1477:10 0.000455138 +15 *1080:8 *1477:10 0 +16 *1082:8 *1477:10 0 +17 *1085:8 *1477:10 0 +18 *1095:8 *1477:10 0.072356 +19 *1108:8 *1477:10 0.00475172 +20 *1381:10 *1477:10 0.000875064 +21 *1386:15 *1477:10 0.000535212 +22 *1397:8 *1477:10 0.00116739 +23 *1461:8 *1477:10 0 +24 *1463:8 *1477:10 0 +*RES +1 *2472:la_oenb_core[79] *1477:9 42.8986 +2 *1477:9 *1477:10 769.404 +3 *1477:10 *1477:12 4.5 +4 *1477:12 *2473:la_oenb[79] 46.3861 +*END + +*D_NET *1478 0.0437184 +*CONN +*I *2473:la_oenb[7] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[7] O *D mgmt_protect +*CAP +1 *2473:la_oenb[7] 0.00192936 +2 *2472:la_oenb_core[7] 0.00134635 +3 *1478:10 0.00192936 +4 *1478:8 0.00154336 +5 *1478:7 0.00288971 +6 *2473:la_oenb[7] *2472:mprj_dat_i_user[16] 0.000185323 +7 *2473:la_oenb[7] *1751:5 0 +8 *1478:8 *1489:8 0.015923 +9 *1478:8 *1622:8 0.000108585 +10 *1478:8 *1689:12 0.000218833 +11 *1478:8 *1752:10 0.000426168 +12 *2472:la_data_out_core[7] *1478:7 0 +13 *2472:la_data_out_core[8] *1478:7 0 +14 *783:8 *1478:8 3.94365e-05 +15 *849:7 *1478:7 0 +16 *1083:8 *1478:8 0.000582572 +17 *1105:8 *1478:8 0.0165963 +*RES +1 *2472:la_oenb_core[7] *1478:7 40.9201 +2 *1478:7 *1478:8 189.843 +3 *1478:8 *1478:10 4.5 +4 *1478:10 *2473:la_oenb[7] 47.6319 +*END + +*D_NET *1479 0.169168 +*CONN +*I *2473:la_oenb[80] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[80] O *D mgmt_protect +*CAP +1 *2473:la_oenb[80] 0.00209685 +2 *2472:la_oenb_core[80] 0.00180021 +3 *1479:10 0.00823714 +4 *1479:9 0.00614029 +5 *1479:7 0.00180021 +6 *2472:la_data_out_core[80] *1479:7 0 +7 *2473:la_data_in[44] *1479:7 0 +8 *840:5 *1479:7 0.000979934 +9 *1096:8 *1479:10 0.0735749 +10 *1104:8 *1479:10 0.00452865 +11 *1439:14 *1479:10 0.000146388 +12 *1476:10 *1479:10 0.0698637 +*RES +1 *2472:la_oenb_core[80] *1479:7 46.1663 +2 *1479:7 *1479:9 4.5 +3 *1479:9 *1479:10 781.605 +4 *1479:10 *2473:la_oenb[80] 44.2421 +*END + +*D_NET *1480 0.173883 +*CONN +*I *2473:la_oenb[81] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[81] O *D mgmt_protect +*CAP +1 *2473:la_oenb[81] 0.00238978 +2 *2472:la_oenb_core[81] 0.0016875 +3 *1480:10 0.00238978 +4 *1480:8 0.00591008 +5 *1480:7 0.00759757 +6 *1480:8 *1491:8 0.00611461 +7 *2472:la_data_out_core[81] *1480:7 0 +8 *2472:la_data_out_core[82] *1480:7 0 +9 *841:7 *1480:7 0 +10 *1055:5 *1480:7 2.72089e-05 +11 *1095:8 *1480:8 0.0727471 +12 *1097:8 *1480:8 0.0749245 +13 *1440:8 *1480:8 9.48476e-05 +*RES +1 *2472:la_oenb_core[81] *1480:7 41.3353 +2 *1480:7 *1480:8 796.58 +3 *1480:8 *1480:10 4.5 +4 *1480:10 *2473:la_oenb[81] 47.2166 +*END + +*D_NET *1481 0.168392 +*CONN +*I *2473:la_oenb[82] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[82] O *D mgmt_protect +*CAP +1 *2473:la_oenb[82] 0.00154481 +2 *2472:la_oenb_core[82] 2.27669e-05 +3 *1481:10 0.00777816 +4 *1481:9 0.00623335 +5 *1481:7 0.00181464 +6 *1481:5 0.00183741 +7 *1481:10 *1492:14 0.0032657 +8 *1481:10 *1494:20 0.0536853 +9 *1481:10 *1496:8 0.00366233 +10 *2472:la_data_out_core[82] *1481:7 0 +11 *2473:la_oenb[44] *1481:7 0 +12 *842:7 *1481:7 0.00281809 +13 *1056:11 *1481:10 0.00106121 +14 *1057:8 *1481:10 0.00305573 +15 *1091:8 *1481:10 0.00242746 +16 *1107:8 *1481:10 0.0701183 +17 *1441:8 *1481:10 0.000293908 +18 *1449:18 *1481:10 0.00493538 +19 *1451:20 *1481:10 0.00278378 +20 *1472:10 *1481:10 0.00105402 +*RES +1 *2472:la_oenb_core[82] *1481:5 0.647305 +2 *1481:5 *1481:7 52.7004 +3 *1481:7 *1481:9 4.5 +4 *1481:9 *1481:10 809.335 +5 *1481:10 *2473:la_oenb[82] 35.937 +*END + +*D_NET *1482 0.177557 +*CONN +*I *2473:la_oenb[83] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[83] O *D mgmt_protect +*CAP +1 *2473:la_oenb[83] 0.00241168 +2 *2472:la_oenb_core[83] 0.00166627 +3 *1482:10 0.00241168 +4 *1482:8 0.00630304 +5 *1482:7 0.00796931 +6 *1482:8 *1488:8 0.0734216 +7 *2472:la_data_out_core[83] *1482:7 0 +8 *2472:la_data_out_core[84] *1482:7 0 +9 *843:7 *1482:7 0 +10 *1097:8 *1482:8 0.0753092 +11 *1110:8 *1482:8 0.00670533 +12 *1440:8 *1482:8 0.000472909 +13 *1442:8 *1482:8 0.000886242 +*RES +1 *2472:la_oenb_core[83] *1482:7 40.5048 +2 *1482:7 *1482:8 823.201 +3 *1482:8 *1482:10 4.5 +4 *1482:10 *2473:la_oenb[83] 48.0471 +*END + +*D_NET *1483 0.172601 +*CONN +*I *2473:la_oenb[84] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[84] O *D mgmt_protect +*CAP +1 *2473:la_oenb[84] 0.00218403 +2 *2472:la_oenb_core[84] 0.00169763 +3 *1483:10 0.0276534 +4 *1483:9 0.027167 +5 *1483:10 *1485:8 0.088861 +6 *1483:10 *1491:8 0 +7 *2472:la_data_out_core[84] *1483:9 0 +8 *2473:la_oenb[45] *1483:9 0.000339101 +9 *844:7 *1483:9 0.000783419 +10 *1080:8 *1483:10 0.00204188 +11 *1082:8 *1483:10 0.0028038 +12 *1085:8 *1483:10 0.00328423 +13 *1087:8 *1483:10 0.00354326 +14 *1108:8 *1483:10 0.0100596 +15 *1461:8 *1483:10 0.000789395 +16 *1463:8 *1483:10 0.00139304 +*RES +1 *2472:la_oenb_core[84] *1483:9 47.3977 +2 *1483:9 *1483:10 115.091 +3 *1483:10 *2473:la_oenb[84] 45.81 +*END + +*D_NET *1484 0.215603 +*CONN +*I *2473:la_oenb[85] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[85] O *D mgmt_protect +*CAP +1 *2473:la_oenb[85] 0.00297926 +2 *2472:la_oenb_core[85] 0.00111165 +3 *1484:10 0.00297926 +4 *1484:8 0.0102889 +5 *1484:7 0.0114006 +6 *2472:la_data_out_core[85] *1484:7 0 +7 *2472:la_data_out_core[86] *1484:7 0 +8 *2473:la_data_in[46] *1484:7 0.000144245 +9 *845:7 *1484:7 0 +10 *1001:8 *1484:8 0 +11 *1086:8 *1484:8 0.00279501 +12 *1099:8 *1484:8 0.0889823 +13 *1101:8 *1484:8 0.0914567 +14 *1469:8 *1484:8 0.00346547 +*RES +1 *2472:la_oenb_core[85] *1484:7 29.1999 +2 *1484:7 *1484:8 117.233 +3 *1484:8 *1484:10 3.36879 +4 *1484:10 *2473:la_oenb[85] 58.2208 +*END + +*D_NET *1485 0.218411 +*CONN +*I *2473:la_oenb[86] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[86] O *D mgmt_protect +*CAP +1 *2473:la_oenb[86] 0.00210641 +2 *2472:la_oenb_core[86] 0.00204764 +3 *1485:8 0.013305 +4 *1485:7 0.0132462 +5 *1485:8 *1487:8 0.0917588 +6 *2472:la_data_out_core[86] *1485:7 0 +7 *846:5 *1485:7 0 +8 *1007:13 *2473:la_oenb[86] 6.82761e-05 +9 *1089:8 *1485:8 0.00343936 +10 *1108:8 *1485:8 0 +11 *1470:10 *1485:8 0.00347326 +12 *1474:10 *1485:8 0.000104638 +13 *1483:10 *1485:8 0.088861 +*RES +1 *2472:la_oenb_core[86] *1485:7 45.81 +2 *1485:7 *1485:8 119.069 +3 *1485:8 *2473:la_oenb[86] 44.9795 +*END + +*D_NET *1486 0.223662 +*CONN +*I *2473:la_oenb[87] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[87] O *D mgmt_protect +*CAP +1 *2473:la_oenb[87] 0.00288548 +2 *2472:la_oenb_core[87] 0.00128135 +3 *1486:10 0.00288548 +4 *1486:8 0.0106956 +5 *1486:7 0.0119769 +6 *2472:la_data_out_core[87] *1486:7 0 +7 *2472:la_data_out_core[88] *1486:7 0 +8 *847:7 *1486:7 0 +9 *1090:8 *1486:8 0.00325845 +10 *1101:8 *1486:8 0.0918812 +11 *1103:8 *1486:8 0.0943479 +12 *1473:8 *1486:8 0.00444999 +*RES +1 *2472:la_oenb_core[87] *1486:7 30.8609 +2 *1486:7 *1486:8 120.904 +3 *1486:8 *1486:10 3.36879 +4 *1486:10 *2473:la_oenb[87] 56.5598 +*END + +*D_NET *1487 0.226991 +*CONN +*I *2473:la_oenb[88] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[88] O *D mgmt_protect +*CAP +1 *2473:la_oenb[88] 0.00208299 +2 *2472:la_oenb_core[88] 0.00192869 +3 *1487:8 0.0137545 +4 *1487:7 0.0136002 +5 *2472:la_data_out_core[88] *1487:7 0 +6 *2473:la_data_in[47] *1487:7 0.000546668 +7 *848:7 *1487:7 0 +8 *1104:8 *1487:8 0.0957837 +9 *1108:8 *1487:8 0 +10 *1474:10 *1487:8 0.00383555 +11 *1476:10 *1487:8 0.00370005 +12 *1485:8 *1487:8 0.0917588 +*RES +1 *2472:la_oenb_core[88] *1487:7 46.6405 +2 *1487:7 *1487:8 122.74 +3 *1487:8 *2473:la_oenb[88] 44.149 +*END + +*D_NET *1488 0.189814 +*CONN +*I *2473:la_oenb[89] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[89] O *D mgmt_protect +*CAP +1 *2473:la_oenb[89] 0.00236198 +2 *2472:la_oenb_core[89] 0.00170643 +3 *1488:10 0.00236198 +4 *1488:8 0.00795017 +5 *1488:7 0.00965661 +6 *1488:8 *1497:8 0.00703449 +7 *2472:la_data_out_core[89] *1488:7 0 +8 *2472:la_data_out_core[90] *1488:7 0 +9 *850:7 *1488:7 0 +10 *1058:5 *1488:7 0 +11 *1060:8 *1488:8 2.50864e-05 +12 *1106:8 *1488:8 0.0851534 +13 *1442:8 *1488:8 0.000142393 +14 *1442:11 *1488:7 0 +15 *1482:8 *1488:8 0.0734216 +*RES +1 *2472:la_oenb_core[89] *1488:7 40.0896 +2 *1488:7 *1488:8 902.509 +3 *1488:8 *1488:10 4.5 +4 *1488:10 *2473:la_oenb[89] 48.4624 +*END + +*D_NET *1489 0.0411458 +*CONN +*I *2473:la_oenb[8] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[8] O *D mgmt_protect +*CAP +1 *2473:la_oenb[8] 0.00185544 +2 *2472:la_oenb_core[8] 0.0013439 +3 *1489:10 0.00185544 +4 *1489:8 0.00137292 +5 *1489:7 0.00271682 +6 *2473:la_oenb[8] *2472:mprj_dat_i_user[18] 0 +7 *2473:la_oenb[8] *1623:5 0.000221328 +8 *2472:la_data_out_core[8] *1489:7 0 +9 *2472:la_data_out_core[9] *1489:7 0 +10 *783:8 *1489:8 0.000223991 +11 *860:7 *1489:7 0 +12 *860:8 *1489:8 3.42853e-05 +13 *1105:8 *1489:8 0.000233938 +14 *1116:8 *1489:8 0.0153647 +15 *1478:8 *1489:8 0.015923 +*RES +1 *2472:la_oenb_core[8] *1489:7 41.3353 +2 *1489:7 *1489:8 175.978 +3 *1489:8 *1489:10 4.5 +4 *1489:10 *2473:la_oenb[8] 47.2166 +*END + +*D_NET *1490 0.227664 +*CONN +*I *2473:la_oenb[90] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[90] O *D mgmt_protect +*CAP +1 *2473:la_oenb[90] 0.00199837 +2 *2472:la_oenb_core[90] 0.00232639 +3 *1490:10 0.0220379 +4 *1490:9 0.0200395 +5 *1490:7 0.00232639 +6 *1490:10 *1496:8 0 +7 *2472:la_data_out_core[90] *1490:7 0 +8 *2473:la_data_in[48] *1490:7 0 +9 *851:7 *1490:7 0 +10 *1007:8 *1490:10 0.000868916 +11 *1071:8 *1490:10 0.000473848 +12 *1098:8 *1490:10 0.00508783 +13 *1100:8 *1490:10 0.00427931 +14 *1104:8 *1490:10 0.0959657 +15 *1108:8 *1490:10 0 +16 *1111:8 *1490:10 0 +17 *1113:8 *1490:10 0 +18 *1115:8 *1490:10 0 +19 *1379:8 *1490:10 0.00112836 +20 *1391:8 *1490:10 0.00107487 +21 *1399:8 *1490:10 0.000828329 +22 *1403:8 *1490:10 0.0672204 +23 *1452:10 *1490:10 0.000246453 +24 *1454:8 *1490:10 0.000355068 +25 *1457:12 *1490:10 0.000506175 +26 *1459:8 *1490:10 0.000654003 +27 *1465:14 *1490:10 0.000246453 +*RES +1 *2472:la_oenb_core[90] *1490:7 47.351 +2 *1490:7 *1490:9 3.36879 +3 *1490:9 *1490:10 126.106 +4 *1490:10 *2473:la_oenb[90] 42.488 +*END + +*D_NET *1491 0.238779 +*CONN +*I *2473:la_oenb[91] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[91] O *D mgmt_protect +*CAP +1 *2473:la_oenb[91] 0.00228312 +2 *2472:la_oenb_core[91] 0.00176251 +3 *1491:10 0.00228312 +4 *1491:8 0.0103985 +5 *1491:7 0.012161 +6 *2472:la_data_out_core[91] *1491:7 0 +7 *2472:la_data_out_core[92] *1491:7 0 +8 *852:7 *1491:7 0 +9 *1095:8 *1491:8 0.00480076 +10 *1103:8 *1491:8 0 +11 *1108:8 *1491:8 0.100347 +12 *1110:8 *1491:8 0.0986278 +13 *1480:8 *1491:8 0.00611461 +14 *1483:10 *1491:8 0 +*RES +1 *2472:la_oenb_core[91] *1491:7 39.9965 +2 *1491:7 *1491:8 128.247 +3 *1491:8 *1491:10 3.36879 +4 *1491:10 *2473:la_oenb[91] 47.4242 +*END + +*D_NET *1492 0.201832 +*CONN +*I *2473:la_oenb[92] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[92] O *D mgmt_protect +*CAP +1 *2473:la_oenb[92] 0.00154201 +2 *2472:la_oenb_core[92] 0.000291355 +3 *1492:14 0.0080588 +4 *1492:13 0.00651679 +5 *1492:11 0.00180451 +6 *1492:9 0.00209587 +7 *1492:14 *1494:20 0.0739792 +8 *2472:la_data_out_core[92] *1492:9 0 +9 *2473:la_oenb[48] *1492:11 3.49417e-05 +10 *853:5 *1492:9 0 +11 *853:7 *1492:9 7.1812e-05 +12 *853:7 *1492:11 0.00245299 +13 *1014:8 *1492:14 0.0365512 +14 *1113:8 *1492:14 0.0049225 +15 *1375:8 *1492:14 1.41689e-05 +16 *1449:18 *1492:14 0.00493959 +17 *1451:20 *1492:14 0.00278799 +18 *1472:10 *1492:14 0.0525029 +19 *1481:10 *1492:14 0.0032657 +*RES +1 *2472:la_oenb_core[92] *1492:9 7.73102 +2 *1492:9 *1492:11 47.9372 +3 *1492:11 *1492:13 4.5 +4 *1492:13 *1492:14 939.667 +5 *1492:14 *2473:la_oenb[92] 36.7675 +*END + +*D_NET *1493 0.204719 +*CONN +*I *2473:la_oenb[93] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[93] O *D mgmt_protect +*CAP +1 *2473:la_oenb[93] 0.00233013 +2 *2472:la_oenb_core[93] 0.00152704 +3 *1493:10 0.00233013 +4 *1493:8 0.00738319 +5 *1493:7 0.00891023 +6 *1493:8 *1495:8 0.0893201 +7 *2472:la_data_out_core[94] *1493:7 0 +8 *2473:la_data_in[49] *1493:7 0.000413324 +9 *854:7 *1493:7 0 +10 *1060:8 *1493:8 0.000344639 +11 *1062:8 *1493:8 0.000172773 +12 *1106:8 *1493:8 0.0839836 +13 *1109:11 *1493:7 0 +14 *1114:8 *1493:8 0.00800388 +*RES +1 *2472:la_oenb_core[93] *1493:7 39.2591 +2 *1493:7 *1493:8 956.305 +3 *1493:8 *1493:10 4.5 +4 *1493:10 *2473:la_oenb[93] 49.2929 +*END + +*D_NET *1494 0.207843 +*CONN +*I *2473:la_oenb[94] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[94] O *D mgmt_protect +*CAP +1 *2473:la_oenb[94] 0.00149639 +2 *2472:la_oenb_core[94] 0.00320703 +3 *1494:20 0.00698016 +4 *1494:19 0.00569468 +5 *1494:14 0.00110971 +6 *1494:13 0.00203479 +7 *1494:10 0.00434301 +8 *2472:la_data_out_core[94] *1494:10 0 +9 *2473:la_data_in[51] *1494:13 0.00117916 +10 *855:5 *1494:10 0 +11 *1014:8 *1494:20 0.00183679 +12 *1017:8 *1494:20 0.023951 +13 *1068:8 *1494:14 0.00128045 +14 *1099:8 *1494:10 0.00395802 +15 *1107:8 *1494:20 0.000183207 +16 *1113:8 *1494:20 0.00446762 +17 *1115:11 *1494:13 0 +18 *1379:8 *1494:14 0.000384538 +19 *1387:10 *1494:14 0.000277502 +20 *1450:14 *1494:14 0.00732031 +21 *1468:10 *1494:14 0.0104745 +22 *1481:10 *1494:20 0.0536853 +23 *1492:14 *1494:20 0.0739792 +*RES +1 *2472:la_oenb_core[94] *1494:10 36.0059 +2 *1494:10 *1494:13 29.7937 +3 *1494:13 *1494:14 111.09 +4 *1494:14 *1494:19 12.0778 +5 *1494:19 *1494:20 822.091 +6 *1494:20 *2473:la_oenb[94] 36.3523 +*END + +*D_NET *1495 0.214034 +*CONN +*I *2473:la_oenb[95] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[95] O *D mgmt_protect +*CAP +1 *2473:la_oenb[95] 0.00231371 +2 *2472:la_oenb_core[95] 0.00164168 +3 *1495:10 0.00231371 +4 *1495:8 0.00698692 +5 *1495:7 0.00862861 +6 *2472:la_data_out_core[95] *1495:7 0 +7 *2472:la_data_out_core[96] *1495:7 0 +8 *2473:la_oenb[49] *1495:7 8.01837e-05 +9 *856:7 *1495:7 0 +10 *1062:8 *1495:8 0.00020979 +11 *1112:8 *1495:8 0.0929249 +12 *1114:8 *1495:8 0.00961415 +13 *1493:8 *1495:8 0.0893201 +*RES +1 *2472:la_oenb_core[95] *1495:7 38.8438 +2 *1495:7 *1495:8 982.926 +3 *1495:8 *1495:10 4.5 +4 *1495:10 *2473:la_oenb[95] 49.7081 +*END + +*D_NET *1496 0.259344 +*CONN +*I *2473:la_oenb[96] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[96] O *D mgmt_protect +*CAP +1 *2473:la_oenb[96] 0.00146266 +2 *2472:la_oenb_core[96] 0.00240902 +3 *1496:8 0.0160358 +4 *1496:7 0.0145731 +5 *1496:5 0.00240902 +6 *2472:la_data_out_core[96] *1496:5 0 +7 *2473:la_data_in[50] *1496:5 0.000724021 +8 *857:5 *1496:5 0 +9 *1006:8 *1496:8 0 +10 *1017:8 *1496:8 0.00160401 +11 *1107:8 *1496:8 0.00406685 +12 *1111:8 *1496:8 0.104979 +13 *1113:8 *1496:8 0.107418 +14 *1481:10 *1496:8 0.00366233 +15 *1490:10 *1496:8 0 +*RES +1 *2472:la_oenb_core[96] *1496:5 52.4073 +2 *1496:5 *1496:7 3.36879 +3 *1496:7 *1496:8 137.426 +4 *1496:8 *2473:la_oenb[96] 35.0134 +*END + +*D_NET *1497 0.255926 +*CONN +*I *2473:la_oenb[97] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[97] O *D mgmt_protect +*CAP +1 *2473:la_oenb[97] 0.00225064 +2 *2472:la_oenb_core[97] 0.00169523 +3 *1497:10 0.00225064 +4 *1497:8 0.010943 +5 *1497:7 0.0126383 +6 *2472:la_data_out_core[98] *1497:7 0 +7 *858:7 *1497:7 0 +8 *1103:8 *1497:8 0 +9 *1106:8 *1497:8 0.00805459 +10 *1110:8 *1497:8 0.101894 +11 *1113:11 *1497:7 0 +12 *1114:8 *1497:8 0.109165 +13 *1488:8 *1497:8 0.00703449 +*RES +1 *2472:la_oenb_core[97] *1497:7 38.3355 +2 *1497:7 *1497:8 139.262 +3 *1497:8 *1497:10 3.36879 +4 *1497:10 *2473:la_oenb[97] 49.0853 +*END + +*D_NET *1498 0.26756 +*CONN +*I *2473:la_oenb[98] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[98] O *D mgmt_protect +*CAP +1 *2473:la_oenb[98] 0.00152829 +2 *2472:la_oenb_core[98] 0.00111822 +3 *1498:18 0.0155547 +4 *1498:17 0.0152323 +5 *1498:13 0.00232416 +6 *1498:13 *1499:7 3.88655e-06 +7 *1498:17 *1499:7 0.000536439 +8 *2472:la_data_out_core[98] *1498:13 0 +9 *2473:la_data_in[51] *1498:17 0 +10 *859:5 *1498:13 0 +11 *1014:8 *1498:18 0.00288695 +12 *1113:8 *1498:18 0.107067 +13 *1115:8 *1498:18 0.110132 +14 *1115:11 *1498:13 1.9101e-05 +15 *1115:11 *1498:17 0.00044603 +16 *1375:8 *1498:18 0.00642687 +17 *1449:12 *1498:13 0.000683029 +18 *1449:17 *1498:17 0.000272318 +19 *1451:12 *1498:13 0.000678803 +20 *1472:10 *1498:18 0.00265013 +*RES +1 *2472:la_oenb_core[98] *1498:13 39.7915 +2 *1498:13 *1498:17 31.7769 +3 *1498:17 *1498:18 140.027 +4 *1498:18 *2473:la_oenb[98] 36.6745 +*END + +*D_NET *1499 0.224157 +*CONN +*I *2473:la_oenb[99] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[99] O *D mgmt_protect +*CAP +1 *2473:la_oenb[99] 0.00227379 +2 *2472:la_oenb_core[99] 0.00116635 +3 *1499:10 0.00227379 +4 *1499:8 0.00766961 +5 *1499:7 0.00883597 +6 *734:7 *1499:7 0 +7 *1063:8 *1499:8 0.000110684 +8 *1065:8 *1499:8 0.000120705 +9 *1112:8 *1499:8 0.0917148 +10 *1114:8 *1499:8 0.000159297 +11 *1115:11 *1499:7 0.000345453 +12 *1374:8 *1499:8 0.0978286 +13 *1376:8 *1499:8 0.010549 +14 *1449:17 *1499:7 0.000568328 +15 *1498:13 *1499:7 3.88655e-06 +16 *1498:17 *1499:7 0.000536439 +*RES +1 *2472:la_oenb_core[99] *1499:7 38.0133 +2 *1499:7 *1499:8 1036.17 +3 *1499:8 *1499:10 4.5 +4 *1499:10 *2473:la_oenb[99] 50.5386 +*END + +*D_NET *1500 0.0311511 +*CONN +*I *2473:la_oenb[9] I *D user_analog_project_wrapper +*I *2472:la_oenb_core[9] O *D mgmt_protect +*CAP +1 *2473:la_oenb[9] 0.00166288 +2 *2472:la_oenb_core[9] 0.0013663 +3 *1500:10 0.00166288 +4 *1500:8 0.00230701 +5 *1500:7 0.00367331 +6 *2473:la_oenb[9] *2472:mprj_dat_i_user[21] 0.000936873 +7 *2473:la_oenb[9] *1627:7 0 +8 *2472:la_data_out_core[9] *1500:7 0 +9 *744:5 *1500:7 0 +10 *768:8 *1500:8 0 +11 *783:8 *1500:8 0.00427329 +12 *1039:12 *1500:8 5.03285e-05 +13 *1116:8 *1500:8 0.0152182 +*RES +1 *2472:la_oenb_core[9] *1500:7 42.1658 +2 *1500:7 *1500:8 163.222 +3 *1500:8 *1500:10 4.5 +4 *1500:10 *2473:la_oenb[9] 46.3861 +*END + +*D_NET *1501 0.0123259 +*CONN +*I *2471:mask_rev_in[0] I *D housekeeping +*I *2479:mask_rev[0] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[0] 0.00129999 +2 *2479:mask_rev[0] 0.000194382 +3 *1501:12 0.00372149 +4 *1501:10 0.00261588 +5 *2471:mask_rev_in[0] *2471:mask_rev_in[1] 0 +6 *2471:mask_rev_in[0] *1526:11 0.000345725 +7 *1501:10 *1530:9 0 +8 *1501:12 *1512:11 0.00249075 +9 *1501:12 *1514:19 0 +10 *1501:12 *1530:10 0.00123205 +11 *1501:12 *1531:16 0 +12 *1501:12 *1532:16 0.000425627 +13 *64:51 *2471:mask_rev_in[0] 0 +14 *66:17 *2471:mask_rev_in[0] 0 +*RES +1 *2479:mask_rev[0] *1501:10 8.45803 +2 *1501:10 *1501:12 101.661 +3 *1501:12 *2471:mask_rev_in[0] 42.2269 +*END + +*D_NET *1502 0.0232945 +*CONN +*I *2471:mask_rev_in[10] I *D housekeeping +*I *2479:mask_rev[10] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[10] 0.00090124 +2 *2479:mask_rev[10] 0.000613924 +3 *1502:11 0.00218445 +4 *1502:10 0.00128321 +5 *1502:8 0.00066859 +6 *1502:7 0.00128251 +7 *2471:mask_rev_in[10] *2471:mask_rev_in[9] 0.00196916 +8 *2471:mask_rev_in[10] *1503:17 0.000134832 +9 *2471:mask_rev_in[10] *1530:10 1.92094e-05 +10 *1502:7 *1526:7 0 +11 *1502:7 *1531:7 0 +12 *1502:8 *1503:8 0.00511026 +13 *1502:8 *1504:8 0.000767831 +14 *1502:8 *1508:10 0.00404588 +15 *1502:8 *1526:8 0.000622925 +16 *1502:8 *1531:8 8.47748e-05 +17 *1502:11 *2471:mask_rev_in[9] 0 +18 *1502:11 *1503:11 0.00360571 +*RES +1 *2479:mask_rev[10] *1502:7 21.8185 +2 *1502:7 *1502:8 71.1581 +3 *1502:8 *1502:10 4.5 +4 *1502:10 *1502:11 57.891 +5 *1502:11 *2471:mask_rev_in[10] 46.486 +*END + +*D_NET *1503 0.0221878 +*CONN +*I *2471:mask_rev_in[11] I *D housekeeping +*I *2479:mask_rev[11] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[11] 7.21667e-05 +2 *2479:mask_rev[11] 0.000644592 +3 *1503:17 0.00141843 +4 *1503:11 0.00209148 +5 *1503:10 0.000745214 +6 *1503:8 0.000307369 +7 *1503:7 0.00095196 +8 *1503:7 *1504:7 0 +9 *1503:8 *1504:8 1.00981e-05 +10 *1503:8 *1526:8 0.00516758 +11 *1503:11 *2471:mask_rev_in[9] 0 +12 *1503:11 *1504:11 0.00174648 +13 *1503:17 *2471:mask_rev_in[12] 0 +14 *1503:17 *1530:10 0 +15 *1503:17 *2223:11 0.000181643 +16 *2471:mask_rev_in[10] *1503:17 0.000134832 +17 *1502:8 *1503:8 0.00511026 +18 *1502:11 *1503:11 0.00360571 +*RES +1 *2479:mask_rev[11] *1503:7 22.2337 +2 *1503:7 *1503:8 53.9653 +3 *1503:8 *1503:10 4.5 +4 *1503:10 *1503:11 59.1368 +5 *1503:11 *1503:17 48.0405 +6 *1503:17 *2471:mask_rev_in[11] 2.05183 +*END + +*D_NET *1504 0.0163898 +*CONN +*I *2471:mask_rev_in[12] I *D housekeeping +*I *2479:mask_rev[12] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[12] 0.00110389 +2 *2479:mask_rev[12] 0.000584713 +3 *1504:16 0.00130066 +4 *1504:11 0.001781 +5 *1504:10 0.00158423 +6 *1504:8 0.00114861 +7 *1504:7 0.00173333 +8 *1504:8 *1508:10 0.0030922 +9 *1504:8 *1526:8 2.01874e-05 +10 *1504:11 *2471:mask_rev_in[7] 0 +11 *1504:11 *2471:mask_rev_in[8] 0 +12 *1504:11 *2471:mask_rev_in[9] 0 +13 *1504:16 *1528:19 0.00120988 +14 *1504:16 *2223:11 0.000306662 +15 *1502:8 *1504:8 0.000767831 +16 *1503:7 *1504:7 0 +17 *1503:8 *1504:8 1.00981e-05 +18 *1503:11 *1504:11 0.00174648 +19 *1503:17 *2471:mask_rev_in[12] 0 +*RES +1 *2479:mask_rev[12] *1504:7 20.988 +2 *1504:7 *1504:8 51.7469 +3 *1504:8 *1504:10 4.5 +4 *1504:10 *1504:11 60.3826 +5 *1504:11 *1504:16 21.9247 +6 *1504:16 *2471:mask_rev_in[12] 30.6676 +*END + +*D_NET *1505 0.0117104 +*CONN +*I *2471:mask_rev_in[13] I *D housekeeping +*I *2479:mask_rev[13] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[13] 0.00100945 +2 *2479:mask_rev[13] 0.000158022 +3 *1505:8 0.00163848 +4 *1505:7 0.000787051 +5 *2471:mask_rev_in[13] *2471:mask_rev_in[14] 0 +6 *1505:7 *2471:mask_rev_in[26] 0 +7 *1505:7 *2471:mask_rev_in[29] 2.70576e-05 +8 *1505:7 *1517:10 0 +9 *1505:8 *2471:mask_rev_in[16] 9.8904e-05 +10 *1505:8 *1506:16 0.00233837 +11 *1505:8 *1527:13 0.00565311 +*RES +1 *2479:mask_rev[13] *1505:7 8.53039 +2 *1505:7 *1505:8 60.066 +3 *1505:8 *2471:mask_rev_in[13] 32.6761 +*END + +*D_NET *1506 0.0150084 +*CONN +*I *2471:mask_rev_in[14] I *D housekeeping +*I *2479:mask_rev[14] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[14] 0.00104547 +2 *2479:mask_rev[14] 0.000665553 +3 *1506:16 0.00228142 +4 *1506:15 0.00193115 +5 *1506:12 0.00136076 +6 *2471:mask_rev_in[14] *2471:mask_rev_in[15] 0 +7 *1506:12 *1514:16 0.000287346 +8 *1506:12 *1525:15 0.000892705 +9 *1506:15 *1507:16 0.00141003 +10 *1506:15 *1527:12 0 +11 *1506:16 *2471:mask_rev_in[16] 0.000430352 +12 *1506:16 *2471:mask_rev_in[25] 0.0012501 +13 *1506:16 *2471:mask_rev_in[26] 0.000214838 +14 *1506:16 *1527:13 0.000203053 +15 *1506:16 *1528:13 0.00069725 +16 *1506:16 *2223:11 0 +17 *2471:mask_rev_in[13] *2471:mask_rev_in[14] 0 +18 *1505:8 *1506:16 0.00233837 +*RES +1 *2479:mask_rev[14] *1506:12 32.9587 +2 *1506:12 *1506:15 32.4929 +3 *1506:15 *1506:16 67.8304 +4 *1506:16 *2471:mask_rev_in[14] 33.5066 +*END + +*D_NET *1507 0.0151464 +*CONN +*I *2471:mask_rev_in[15] I *D housekeeping +*I *2479:mask_rev[15] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[15] 0.000888956 +2 *2479:mask_rev[15] 0.000595023 +3 *1507:17 0.00248419 +4 *1507:16 0.00219026 +5 *2471:mask_rev_in[15] *2471:mask_rev_in[16] 0.0016928 +6 *2471:mask_rev_in[15] *1529:10 0 +7 *1507:16 *1513:16 0.00135814 +8 *1507:16 *1514:16 0.00012693 +9 *1507:16 *1527:12 4.3116e-06 +10 *1507:17 *1513:19 0.00404459 +11 *1507:17 *1530:10 0.000351195 +12 *1507:17 *2223:11 0 +13 *2471:mask_rev_in[14] *2471:mask_rev_in[15] 0 +14 *1506:15 *1507:16 0.00141003 +*RES +1 *2479:mask_rev[15] *1507:16 36.8545 +2 *1507:16 *1507:17 63.3936 +3 *1507:17 *2471:mask_rev_in[15] 38.9049 +*END + +*D_NET *1508 0.0178273 +*CONN +*I *2471:mask_rev_in[16] I *D housekeeping +*I *2479:mask_rev[16] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[16] 0.000863379 +2 *2479:mask_rev[16] 0.000599318 +3 *1508:11 0.00310972 +4 *1508:10 0.00264562 +5 *1508:7 0.0009986 +6 *2471:mask_rev_in[16] *1527:13 1.62206e-05 +7 *2471:mask_rev_in[16] *1528:13 0.000234276 +8 *2471:mask_rev_in[16] *1529:10 0 +9 *2471:mask_rev_in[15] *2471:mask_rev_in[16] 0.0016928 +10 *1502:8 *1508:10 0.00404588 +11 *1504:8 *1508:10 0.0030922 +12 *1505:8 *2471:mask_rev_in[16] 9.8904e-05 +13 *1506:16 *2471:mask_rev_in[16] 0.000430352 +*RES +1 *2479:mask_rev[16] *1508:7 21.4032 +2 *1508:7 *1508:10 46.8187 +3 *1508:10 *1508:11 62.0436 +4 *1508:11 *2471:mask_rev_in[16] 48.0189 +*END + +*D_NET *1509 0.00495375 +*CONN +*I *2471:mask_rev_in[17] I *D housekeeping +*I *2479:mask_rev[17] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[17] 0.000835149 +2 *2479:mask_rev[17] 0.000988024 +3 *1509:10 0.00182317 +4 *2471:mask_rev_in[17] *2471:mask_rev_in[18] 0 +5 *1509:10 *2471:mask_rev_in[23] 0 +6 *1509:10 *2471:mask_rev_in[25] 0 +7 *1509:10 *1511:10 0.0013074 +8 *1509:10 *1527:13 0 +*RES +1 *2479:mask_rev[17] *1509:10 39.3965 +2 *1509:10 *2471:mask_rev_in[17] 23.6083 +*END + +*D_NET *1510 0.00380909 +*CONN +*I *2471:mask_rev_in[18] I *D housekeeping +*I *2479:mask_rev[18] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[18] 0.00190455 +2 *2479:mask_rev[18] 0.00190455 +3 *2471:mask_rev_in[18] *2471:mask_rev_in[19] 0 +4 *2471:mask_rev_in[18] *1529:10 0 +5 *2471:mask_rev_in[17] *2471:mask_rev_in[18] 0 +*RES +1 *2479:mask_rev[18] *2471:mask_rev_in[18] 47.4969 +*END + +*D_NET *1511 0.00514811 +*CONN +*I *2471:mask_rev_in[19] I *D housekeeping +*I *2479:mask_rev[19] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[19] 0.000818143 +2 *2479:mask_rev[19] 0.000968793 +3 *1511:10 0.00178694 +4 *2471:mask_rev_in[19] *2471:mask_rev_in[20] 0 +5 *1511:10 *2471:mask_rev_in[25] 0 +6 *1511:10 *1517:10 0.000266832 +7 *2471:mask_rev_in[18] *2471:mask_rev_in[19] 0 +8 *1509:10 *1511:10 0.0013074 +*RES +1 *2479:mask_rev[19] *1511:10 39.8117 +2 *1511:10 *2471:mask_rev_in[19] 23.1931 +*END + +*D_NET *1512 0.013055 +*CONN +*I *2471:mask_rev_in[1] I *D housekeeping +*I *2479:mask_rev[1] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[1] 0.00140529 +2 *2479:mask_rev[1] 0.00106167 +3 *1512:11 0.00225066 +4 *1512:10 0.00190704 +5 *2471:mask_rev_in[1] *2471:mask_rev_in[2] 0 +6 *2471:mask_rev_in[1] *1526:11 0 +7 *1512:10 *1523:10 0 +8 *1512:10 *1529:10 0.000583389 +9 *1512:11 *1523:11 0.000652867 +10 *1512:11 *1532:16 0.00270333 +11 *2471:mask_rev_in[0] *2471:mask_rev_in[1] 0 +12 *1501:12 *1512:11 0.00249075 +*RES +1 *2479:mask_rev[1] *1512:10 26.3084 +2 *1512:10 *1512:11 59.5114 +3 *1512:11 *2471:mask_rev_in[1] 43.0574 +*END + +*D_NET *1513 0.0146849 +*CONN +*I *2471:mask_rev_in[20] I *D housekeeping +*I *2479:mask_rev[20] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[20] 0.00128404 +2 *2479:mask_rev[20] 0.000443979 +3 *1513:19 0.00163627 +4 *1513:16 0.000796209 +5 *2471:mask_rev_in[20] *2471:mask_rev_in[21] 0 +6 *2471:mask_rev_in[20] *1518:12 0 +7 *1513:16 *1514:16 0.00136446 +8 *1513:16 *1527:12 7.77309e-06 +9 *1513:19 *1514:19 0.00363262 +10 *1513:19 *1530:10 4.02303e-05 +11 *1513:19 *1532:15 7.65564e-05 +12 *2471:mask_rev_in[19] *2471:mask_rev_in[20] 0 +13 *1507:16 *1513:16 0.00135814 +14 *1507:17 *1513:19 0.00404459 +*RES +1 *2479:mask_rev[20] *1513:16 32.1736 +2 *1513:16 *1513:19 47.3733 +3 *1513:19 *2471:mask_rev_in[20] 34.8201 +*END + +*D_NET *1514 0.0139159 +*CONN +*I *2471:mask_rev_in[21] I *D housekeeping +*I *2479:mask_rev[21] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[21] 0.000821266 +2 *2479:mask_rev[21] 0.000716483 +3 *1514:19 0.0014583 +4 *1514:16 0.00135352 +5 *2471:mask_rev_in[21] *2471:mask_rev_in[22] 0.00199039 +6 *2471:mask_rev_in[21] *1516:16 0.000101246 +7 *2471:mask_rev_in[21] *1518:12 3.67709e-05 +8 *1514:16 *1525:15 4.90912e-05 +9 *1514:16 *1527:12 0.000893274 +10 *1514:19 *1530:10 0.000333145 +11 *1514:19 *1532:15 0.000751057 +12 *2471:mask_rev_in[20] *2471:mask_rev_in[21] 0 +13 *1501:12 *1514:19 0 +14 *1506:12 *1514:16 0.000287346 +15 *1507:16 *1514:16 0.00012693 +16 *1513:16 *1514:16 0.00136446 +17 *1513:19 *1514:19 0.00363262 +*RES +1 *2479:mask_rev[21] *1514:16 41.007 +2 *1514:16 *1514:19 42.9364 +3 *1514:19 *2471:mask_rev_in[21] 35.2354 +*END + +*D_NET *1515 0.00400691 +*CONN +*I *2471:mask_rev_in[22] I *D housekeeping +*I *2479:mask_rev[22] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[22] 0.00100826 +2 *2479:mask_rev[22] 0.00100826 +3 *2471:mask_rev_in[22] *2471:mask_rev_in[23] 0 +4 *2471:mask_rev_in[22] *1520:7 0 +5 *2471:mask_rev_in[21] *2471:mask_rev_in[22] 0.00199039 +*RES +1 *2479:mask_rev[22] *2471:mask_rev_in[22] 37.9848 +*END + +*D_NET *1516 0.00796338 +*CONN +*I *2471:mask_rev_in[23] I *D housekeeping +*I *2479:mask_rev[23] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[23] 0.000912134 +2 *2479:mask_rev[23] 0.000293814 +3 *1516:16 0.00197978 +4 *1516:12 0.00136146 +5 *2471:mask_rev_in[23] *1520:7 0.000814346 +6 *1516:16 *1518:12 0.00183782 +7 *1516:16 *1528:13 0.000192188 +8 *1516:16 *2223:11 0.000470585 +9 *2471:mask_rev_in[21] *1516:16 0.000101246 +10 *2471:mask_rev_in[22] *2471:mask_rev_in[23] 0 +11 *1509:10 *2471:mask_rev_in[23] 0 +*RES +1 *2479:mask_rev[23] *1516:12 16.8644 +2 *1516:12 *1516:16 48.8649 +3 *1516:16 *2471:mask_rev_in[23] 35.9981 +*END + +*D_NET *1517 0.00513722 +*CONN +*I *2471:mask_rev_in[24] I *D housekeeping +*I *2479:mask_rev[24] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[24] 0.000547886 +2 *2479:mask_rev[24] 0.000971421 +3 *1517:10 0.00151931 +4 *2471:mask_rev_in[24] *2471:mask_rev_in[25] 0.00133833 +5 *1517:10 *2471:mask_rev_in[28] 0.000377259 +6 *1517:10 *2471:mask_rev_in[29] 0.000116179 +7 *1517:10 *1527:13 0 +8 *1505:7 *1517:10 0 +9 *1511:10 *1517:10 0.000266832 +*RES +1 *2479:mask_rev[24] *1517:10 38.2873 +2 *1517:10 *2471:mask_rev_in[24] 23.0465 +*END + +*D_NET *1518 0.00881643 +*CONN +*I *2471:mask_rev_in[25] I *D housekeeping +*I *2479:mask_rev[25] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[25] 0.00103228 +2 *2479:mask_rev[25] 0.00088935 +3 *1518:12 0.00192163 +4 *2471:mask_rev_in[25] *1528:13 0.000308415 +5 *1518:12 *1532:15 0.000201734 +6 *2471:mask_rev_in[20] *1518:12 0 +7 *2471:mask_rev_in[21] *1518:12 3.67709e-05 +8 *2471:mask_rev_in[24] *2471:mask_rev_in[25] 0.00133833 +9 *1506:16 *2471:mask_rev_in[25] 0.0012501 +10 *1509:10 *2471:mask_rev_in[25] 0 +11 *1511:10 *2471:mask_rev_in[25] 0 +12 *1516:16 *1518:12 0.00183782 +*RES +1 *2479:mask_rev[25] *1518:12 40.3719 +2 *1518:12 *2471:mask_rev_in[25] 47.4011 +*END + +*D_NET *1519 0.00297337 +*CONN +*I *2471:mask_rev_in[26] I *D housekeeping +*I *2479:mask_rev[26] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[26] 0.00125932 +2 *2479:mask_rev[26] 0.00125932 +3 *2471:mask_rev_in[26] *2471:mask_rev_in[27] 2.01457e-05 +4 *2471:mask_rev_in[26] *1528:13 0.000219753 +5 *1505:7 *2471:mask_rev_in[26] 0 +6 *1506:16 *2471:mask_rev_in[26] 0.000214838 +*RES +1 *2479:mask_rev[26] *2471:mask_rev_in[26] 46.3667 +*END + +*D_NET *1520 0.00402976 +*CONN +*I *2471:mask_rev_in[27] I *D housekeeping +*I *2479:mask_rev[27] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[27] 0.000804623 +2 *2479:mask_rev[27] 0.000793009 +3 *1520:7 0.00159763 +4 *2471:mask_rev_in[22] *1520:7 0 +5 *2471:mask_rev_in[23] *1520:7 0.000814346 +6 *2471:mask_rev_in[26] *2471:mask_rev_in[27] 2.01457e-05 +*RES +1 *2479:mask_rev[27] *1520:7 33.0303 +2 *1520:7 *2471:mask_rev_in[27] 25.3415 +*END + +*D_NET *1521 0.00325602 +*CONN +*I *2471:mask_rev_in[28] I *D housekeeping +*I *2479:mask_rev[28] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[28] 0.00131317 +2 *2479:mask_rev[28] 0.00131317 +3 *2471:mask_rev_in[28] *2471:mask_rev_in[29] 0 +4 *2471:mask_rev_in[28] *2471:mask_rev_in[30] 0.000252418 +5 *1517:10 *2471:mask_rev_in[28] 0.000377259 +*RES +1 *2479:mask_rev[28] *2471:mask_rev_in[28] 48.5851 +*END + +*D_NET *1522 0.00549948 +*CONN +*I *2471:mask_rev_in[29] I *D housekeeping +*I *2479:mask_rev[29] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[29] 0.00267812 +2 *2479:mask_rev[29] 0.00267812 +3 *2471:mask_rev_in[28] *2471:mask_rev_in[29] 0 +4 *1505:7 *2471:mask_rev_in[29] 2.70576e-05 +5 *1517:10 *2471:mask_rev_in[29] 0.000116179 +*RES +1 *2479:mask_rev[29] *2471:mask_rev_in[29] 42.6624 +*END + +*D_NET *1523 0.0113848 +*CONN +*I *2471:mask_rev_in[2] I *D housekeeping +*I *2479:mask_rev[2] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[2] 0.00102638 +2 *2479:mask_rev[2] 0.00151638 +3 *1523:11 0.00242211 +4 *1523:10 0.0029121 +5 *2471:mask_rev_in[2] *2471:mask_rev_in[3] 0.00187001 +6 *1523:10 *1529:10 0 +7 *1523:11 *1532:16 0.000984954 +8 *2471:mask_rev_in[1] *2471:mask_rev_in[2] 0 +9 *1512:10 *1523:10 0 +10 *1512:11 *1523:11 0.000652867 +*RES +1 *2479:mask_rev[2] *1523:10 30.8106 +2 *1523:10 *1523:11 50.6377 +3 *1523:11 *2471:mask_rev_in[2] 43.7413 +*END + +*D_NET *1524 0.00464005 +*CONN +*I *2471:mask_rev_in[30] I *D housekeeping +*I *2479:mask_rev[30] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[30] 0.00101047 +2 *2479:mask_rev[30] 0.00101047 +3 *2471:mask_rev_in[30] *2471:mask_rev_in[31] 0.00236668 +4 *2471:mask_rev_in[28] *2471:mask_rev_in[30] 0.000252418 +*RES +1 *2479:mask_rev[30] *2471:mask_rev_in[30] 44.8089 +*END + +*D_NET *1525 0.00913827 +*CONN +*I *2471:mask_rev_in[31] I *D housekeeping +*I *2479:mask_rev[31] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[31] 0.000805002 +2 *2479:mask_rev[31] 0.000861435 +3 *1525:15 0.00162241 +4 *1525:12 0.00167884 +5 *2471:mask_rev_in[31] *1527:12 7.90402e-05 +6 *2471:mask_rev_in[31] *1528:12 0.000747364 +7 *1525:15 *1527:12 0 +8 *1525:15 *1528:12 3.57027e-05 +9 *2471:mask_rev_in[30] *2471:mask_rev_in[31] 0.00236668 +10 *1506:12 *1525:15 0.000892705 +11 *1514:16 *1525:15 4.90912e-05 +*RES +1 *2479:mask_rev[31] *1525:12 32.3789 +2 *1525:12 *1525:15 29.4951 +3 *1525:15 *2471:mask_rev_in[31] 41.7939 +*END + +*D_NET *1526 0.0267881 +*CONN +*I *2471:mask_rev_in[3] I *D housekeeping +*I *2479:mask_rev[3] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[3] 0.000979909 +2 *2479:mask_rev[3] 0.000649945 +3 *1526:11 0.0030414 +4 *1526:10 0.00206149 +5 *1526:8 0.00138764 +6 *1526:7 0.00203758 +7 *2471:mask_rev_in[3] *2223:11 0.000785004 +8 *1526:8 *1531:8 0.00781876 +9 *2471:mask_rev_in[0] *1526:11 0.000345725 +10 *2471:mask_rev_in[1] *1526:11 0 +11 *2471:mask_rev_in[2] *2471:mask_rev_in[3] 0.00187001 +12 *64:51 *1526:11 0 +13 *1502:7 *1526:7 0 +14 *1502:8 *1526:8 0.000622925 +15 *1503:8 *1526:8 0.00516758 +16 *1504:8 *1526:8 2.01874e-05 +*RES +1 *2479:mask_rev[3] *1526:7 22.649 +2 *1526:7 *1526:8 100.552 +3 *1526:8 *1526:10 4.5 +4 *1526:10 *1526:11 60.3826 +5 *1526:11 *2471:mask_rev_in[3] 49.8165 +*END + +*D_NET *1527 0.0172097 +*CONN +*I *2471:mask_rev_in[4] I *D housekeeping +*I *2479:mask_rev[4] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[4] 0.00097339 +2 *2479:mask_rev[4] 0.000694684 +3 *1527:13 0.00403786 +4 *1527:12 0.00375916 +5 *2471:mask_rev_in[4] *2471:mask_rev_in[5] 0 +6 *2471:mask_rev_in[4] *2260:15 3.31733e-05 +7 *1527:12 *1528:12 0.000854635 +8 *1527:13 *1528:13 0 +9 *1527:13 *1528:19 0 +10 *1527:13 *1529:13 0 +11 *1527:13 *2223:11 0 +12 *2471:mask_rev_in[16] *1527:13 1.62206e-05 +13 *2471:mask_rev_in[31] *1527:12 7.90402e-05 +14 *1505:8 *1527:13 0.00565311 +15 *1506:15 *1527:12 0 +16 *1506:16 *1527:13 0.000203053 +17 *1507:16 *1527:12 4.3116e-06 +18 *1509:10 *1527:13 0 +19 *1513:16 *1527:12 7.77309e-06 +20 *1514:16 *1527:12 0.000893274 +21 *1517:10 *1527:13 0 +22 *1525:15 *1527:12 0 +*RES +1 *2479:mask_rev[4] *1527:12 30.4059 +2 *1527:12 *1527:13 104.989 +3 *1527:13 *2471:mask_rev_in[4] 32.2608 +*END + +*D_NET *1528 0.0141319 +*CONN +*I *2471:mask_rev_in[5] I *D housekeeping +*I *2479:mask_rev[5] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[5] 0.00107564 +2 *2479:mask_rev[5] 0.000379773 +3 *1528:19 0.0018502 +4 *1528:13 0.00241719 +5 *1528:12 0.0020224 +6 *2471:mask_rev_in[5] *2471:mask_rev_in[6] 0 +7 *2471:mask_rev_in[5] *2260:15 0 +8 *2471:mask_rev_in[5] *2260:17 0 +9 *1528:13 *2223:11 0.00163712 +10 *1528:19 *2223:11 0.000250096 +11 *2471:mask_rev_in[16] *1528:13 0.000234276 +12 *2471:mask_rev_in[25] *1528:13 0.000308415 +13 *2471:mask_rev_in[26] *1528:13 0.000219753 +14 *2471:mask_rev_in[31] *1528:12 0.000747364 +15 *2471:mask_rev_in[4] *2471:mask_rev_in[5] 0 +16 *1504:16 *1528:19 0.00120988 +17 *1506:16 *1528:13 0.00069725 +18 *1516:16 *1528:13 0.000192188 +19 *1525:15 *1528:12 3.57027e-05 +20 *1527:12 *1528:12 0.000854635 +21 *1527:13 *1528:13 0 +22 *1527:13 *1528:19 0 +*RES +1 *2479:mask_rev[5] *1528:12 22.5161 +2 *1528:12 *1528:13 73.0992 +3 *1528:13 *1528:19 32.7004 +4 *1528:19 *2471:mask_rev_in[5] 30.2523 +*END + +*D_NET *1529 0.00818377 +*CONN +*I *2471:mask_rev_in[6] I *D housekeeping +*I *2479:mask_rev[6] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[6] 0.000876564 +2 *2479:mask_rev[6] 0.00140762 +3 *1529:13 0.00239257 +4 *1529:10 0.00292362 +5 *2471:mask_rev_in[6] *2471:mask_rev_in[7] 0 +6 *2471:mask_rev_in[15] *1529:10 0 +7 *2471:mask_rev_in[16] *1529:10 0 +8 *2471:mask_rev_in[18] *1529:10 0 +9 *2471:mask_rev_in[5] *2471:mask_rev_in[6] 0 +10 *1512:10 *1529:10 0.000583389 +11 *1523:10 *1529:10 0 +12 *1527:13 *1529:13 0 +*RES +1 *2479:mask_rev[6] *1529:10 33.4442 +2 *1529:10 *1529:13 43.491 +3 *1529:13 *2471:mask_rev_in[6] 24.8541 +*END + +*D_NET *1530 0.0103638 +*CONN +*I *2471:mask_rev_in[7] I *D housekeeping +*I *2479:mask_rev[7] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[7] 0.00084567 +2 *2479:mask_rev[7] 0.000134258 +3 *1530:10 0.00257712 +4 *1530:9 0.00186571 +5 *2471:mask_rev_in[7] *2471:mask_rev_in[8] 0.00200538 +6 *1530:10 *1531:16 9.19886e-06 +7 *1530:10 *1532:15 0.000950584 +8 *1530:10 *2223:11 0 +9 *2471:mask_rev_in[10] *1530:10 1.92094e-05 +10 *2471:mask_rev_in[6] *2471:mask_rev_in[7] 0 +11 *1501:10 *1530:9 0 +12 *1501:12 *1530:10 0.00123205 +13 *1503:17 *1530:10 0 +14 *1504:11 *2471:mask_rev_in[7] 0 +15 *1507:17 *1530:10 0.000351195 +16 *1513:19 *1530:10 4.02303e-05 +17 *1514:19 *1530:10 0.000333145 +*RES +1 *2479:mask_rev[7] *1530:9 6.64954 +2 *1530:9 *1530:10 71.1581 +3 *1530:10 *2471:mask_rev_in[7] 40.0041 +*END + +*D_NET *1531 0.0216358 +*CONN +*I *2471:mask_rev_in[8] I *D housekeeping +*I *2479:mask_rev[8] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[8] 0.000800198 +2 *2479:mask_rev[8] 0.00066014 +3 *1531:16 0.0011591 +4 *1531:11 0.00245631 +5 *1531:10 0.00209741 +6 *1531:8 0.00194218 +7 *1531:7 0.00260232 +8 *1531:11 *2260:17 0 +9 *1531:16 *2223:11 0 +10 *2471:mask_rev_in[7] *2471:mask_rev_in[8] 0.00200538 +11 *1501:12 *1531:16 0 +12 *1502:7 *1531:7 0 +13 *1502:8 *1531:8 8.47748e-05 +14 *1504:11 *2471:mask_rev_in[8] 0 +15 *1526:8 *1531:8 0.00781876 +16 *1530:10 *1531:16 9.19886e-06 +*RES +1 *2479:mask_rev[8] *1531:7 23.0642 +2 *1531:7 *1531:8 89.46 +3 *1531:8 *1531:10 4.5 +4 *1531:10 *1531:11 58.7215 +5 *1531:11 *1531:16 18.5971 +6 *1531:16 *2471:mask_rev_in[8] 34.4049 +*END + +*D_NET *1532 0.0123254 +*CONN +*I *2471:mask_rev_in[9] I *D housekeeping +*I *2479:mask_rev[9] O *D user_id_programming +*CAP +1 *2471:mask_rev_in[9] 0.000983892 +2 *2479:mask_rev[9] 0.000339086 +3 *1532:16 0.0017921 +4 *1532:15 0.00114729 +5 *2471:mask_rev_in[10] *2471:mask_rev_in[9] 0.00196916 +6 *1501:12 *1532:16 0.000425627 +7 *1502:11 *2471:mask_rev_in[9] 0 +8 *1503:11 *2471:mask_rev_in[9] 0 +9 *1504:11 *2471:mask_rev_in[9] 0 +10 *1512:11 *1532:16 0.00270333 +11 *1513:19 *1532:15 7.65564e-05 +12 *1514:19 *1532:15 0.000751057 +13 *1518:12 *1532:15 0.000201734 +14 *1523:11 *1532:16 0.000984954 +15 *1530:10 *1532:15 0.000950584 +*RES +1 *2479:mask_rev[9] *1532:15 29.2942 +2 *1532:15 *1532:16 45.6463 +3 *1532:16 *2471:mask_rev_in[9] 42.9108 +*END + +*D_NET *1533 0.0136905 +*CONN +*I *2471:mgmt_gpio_in[0] I *D housekeeping +*I *2444:mgmt_gpio_in O *D gpio_control_block +*CAP +1 *2471:mgmt_gpio_in[0] 0.00141101 +2 *2444:mgmt_gpio_in 0.000963386 +3 *1533:16 0.00304799 +4 *1533:15 0.00260037 +5 *1533:15 *1777:13 0.000912063 +6 *1533:15 *1804:13 2.73318e-05 +7 *498:8 *1533:16 0.00472831 +*RES +1 *2444:mgmt_gpio_in *1533:15 35.9942 +2 *1533:15 *1533:16 75.5393 +3 *1533:16 *2471:mgmt_gpio_in[0] 8.48033 +*END + +*D_NET *1534 0.387978 +*CONN +*I *2451:mgmt_gpio_out I *D gpio_control_block +*I *2451:mgmt_gpio_in O *D gpio_control_block +*I *2471:mgmt_gpio_in[10] I *D housekeeping +*I *2471:mgmt_gpio_out[10] O *D housekeeping +*CAP +1 *2451:mgmt_gpio_out 0.000281191 +2 *2451:mgmt_gpio_in 7.25379e-05 +3 *2471:mgmt_gpio_in[10] 0.000326108 +4 *2471:mgmt_gpio_out[10] 0.000131986 +5 *1534:26 0.000464922 +6 *1534:23 0.0017248 +7 *1534:20 0.0276906 +8 *1534:19 0.0273876 +9 *1534:5 0.00176866 +10 *2471:mgmt_gpio_in[10] *2020:15 0.00130366 +11 *2471:mgmt_gpio_in[10] *2031:11 0.000982761 +12 *1534:20 *1535:20 0.170447 +13 *1534:20 *1544:14 0.00045563 +14 *1534:20 *1570:20 0.154787 +15 *113:14 *1534:20 0 +16 *525:8 *2471:mgmt_gpio_in[10] 0.000153775 +*RES +1 *2471:mgmt_gpio_out[10] *1534:5 0.369148 +2 *1534:5 *2471:mgmt_gpio_in[10] 28.0403 +3 *1534:5 *1534:19 8.00808 +4 *1534:19 *1534:20 2754.75 +5 *1534:20 *1534:23 45.7095 +6 *1534:23 *1534:26 7.37013 +7 *1534:26 *2451:mgmt_gpio_in 3.59493 +8 *1534:26 *2451:mgmt_gpio_out 8.86202 +*END + +*D_NET *1535 0.422731 +*CONN +*I *2452:mgmt_gpio_out I *D gpio_control_block +*I *2452:mgmt_gpio_in O *D gpio_control_block +*I *2471:mgmt_gpio_in[11] I *D housekeeping +*I *2471:mgmt_gpio_out[11] O *D housekeeping +*CAP +1 *2452:mgmt_gpio_out 0.00028116 +2 *2452:mgmt_gpio_in 7.25283e-05 +3 *2471:mgmt_gpio_in[11] 0.000296239 +4 *2471:mgmt_gpio_out[11] 0.000131986 +5 *1535:26 0.000450276 +6 *1535:23 0.00168151 +7 *1535:20 0.0294815 +8 *1535:19 0.029185 +9 *1535:5 0.00171662 +10 *2471:mgmt_gpio_in[11] *2020:15 0.00130366 +11 *2471:mgmt_gpio_in[11] *2031:11 0.00130366 +12 *1535:20 *1536:20 0.185727 +13 *113:14 *1535:20 0.000653095 +14 *1534:20 *1535:20 0.170447 +*RES +1 *2471:mgmt_gpio_out[11] *1535:5 0.369148 +2 *1535:5 *2471:mgmt_gpio_in[11] 28.0403 +3 *1535:5 *1535:19 7.93159 +4 *1535:19 *1535:20 2999.33 +5 *1535:20 *1535:23 45.7095 +6 *1535:23 *1535:26 6.95487 +7 *1535:26 *2452:mgmt_gpio_in 3.59493 +8 *1535:26 *2452:mgmt_gpio_out 8.86202 +*END + +*D_NET *1536 0.455401 +*CONN +*I *2453:mgmt_gpio_out I *D gpio_control_block +*I *2453:mgmt_gpio_in O *D gpio_control_block +*I *2471:mgmt_gpio_in[12] I *D housekeeping +*I *2471:mgmt_gpio_out[12] O *D housekeeping +*CAP +1 *2453:mgmt_gpio_out 0.000303688 +2 *2453:mgmt_gpio_in 5.64855e-05 +3 *2471:mgmt_gpio_in[12] 0.000522353 +4 *2471:mgmt_gpio_out[12] 6.68701e-05 +5 *1536:25 0.00133154 +6 *1536:20 0.0308616 +7 *1536:19 0.0312238 +8 *1536:5 0.00192274 +9 *2453:mgmt_gpio_out *1779:14 0 +10 *2453:mgmt_gpio_out *1780:17 3.36517e-05 +11 *2471:mgmt_gpio_in[12] *2020:21 0.00130366 +12 *1536:20 *1537:20 0.201106 +13 *113:14 *1536:20 0.000941838 +14 *1535:20 *1536:20 0.185727 +*RES +1 *2471:mgmt_gpio_out[12] *1536:5 0.216168 +2 *1536:5 *2471:mgmt_gpio_in[12] 27.8873 +3 *1536:5 *1536:19 8.00808 +4 *1536:19 *1536:20 3245.99 +5 *1536:20 *1536:25 33.0167 +6 *1536:25 *2453:mgmt_gpio_in 3.58495 +7 *1536:25 *2453:mgmt_gpio_out 11.2305 +*END + +*D_NET *1537 0.449267 +*CONN +*I *2454:mgmt_gpio_out I *D gpio_control_block +*I *2454:mgmt_gpio_in O *D gpio_control_block +*I *2471:mgmt_gpio_in[13] I *D housekeeping +*I *2471:mgmt_gpio_out[13] O *D housekeeping +*CAP +1 *2454:mgmt_gpio_out 0.000566946 +2 *2454:mgmt_gpio_in 0.000101163 +3 *2471:mgmt_gpio_in[13] 0.00181981 +4 *2471:mgmt_gpio_out[13] 0.00109195 +5 *1537:32 0.00134823 +6 *1537:23 0.00177461 +7 *1537:22 0.00109449 +8 *1537:20 0.102056 +9 *1537:19 0.102371 +10 *1537:5 0.00322751 +11 *2471:mgmt_gpio_in[13] *2329:16 0 +12 *2471:mgmt_gpio_in[13] *2340:14 0 +13 *2471:mgmt_gpio_in[13] *2367:16 0 +14 *1537:23 *1970:10 0.00212559 +15 *1537:23 *2024:8 0.00192115 +16 *1537:23 *2078:10 6.89789e-05 +17 *1537:23 *2159:13 8.03397e-05 +18 *1537:32 *1893:8 0.000692673 +19 *1537:32 *2159:8 0.000692673 +20 *106:40 *1537:20 5.02971e-05 +21 *109:90 *1537:20 0.000443459 +22 *113:14 *1537:20 0.0146474 +23 *473:34 *1537:20 0 +24 *491:51 *1537:20 4.11944e-05 +25 *492:28 *1537:20 0.0119459 +26 *1536:20 *1537:20 0.201106 +*RES +1 *2471:mgmt_gpio_out[13] *1537:5 3.65823 +2 *1537:5 *2471:mgmt_gpio_in[13] 31.3294 +3 *1537:5 *1537:19 4.48953 +4 *1537:19 *1537:20 4301.98 +5 *1537:20 *1537:22 4.5 +6 *1537:22 *1537:23 45.6463 +7 *1537:23 *1537:32 20.4744 +8 *1537:32 *2454:mgmt_gpio_in 0.51465 +9 *1537:32 *2454:mgmt_gpio_out 1.36397 +*END + +*D_NET *1538 0.00247218 +*CONN +*I *2471:mgmt_gpio_in[14] I *D housekeeping +*I *2471:mgmt_gpio_out[14] O *D housekeeping +*CAP +1 *2471:mgmt_gpio_in[14] 0.000584262 +2 *2471:mgmt_gpio_out[14] 0.000584262 +3 *2471:mgmt_gpio_in[14] *2020:21 0.00130366 +*RES +1 *2471:mgmt_gpio_out[14] *2471:mgmt_gpio_in[14] 28.1035 +*END + +*D_NET *1539 0.00247218 +*CONN +*I *2471:mgmt_gpio_in[15] I *D housekeeping +*I *2471:mgmt_gpio_out[15] O *D housekeeping +*CAP +1 *2471:mgmt_gpio_in[15] 0.000584262 +2 *2471:mgmt_gpio_out[15] 0.000584262 +3 *2471:mgmt_gpio_in[15] *2020:21 0.00130366 +*RES +1 *2471:mgmt_gpio_out[15] *2471:mgmt_gpio_in[15] 28.1035 +*END + +*D_NET *1540 0.00586208 +*CONN +*I *2471:mgmt_gpio_in[16] I *D housekeeping +*I *2471:mgmt_gpio_out[16] O *D housekeeping +*CAP +1 *2471:mgmt_gpio_in[16] 0.00293104 +2 *2471:mgmt_gpio_out[16] 0.00293104 +3 *2471:mgmt_gpio_in[16] *2340:14 0 +*RES +1 *2471:mgmt_gpio_out[16] *2471:mgmt_gpio_in[16] 34.9876 +*END + +*D_NET *1541 0.00247218 +*CONN +*I *2471:mgmt_gpio_in[17] I *D housekeeping +*I *2471:mgmt_gpio_out[17] O *D housekeeping +*CAP +1 *2471:mgmt_gpio_in[17] 0.000584262 +2 *2471:mgmt_gpio_out[17] 0.000584262 +3 *2471:mgmt_gpio_in[17] *2020:21 0.00130366 +*RES +1 *2471:mgmt_gpio_out[17] *2471:mgmt_gpio_in[17] 28.1035 +*END + +*D_NET *1542 0.00247218 +*CONN +*I *2471:mgmt_gpio_in[18] I *D housekeeping +*I *2471:mgmt_gpio_out[18] O *D housekeeping +*CAP +1 *2471:mgmt_gpio_in[18] 0.000584262 +2 *2471:mgmt_gpio_out[18] 0.000584262 +3 *2471:mgmt_gpio_in[18] *2020:21 0.00130366 +*RES +1 *2471:mgmt_gpio_out[18] *2471:mgmt_gpio_in[18] 28.1035 +*END + +*D_NET *1543 0.00249295 +*CONN +*I *2471:mgmt_gpio_in[19] I *D housekeeping +*I *2471:mgmt_gpio_out[19] O *D housekeeping +*CAP +1 *2471:mgmt_gpio_in[19] 0.000594646 +2 *2471:mgmt_gpio_out[19] 0.000594646 +3 *2471:mgmt_gpio_in[19] *2020:21 0.00130366 +*RES +1 *2471:mgmt_gpio_out[19] *2471:mgmt_gpio_in[19] 28.1035 +*END + +*D_NET *1544 0.0395597 +*CONN +*I *2471:mgmt_gpio_in[1] I *D housekeeping +*I *2445:mgmt_gpio_in O *D gpio_control_block +*CAP +1 *2471:mgmt_gpio_in[1] 0.0012712 +2 *2445:mgmt_gpio_in 0.00130921 +3 *1544:14 0.00803223 +4 *1544:13 0.00807024 +5 *1544:14 *1564:20 0 +6 *1544:14 *1568:20 0 +7 *1544:14 *1569:20 0 +8 *1544:14 *1570:20 0 +9 *113:8 *1544:14 0.00601149 +10 *113:14 *1544:14 0.0141016 +11 *480:8 *1544:14 0.0003032 +12 *485:53 *1544:13 4.89469e-06 +13 *1534:20 *1544:14 0.00045563 +*RES +1 *2445:mgmt_gpio_in *1544:13 37.5349 +2 *1544:13 *1544:14 322.199 +3 *1544:14 *2471:mgmt_gpio_in[1] 8.09787 +*END + +*D_NET *1545 0.000499504 +*CONN +*I *2471:mgmt_gpio_in[20] I *D housekeeping +*I *2471:mgmt_gpio_out[20] O *D housekeeping +*CAP +1 *2471:mgmt_gpio_in[20] 0.000249752 +2 *2471:mgmt_gpio_out[20] 0.000249752 +*RES +1 *2471:mgmt_gpio_out[20] *2471:mgmt_gpio_in[20] 15.6935 +*END + +*D_NET *1546 0.000546314 +*CONN +*I *2471:mgmt_gpio_in[21] I *D housekeeping +*I *2471:mgmt_gpio_out[21] O *D housekeeping +*CAP +1 *2471:mgmt_gpio_in[21] 0.000273157 +2 *2471:mgmt_gpio_out[21] 0.000273157 +*RES +1 *2471:mgmt_gpio_out[21] *2471:mgmt_gpio_in[21] 8.79358 +*END + +*D_NET *1547 0.000499504 +*CONN +*I *2471:mgmt_gpio_in[22] I *D housekeeping +*I *2471:mgmt_gpio_out[22] O *D housekeeping +*CAP +1 *2471:mgmt_gpio_in[22] 0.000249752 +2 *2471:mgmt_gpio_out[22] 0.000249752 +*RES +1 *2471:mgmt_gpio_out[22] *2471:mgmt_gpio_in[22] 15.6935 +*END + +*D_NET *1548 0.000546314 +*CONN +*I *2471:mgmt_gpio_in[23] I *D housekeeping +*I *2471:mgmt_gpio_out[23] O *D housekeeping +*CAP +1 *2471:mgmt_gpio_in[23] 0.000273157 +2 *2471:mgmt_gpio_out[23] 0.000273157 +*RES +1 *2471:mgmt_gpio_out[23] *2471:mgmt_gpio_in[23] 8.79358 +*END + +*D_NET *1549 0.000499504 +*CONN +*I *2471:mgmt_gpio_in[24] I *D housekeeping +*I *2471:mgmt_gpio_out[24] O *D housekeeping +*CAP +1 *2471:mgmt_gpio_in[24] 0.000249752 +2 *2471:mgmt_gpio_out[24] 0.000249752 +*RES +1 *2471:mgmt_gpio_out[24] *2471:mgmt_gpio_in[24] 15.6935 +*END + +*D_NET *1550 1.14107 +*CONN +*I *2461:mgmt_gpio_out I *D gpio_control_block +*I *2461:mgmt_gpio_in O *D gpio_control_block +*I *2471:mgmt_gpio_in[25] I *D housekeeping +*I *2471:mgmt_gpio_out[25] O *D housekeeping +*CAP +1 *2461:mgmt_gpio_out 0.000221183 +2 *2461:mgmt_gpio_in 8.09303e-05 +3 *2471:mgmt_gpio_in[25] 1.28869e-05 +4 *2471:mgmt_gpio_out[25] 0.000248672 +5 *1550:40 0.000546167 +6 *1550:34 0.00458469 +7 *1550:33 0.00434063 +8 *1550:31 0.0289506 +9 *1550:29 0.0294655 +10 *1550:24 0.0536902 +11 *1550:23 0.0546409 +12 *1550:13 0.00172714 +13 *2461:mgmt_gpio_out *2474:mprj_io_analog_en[14] 5.02212e-05 +14 *2461:mgmt_gpio_out *1836:13 0.000470189 +15 *1550:13 *1551:19 0 +16 *1550:24 *1574:10 0.337527 +17 *1550:24 *1578:15 0.128354 +18 *1550:34 *1836:13 0.00056387 +19 *1550:40 *1895:8 3.61259e-05 +20 *1550:40 *1998:7 0.000123244 +21 *100:74 *1550:29 1.01451e-05 +22 *100:77 *1550:24 0.000164123 +23 *467:42 *1550:24 0.0470158 +24 *480:28 *1550:31 0.222937 +25 *498:22 *1550:29 0.000804144 +26 *498:22 *1550:31 0.222975 +27 *911:9 *1550:29 0.00151239 +28 *911:9 *1550:31 1.3813e-05 +*RES +1 *2471:mgmt_gpio_out[25] *1550:13 8.59816 +2 *1550:13 *2471:mgmt_gpio_in[25] 0.366399 +3 *1550:13 *1550:23 44.7428 +4 *1550:23 *1550:24 3535.77 +5 *1550:24 *1550:29 34.2638 +6 *1550:29 *1550:31 3569.47 +7 *1550:31 *1550:33 4.5 +8 *1550:33 *1550:34 119.409 +9 *1550:34 *1550:40 10.4172 +10 *1550:40 *2461:mgmt_gpio_in 0.292658 +11 *1550:40 *2461:mgmt_gpio_out 14.6758 +*END + +*D_NET *1551 0.957355 +*CONN +*I *2462:mgmt_gpio_out I *D gpio_control_block +*I *2462:mgmt_gpio_in O *D gpio_control_block +*I *2471:mgmt_gpio_in[26] I *D housekeeping +*I *2471:mgmt_gpio_out[26] O *D housekeeping +*CAP +1 *2462:mgmt_gpio_out 0.0002729 +2 *2462:mgmt_gpio_in 0.000100801 +3 *2471:mgmt_gpio_in[26] 0.000320692 +4 *2471:mgmt_gpio_out[26] 0.000248526 +5 *1551:35 0.000389011 +6 *1551:34 0.00166331 +7 *1551:29 0.0362168 +8 *1551:28 0.0345688 +9 *1551:26 0.0492667 +10 *1551:25 0.0492667 +11 *1551:23 0.0104456 +12 *1551:21 0.0106492 +13 *1551:19 0.0038802 +14 *1551:17 0.00374875 +15 *2462:mgmt_gpio_out *1810:12 0.000461064 +16 *2462:mgmt_gpio_out *1999:9 0 +17 *2471:mgmt_gpio_in[26] *1552:21 0 +18 *1551:23 *2415:7 0.0032363 +19 *1551:26 *1554:24 0.343153 +20 *1551:29 *1554:27 0.135874 +21 *1551:29 *2222:36 0.185368 +22 *1551:35 *1810:12 2.02035e-05 +23 *109:61 *1551:26 0.0868108 +24 *112:33 *1551:26 7.25324e-06 +25 *472:23 *1551:26 2.41483e-05 +26 *475:34 *1551:26 0.00120224 +27 *479:34 *1551:26 0.000160376 +28 *497:34 *1551:26 0 +29 *1550:13 *1551:19 0 +*RES +1 *2471:mgmt_gpio_out[26] *2471:mgmt_gpio_in[26] 9.62408 +2 *2471:mgmt_gpio_in[26] *1551:17 2.05183 +3 *1551:17 *1551:19 99.514 +4 *1551:19 *1551:21 5.7891 +5 *1551:21 *1551:23 313.381 +6 *1551:23 *1551:25 4.5 +7 *1551:25 *1551:26 3618.96 +8 *1551:26 *1551:28 4.5 +9 *1551:28 *1551:29 2963.41 +10 *1551:29 *1551:34 49.6549 +11 *1551:34 *1551:35 0.378612 +12 *1551:35 *2462:mgmt_gpio_in 3.67142 +13 *1551:35 *2462:mgmt_gpio_out 11.3169 +*END + +*D_NET *1552 0.902064 +*CONN +*I *2463:mgmt_gpio_out I *D gpio_control_block +*I *2463:mgmt_gpio_in O *D gpio_control_block +*I *2471:mgmt_gpio_in[27] I *D housekeeping +*I *2471:mgmt_gpio_out[27] O *D housekeeping +*CAP +1 *2463:mgmt_gpio_out 0.000252042 +2 *2463:mgmt_gpio_in 0.000164335 +3 *2471:mgmt_gpio_in[27] 1.28869e-05 +4 *2471:mgmt_gpio_out[27] 0.000268432 +5 *1552:33 0.000579889 +6 *1552:30 0.00289249 +7 *1552:29 0.00272898 +8 *1552:27 0.0712248 +9 *1552:26 0.0712248 +10 *1552:24 0.0612457 +11 *1552:23 0.0612457 +12 *1552:21 0.00229398 +13 *1552:13 0.0025753 +14 *2463:mgmt_gpio_out *1784:7 3.09106e-06 +15 *2463:mgmt_gpio_out *1903:12 0.000468828 +16 *2463:mgmt_gpio_out *2000:11 0.000155405 +17 *1552:13 *1553:23 0 +18 *1552:24 *1556:24 0.340518 +19 *1552:24 *1557:24 1.00937e-05 +20 *1552:27 *1556:27 0.141002 +21 *1552:27 *2365:16 0.0126038 +22 *1552:27 *2391:16 0.0120542 +23 *1552:30 *1903:15 0.000473242 +24 *1552:33 *1903:12 0.000779081 +25 *1552:33 *2000:11 0.000259268 +26 *2471:mgmt_gpio_in[26] *1552:21 0 +27 *2478:la_input[0] *1552:27 0.000410798 +28 *615:8 *1552:24 0 +29 *618:8 *1552:24 0 +30 *619:8 *1552:24 0 +31 *620:8 *1552:24 0 +32 *621:10 *1552:24 0.000528711 +33 *631:8 *1552:24 0.00062937 +34 *901:10 *1552:24 0.0561891 +35 *902:10 *1552:24 0.000306383 +36 *903:10 *1552:24 0.000241948 +37 *904:10 *1552:24 0.00014932 +38 *905:10 *1552:24 4.49334e-05 +39 *906:10 *1552:24 0 +40 *907:10 *1552:24 0 +41 *1264:10 *1552:24 0.00108877 +42 *1272:10 *1552:24 0.0574379 +*RES +1 *2471:mgmt_gpio_out[27] *1552:13 9.15998 +2 *1552:13 *2471:mgmt_gpio_in[27] 0.366399 +3 *1552:13 *1552:21 60.1749 +4 *1552:21 *1552:23 4.5 +5 *1552:23 *1552:24 3602.32 +6 *1552:24 *1552:26 4.5 +7 *1552:26 *1552:27 3038.99 +8 *1552:27 *1552:29 4.5 +9 *1552:29 *1552:30 73.9311 +10 *1552:30 *1552:33 16.9209 +11 *1552:33 *2463:mgmt_gpio_in 3.708 +12 *1552:33 *2463:mgmt_gpio_out 11.3535 +*END + +*D_NET *1553 0.876453 +*CONN +*I *2464:mgmt_gpio_out I *D gpio_control_block +*I *2464:mgmt_gpio_in O *D gpio_control_block +*I *2471:mgmt_gpio_in[28] I *D housekeeping +*I *2471:mgmt_gpio_out[28] O *D housekeeping +*CAP +1 *2464:mgmt_gpio_out 0.000682725 +2 *2464:mgmt_gpio_in 0.00530895 +3 *2471:mgmt_gpio_in[28] 1.28869e-05 +4 *2471:mgmt_gpio_out[28] 0.000248672 +5 *1553:33 0.0926242 +6 *1553:32 0.0887639 +7 *1553:27 0.0130614 +8 *1553:26 0.0122955 +9 *1553:24 0.123275 +10 *1553:23 0.124878 +11 *1553:13 0.00186471 +12 *2464:mgmt_gpio_out *1785:13 3.44774e-05 +13 *1553:13 *1554:21 0 +14 *1553:24 *1573:10 0.00364636 +15 *1553:24 *1730:25 0.00434791 +16 *1553:24 *1732:25 0.00251159 +17 *1553:24 *1734:25 0.00320795 +18 *1553:24 *1736:23 0.00221772 +19 *1553:33 *2294:17 0.0011138 +20 *2478:la_input[3] *1553:27 0.00285028 +21 *112:30 *1553:33 0.00020097 +22 *113:17 *1553:24 0.387953 +23 *113:20 *1553:27 0.00334581 +24 *479:31 *1553:33 0.000281071 +25 *480:25 *1553:27 0 +26 *485:41 *1553:27 0 +27 *495:50 *1553:33 0.00145675 +28 *497:31 *1553:33 0.000269598 +29 *1552:13 *1553:23 0 +*RES +1 *2471:mgmt_gpio_out[28] *1553:13 8.59816 +2 *1553:13 *2471:mgmt_gpio_in[28] 0.366399 +3 *1553:13 *1553:23 46.726 +4 *1553:23 *1553:24 490.046 +5 *1553:24 *1553:26 3.36879 +6 *1553:26 *1553:27 358.949 +7 *1553:27 *1553:32 5.97528 +8 *1553:32 *1553:33 336.675 +9 *1553:33 *2464:mgmt_gpio_in 16.6275 +10 *2464:mgmt_gpio_in *2464:mgmt_gpio_out 1.82911 +*END + +*D_NET *1554 1.00643 +*CONN +*I *2465:mgmt_gpio_out I *D gpio_control_block +*I *2465:mgmt_gpio_in O *D gpio_control_block +*I *2471:mgmt_gpio_in[29] I *D housekeeping +*I *2471:mgmt_gpio_out[29] O *D housekeeping +*CAP +1 *2465:mgmt_gpio_out 0.000355645 +2 *2465:mgmt_gpio_in 9.71535e-05 +3 *2471:mgmt_gpio_in[29] 1.28869e-05 +4 *2471:mgmt_gpio_out[29] 0.000248672 +5 *1554:33 0.000475898 +6 *1554:30 0.0017451 +7 *1554:27 0.0479964 +8 *1554:26 0.0462744 +9 *1554:24 0.0247487 +10 *1554:23 0.0247487 +11 *1554:21 0.0147208 +12 *1554:13 0.0149824 +13 *2465:mgmt_gpio_out *1813:9 0 +14 *2465:mgmt_gpio_out *2002:9 0 +15 *1554:13 *1556:21 0 +16 *1554:27 *1561:8 0.00154509 +17 *1554:27 *2038:11 0.0015027 +18 *1554:27 *2222:36 1.2693e-05 +19 *109:61 *1554:24 0.000145086 +20 *472:23 *1554:24 0.346311 +21 *475:34 *1554:24 0.00132631 +22 *479:34 *1554:24 0.000154498 +23 *1551:26 *1554:24 0.343153 +24 *1551:29 *1554:27 0.135874 +25 *1553:13 *1554:21 0 +*RES +1 *2471:mgmt_gpio_out[29] *1554:13 8.59816 +2 *1554:13 *2471:mgmt_gpio_in[29] 0.366399 +3 *1554:13 *1554:21 411.478 +4 *1554:21 *1554:23 4.5 +5 *1554:23 *1554:24 3651.68 +6 *1554:24 *1554:26 4.5 +7 *1554:26 *1554:27 2172.36 +8 *1554:27 *1554:30 46.2641 +9 *1554:30 *1554:33 4.87861 +10 *1554:33 *2465:mgmt_gpio_in 3.65147 +11 *1554:33 *2465:mgmt_gpio_out 11.297 +*END + +*D_NET *1555 0.081429 +*CONN +*I *2455:mgmt_gpio_in O *D gpio_control_block +*I *2455:mgmt_gpio_out I *D gpio_control_block +*I *2471:mgmt_gpio_in[2] I *D housekeeping +*I *2471:mgmt_gpio_out[2] O *D housekeeping +*CAP +1 *2455:mgmt_gpio_in 4.79273e-05 +2 *2455:mgmt_gpio_out 0.000375235 +3 *2471:mgmt_gpio_in[2] 0.000263681 +4 *2471:mgmt_gpio_out[2] 9.94281e-05 +5 *1555:29 0.00148072 +6 *1555:20 0.00695375 +7 *1555:19 0.00733707 +8 *1555:5 0.00180398 +9 *2455:mgmt_gpio_out *1796:8 3.36517e-05 +10 *2455:mgmt_gpio_out *1850:13 0.000105034 +11 *2471:mgmt_gpio_in[2] *2020:11 0.00130366 +12 *2471:mgmt_gpio_in[2] *2222:12 0.00130366 +13 *1555:20 *1564:20 0.032146 +14 *1555:20 *1565:20 0.000670391 +15 *1555:20 *1566:20 0.000931168 +16 *1555:20 *1567:20 0.0263669 +17 *1555:20 *2128:14 0 +18 *1555:29 *1796:8 4.89469e-06 +19 *1555:29 *1850:13 0 +20 *104:40 *2455:mgmt_gpio_out 0.000100924 +21 *489:35 *2455:mgmt_gpio_out 0.000100924 +22 *498:8 *1555:20 0 +23 *498:14 *1555:20 0 +*RES +1 *2471:mgmt_gpio_out[2] *1555:5 0.292658 +2 *1555:5 *2471:mgmt_gpio_in[2] 27.9638 +3 *1555:5 *1555:19 8.46702 +4 *1555:19 *1555:20 544.774 +5 *1555:20 *1555:29 32.9701 +6 *1555:29 *2455:mgmt_gpio_out 1.24546 +7 *1555:29 *2455:mgmt_gpio_in 0.177923 +*END + +*D_NET *1556 1.00595 +*CONN +*I *2466:mgmt_gpio_out I *D gpio_control_block +*I *2466:mgmt_gpio_in O *D gpio_control_block +*I *2471:mgmt_gpio_in[30] I *D housekeeping +*I *2471:mgmt_gpio_out[30] O *D housekeeping +*CAP +1 *2466:mgmt_gpio_out 0.00032512 +2 *2466:mgmt_gpio_in 0.000134027 +3 *2471:mgmt_gpio_in[30] 1.28869e-05 +4 *2471:mgmt_gpio_out[30] 0.000248672 +5 *1556:33 0.000602166 +6 *1556:30 0.00308963 +7 *1556:29 0.00294661 +8 *1556:27 0.0407396 +9 *1556:26 0.0407396 +10 *1556:24 0.0260452 +11 *1556:23 0.0260452 +12 *1556:21 0.00225452 +13 *1556:13 0.00251608 +14 *2466:mgmt_gpio_out *1787:7 3.09106e-06 +15 *2466:mgmt_gpio_out *2003:11 0.000155405 +16 *1556:13 *1557:21 0 +17 *1556:24 *1557:24 0.00040531 +18 *1556:24 *1572:10 0.34138 +19 *1556:27 *2368:16 0.0120829 +20 *1556:27 *2370:16 0.0122023 +21 *1556:27 *2372:13 0.0021245 +22 *1556:27 *2400:16 0.0102713 +23 *1556:33 *2003:11 9.86056e-05 +24 *2478:la_input[0] *1556:27 8.92568e-06 +25 *1245:9 *1556:27 0 +26 *1552:24 *1556:24 0.340518 +27 *1552:27 *1556:27 0.141002 +28 *1554:13 *1556:21 0 +*RES +1 *2471:mgmt_gpio_out[30] *1556:13 8.59816 +2 *1556:13 *2471:mgmt_gpio_in[30] 0.366399 +3 *1556:13 *1556:21 59.7597 +4 *1556:21 *1556:23 4.5 +5 *1556:23 *1556:24 3627.28 +6 *1556:24 *1556:26 4.5 +7 *1556:26 *1556:27 2255.41 +8 *1556:27 *1556:29 4.5 +9 *1556:29 *1556:30 74.4857 +10 *1556:30 *1556:33 9.44639 +11 *1556:33 *2466:mgmt_gpio_in 3.708 +12 *1556:33 *2466:mgmt_gpio_out 11.3535 +*END + +*D_NET *1557 1.01635 +*CONN +*I *2467:mgmt_gpio_out I *D gpio_control_block +*I *2467:mgmt_gpio_in O *D gpio_control_block +*I *2471:mgmt_gpio_in[31] I *D housekeeping +*I *2471:mgmt_gpio_out[31] O *D housekeeping +*CAP +1 *2467:mgmt_gpio_out 0.000173295 +2 *2467:mgmt_gpio_in 0.000124846 +3 *2471:mgmt_gpio_in[31] 1.28869e-05 +4 *2471:mgmt_gpio_out[31] 0.000248672 +5 *1557:33 0.00137041 +6 *1557:27 0.0201293 +7 *1557:26 0.019057 +8 *1557:24 0.0270994 +9 *1557:23 0.0270994 +10 *1557:21 0.00219022 +11 *1557:13 0.00245177 +12 *2467:mgmt_gpio_out *1789:15 6.21488e-06 +13 *2467:mgmt_gpio_out *1843:15 0.000468828 +14 *2467:mgmt_gpio_out *1914:15 0.000469124 +15 *1557:13 *1558:19 0 +16 *1557:24 *1561:11 0.00025847 +17 *1557:24 *1562:19 0.342128 +18 *1557:24 *1571:10 0.345365 +19 *1557:24 *1572:10 2.41483e-05 +20 *1557:27 *1558:29 0.0088565 +21 *1557:27 *1559:31 1.78514e-05 +22 *1557:27 *1575:19 0.006583 +23 *1557:33 *1843:15 2.37478e-05 +24 *1557:33 *1914:15 1.66771e-05 +25 *105:24 *1557:27 2.19276e-05 +26 *470:19 *1557:24 0.000110213 +27 *471:24 *1557:27 0.00296728 +28 *472:20 *1557:27 0.102916 +29 *487:36 *1557:27 0.00323471 +30 *492:22 *1557:27 0.102505 +31 *1552:24 *1557:24 1.00937e-05 +32 *1556:13 *1557:21 0 +33 *1556:24 *1557:24 0.00040531 +*RES +1 *2471:mgmt_gpio_out[31] *1557:13 8.59816 +2 *1557:13 *2471:mgmt_gpio_in[31] 0.366399 +3 *1557:13 *1557:21 58.5139 +4 *1557:21 *1557:23 4.5 +5 *1557:23 *1557:24 3684.4 +6 *1557:24 *1557:26 4.5 +7 *1557:26 *1557:27 1997.95 +8 *1557:27 *1557:33 35.0592 +9 *1557:33 *2467:mgmt_gpio_in 3.59493 +10 *1557:33 *2467:mgmt_gpio_out 11.2405 +*END + +*D_NET *1558 0.705578 +*CONN +*I *2468:mgmt_gpio_out I *D gpio_control_block +*I *2468:mgmt_gpio_in O *D gpio_control_block +*I *2471:mgmt_gpio_in[32] I *D housekeeping +*I *2471:mgmt_gpio_out[32] O *D housekeeping +*CAP +1 *2468:mgmt_gpio_out 0.000208881 +2 *2468:mgmt_gpio_in 8.01334e-05 +3 *2471:mgmt_gpio_in[32] 0.000340452 +4 *2471:mgmt_gpio_out[32] 0.000268285 +5 *1558:35 0.00145909 +6 *1558:29 0.0128896 +7 *1558:28 0.0117196 +8 *1558:26 0.0741324 +9 *1558:25 0.0753325 +10 *1558:19 0.00487061 +11 *1558:17 0.00374265 +12 *2468:mgmt_gpio_out *1790:7 5.36925e-05 +13 *2468:mgmt_gpio_out *1918:10 0.000469124 +14 *2471:mgmt_gpio_in[32] *1559:23 0 +15 *2471:mgmt_gpio_in[32] *1559:25 0 +16 *1558:26 *1559:28 4.33819e-05 +17 *1558:26 *1560:26 0.348318 +18 *1558:26 *1575:16 1.41291e-05 +19 *1558:29 *1559:31 0.0554707 +20 *1558:35 *1918:10 1.66771e-05 +21 *109:40 *1558:29 0.00701027 +22 *492:22 *1558:29 0.0537323 +23 *622:8 *1558:26 0.000633819 +24 *1253:10 *1558:26 0 +25 *1254:10 *1558:26 5.13878e-05 +26 *1255:10 *1558:26 0.000394687 +27 *1258:10 *1558:26 0.000695785 +28 *1260:10 *1558:26 0.0447738 +29 *1557:13 *1558:19 0 +30 *1557:27 *1558:29 0.0088565 +*RES +1 *2471:mgmt_gpio_out[32] *2471:mgmt_gpio_in[32] 10.1859 +2 *2471:mgmt_gpio_in[32] *1558:17 2.05183 +3 *1558:17 *1558:19 99.514 +4 *1558:19 *1558:25 37.708 +5 *1558:25 *1558:26 3683.29 +6 *1558:26 *1558:28 4.5 +7 *1558:28 *1558:29 1152.91 +8 *1558:29 *1558:35 34.5046 +9 *1558:35 *2468:mgmt_gpio_in 3.66144 +10 *1558:35 *2468:mgmt_gpio_out 11.307 +*END + +*D_NET *1559 0.884602 +*CONN +*I *2469:mgmt_gpio_out I *D gpio_control_block +*I *2469:mgmt_gpio_in O *D gpio_control_block +*I *2471:mgmt_gpio_in[33] I *D housekeeping +*I *2471:mgmt_gpio_out[33] O *D housekeeping +*CAP +1 *2469:mgmt_gpio_out 0.000231198 +2 *2469:mgmt_gpio_in 0.00010793 +3 *2471:mgmt_gpio_in[33] 8.65599e-06 +4 *2471:mgmt_gpio_out[33] 0.000246698 +5 *1559:37 0.00149444 +6 *1559:31 0.00939789 +7 *1559:30 0.00824258 +8 *1559:28 0.0257411 +9 *1559:27 0.0257411 +10 *1559:25 0.00418176 +11 *1559:23 0.00422432 +12 *1559:13 0.000297914 +13 *2469:mgmt_gpio_out *1791:12 1.53622e-05 +14 *2469:mgmt_gpio_out *1845:12 0.00022036 +15 *2469:mgmt_gpio_out *1922:8 0.000223181 +16 *1559:13 *1560:19 0 +17 *1559:25 *1560:25 0.00161868 +18 *1559:28 *1560:26 0.349908 +19 *1559:28 *1575:16 0.350015 +20 *1559:31 *1560:29 0.00784797 +21 *1559:31 *2346:16 0.0112243 +22 *1559:37 *1845:12 7.86825e-06 +23 *1559:37 *1922:8 5.39635e-06 +24 *2471:mgmt_gpio_in[32] *1559:23 0 +25 *2471:mgmt_gpio_in[32] *1559:25 0 +26 *109:40 *1559:31 0.0280684 +27 *1557:27 *1559:31 1.78514e-05 +28 *1558:26 *1559:28 4.33819e-05 +29 *1558:29 *1559:31 0.0554707 +*RES +1 *2471:mgmt_gpio_out[33] *1559:13 8.59816 +2 *1559:13 *2471:mgmt_gpio_in[33] 0.366399 +3 *1559:13 *1559:23 1.73429 +4 *1559:23 *1559:25 123.709 +5 *1559:25 *1559:27 4.5 +6 *1559:27 *1559:28 3699.37 +7 *1559:28 *1559:30 4.5 +8 *1559:30 *1559:31 889.641 +9 *1559:31 *1559:37 35.0592 +10 *1559:37 *2469:mgmt_gpio_in 3.66144 +11 *1559:37 *2469:mgmt_gpio_out 11.307 +*END + +*D_NET *1560 0.832673 +*CONN +*I *2470:mgmt_gpio_out I *D gpio_control_block +*I *2470:mgmt_gpio_in O *D gpio_control_block +*I *2471:mgmt_gpio_in[34] I *D housekeeping +*I *2471:mgmt_gpio_out[34] O *D housekeeping +*CAP +1 *2470:mgmt_gpio_out 0.000315724 +2 *2470:mgmt_gpio_in 0.000229558 +3 *2471:mgmt_gpio_in[34] 0.000320692 +4 *2471:mgmt_gpio_out[34] 0.000248526 +5 *1560:35 0.0017583 +6 *1560:29 0.0130799 +7 *1560:28 0.0118669 +8 *1560:26 0.0260029 +9 *1560:25 0.0268213 +10 *1560:19 0.00448891 +11 *1560:17 0.00374265 +12 *2470:mgmt_gpio_out *1792:7 3.28957e-06 +13 *2470:mgmt_gpio_out *1954:10 0.000461064 +14 *2470:mgmt_gpio_out *2008:11 0.000223181 +15 *2471:mgmt_gpio_in[34] *1561:14 0 +16 *1560:26 *1575:16 1.65872e-05 +17 *1560:29 *2346:16 0.0112207 +18 *1560:35 *1954:10 2.02035e-05 +19 *1560:35 *2008:11 5.39635e-06 +20 *2446:resetn *1560:29 0 +21 *2446:serial_load *1560:29 0.000513945 +22 *109:40 *1560:29 0.011506 +23 *109:46 *1560:29 0 +24 *479:16 *1560:29 0.0121348 +25 *497:16 *1560:29 0 +26 *1558:26 *1560:26 0.348318 +27 *1559:13 *1560:19 0 +28 *1559:25 *1560:25 0.00161868 +29 *1559:28 *1560:26 0.349908 +30 *1559:31 *1560:29 0.00784797 +*RES +1 *2471:mgmt_gpio_out[34] *2471:mgmt_gpio_in[34] 9.62408 +2 *2471:mgmt_gpio_in[34] *1560:17 2.05183 +3 *1560:17 *1560:19 99.514 +4 *1560:19 *1560:25 37.2927 +5 *1560:25 *1560:26 3698.82 +6 *1560:26 *1560:28 4.5 +7 *1560:28 *1560:29 624.295 +8 *1560:29 *1560:35 37.2776 +9 *1560:35 *2470:mgmt_gpio_in 3.78449 +10 *1560:35 *2470:mgmt_gpio_out 11.43 +*END + +*D_NET *1561 0.800429 +*CONN +*I *2471:mgmt_gpio_in[35] I *D housekeeping +*I *2446:mgmt_gpio_in O *D gpio_control_block +*CAP +1 *2471:mgmt_gpio_in[35] 1.28869e-05 +2 *2446:mgmt_gpio_in 0.00214424 +3 *1561:14 0.00164828 +4 *1561:13 0.00163539 +5 *1561:11 0.0266118 +6 *1561:10 0.0266118 +7 *1561:8 0.00964678 +8 *1561:7 0.011791 +9 *1561:7 *2446:mgmt_gpio_oeb 0 +10 *1561:8 *2038:11 0.0236341 +11 *1561:11 *1571:10 0.00162197 +12 *1561:14 *1575:13 0.00114411 +13 *2471:mgmt_gpio_in[34] *1561:14 0 +14 *104:37 *1561:11 0.342146 +15 *470:19 *1561:11 0.349977 +16 *1554:27 *1561:8 0.00154509 +17 *1557:24 *1561:11 0.00025847 +*RES +1 *2446:mgmt_gpio_in *1561:7 9.32172 +2 *1561:7 *1561:8 430.165 +3 *1561:8 *1561:10 4.5 +4 *1561:10 *1561:11 3701.04 +5 *1561:11 *1561:13 4.5 +6 *1561:13 *1561:14 56.8529 +7 *1561:14 *2471:mgmt_gpio_in[35] 0.366399 +*END + +*D_NET *1562 0.778633 +*CONN +*I *2471:mgmt_gpio_in[36] I *D housekeeping +*I *2447:mgmt_gpio_in O *D gpio_control_block +*CAP +1 *2471:mgmt_gpio_in[36] 1.91998e-05 +2 *2447:mgmt_gpio_in 0.000200045 +3 *1562:22 0.00221766 +4 *1562:21 0.00219846 +5 *1562:19 0.0258607 +6 *1562:18 0.0258607 +7 *1562:16 0.00322401 +8 *1562:15 0.00322401 +9 *1562:13 0.00175994 +10 *1562:12 0.00195998 +11 *1562:12 *2447:mgmt_gpio_oeb 0.000310254 +12 *1562:13 *1572:16 0.00853872 +13 *1562:16 *1572:13 0.00979848 +14 *1562:16 *2037:11 7.77309e-06 +15 *1562:19 *1571:10 0.00155606 +16 *1562:19 *1572:10 0.346134 +17 *1562:22 *1571:7 0 +18 *1562:22 *1572:7 0 +19 *1562:22 *1574:9 0 +20 *65:16 *1562:13 0.00258421 +21 *489:32 *1562:19 0.000135103 +22 *515:12 *1562:12 0 +23 *644:11 *1562:16 0.000915109 +24 *1156:7 *1562:16 0 +25 *1284:7 *1562:16 0 +26 *1557:24 *1562:19 0.342128 +*RES +1 *2447:mgmt_gpio_in *1562:12 13.0413 +2 *1562:12 *1562:13 91.6784 +3 *1562:13 *1562:15 4.5 +4 *1562:15 *1562:16 158.382 +5 *1562:16 *1562:18 4.5 +6 *1562:18 *1562:19 3660.55 +7 *1562:19 *1562:21 4.5 +8 *1562:21 *1562:22 58.9292 +9 *1562:22 *2471:mgmt_gpio_in[36] 0.366399 +*END + +*D_NET *1563 0.532361 +*CONN +*I *2471:mgmt_gpio_in[37] I *D housekeeping +*I *2448:mgmt_gpio_in O *D gpio_control_block +*CAP +1 *2471:mgmt_gpio_in[37] 0.00114783 +2 *2448:mgmt_gpio_in 5.90925e-05 +3 *1563:13 0.0806701 +4 *1563:12 0.0795222 +5 *1563:10 0.00253566 +6 *1563:9 0.00259475 +7 *2471:mgmt_gpio_in[37] *1572:7 0 +8 *2471:mgmt_gpio_in[37] *1573:7 0 +9 *1563:13 *1576:10 0.355574 +10 *99:11 *1563:13 0.0102575 +*RES +1 *2448:mgmt_gpio_in *1563:9 3.59493 +2 *1563:9 *1563:10 71.802 +3 *1563:10 *1563:12 4.5 +4 *1563:12 *1563:13 3819.72 +5 *1563:13 *2471:mgmt_gpio_in[37] 36.8042 +*END + +*D_NET *1564 0.111 +*CONN +*I *2456:mgmt_gpio_out I *D gpio_control_block +*I *2456:mgmt_gpio_in O *D gpio_control_block +*I *2471:mgmt_gpio_in[3] I *D housekeeping +*I *2471:mgmt_gpio_out[3] O *D housekeeping +*CAP +1 *2456:mgmt_gpio_out 0.000251614 +2 *2456:mgmt_gpio_in 0.000121702 +3 *2471:mgmt_gpio_in[3] 0.000263681 +4 *2471:mgmt_gpio_out[3] 9.94281e-05 +5 *1564:26 0.000542929 +6 *1564:25 0.00163676 +7 *1564:20 0.0104056 +8 *1564:19 0.0103594 +9 *1564:5 0.00178399 +10 *2471:mgmt_gpio_in[3] *2020:11 0.00130366 +11 *2471:mgmt_gpio_in[3] *2222:12 0.00130366 +12 *1564:20 *1567:20 0.00827816 +13 *1564:20 *1568:20 0.0415096 +14 *1564:25 *1797:13 0.00079721 +15 *480:8 *1564:20 0 +16 *498:8 *1564:20 0.000196785 +17 *512:8 *1564:20 0 +18 *1544:14 *1564:20 0 +19 *1555:20 *1564:20 0.032146 +*RES +1 *2471:mgmt_gpio_out[3] *1564:5 0.292658 +2 *1564:5 *2471:mgmt_gpio_in[3] 27.9638 +3 *1564:5 *1564:19 8.39053 +4 *1564:19 *1564:20 787.282 +5 *1564:20 *1564:25 47.4364 +6 *1564:25 *1564:26 4.53113 +7 *1564:26 *2456:mgmt_gpio_in 3.708 +8 *1564:26 *2456:mgmt_gpio_out 8.74894 +*END + +*D_NET *1565 0.145176 +*CONN +*I *2457:mgmt_gpio_out I *D gpio_control_block +*I *2457:mgmt_gpio_in O *D gpio_control_block +*I *2471:mgmt_gpio_in[4] I *D housekeeping +*I *2471:mgmt_gpio_out[4] O *D housekeeping +*CAP +1 *2457:mgmt_gpio_out 0.000251614 +2 *2457:mgmt_gpio_in 0.000121702 +3 *2471:mgmt_gpio_in[4] 0.000382528 +4 *2471:mgmt_gpio_out[4] 0.000131986 +5 *1565:26 0.000747402 +6 *1565:25 0.00190164 +7 *1565:20 0.015134 +8 *1565:19 0.0152028 +9 *1565:5 0.00211088 +10 *2471:mgmt_gpio_in[4] *2020:15 0.00130366 +11 *1565:19 *2329:13 0.000141764 +12 *1565:19 *2356:22 0.000223678 +13 *1565:19 *2383:22 0.000121985 +14 *1565:20 *1566:20 0.0621017 +15 *1565:20 *1859:18 0 +16 *1565:20 *2148:16 0 +17 *1565:20 *2148:25 0 +18 *1565:20 *2175:14 0 +19 *474:60 *1565:20 0.00863553 +20 *478:32 *1565:20 0.0011378 +21 *485:50 *1565:20 0.00215031 +22 *498:14 *1565:20 0.0320744 +23 *505:14 *1565:20 0 +24 *525:8 *2471:mgmt_gpio_in[4] 0.00063009 +25 *1555:20 *1565:20 0.000670391 +*RES +1 *2471:mgmt_gpio_out[4] *1565:5 0.369148 +2 *1565:5 *2471:mgmt_gpio_in[4] 28.0403 +3 *1565:5 *1565:19 8.62 +4 *1565:19 *1565:20 1025.64 +5 *1565:20 *1565:25 45.218 +6 *1565:25 *1565:26 10.3447 +7 *1565:26 *2457:mgmt_gpio_in 3.708 +8 *1565:26 *2457:mgmt_gpio_out 8.74894 +*END + +*D_NET *1566 0.182128 +*CONN +*I *2458:mgmt_gpio_in O *D gpio_control_block +*I *2458:mgmt_gpio_out I *D gpio_control_block +*I *2471:mgmt_gpio_in[5] I *D housekeeping +*I *2471:mgmt_gpio_out[5] O *D housekeeping +*CAP +1 *2458:mgmt_gpio_in 4.79273e-05 +2 *2458:mgmt_gpio_out 0.000416314 +3 *2471:mgmt_gpio_in[5] 0.0017784 +4 *2471:mgmt_gpio_out[5] 0.00104164 +5 *1566:29 0.00144236 +6 *1566:20 0.0124272 +7 *1566:19 0.0120128 +8 *1566:5 0.00338373 +9 *2458:mgmt_gpio_out *1799:13 3.36517e-05 +10 *2471:mgmt_gpio_in[5] *2329:16 0 +11 *1566:20 *1567:20 0.077897 +12 *1566:29 *1799:13 0 +13 *1566:29 *1853:13 0 +14 *1566:29 *2150:8 0 +15 *474:60 *1566:20 0.00212345 +16 *474:75 *1566:20 0.00649045 +17 *1555:20 *1566:20 0.000931168 +18 *1565:20 *1566:20 0.0621017 +*RES +1 *2471:mgmt_gpio_out[5] *1566:5 3.65823 +2 *1566:5 *2471:mgmt_gpio_in[5] 31.3294 +3 *1566:5 *1566:19 5.25444 +4 *1566:19 *1566:20 1277.28 +5 *1566:20 *1566:29 31.8608 +6 *1566:29 *2458:mgmt_gpio_out 1.24546 +7 *1566:29 *2458:mgmt_gpio_in 0.177923 +*END + +*D_NET *1567 0.204978 +*CONN +*I *2459:mgmt_gpio_out I *D gpio_control_block +*I *2459:mgmt_gpio_in O *D gpio_control_block +*I *2471:mgmt_gpio_in[6] I *D housekeeping +*I *2471:mgmt_gpio_out[6] O *D housekeeping +*CAP +1 *2459:mgmt_gpio_out 0.000251614 +2 *2459:mgmt_gpio_in 0.000121702 +3 *2471:mgmt_gpio_in[6] 0.000372116 +4 *2471:mgmt_gpio_out[6] 0.000131986 +5 *1567:26 0.000528324 +6 *1567:25 0.00147997 +7 *1567:20 0.0184066 +8 *1567:19 0.018535 +9 *1567:5 0.00195752 +10 *2471:mgmt_gpio_in[6] *2020:15 0.00130366 +11 *1567:20 *1568:20 0.00273436 +12 *1567:20 *1868:14 0 +13 *1567:20 *2070:25 0 +14 *1567:25 *1800:13 0.00119837 +15 *474:75 *1567:20 0.0012715 +16 *496:44 *1567:20 0.0435134 +17 *525:8 *2471:mgmt_gpio_in[6] 0.00063009 +18 *1555:20 *1567:20 0.0263669 +19 *1564:20 *1567:20 0.00827816 +20 *1566:20 *1567:20 0.077897 +*RES +1 *2471:mgmt_gpio_out[6] *1567:5 0.369148 +2 *1567:5 *2471:mgmt_gpio_in[6] 28.0403 +3 *1567:5 *1567:19 8.46702 +4 *1567:19 *1567:20 1520.2 +5 *1567:20 *1567:25 46.3272 +6 *1567:25 *1567:26 4.11588 +7 *1567:26 *2459:mgmt_gpio_in 3.708 +8 *1567:26 *2459:mgmt_gpio_out 8.74894 +*END + +*D_NET *1568 0.289386 +*CONN +*I *2460:mgmt_gpio_out I *D gpio_control_block +*I *2460:mgmt_gpio_in O *D gpio_control_block +*I *2471:mgmt_gpio_in[7] I *D housekeeping +*I *2471:mgmt_gpio_out[7] O *D housekeeping +*CAP +1 *2460:mgmt_gpio_out 0.000307879 +2 *2460:mgmt_gpio_in 5.64855e-05 +3 *2471:mgmt_gpio_in[7] 0.000373169 +4 *2471:mgmt_gpio_out[7] 0.000131986 +5 *1568:25 0.00118518 +6 *1568:20 0.0181569 +7 *1568:19 0.018694 +8 *1568:5 0.00186308 +9 *2460:mgmt_gpio_out *1801:13 3.84497e-05 +10 *2471:mgmt_gpio_in[7] *2020:15 0.00125157 +11 *1568:20 *1569:20 0.125078 +12 *111:48 *1568:20 0.0773797 +13 *496:44 *1568:20 2.05972e-05 +14 *525:8 *2471:mgmt_gpio_in[7] 0.000604703 +15 *1544:14 *1568:20 0 +16 *1564:20 *1568:20 0.0415096 +17 *1567:20 *1568:20 0.00273436 +*RES +1 *2471:mgmt_gpio_out[7] *1568:5 0.369148 +2 *1568:5 *2471:mgmt_gpio_in[7] 27.2098 +3 *1568:5 *1568:19 8.23755 +4 *1568:19 *1568:20 2030.96 +5 *1568:20 *1568:25 30.2437 +6 *1568:25 *2460:mgmt_gpio_in 3.58495 +7 *1568:25 *2460:mgmt_gpio_out 11.2305 +*END + +*D_NET *1569 0.321863 +*CONN +*I *2449:mgmt_gpio_out I *D gpio_control_block +*I *2449:mgmt_gpio_in O *D gpio_control_block +*I *2471:mgmt_gpio_in[8] I *D housekeeping +*I *2471:mgmt_gpio_out[8] O *D housekeeping +*CAP +1 *2449:mgmt_gpio_out 0.000266387 +2 *2449:mgmt_gpio_in 9.7115e-05 +3 *2471:mgmt_gpio_in[8] 0.000372116 +4 *2471:mgmt_gpio_out[8] 0.000131986 +5 *1569:26 0.000474695 +6 *1569:25 0.00173359 +7 *1569:20 0.0210849 +8 *1569:19 0.0208109 +9 *1569:5 0.00185243 +10 *2471:mgmt_gpio_in[8] *2020:15 0.00130366 +11 *1569:20 *1570:20 0.139883 +12 *111:48 *1569:20 0.00814342 +13 *525:8 *2471:mgmt_gpio_in[8] 0.00063009 +14 *1544:14 *1569:20 0 +15 *1568:20 *1569:20 0.125078 +*RES +1 *2471:mgmt_gpio_out[8] *1569:5 0.369148 +2 *1569:5 *2471:mgmt_gpio_in[8] 28.0403 +3 *1569:5 *1569:19 8.16106 +4 *1569:19 *1569:20 2266.41 +5 *1569:20 *1569:25 48.5456 +6 *1569:25 *1569:26 2.87013 +7 *1569:26 *2449:mgmt_gpio_in 3.65147 +8 *1569:26 *2449:mgmt_gpio_out 8.80548 +*END + +*D_NET *1570 0.354274 +*CONN +*I *2450:mgmt_gpio_out I *D gpio_control_block +*I *2450:mgmt_gpio_in O *D gpio_control_block +*I *2471:mgmt_gpio_in[9] I *D housekeeping +*I *2471:mgmt_gpio_out[9] O *D housekeeping +*CAP +1 *2450:mgmt_gpio_out 0.000251614 +2 *2450:mgmt_gpio_in 0.000121702 +3 *2471:mgmt_gpio_in[9] 0.000372116 +4 *2471:mgmt_gpio_out[9] 0.000131986 +5 *1570:26 0.000674376 +6 *1570:25 0.0015712 +7 *1570:20 0.0249102 +8 *1570:19 0.0249684 +9 *1570:5 0.00183244 +10 *2471:mgmt_gpio_in[9] *2020:15 0.00130366 +11 *1570:20 *1828:23 0 +12 *1570:20 *1874:21 0 +13 *1570:20 *2018:27 0 +14 *1570:25 *2044:8 0.00169355 +15 *111:48 *1570:20 0.00114235 +16 *525:8 *2471:mgmt_gpio_in[9] 0.00063009 +17 *1534:20 *1570:20 0.154787 +18 *1544:14 *1570:20 0 +19 *1569:20 *1570:20 0.139883 +*RES +1 *2471:mgmt_gpio_out[9] *1570:5 0.369148 +2 *1570:5 *2471:mgmt_gpio_in[9] 28.0403 +3 *1570:5 *1570:19 8.08457 +4 *1570:19 *1570:20 2505.18 +5 *1570:20 *1570:25 49.1003 +6 *1570:25 *1570:26 8.2684 +7 *1570:26 *2450:mgmt_gpio_in 3.708 +8 *1570:26 *2450:mgmt_gpio_out 8.74894 +*END + +*D_NET *1571 0.791134 +*CONN +*I *2446:mgmt_gpio_oeb I *D gpio_control_block +*I *2471:mgmt_gpio_oeb[35] O *D housekeeping +*CAP +1 *2446:mgmt_gpio_oeb 0.00213373 +2 *2471:mgmt_gpio_oeb[35] 1.91998e-05 +3 *1571:13 0.0154157 +4 *1571:12 0.013282 +5 *1571:10 0.0265466 +6 *1571:9 0.0265466 +7 *1571:7 0.00160634 +8 *1571:5 0.00162554 +9 *2446:mgmt_gpio_oeb *2446:mgmt_gpio_out 0.0013568 +10 *1571:7 *1574:9 0 +11 *1571:7 *1575:13 0.0016806 +12 *1571:13 *2373:16 0.0100108 +13 *104:37 *1571:10 1.92336e-05 +14 *489:32 *1571:10 0.342348 +15 *1557:24 *1571:10 0.345365 +16 *1561:7 *2446:mgmt_gpio_oeb 0 +17 *1561:11 *1571:10 0.00162197 +18 *1562:19 *1571:10 0.00155606 +19 *1562:22 *1571:7 0 +*RES +1 *2471:mgmt_gpio_oeb[35] *1571:5 0.366399 +2 *1571:5 *1571:7 58.0987 +3 *1571:7 *1571:9 4.5 +4 *1571:9 *1571:10 3689.39 +5 *1571:10 *1571:12 4.5 +6 *1571:12 *1571:13 433.902 +7 *1571:13 *2446:mgmt_gpio_oeb 11.3105 +*END + +*D_NET *1572 0.781541 +*CONN +*I *2447:mgmt_gpio_oeb I *D gpio_control_block +*I *2471:mgmt_gpio_oeb[36] O *D housekeeping +*CAP +1 *2447:mgmt_gpio_oeb 0.000352051 +2 *2471:mgmt_gpio_oeb[36] 1.91998e-05 +3 *1572:16 0.00241697 +4 *1572:15 0.00206492 +5 *1572:13 0.00141826 +6 *1572:12 0.00141826 +7 *1572:10 0.0265935 +8 *1572:9 0.0265935 +9 *1572:7 0.00220898 +10 *1572:5 0.00222818 +11 *2447:mgmt_gpio_oeb *2447:mgmt_gpio_out 1.10565e-05 +12 *2447:mgmt_gpio_oeb *1794:15 0.00014929 +13 *1572:13 *2037:11 0.00947008 +14 *1572:16 *1848:15 0.000254007 +15 *1572:16 *2118:21 0.000111722 +16 *2471:mgmt_gpio_in[37] *1572:7 0 +17 *489:32 *1572:10 4.4379e-05 +18 *515:12 *2447:mgmt_gpio_oeb 0 +19 *1156:7 *1572:13 0 +20 *1556:24 *1572:10 0.34138 +21 *1557:24 *1572:10 2.41483e-05 +22 *1562:12 *2447:mgmt_gpio_oeb 0.000310254 +23 *1562:13 *1572:16 0.00853872 +24 *1562:16 *1572:13 0.00979848 +25 *1562:19 *1572:10 0.346134 +26 *1562:22 *1572:7 0 +*RES +1 *2471:mgmt_gpio_oeb[36] *1572:5 0.366399 +2 *1572:5 *1572:7 59.3444 +3 *1572:7 *1572:9 4.5 +4 *1572:9 *1572:10 3663.88 +5 *1572:10 *1572:12 4.5 +6 *1572:12 *1572:13 157.552 +7 *1572:13 *1572:15 4.5 +8 *1572:15 *1572:16 91.6784 +9 *1572:16 *2447:mgmt_gpio_oeb 18.4396 +*END + +*D_NET *1573 0.364592 +*CONN +*I *2448:mgmt_gpio_oeb I *D gpio_control_block +*I *2471:mgmt_gpio_oeb[37] O *D housekeeping +*CAP +1 *2448:mgmt_gpio_oeb 0.00512955 +2 *2471:mgmt_gpio_oeb[37] 0.00167755 +3 *1573:17 0.00716648 +4 *1573:16 0.00203694 +5 *1573:14 0.00309318 +6 *1573:12 0.00317227 +7 *1573:10 0.125968 +8 *1573:9 0.125889 +9 *1573:7 0.00167755 +10 *1573:7 *1576:9 0 +11 *1573:7 *2020:21 0 +12 *1573:7 *2222:30 0 +13 *1573:10 *1574:18 7.7523e-05 +14 *1573:10 *1577:16 3.73547e-05 +15 *1573:10 *1611:25 0 +16 *1573:10 *1713:25 0 +17 *1573:10 *1720:24 0 +18 *1573:10 *1726:25 0 +19 *1573:10 *1728:31 0.000198023 +20 *1573:10 *1730:25 0.000551188 +21 *1573:10 *1732:25 0.00180887 +22 *1573:10 *1736:23 0.0386237 +23 *1573:10 *2193:11 0 +24 *1573:10 *2194:11 0 +25 *2448:gpio_defaults[0] *1573:17 0 +26 *2471:mgmt_gpio_in[37] *1573:7 0 +27 *102:21 *1573:10 0 +28 *102:23 *1573:10 0 +29 *102:23 *1573:14 0.0143775 +30 *102:26 *1573:17 0.00593618 +31 *102:32 *2448:mgmt_gpio_oeb 0.000626464 +32 *487:21 *1573:10 0.022849 +33 *487:25 *1573:10 5.04351e-05 +34 *1553:24 *1573:10 0.00364636 +*RES +1 *2471:mgmt_gpio_oeb[37] *1573:7 45.5922 +2 *1573:7 *1573:9 4.5 +3 *1573:9 *1573:10 3813.9 +4 *1573:10 *1573:12 2.21841 +5 *1573:12 *1573:14 150.189 +6 *1573:14 *1573:16 4.5 +7 *1573:16 *1573:17 94.6409 +8 *1573:17 *2448:mgmt_gpio_oeb 38.8372 +*END + +*D_NET *1574 0.831733 +*CONN +*I *2446:mgmt_gpio_out I *D gpio_control_block +*I *2471:mgmt_gpio_out[35] O *D housekeeping +*CAP +1 *2446:mgmt_gpio_out 0.00454003 +2 *2471:mgmt_gpio_out[35] 0.00146651 +3 *1574:19 0.0148003 +4 *1574:18 0.0105552 +5 *1574:10 0.0223354 +6 *1574:9 0.0235069 +7 *2446:mgmt_gpio_out *1793:13 3.81792e-05 +8 *2446:mgmt_gpio_out *1847:7 0 +9 *2446:mgmt_gpio_out *2372:12 0 +10 *2446:mgmt_gpio_out *2399:9 0.000594812 +11 *2446:mgmt_gpio_oeb *2446:mgmt_gpio_out 0.0013568 +12 *100:74 *1574:18 0 +13 *100:77 *1574:10 0.346032 +14 *109:49 *2446:mgmt_gpio_out 0.00179582 +15 *467:41 *1574:18 0.000406539 +16 *478:26 *1574:19 0.00491749 +17 *480:17 *1574:10 0.0440279 +18 *480:25 *1574:18 0.000414208 +19 *480:28 *1574:19 0.010244 +20 *487:21 *1574:18 0.000599849 +21 *493:45 *1574:19 0.00488005 +22 *911:9 *1574:19 0.00161652 +23 *1295:9 *1574:19 0 +24 *1550:24 *1574:10 0.337527 +25 *1562:22 *1574:9 0 +26 *1571:7 *1574:9 0 +27 *1573:10 *1574:18 7.7523e-05 +*RES +1 *2471:mgmt_gpio_out[35] *1574:9 44.694 +2 *1574:9 *1574:10 3620.07 +3 *1574:10 *1574:18 27.5543 +4 *1574:18 *1574:19 447.605 +5 *1574:19 *2446:mgmt_gpio_out 20.3363 +*END + +*D_NET *1575 0.782516 +*CONN +*I *2447:mgmt_gpio_out I *D gpio_control_block +*I *2471:mgmt_gpio_out[36] O *D housekeeping +*CAP +1 *2447:mgmt_gpio_out 0.00118976 +2 *2471:mgmt_gpio_out[36] 0.000467188 +3 *1575:19 0.00202962 +4 *1575:18 0.000839862 +5 *1575:16 0.0261903 +6 *1575:15 0.0261903 +7 *1575:13 0.00352734 +8 *1575:12 0.00399453 +9 *2447:mgmt_gpio_out *1794:15 2.29355e-05 +10 *2447:mgmt_gpio_oeb *2447:mgmt_gpio_out 1.10565e-05 +11 *471:27 *1575:16 0.352013 +12 *487:36 *1575:19 0.00658658 +13 *1557:27 *1575:19 0.006583 +14 *1558:26 *1575:16 1.41291e-05 +15 *1559:28 *1575:16 0.350015 +16 *1560:26 *1575:16 1.65872e-05 +17 *1561:14 *1575:13 0.00114411 +18 *1571:7 *1575:13 0.0016806 +*RES +1 *2471:mgmt_gpio_out[36] *1575:12 21.5821 +2 *1575:12 *1575:13 124.747 +3 *1575:13 *1575:15 4.5 +4 *1575:15 *1575:16 3720.45 +5 *1575:16 *1575:18 4.5 +6 *1575:18 *1575:19 105.23 +7 *1575:19 *2447:mgmt_gpio_out 38.6541 +*END + +*D_NET *1576 0.582215 +*CONN +*I *2448:mgmt_gpio_out I *D gpio_control_block +*I *2471:mgmt_gpio_out[37] O *D housekeeping +*CAP +1 *2448:mgmt_gpio_out 0.00165453 +2 *2471:mgmt_gpio_out[37] 0.00116243 +3 *1576:13 0.00342061 +4 *1576:12 0.00176608 +5 *1576:10 0.0635403 +6 *1576:9 0.0647027 +7 *2448:mgmt_gpio_out *1795:7 0.000104522 +8 *2448:mgmt_gpio_out *1822:7 0 +9 *2448:mgmt_gpio_out *1849:7 0 +10 *2448:mgmt_gpio_out *1984:21 0.00013217 +11 *2448:mgmt_gpio_out *2092:15 5.48652e-06 +12 *1576:9 *2020:21 0 +13 *1576:10 *1647:27 0.0887684 +14 *1576:13 *2038:11 0.00138332 +15 *1563:13 *1576:10 0.355574 +16 *1573:7 *1576:9 0 +*RES +1 *2471:mgmt_gpio_out[37] *1576:9 37.2194 +2 *1576:9 *1576:10 3725.44 +3 *1576:10 *1576:12 4.5 +4 *1576:12 *1576:13 65.5732 +5 *1576:13 *2448:mgmt_gpio_out 9.01576 +*END + +*D_NET *1577 0.309205 +*CONN +*I *2471:usr2_vcc_pwrgood I *D housekeeping +*I *2472:user2_vcc_powergood O *D mgmt_protect +*CAP +1 *2471:usr2_vcc_pwrgood 0.00154198 +2 *2472:user2_vcc_powergood 0.00127867 +3 *1577:17 0.00950162 +4 *1577:16 0.00820399 +5 *1577:8 0.00445047 +6 *1577:7 0.00548479 +7 *2471:usr2_vcc_pwrgood *2471:usr1_vcc_pwrgood 0 +8 *2471:usr2_vcc_pwrgood *2471:usr1_vdd_pwrgood 0 +9 *1577:8 *1578:8 0.00479156 +10 *1577:8 *1578:12 6.23919e-05 +11 *1577:8 *2194:8 0.00158929 +12 *1577:16 *2193:8 0.000292789 +13 *1577:16 *2194:8 6.1578e-06 +14 *1577:17 *1578:15 0.127411 +15 *1577:17 *2194:11 0.127414 +16 *487:21 *1577:16 0.000260374 +17 *498:17 *1577:17 0.0159501 +18 *870:7 *1577:8 0.000849418 +19 *1127:9 *1577:16 7.93528e-05 +20 *1573:10 *1577:16 3.73547e-05 +*RES +1 *2472:user2_vcc_powergood *1577:7 8.17437 +2 *1577:7 *1577:8 135.336 +3 *1577:8 *1577:16 22.4263 +4 *1577:16 *1577:17 1335.65 +5 *1577:17 *2471:usr2_vcc_pwrgood 45.9397 +*END + +*D_NET *1578 0.310822 +*CONN +*I *2471:usr2_vdd_pwrgood I *D housekeeping +*I *2472:user2_vdd_powergood O *D mgmt_protect +*CAP +1 *2471:usr2_vdd_pwrgood 0.00151472 +2 *2472:user2_vdd_powergood 0.00124611 +3 *1578:15 0.00965504 +4 *1578:14 0.00814032 +5 *1578:12 0.00243309 +6 *1578:10 0.00251935 +7 *1578:8 0.00319901 +8 *1578:7 0.00435886 +9 *2471:usr2_vdd_pwrgood *2471:usr1_vdd_pwrgood 0 +10 *1578:12 *2194:8 0 +11 *1578:15 *2194:11 0.000161493 +12 *467:42 *1578:15 0.0146612 +13 *870:7 *1578:12 0.00231348 +14 *1254:7 *1578:8 0 +15 *1254:7 *1578:12 0 +16 *1550:24 *1578:15 0.128354 +17 *1577:8 *1578:8 0.00479156 +18 *1577:8 *1578:12 6.23919e-05 +19 *1577:17 *1578:15 0.127411 +*RES +1 *2472:user2_vdd_powergood *1578:7 8.09787 +2 *1578:7 *1578:8 102.641 +3 *1578:8 *1578:10 1.29461 +4 *1578:10 *1578:12 58.1964 +5 *1578:12 *1578:14 4.5 +6 *1578:14 *1578:15 1345.64 +7 *1578:15 *2471:usr2_vdd_pwrgood 45.5245 +*END + +*D_NET *1579 0.143194 +*CONN +*I *2478:mprj_ack_i I *D mgmt_core_wrapper +*I *2472:mprj_ack_i_core O *D mgmt_protect +*CAP +1 *2478:mprj_ack_i 1.28869e-05 +2 *2472:mprj_ack_i_core 0.000616065 +3 *1579:11 0.00352523 +4 *1579:10 0.00351234 +5 *1579:8 0.00497422 +6 *1579:7 0.00559028 +7 *1579:7 *2472:mprj_cyc_o_core 0.00018195 +8 *1579:8 *1581:24 0.0608598 +9 *1579:8 *1741:24 0.00369071 +10 *1579:11 *1647:9 0 +11 *2472:la_oenb_mprj[127] *1579:7 0 +12 *609:8 *1579:8 0.000133137 +13 *635:8 *1579:8 0.060097 +*RES +1 *2472:mprj_ack_i_core *1579:7 18.9117 +2 *1579:7 *1579:8 652.383 +3 *1579:8 *1579:10 4.5 +4 *1579:10 *1579:11 73.0477 +5 *1579:11 *2478:mprj_ack_i 0.366399 +*END + +*D_NET *1580 0.111523 +*CONN +*I *2472:mprj_ack_i_user I *D mgmt_protect +*I *2473:wbs_ack_o O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_ack_i_user 0.000468068 +2 *2473:wbs_ack_o 0.00264885 +3 *1580:8 0.0204182 +4 *1580:7 0.0199501 +5 *1580:5 0.00264885 +6 *2472:mprj_ack_i_user *1648:7 0 +7 *2472:mprj_ack_i_user *2182:7 0 +8 *1580:8 *1615:8 0.0441844 +9 *469:25 *1580:8 0.0212041 +*RES +1 *2473:wbs_ack_o *1580:5 71.7165 +2 *1580:5 *1580:7 4.5 +3 *1580:7 *1580:8 753.875 +4 *1580:8 *2472:mprj_ack_i_user 16.8354 +*END + +*D_NET *1581 0.289782 +*CONN +*I *2472:mprj_adr_o_core[0] I *D mgmt_protect +*I *2471:wb_adr_i[0] I *D housekeeping +*I *2478:mprj_adr_o[0] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_adr_o_core[0] 0.000715151 +2 *2471:wb_adr_i[0] 0.00203315 +3 *2478:mprj_adr_o[0] 0.000180524 +4 *1581:24 0.00707656 +5 *1581:23 0.00636141 +6 *1581:21 0.00330711 +7 *1581:12 0.00203315 +8 *1581:10 0.00510976 +9 *1581:9 0.00510976 +10 *1581:7 0.00348763 +11 *2471:wb_adr_i[0] *2471:wb_adr_i[1] 0 +12 *2472:mprj_adr_o_core[0] *2472:mprj_we_o_core 0 +13 *2472:mprj_adr_o_core[0] *1649:7 0 +14 *1581:7 *1649:17 0 +15 *1581:7 *2195:9 0 +16 *1581:7 *2195:25 0 +17 *1581:10 *1592:10 0.0665507 +18 *1581:21 *1649:17 0 +19 *1581:21 *2195:9 0 +20 *1581:24 *2472:mprj_adr_o_core[10] 0.000329835 +21 *1581:24 *1582:24 0.000244551 +22 *1581:24 *1587:24 0.0534686 +23 *1581:24 *1612:36 0 +24 *1581:24 *1741:24 0.00411816 +25 *102:21 *1581:10 0.0683305 +26 *609:8 *1581:24 0.000115028 +27 *610:8 *1581:24 0 +28 *866:16 *1581:24 0 +29 *867:20 *1581:24 0.000350848 +30 *1579:8 *1581:24 0.0608598 +*RES +1 *2478:mprj_adr_o[0] *1581:7 4.48228 +2 *1581:7 *1581:9 4.5 +3 *1581:9 *1581:10 720.599 +4 *1581:10 *1581:12 4.5 +5 *1581:12 *2471:wb_adr_i[0] 48.499 +6 *1581:7 *1581:21 68.6876 +7 *1581:21 *1581:23 4.5 +8 *1581:23 *1581:24 669.021 +9 *1581:24 *2472:mprj_adr_o_core[0] 19.3269 +*END + +*D_NET *1582 0.256211 +*CONN +*I *2471:wb_adr_i[10] I *D housekeeping +*I *2472:mprj_adr_o_core[10] I *D mgmt_protect +*I *2478:mprj_adr_o[10] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_adr_i[10] 0.00204181 +2 *2472:mprj_adr_o_core[10] 0.00120335 +3 *2478:mprj_adr_o[10] 0.00022801 +4 *1582:37 0.00204181 +5 *1582:35 0.0042355 +6 *1582:24 0.00167409 +7 *1582:21 0.00114299 +8 *1582:16 0.0188217 +9 *1582:15 0.0181495 +10 *1582:13 0.00170251 +11 *1582:12 0.00202111 +12 *1582:9 0.00478211 +13 *2471:wb_adr_i[10] *2471:wb_adr_i[11] 0 +14 *2471:wb_adr_i[10] *1612:13 0 +15 *2472:mprj_adr_o_core[10] *2472:mprj_dat_o_core[9] 0 +16 *2472:mprj_adr_o_core[10] *1612:36 0.000339591 +17 *2472:mprj_adr_o_core[10] *1650:5 0 +18 *2472:mprj_adr_o_core[10] *1651:8 0.00122777 +19 *1582:9 *2478:mprj_dat_i[10] 0 +20 *1582:12 *1592:10 0.00132675 +21 *1582:13 *2478:mprj_dat_i[9] 0 +22 *1582:13 *1612:7 0.00119747 +23 *1582:13 *1612:23 0.00125403 +24 *1582:16 *1583:24 0.075911 +25 *1582:16 *1589:22 0.000963002 +26 *1582:16 *1598:24 0.000964633 +27 *1582:16 *1598:28 0.000163504 +28 *1582:16 *1664:8 0.000141029 +29 *1582:16 *1673:8 0 +30 *1582:16 *1713:10 0 +31 *1582:16 *2183:19 0 +32 *1582:16 *2185:10 0 +33 *1582:21 *2472:mprj_dat_o_core[18] 0.000173069 +34 *1582:21 *1658:5 0.000836779 +35 *1582:24 *1587:24 0.000784833 +36 *1582:24 *1590:18 0.00027103 +37 *1582:24 *1651:8 5.30213e-05 +38 *1582:24 *1653:10 0.00295106 +39 *1582:35 *1583:10 0.0529763 +40 *1582:35 *1592:10 0.0522846 +41 *102:21 *1582:35 0.000598683 +42 *873:10 *1582:16 0.000897843 +43 *1271:10 *1582:16 0.00227613 +44 *1581:24 *2472:mprj_adr_o_core[10] 0.000329835 +45 *1581:24 *1582:24 0.000244551 +*RES +1 *2478:mprj_adr_o[10] *1582:9 9.81279 +2 *1582:9 *1582:12 18.5339 +3 *1582:12 *1582:13 49.3784 +4 *1582:13 *1582:15 3.36879 +5 *1582:15 *1582:16 96.5805 +6 *1582:16 *1582:21 25.2727 +7 *1582:21 *1582:24 33.7464 +8 *1582:24 *2472:mprj_adr_o_core[10] 42.509 +9 *1582:9 *1582:35 575.847 +10 *1582:35 *1582:37 4.5 +11 *1582:37 *2471:wb_adr_i[10] 49.3295 +*END + +*D_NET *1583 0.309331 +*CONN +*I *2472:mprj_adr_o_core[11] I *D mgmt_protect +*I *2471:wb_adr_i[11] I *D housekeeping +*I *2478:mprj_adr_o[11] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_adr_o_core[11] 0.00165799 +2 *2471:wb_adr_i[11] 0.00205983 +3 *2478:mprj_adr_o[11] 0.000283976 +4 *1583:24 0.0108996 +5 *1583:23 0.0092416 +6 *1583:21 0.00183016 +7 *1583:12 0.00205983 +8 *1583:10 0.00390393 +9 *1583:9 0.00390393 +10 *1583:7 0.00211413 +11 *2471:wb_adr_i[11] *1584:13 0 +12 *2472:mprj_adr_o_core[11] *2472:mprj_dat_o_core[11] 0 +13 *2472:mprj_adr_o_core[11] *1651:7 0 +14 *2472:mprj_adr_o_core[11] *1714:19 0 +15 *1583:7 *1651:17 0.000130911 +16 *1583:10 *1584:10 0.0520397 +17 *1583:21 *1651:17 0.00105001 +18 *1583:21 *1715:29 4.08754e-05 +19 *1583:24 *1584:24 0.0837917 +20 *1583:24 *1713:10 0 +21 *2471:wb_adr_i[10] *2471:wb_adr_i[11] 0 +22 *102:21 *1583:10 4.4379e-05 +23 *608:11 *2472:mprj_adr_o_core[11] 0.000173895 +24 *876:10 *1583:24 0.00181421 +25 *890:10 *1583:24 0 +26 *1131:10 *1583:24 0.00115127 +27 *1273:10 *1583:24 0.00225187 +28 *1582:16 *1583:24 0.075911 +29 *1582:35 *1583:10 0.0529763 +*RES +1 *2478:mprj_adr_o[11] *1583:7 7.41347 +2 *1583:7 *1583:9 4.5 +3 *1583:9 *1583:10 560.873 +4 *1583:10 *1583:12 4.5 +5 *1583:12 *2471:wb_adr_i[11] 49.7448 +6 *1583:7 *1583:21 48.1326 +7 *1583:21 *1583:23 3.36879 +8 *1583:23 *1583:24 107.595 +9 *1583:24 *2472:mprj_adr_o_core[11] 37.505 +*END + +*D_NET *1584 0.314643 +*CONN +*I *2472:mprj_adr_o_core[12] I *D mgmt_protect +*I *2471:wb_adr_i[12] I *D housekeeping +*I *2478:mprj_adr_o[12] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_adr_o_core[12] 0.00180595 +2 *2471:wb_adr_i[12] 1.28869e-05 +3 *2478:mprj_adr_o[12] 0.000268462 +4 *1584:24 0.00990575 +5 *1584:23 0.0080998 +6 *1584:21 0.00216288 +7 *1584:13 0.00207343 +8 *1584:12 0.00206054 +9 *1584:10 0.00387732 +10 *1584:9 0.00387732 +11 *1584:7 0.00243134 +12 *2472:mprj_adr_o_core[12] *2472:mprj_dat_o_core[11] 0 +13 *2472:mprj_adr_o_core[12] *1652:5 0 +14 *1584:7 *2478:mprj_dat_i[12] 0 +15 *1584:7 *1715:7 0 +16 *1584:10 *1585:10 0.0508375 +17 *1584:13 *1585:13 0 +18 *1584:21 *2478:mprj_dat_i[12] 0 +19 *1584:21 *1715:7 0 +20 *1584:24 *1585:24 0.0844467 +21 *2471:wb_adr_i[11] *1584:13 0 +22 *102:21 *1584:10 1.59204e-05 +23 *875:10 *1584:24 0.00133953 +24 *890:10 *1584:24 0 +25 *1134:10 *1584:24 0.00205834 +26 *1274:10 *1584:24 0.0022229 +27 *1275:10 *1584:24 0.00131527 +28 *1583:10 *1584:10 0.0520397 +29 *1583:24 *1584:24 0.0837917 +*RES +1 *2478:mprj_adr_o[12] *1584:7 6.14329 +2 *1584:7 *1584:9 4.5 +3 *1584:9 *1584:10 550.89 +4 *1584:10 *1584:12 4.5 +5 *1584:12 *1584:13 49.7936 +6 *1584:13 *2471:wb_adr_i[12] 0.366399 +7 *1584:7 *1584:21 46.8869 +8 *1584:21 *1584:23 3.36879 +9 *1584:23 *1584:24 108.436 +10 *1584:24 *2472:mprj_adr_o_core[12] 38.3355 +*END + +*D_NET *1585 0.312732 +*CONN +*I *2472:mprj_adr_o_core[13] I *D mgmt_protect +*I *2471:wb_adr_i[13] I *D housekeeping +*I *2478:mprj_adr_o[13] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_adr_o_core[13] 0.00173837 +2 *2471:wb_adr_i[13] 1.28869e-05 +3 *2478:mprj_adr_o[13] 0.000290126 +4 *1585:24 0.00951262 +5 *1585:23 0.00986132 +6 *1585:13 0.00208804 +7 *1585:12 0.00207515 +8 *1585:10 0.00377293 +9 *1585:9 0.00377293 +10 *1585:7 0.00237719 +11 *2472:mprj_adr_o_core[13] *2472:mprj_dat_o_core[12] 0 +12 *2472:mprj_adr_o_core[13] *1653:9 0 +13 *1585:7 *1653:19 0 +14 *1585:10 *1586:10 0.0497416 +15 *1585:13 *1586:13 0 +16 *1585:23 *1653:19 0 +17 *1585:24 *1586:24 0.0854864 +18 *1585:24 *1660:14 0.00120502 +19 *102:21 *1585:10 0 +20 *610:13 *2472:mprj_adr_o_core[13] 0.00032249 +21 *878:16 *1585:24 0.00145702 +22 *880:10 *1585:24 0.000910857 +23 *890:10 *1585:24 0 +24 *1133:10 *1585:24 0.0015278 +25 *1275:14 *1585:24 0.001295 +26 *1584:10 *1585:10 0.0508375 +27 *1584:13 *1585:13 0 +28 *1584:24 *1585:24 0.0844467 +*RES +1 *2478:mprj_adr_o[13] *1585:7 6.55854 +2 *1585:7 *1585:9 4.5 +3 *1585:9 *1585:10 538.134 +4 *1585:10 *1585:12 4.5 +5 *1585:12 *1585:13 50.2089 +6 *1585:13 *2471:wb_adr_i[13] 0.366399 +7 *1585:7 *1585:23 49.0099 +8 *1585:23 *1585:24 109.66 +9 *1585:24 *2472:mprj_adr_o_core[13] 39.166 +*END + +*D_NET *1586 0.283239 +*CONN +*I *2472:mprj_adr_o_core[14] I *D mgmt_protect +*I *2471:wb_adr_i[14] I *D housekeeping +*I *2478:mprj_adr_o[14] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_adr_o_core[14] 0.00191266 +2 *2471:wb_adr_i[14] 1.28869e-05 +3 *2478:mprj_adr_o[14] 0.000332514 +4 *1586:24 0.0104804 +5 *1586:23 0.0106025 +6 *1586:13 0.00210264 +7 *1586:12 0.00208975 +8 *1586:10 0.0115027 +9 *1586:9 0.0115027 +10 *1586:7 0.00236721 +11 *2472:mprj_adr_o_core[14] *2472:mprj_dat_o_core[13] 0 +12 *2472:mprj_adr_o_core[14] *1654:7 0 +13 *1586:7 *2478:mprj_dat_i[14] 0 +14 *1586:7 *1717:7 0 +15 *1586:13 *1587:13 0 +16 *1586:23 *2478:mprj_dat_i[14] 0 +17 *1586:23 *1717:7 0 +18 *1586:23 *1717:23 0 +19 *1586:24 *1588:24 0.0856074 +20 *1586:24 *1608:24 0.00285289 +21 *1586:24 *1649:14 0.00171697 +22 *102:21 *1586:10 0 +23 *865:15 *2472:mprj_adr_o_core[14] 0 +24 *880:14 *1586:24 0.00125879 +25 *881:10 *1586:24 0.000690326 +26 *881:14 *1586:24 0.000118134 +27 *882:14 *1586:24 0.000719048 +28 *882:18 *1586:24 0.00203317 +29 *890:10 *1586:24 0 +30 *1119:7 *2472:mprj_adr_o_core[14] 0 +31 *1120:15 *2472:mprj_adr_o_core[14] 0 +32 *1138:20 *1586:24 0.000108607 +33 *1585:10 *1586:10 0.0497416 +34 *1585:13 *1586:13 0 +35 *1585:24 *1586:24 0.0854864 +*RES +1 *2478:mprj_adr_o[14] *1586:7 7.53561 +2 *1586:7 *1586:9 4.5 +3 *1586:9 *1586:10 526.488 +4 *1586:10 *1586:12 4.5 +5 *1586:12 *1586:13 50.6241 +6 *1586:13 *2471:wb_adr_i[14] 0.366399 +7 *1586:7 *1586:23 47.7641 +8 *1586:23 *1586:24 110.808 +9 *1586:24 *2472:mprj_adr_o_core[14] 39.9965 +*END + +*D_NET *1587 0.262166 +*CONN +*I *2472:mprj_adr_o_core[15] I *D mgmt_protect +*I *2471:wb_adr_i[15] I *D housekeeping +*I *2478:mprj_adr_o[15] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_adr_o_core[15] 0.000736587 +2 *2471:wb_adr_i[15] 1.28869e-05 +3 *2478:mprj_adr_o[15] 0.00121715 +4 *1587:24 0.00965747 +5 *1587:23 0.0109171 +6 *1587:13 0.00300544 +7 *1587:12 0.00299255 +8 *1587:10 0.00421571 +9 *1587:9 0.00421571 +10 *1587:7 0.00321333 +11 *2472:mprj_adr_o_core[15] *2472:mprj_dat_o_core[14] 0 +12 *2472:mprj_adr_o_core[15] *1655:7 0 +13 *1587:7 *1655:21 0 +14 *1587:7 *1718:24 0 +15 *1587:10 *2478:irq[1] 0.000388665 +16 *1587:10 *1588:10 0.00429088 +17 *1587:10 *1612:10 0.00147241 +18 *1587:10 *1723:12 0.00046538 +19 *1587:10 *1723:25 0.000653519 +20 *1587:10 *1725:10 0.0406512 +21 *1587:10 *1727:10 0.0379218 +22 *1587:10 *1736:10 0 +23 *1587:10 *1741:10 0.000605439 +24 *1587:13 *1588:13 0 +25 *1587:23 *1655:21 0 +26 *1587:24 *1590:18 0.0754535 +27 *1587:24 *1741:24 0.00582633 +28 *1581:24 *1587:24 0.0534686 +29 *1582:24 *1587:24 0.000784833 +30 *1586:13 *1587:13 0 +*RES +1 *2478:mprj_adr_o[15] *1587:7 27.3212 +2 *1587:7 *1587:9 4.5 +3 *1587:9 *1587:10 513.732 +4 *1587:10 *1587:12 4.5 +5 *1587:12 *1587:13 70.9715 +6 *1587:13 *2471:wb_adr_i[15] 0.366399 +7 *1587:7 *1587:23 49.9335 +8 *1587:23 *1587:24 812.108 +9 *1587:24 *2472:mprj_adr_o_core[15] 19.7422 +*END + +*D_NET *1588 0.315384 +*CONN +*I *2472:mprj_adr_o_core[16] I *D mgmt_protect +*I *2471:wb_adr_i[16] I *D housekeeping +*I *2478:mprj_adr_o[16] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_adr_o_core[16] 0.00181004 +2 *2471:wb_adr_i[16] 1.28869e-05 +3 *2478:mprj_adr_o[16] 0.0013321 +4 *1588:24 0.0117352 +5 *1588:23 0.0109627 +6 *1588:13 0.00304147 +7 *1588:12 0.00302858 +8 *1588:10 0.00353103 +9 *1588:9 0.00353103 +10 *1588:7 0.00236963 +11 *2472:mprj_adr_o_core[16] *2472:mprj_dat_o_core[15] 0 +12 *2472:mprj_adr_o_core[16] *1656:5 0.00041429 +13 *1588:7 *2478:mprj_dat_i[16] 0 +14 *1588:7 *1719:7 0 +15 *1588:7 *1719:23 0 +16 *1588:10 *2478:irq[1] 0.000329156 +17 *1588:10 *1589:15 0.000436811 +18 *1588:10 *1589:31 0.0461999 +19 *1588:10 *1727:10 0.0381917 +20 *1588:10 *1736:10 0.000218095 +21 *1588:13 *1589:34 0 +22 *1588:23 *1719:23 0 +23 *1588:24 *1591:28 0.0867827 +24 *1588:24 *1610:24 0.00318468 +25 *1588:24 *1653:16 0.00339601 +26 *881:14 *1588:24 0.0016364 +27 *885:10 *1588:24 0.000781986 +28 *890:10 *1588:24 0 +29 *1138:10 *1588:24 0.000574932 +30 *1138:20 *1588:24 0.00198471 +31 *1586:24 *1588:24 0.0856074 +32 *1587:10 *1588:10 0.00429088 +33 *1587:13 *1588:13 0 +*RES +1 *2478:mprj_adr_o[16] *1588:7 29.8371 +2 *1588:7 *1588:9 4.5 +3 *1588:9 *1588:10 502.64 +4 *1588:10 *1588:12 4.5 +5 *1588:12 *1588:13 71.802 +6 *1588:13 *2471:wb_adr_i[16] 0.366399 +7 *1588:7 *1588:23 25.7558 +8 *1588:23 *1588:24 113.026 +9 *1588:24 *2472:mprj_adr_o_core[16] 40.827 +*END + +*D_NET *1589 0.268601 +*CONN +*I *2471:wb_adr_i[17] I *D housekeeping +*I *2472:mprj_adr_o_core[17] I *D mgmt_protect +*I *2478:mprj_adr_o[17] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_adr_i[17] 1.28869e-05 +2 *2472:mprj_adr_o_core[17] 0.00162508 +3 *2478:mprj_adr_o[17] 0.00126708 +4 *1589:34 0.00305605 +5 *1589:33 0.00304316 +6 *1589:31 0.00342604 +7 *1589:22 0.00379955 +8 *1589:21 0.00260069 +9 *1589:16 0.00550617 +10 *1589:15 0.00612246 +11 *1589:9 0.00573563 +12 *2472:mprj_adr_o_core[17] *2472:mprj_dat_o_core[16] 0 +13 *2472:mprj_adr_o_core[17] *2472:mprj_dat_o_core[17] 0 +14 *2472:mprj_adr_o_core[17] *1657:7 0 +15 *1589:9 *1657:17 0 +16 *1589:9 *1720:9 0 +17 *1589:9 *1720:23 0 +18 *1589:15 *1736:10 0.000109258 +19 *1589:16 *1595:24 0.00515079 +20 *1589:16 *1598:18 7.55683e-05 +21 *1589:16 *1612:24 0.0316508 +22 *1589:16 *1651:14 0.00142625 +23 *1589:16 *1653:16 0.000530783 +24 *1589:16 *1655:18 0.0338513 +25 *1589:16 *1657:14 0.000943298 +26 *1589:22 *1598:24 0.0110735 +27 *1589:22 *1598:28 0.000339155 +28 *1589:22 *1602:30 0.0172104 +29 *1589:22 *1664:8 0.00818447 +30 *1589:31 *2478:irq[1] 0.000206867 +31 *1589:31 *1591:12 0.00211693 +32 *1589:31 *1591:14 0.00253428 +33 *1589:31 *1593:26 0.000650889 +34 *1589:31 *1727:10 0.000137345 +35 *1589:31 *1729:15 0.000383703 +36 *1589:31 *1729:25 0.0352422 +37 *1589:31 *1736:10 0.000421596 +38 *1589:34 *1590:30 0 +39 *884:10 *1589:16 0.00211887 +40 *884:14 *1589:16 0.00635887 +41 *886:10 *1589:16 0.0150653 +42 *887:10 *1589:16 0.00085425 +43 *1122:15 *2472:mprj_adr_o_core[17] 0 +44 *1134:7 *1589:21 2.67003e-05 +45 *1141:16 *1589:22 0.00565466 +46 *1143:16 *1589:22 0.000685805 +47 *1144:10 *1589:16 0.000120974 +48 *1147:16 *1589:22 0.00168132 +49 *1582:16 *1589:22 0.000963002 +50 *1588:10 *1589:15 0.000436811 +51 *1588:10 *1589:31 0.0461999 +52 *1588:13 *1589:34 0 +*RES +1 *2478:mprj_adr_o[17] *1589:9 33.0669 +2 *1589:9 *1589:15 34.5392 +3 *1589:15 *1589:16 566.419 +4 *1589:16 *1589:21 16.6455 +5 *1589:21 *1589:22 259.169 +6 *1589:22 *2472:mprj_adr_o_core[17] 35.5218 +7 *1589:9 *1589:31 489.329 +8 *1589:31 *1589:33 4.5 +9 *1589:33 *1589:34 72.2172 +10 *1589:34 *2471:wb_adr_i[17] 0.366399 +*END + +*D_NET *1590 0.206834 +*CONN +*I *2471:wb_adr_i[18] I *D housekeeping +*I *2472:mprj_adr_o_core[18] I *D mgmt_protect +*I *2478:mprj_adr_o[18] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_adr_i[18] 1.28869e-05 +2 *2472:mprj_adr_o_core[18] 0.000758023 +3 *2478:mprj_adr_o[18] 0.00139926 +4 *1590:30 0.00341451 +5 *1590:29 0.00340162 +6 *1590:27 0.0070886 +7 *1590:18 0.0130527 +8 *1590:17 0.0138067 +9 *1590:12 0.00999991 +10 *2472:mprj_adr_o_core[18] *2472:mprj_dat_o_core[17] 0 +11 *2472:mprj_adr_o_core[18] *1658:5 0 +12 *1590:12 *2478:mprj_dat_i[18] 0.000823584 +13 *1590:12 *1593:29 0.000364767 +14 *1590:12 *1658:14 0.000113968 +15 *1590:12 *1659:8 0.000167076 +16 *1590:12 *1661:8 1.41853e-05 +17 *1590:17 *2478:mprj_dat_i[18] 8.62625e-06 +18 *1590:18 *1612:30 0.032419 +19 *1590:18 *1653:10 0.00327915 +20 *1590:18 *1655:12 0.000316909 +21 *1590:18 *1662:14 0.000286319 +22 *1590:18 *1671:8 0 +23 *1590:18 *1741:24 0.00724031 +24 *1590:18 *2191:16 0 +25 *1590:27 *1595:10 0.0180076 +26 *1590:27 *1606:25 0.0104359 +27 *1590:27 *1659:8 0.000605222 +28 *1590:27 *1661:8 0.00286042 +29 *1590:27 *1663:14 6.33518e-05 +30 *1590:27 *1726:10 0.000895002 +31 *1590:27 *1739:16 0.00027341 +32 *1590:30 *1591:17 0 +33 *1582:24 *1590:18 0.00027103 +34 *1587:24 *1590:18 0.0754535 +35 *1589:34 *1590:30 0 +*RES +1 *2478:mprj_adr_o[18] *1590:12 45.0535 +2 *1590:12 *1590:17 45.2979 +3 *1590:17 *1590:18 842.612 +4 *1590:18 *2472:mprj_adr_o_core[18] 20.1574 +5 *1590:12 *1590:27 472.691 +6 *1590:27 *1590:29 4.5 +7 *1590:29 *1590:30 79.6918 +8 *1590:30 *2471:wb_adr_i[18] 0.366399 +*END + +*D_NET *1591 0.299327 +*CONN +*I *2472:mprj_adr_o_core[19] I *D mgmt_protect +*I *2471:wb_adr_i[19] I *D housekeeping +*I *2478:mprj_adr_o[19] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_adr_o_core[19] 0.00189268 +2 *2471:wb_adr_i[19] 1.28869e-05 +3 *2478:mprj_adr_o[19] 0.00112239 +4 *1591:28 0.011517 +5 *1591:27 0.0105208 +6 *1591:17 0.00308967 +7 *1591:16 0.00307679 +8 *1591:14 0.00358306 +9 *1591:12 0.00377334 +10 *1591:7 0.00220915 +11 *2472:mprj_adr_o_core[19] *2472:mprj_dat_o_core[18] 0.000407913 +12 *2472:mprj_adr_o_core[19] *1659:5 0 +13 *1591:7 *2478:mprj_dat_i[19] 0.000685027 +14 *1591:7 *1723:15 0 +15 *1591:12 *1593:26 0.00148832 +16 *1591:12 *1736:10 0.000272272 +17 *1591:14 *2478:irq[1] 0.000184687 +18 *1591:14 *1729:15 0.000379505 +19 *1591:14 *1729:25 0.035781 +20 *1591:14 *1733:19 0.000376796 +21 *1591:14 *1736:10 0.0142976 +22 *1591:14 *1737:15 0.000171456 +23 *1591:14 *1737:25 0.0116742 +24 *1591:17 *1593:13 0 +25 *1591:27 *2478:mprj_dat_i[19] 0.000155824 +26 *1591:27 *1723:15 0 +27 *1591:28 *1595:24 0.0900509 +28 *1591:28 *1612:24 0.00336822 +29 *1591:28 *1651:14 0.00325843 +30 *884:10 *1591:28 0.000238938 +31 *884:14 *1591:28 0.00242457 +32 *885:14 *1591:28 0.0016364 +33 *890:10 *1591:28 0.00024367 +34 *1588:24 *1591:28 0.0867827 +35 *1589:31 *1591:12 0.00211693 +36 *1589:31 *1591:14 0.00253428 +37 *1590:30 *1591:17 0 +*RES +1 *2478:mprj_adr_o[19] *1591:7 30.6676 +2 *1591:7 *1591:12 27.4317 +3 *1591:12 *1591:14 439.969 +4 *1591:14 *1591:16 4.5 +5 *1591:16 *1591:17 73.0477 +6 *1591:17 *2471:wb_adr_i[19] 0.366399 +7 *1591:7 *1591:27 24.0948 +8 *1591:27 *1591:28 117.233 +9 *1591:28 *2472:mprj_adr_o_core[19] 41.6575 +*END + +*D_NET *1592 0.279317 +*CONN +*I *2472:mprj_adr_o_core[1] I *D mgmt_protect +*I *2471:wb_adr_i[1] I *D housekeeping +*I *2478:mprj_adr_o[1] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_adr_o_core[1] 0.00289861 +2 *2471:wb_adr_i[1] 0.00205016 +3 *2478:mprj_adr_o[1] 0.000284414 +4 *1592:26 0.00289861 +5 *1592:24 0.00555585 +6 *1592:23 0.00634126 +7 *1592:12 0.00205016 +8 *1592:10 0.00686198 +9 *1592:9 0.00686198 +10 *1592:7 0.00106983 +11 *2471:wb_adr_i[1] *1603:25 0 +12 *2472:mprj_adr_o_core[1] *2472:mprj_dat_o_core[1] 0 +13 *2472:mprj_adr_o_core[1] *2472:mprj_sel_o_core[0] 0 +14 *2472:mprj_adr_o_core[1] *1660:7 0.00024649 +15 *1592:7 *2183:14 0 +16 *1592:7 *2183:16 0 +17 *1592:23 *2183:16 0 +18 *1592:24 *1603:10 0.063439 +19 *1592:24 *1722:10 0.00138234 +20 *2471:wb_adr_i[0] *2471:wb_adr_i[1] 0 +21 *102:21 *1592:10 0.000113197 +22 *607:13 *2472:mprj_adr_o_core[1] 0 +23 *609:13 *2472:mprj_adr_o_core[1] 0 +24 *634:8 *1592:24 0.0565657 +25 *868:23 *2472:mprj_adr_o_core[1] 0 +26 *984:9 *2472:mprj_adr_o_core[1] 0.000428463 +27 *1241:9 *2472:mprj_adr_o_core[1] 0 +28 *1369:10 *1592:24 0 +29 *1371:12 *1592:24 0.000107179 +30 *1581:10 *1592:10 0.0665507 +31 *1582:12 *1592:10 0.00132675 +32 *1582:35 *1592:10 0.0522846 +*RES +1 *2478:mprj_adr_o[1] *1592:7 6.58297 +2 *1592:7 *1592:9 4.5 +3 *1592:9 *1592:10 704.515 +4 *1592:10 *1592:12 4.5 +5 *1592:12 *2471:wb_adr_i[1] 48.9143 +6 *1592:7 *1592:23 24.1878 +7 *1592:23 *1592:24 679.004 +8 *1592:24 *1592:26 4.5 +9 *1592:26 *2472:mprj_adr_o_core[1] 63.4115 +*END + +*D_NET *1593 0.284974 +*CONN +*I *2472:mprj_adr_o_core[20] I *D mgmt_protect +*I *2471:wb_adr_i[20] I *D housekeeping +*I *2478:mprj_adr_o[20] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_adr_o_core[20] 0.00278677 +2 *2471:wb_adr_i[20] 1.28869e-05 +3 *2478:mprj_adr_o[20] 0.000795273 +4 *1593:32 0.00278677 +5 *1593:30 0.00574078 +6 *1593:29 0.00602018 +7 *1593:26 0.000908299 +8 *1593:13 0.00270523 +9 *1593:12 0.00269234 +10 *1593:10 0.00308083 +11 *1593:9 0.00308083 +12 *1593:7 0.00142417 +13 *2472:mprj_adr_o_core[20] *2472:mprj_dat_o_core[19] 0 +14 *2472:mprj_adr_o_core[20] *1659:5 0 +15 *2472:mprj_adr_o_core[20] *1661:5 0 +16 *1593:7 *2478:mprj_dat_i[20] 0.000555237 +17 *1593:10 *1594:10 0.0415069 +18 *1593:10 *1743:10 0.042709 +19 *1593:10 *2184:25 0.0034499 +20 *1593:13 *1594:13 0 +21 *1593:13 *1595:13 0 +22 *1593:26 *2478:mprj_dat_i[20] 0.000136229 +23 *1593:26 *1736:10 0.00294953 +24 *1593:30 *1594:30 0.00358835 +25 *1593:30 *1658:14 0.0740965 +26 *1593:30 *1659:8 0.0783481 +27 *1593:30 *1661:8 0.000202457 +28 *1593:30 *1738:10 0.000175462 +29 *1593:30 *1740:12 0.000214358 +30 *1593:30 *2184:16 0.00248873 +31 *1122:12 *1593:30 1.45065e-05 +32 *1589:31 *1593:26 0.000650889 +33 *1590:12 *1593:29 0.000364767 +34 *1591:12 *1593:26 0.00148832 +35 *1591:17 *1593:13 0 +*RES +1 *2478:mprj_adr_o[20] *1593:7 23.6083 +2 *1593:7 *1593:9 4.5 +3 *1593:9 *1593:10 449.398 +4 *1593:10 *1593:12 4.5 +5 *1593:12 *1593:13 65.5732 +6 *1593:13 *2471:wb_adr_i[20] 0.366399 +7 *1593:7 *1593:26 47.8721 +8 *1593:26 *1593:29 11.7303 +9 *1593:29 *1593:30 827.637 +10 *1593:30 *1593:32 4.5 +11 *1593:32 *2472:mprj_adr_o_core[20] 51.3691 +*END + +*D_NET *1594 0.260424 +*CONN +*I *2472:mprj_adr_o_core[21] I *D mgmt_protect +*I *2471:wb_adr_i[21] I *D housekeeping +*I *2478:mprj_adr_o[21] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_adr_o_core[21] 0.00279774 +2 *2471:wb_adr_i[21] 1.28869e-05 +3 *2478:mprj_adr_o[21] 0.000895434 +4 *1594:32 0.00279774 +5 *1594:30 0.000399065 +6 *1594:24 0.00604082 +7 *1594:23 0.00636011 +8 *1594:13 0.0026797 +9 *1594:12 0.00266681 +10 *1594:10 0.00952432 +11 *1594:9 0.00952432 +12 *1594:7 0.00161379 +13 *2472:mprj_adr_o_core[21] *2472:mprj_dat_o_core[20] 0 +14 *2472:mprj_adr_o_core[21] *2472:mprj_dat_o_core[21] 0 +15 *2472:mprj_adr_o_core[21] *1661:5 0 +16 *2472:mprj_adr_o_core[21] *1662:7 0 +17 *1594:7 *2478:mprj_dat_i[21] 0 +18 *1594:7 *1725:7 0 +19 *1594:10 *1743:10 0.000113197 +20 *1594:10 *2184:25 0.00634336 +21 *1594:13 *1595:13 0 +22 *1594:23 *2478:mprj_dat_i[21] 0 +23 *1594:23 *1725:7 0 +24 *1594:23 *1725:23 0 +25 *1594:24 *1663:14 0.0782848 +26 *1594:24 *1726:10 0.078287 +27 *1594:24 *1740:12 0.00305581 +28 *1594:24 *1742:30 0.000100568 +29 *1594:30 *1658:13 7.09666e-06 +30 *1594:30 *1661:8 0.00359255 +31 *1594:30 *1731:27 5.88009e-05 +32 *1594:30 *1738:10 0.000101365 +33 *1249:9 *2472:mprj_adr_o_core[21] 7.16076e-05 +34 *1593:10 *1594:10 0.0415069 +35 *1593:13 *1594:13 0 +36 *1593:30 *1594:30 0.00358835 +*RES +1 *2478:mprj_adr_o[21] *1594:7 21.5076 +2 *1594:7 *1594:9 4.5 +3 *1594:9 *1594:10 439.415 +4 *1594:10 *1594:12 4.5 +5 *1594:12 *1594:13 65.158 +6 *1594:13 *2471:wb_adr_i[21] 0.366399 +7 *1594:7 *1594:23 17.9591 +8 *1594:23 *1594:24 826.528 +9 *1594:24 *1594:30 48.8532 +10 *1594:30 *1594:32 4.5 +11 *1594:32 *2472:mprj_adr_o_core[21] 51.7844 +*END + +*D_NET *1595 0.296829 +*CONN +*I *2472:mprj_adr_o_core[22] I *D mgmt_protect +*I *2471:wb_adr_i[22] I *D housekeeping +*I *2478:mprj_adr_o[22] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_adr_o_core[22] 0.00214789 +2 *2471:wb_adr_i[22] 1.28869e-05 +3 *2478:mprj_adr_o[22] 0.00170541 +4 *1595:24 0.0122003 +5 *1595:23 0.0106258 +6 *1595:13 0.00336052 +7 *1595:12 0.00334763 +8 *1595:10 0.00371989 +9 *1595:9 0.00371989 +10 *1595:7 0.00227872 +11 *2472:mprj_adr_o_core[22] *2472:mprj_dat_o_core[21] 0 +12 *2472:mprj_adr_o_core[22] *1612:35 0 +13 *2472:mprj_adr_o_core[22] *1663:7 0 +14 *1595:7 *2478:mprj_dat_i[22] 0 +15 *1595:7 *1726:9 0 +16 *1595:7 *1726:24 0 +17 *1595:10 *1596:10 0.000245724 +18 *1595:10 *1596:21 0.0394223 +19 *1595:10 *1663:14 0.000238987 +20 *1595:10 *1739:16 0 +21 *1595:13 *1596:24 0 +22 *1595:24 *1599:24 0.0917926 +23 *1595:24 *1655:18 0.0036624 +24 *877:10 *1595:24 0.0015278 +25 *886:10 *1595:24 0.00311094 +26 *890:10 *1595:24 0.000499706 +27 *1589:16 *1595:24 0.00515079 +28 *1590:27 *1595:10 0.0180076 +29 *1591:28 *1595:24 0.0900509 +30 *1593:13 *1595:13 0 +31 *1594:13 *1595:13 0 +*RES +1 *2478:mprj_adr_o[22] *1595:7 36.8964 +2 *1595:7 *1595:9 4.5 +3 *1595:9 *1595:10 428.877 +4 *1595:10 *1595:12 4.5 +5 *1595:12 *1595:13 78.8613 +6 *1595:13 *2471:wb_adr_i[22] 0.366399 +7 *1595:7 *1595:23 17.0355 +8 *1595:23 *1595:24 120.292 +9 *1595:24 *2472:mprj_adr_o_core[22] 42.488 +*END + +*D_NET *1596 0.275094 +*CONN +*I *2471:wb_adr_i[23] I *D housekeeping +*I *2472:mprj_adr_o_core[23] I *D mgmt_protect +*I *2478:mprj_adr_o[23] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_adr_i[23] 1.28869e-05 +2 *2472:mprj_adr_o_core[23] 0.00296313 +3 *2478:mprj_adr_o[23] 0.00165942 +4 *1596:24 0.0033328 +5 *1596:23 0.00331991 +6 *1596:21 0.00284215 +7 *1596:19 0.0028774 +8 *1596:12 0.00296313 +9 *1596:10 0.00620884 +10 *1596:9 0.00790351 +11 *2472:mprj_adr_o_core[23] *2472:mprj_dat_o_core[22] 0 +12 *2472:mprj_adr_o_core[23] *1664:7 0 +13 *1596:9 *1664:17 0 +14 *1596:9 *1727:7 0 +15 *1596:9 *1727:23 0 +16 *1596:10 *1663:12 0.0010263 +17 *1596:10 *1663:14 0.0801136 +18 *1596:10 *1666:8 0.00020979 +19 *1596:10 *1727:24 0.0829211 +20 *1596:10 *1740:12 0.000144814 +21 *1596:10 *1742:30 0.000116596 +22 *1596:10 *1744:10 0.000102518 +23 *1596:10 *2186:24 0.00275653 +24 *1596:21 *1597:15 0.000448777 +25 *1596:21 *1597:39 0.00381391 +26 *1596:21 *1604:10 0.0295837 +27 *1596:21 *1666:8 9.97598e-05 +28 *1596:21 *1739:16 0 +29 *1596:24 *1597:42 0 +30 *611:13 *2472:mprj_adr_o_core[23] 0 +31 *1122:9 *2472:mprj_adr_o_core[23] 5.47232e-06 +32 *1595:10 *1596:10 0.000245724 +33 *1595:10 *1596:21 0.0394223 +34 *1595:13 *1596:24 0 +*RES +1 *2478:mprj_adr_o[23] *1596:9 40.1506 +2 *1596:9 *1596:10 880.879 +3 *1596:10 *1596:12 4.5 +4 *1596:12 *2472:mprj_adr_o_core[23] 53.8607 +5 *1596:9 *1596:19 0.988641 +6 *1596:19 *1596:21 416.121 +7 *1596:21 *1596:23 4.5 +8 *1596:23 *1596:24 78.446 +9 *1596:24 *2471:wb_adr_i[23] 0.366399 +*END + +*D_NET *1597 0.225434 +*CONN +*I *2471:wb_adr_i[24] I *D housekeeping +*I *2472:mprj_adr_o_core[24] I *D mgmt_protect +*I *2478:mprj_adr_o[24] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_adr_i[24] 1.28869e-05 +2 *2472:mprj_adr_o_core[24] 0.000796628 +3 *2478:mprj_adr_o[24] 0.00156989 +4 *1597:42 0.00328993 +5 *1597:41 0.00327704 +6 *1597:39 0.00349017 +7 *1597:30 0.00144849 +8 *1597:29 0.00187589 +9 *1597:24 0.00207569 +10 *1597:22 0.000987966 +11 *1597:16 0.0128702 +12 *1597:15 0.013193 +13 *1597:9 0.00551922 +14 *2472:mprj_adr_o_core[24] *2472:mprj_dat_o_core[23] 0 +15 *2472:mprj_adr_o_core[24] *1665:7 0.000209958 +16 *1597:9 *1665:11 0 +17 *1597:9 *1728:7 0 +18 *1597:9 *1728:30 0 +19 *1597:15 *1664:17 0.000751398 +20 *1597:15 *1666:8 0.000453691 +21 *1597:15 *1728:7 0 +22 *1597:16 *1602:24 0.0005862 +23 *1597:16 *1604:24 0.058576 +24 *1597:16 *1605:24 0.0109635 +25 *1597:24 *1605:24 0.00121289 +26 *1597:29 *1662:13 0.000162209 +27 *1597:29 *1664:13 5.26316e-05 +28 *1597:29 *1728:15 1.02986e-05 +29 *1597:30 *1655:12 0.00760676 +30 *1597:30 *1657:8 0.00761096 +31 *1597:30 *1743:24 0.00039825 +32 *1597:39 *1598:12 0.000326398 +33 *1597:39 *1598:37 0.0165039 +34 *1597:39 *1604:10 0.0298428 +35 *1597:39 *1666:8 0.000672619 +36 *1597:42 *1598:40 0 +37 *870:15 *1597:29 7.09666e-06 +38 *874:10 *1597:24 0.00642016 +39 *878:10 *1597:24 0.00142889 +40 *879:10 *1597:16 0.00485917 +41 *879:12 *1597:22 9.95922e-06 +42 *879:14 *1597:16 1.67988e-05 +43 *879:14 *1597:22 0.000906101 +44 *879:14 *1597:24 1.41853e-05 +45 *1137:10 *1597:16 0.00733588 +46 *1137:10 *1597:22 0.000388082 +47 *1137:10 *1597:24 6.50727e-05 +48 *1137:16 *1597:24 0.0102139 +49 *1147:10 *1597:16 0.000692134 +50 *1265:15 *1597:29 0.00055459 +51 *1269:10 *1597:16 0.00175459 +52 *1269:10 *1597:24 0.000156918 +53 *1596:21 *1597:15 0.000448777 +54 *1596:21 *1597:39 0.00381391 +55 *1596:24 *1597:42 0 +*RES +1 *2478:mprj_adr_o[24] *1597:9 38.4652 +2 *1597:9 *1597:15 32.3348 +3 *1597:15 *1597:16 681.777 +4 *1597:16 *1597:22 10.7545 +5 *1597:22 *1597:24 108.316 +6 *1597:24 *1597:29 32.8404 +7 *1597:29 *1597:30 81.1409 +8 *1597:30 *2472:mprj_adr_o_core[24] 22.2337 +9 *1597:9 *1597:39 402.256 +10 *1597:39 *1597:41 4.5 +11 *1597:41 *1597:42 77.6155 +12 *1597:42 *2471:wb_adr_i[24] 0.366399 +*END + +*D_NET *1598 0.238611 +*CONN +*I *2471:wb_adr_i[25] I *D housekeeping +*I *2472:mprj_adr_o_core[25] I *D mgmt_protect +*I *2478:mprj_adr_o[25] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_adr_i[25] 1.28869e-05 +2 *2472:mprj_adr_o_core[25] 0.00172492 +3 *2478:mprj_adr_o[25] 0.00161098 +4 *1598:40 0.00325391 +5 *1598:39 0.00324102 +6 *1598:37 0.00331838 +7 *1598:28 0.00301767 +8 *1598:26 0.001328 +9 *1598:24 0.00216312 +10 *1598:23 0.00264463 +11 *1598:18 0.00607051 +12 *1598:17 0.00618188 +13 *1598:12 0.00555749 +14 *2472:mprj_adr_o_core[25] *2472:mprj_dat_o_core[24] 0 +15 *2472:mprj_adr_o_core[25] *1666:5 0 +16 *1598:12 *2478:mprj_dat_i[25] 0 +17 *1598:12 *1666:8 0.00027329 +18 *1598:12 *1667:10 1.41853e-05 +19 *1598:12 *1729:9 0 +20 *1598:17 *2478:mprj_dat_i[25] 8.62625e-06 +21 *1598:18 *1600:24 0.00601968 +22 *1598:18 *1602:24 0.0630006 +23 *1598:18 *1655:18 0.000496273 +24 *1598:18 *1657:14 0.00108819 +25 *1598:18 *1662:20 0.038191 +26 *1598:24 *1602:30 0.000733508 +27 *1598:28 *1664:8 0.00283176 +28 *1598:37 *1599:10 0.00302706 +29 *1598:37 *1604:10 6.44576e-05 +30 *1598:37 *1605:10 0.0286278 +31 *1598:37 *1667:10 0.0013863 +32 *1598:40 *1599:13 0 +33 *873:10 *1598:24 0.000594511 +34 *873:10 *1598:28 0.00376841 +35 *876:10 *1598:24 0 +36 *879:10 *1598:18 0.000513755 +37 *1131:10 *1598:24 0.00014568 +38 *1135:12 *1598:18 0.000436811 +39 *1136:15 *2472:mprj_adr_o_core[25] 4.98393e-05 +40 *1144:10 *1598:18 0.0158046 +41 *1147:16 *1598:28 0.000778588 +42 *1250:7 *2472:mprj_adr_o_core[25] 0 +43 *1271:10 *1598:24 0.00118392 +44 *1582:16 *1598:24 0.000964633 +45 *1582:16 *1598:28 0.000163504 +46 *1589:16 *1598:18 7.55683e-05 +47 *1589:22 *1598:24 0.0110735 +48 *1589:22 *1598:28 0.000339155 +49 *1597:39 *1598:12 0.000326398 +50 *1597:39 *1598:37 0.0165039 +51 *1597:42 *1598:40 0 +*RES +1 *2478:mprj_adr_o[25] *1598:12 42.1251 +2 *1598:12 *1598:17 23.7048 +3 *1598:17 *1598:18 679.558 +4 *1598:18 *1598:23 17.8913 +5 *1598:23 *1598:24 133.551 +6 *1598:24 *1598:26 0.988641 +7 *1598:26 *1598:28 91.4011 +8 *1598:28 *2472:mprj_adr_o_core[25] 36.7675 +9 *1598:12 *1598:37 385.618 +10 *1598:37 *1598:39 4.5 +11 *1598:39 *1598:40 76.785 +12 *1598:40 *2471:wb_adr_i[25] 0.366399 +*END + +*D_NET *1599 0.311461 +*CONN +*I *2472:mprj_adr_o_core[26] I *D mgmt_protect +*I *2471:wb_adr_i[26] I *D housekeeping +*I *2478:mprj_adr_o[26] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_adr_o_core[26] 0.0022023 +2 *2471:wb_adr_i[26] 1.28869e-05 +3 *2478:mprj_adr_o[26] 0.00152796 +4 *1599:24 0.0120692 +5 *1599:23 0.0105265 +6 *1599:13 0.00321103 +7 *1599:12 0.00319815 +8 *1599:10 0.00245725 +9 *1599:9 0.00245725 +10 *1599:7 0.00218752 +11 *2472:mprj_adr_o_core[26] *2472:mprj_dat_o_core[25] 0 +12 *2472:mprj_adr_o_core[26] *1667:7 0 +13 *1599:7 *2478:mprj_dat_i[26] 0 +14 *1599:10 *1600:10 0.0342924 +15 *1599:10 *1605:10 0.0288869 +16 *1599:10 *1667:10 0.000379505 +17 *1599:10 *1669:8 0.00022889 +18 *1599:10 *1731:24 0.00122278 +19 *1599:13 *1600:13 0 +20 *1599:24 *1600:24 0.0978947 +21 *1599:24 *1657:14 0.00333083 +22 *1599:24 *1662:20 0.00417434 +23 *887:10 *1599:24 0.00286007 +24 *890:10 *1599:24 0.000145207 +25 *1144:10 *1599:24 0.00331572 +26 *1147:15 *2472:mprj_adr_o_core[26] 6.02883e-05 +27 *1595:24 *1599:24 0.0917926 +28 *1598:37 *1599:10 0.00302706 +29 *1598:40 *1599:13 0 +*RES +1 *2478:mprj_adr_o[26] *1599:7 32.866 +2 *1599:7 *1599:9 4.5 +3 *1599:9 *1599:10 377.854 +4 *1599:10 *1599:12 4.5 +5 *1599:12 *1599:13 75.9545 +6 *1599:13 *2471:wb_adr_i[26] 0.366399 +7 *1599:7 *1599:23 19.1117 +8 *1599:23 *1599:24 125.264 +9 *1599:24 *2472:mprj_adr_o_core[26] 43.3185 +*END + +*D_NET *1600 0.321324 +*CONN +*I *2472:mprj_adr_o_core[27] I *D mgmt_protect +*I *2471:wb_adr_i[27] I *D housekeeping +*I *2478:mprj_adr_o[27] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_adr_o_core[27] 0.00173708 +2 *2471:wb_adr_i[27] 1.28869e-05 +3 *2478:mprj_adr_o[27] 0.00132772 +4 *1600:24 0.0114847 +5 *1600:23 0.0102348 +6 *1600:13 0.0031896 +7 *1600:12 0.00317671 +8 *1600:10 0.00221168 +9 *1600:9 0.00221168 +10 *1600:7 0.00181485 +11 *2472:mprj_adr_o_core[27] *1668:7 0 +12 *2472:mprj_adr_o_core[27] *1731:27 0.00196231 +13 *1600:7 *1668:11 0.00071777 +14 *1600:7 *1731:7 0 +15 *1600:7 *1731:23 0 +16 *1600:10 *1601:19 0.000494521 +17 *1600:10 *1602:10 0.0319155 +18 *1600:10 *1605:10 0.000113197 +19 *1600:10 *1669:8 0.00153498 +20 *1600:13 *1601:22 0 +21 *1600:23 *1668:11 0.000403607 +22 *1600:24 *1602:24 0.00693877 +23 *1600:24 *1605:24 0.0970915 +24 *879:14 *1600:24 0.00173269 +25 *890:10 *1600:24 0.00115066 +26 *1135:12 *1600:24 0.000152397 +27 *1135:14 *1600:24 0.00140471 +28 *1137:16 *1600:24 0.00010238 +29 *1598:18 *1600:24 0.00601968 +30 *1599:10 *1600:10 0.0342924 +31 *1599:13 *1600:13 0 +32 *1599:24 *1600:24 0.0978947 +*RES +1 *2478:mprj_adr_o[27] *1600:7 33.5744 +2 *1600:7 *1600:9 4.5 +3 *1600:9 *1600:10 362.325 +4 *1600:10 *1600:12 4.5 +5 *1600:12 *1600:13 75.5393 +6 *1600:13 *2471:wb_adr_i[27] 0.366399 +7 *1600:7 *1600:23 18.6965 +8 *1600:23 *1600:24 126.947 +9 *1600:24 *2472:mprj_adr_o_core[27] 44.149 +*END + +*D_NET *1601 0.278265 +*CONN +*I *2471:wb_adr_i[28] I *D housekeeping +*I *2472:mprj_adr_o_core[28] I *D mgmt_protect +*I *2478:mprj_adr_o[28] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_adr_i[28] 1.28869e-05 +2 *2472:mprj_adr_o_core[28] 0.00317045 +3 *2478:mprj_adr_o[28] 0.00129317 +4 *1601:22 0.00314673 +5 *1601:21 0.00313384 +6 *1601:19 0.0021363 +7 *1601:12 0.00317045 +8 *1601:10 0.00644586 +9 *1601:9 0.00987533 +10 *2472:mprj_adr_o_core[28] *2472:mprj_dat_o_core[27] 0 +11 *2472:mprj_adr_o_core[28] *1669:5 0 +12 *1601:9 *2478:mprj_dat_i[28] 0.000697318 +13 *1601:9 *1732:24 0 +14 *1601:10 *1652:12 7.46601e-05 +15 *1601:10 *1669:8 0.0877024 +16 *1601:10 *1716:10 0.00359011 +17 *1601:10 *1731:24 8.41339e-05 +18 *1601:10 *1733:10 0.0874858 +19 *1601:19 *1602:10 0.0321746 +20 *1601:19 *1669:8 0.000113968 +21 *1601:19 *1733:10 0.000783723 +22 *1601:19 *1733:19 0.032593 +23 *1601:22 *1602:13 0 +24 *867:9 *2472:mprj_adr_o_core[28] 8.5712e-05 +25 *1600:10 *1601:19 0.000494521 +26 *1600:13 *1601:22 0 +*RES +1 *2478:mprj_adr_o[28] *1601:9 37.2439 +2 *1601:9 *1601:10 928.575 +3 *1601:10 *1601:12 4.5 +4 *1601:12 *2472:mprj_adr_o_core[28] 56.7674 +5 *1601:9 *1601:19 350.124 +6 *1601:19 *1601:21 4.5 +7 *1601:21 *1601:22 74.7088 +8 *1601:22 *2471:wb_adr_i[28] 0.366399 +*END + +*D_NET *1602 0.265284 +*CONN +*I *2472:mprj_adr_o_core[29] I *D mgmt_protect +*I *2471:wb_adr_i[29] I *D housekeeping +*I *2478:mprj_adr_o[29] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_adr_o_core[29] 0.00163239 +2 *2471:wb_adr_i[29] 1.28869e-05 +3 *2478:mprj_adr_o[29] 0.00147006 +4 *1602:30 0.0038872 +5 *1602:29 0.00282804 +6 *1602:24 0.00607205 +7 *1602:23 0.00615351 +8 *1602:13 0.00316474 +9 *1602:12 0.00315185 +10 *1602:10 0.00208655 +11 *1602:9 0.00208655 +12 *1602:7 0.00212475 +13 *2472:mprj_adr_o_core[29] *2472:mprj_dat_o_core[28] 0 +14 *2472:mprj_adr_o_core[29] *1670:7 0 +15 *1602:7 *1670:11 0 +16 *1602:7 *1733:9 0 +17 *1602:10 *1605:10 0.000135103 +18 *1602:10 *1733:19 0.000113197 +19 *1602:13 *1604:13 0 +20 *1602:23 *2411:14 0 +21 *1602:24 *1604:24 0.066805 +22 *1602:24 *1605:24 0.000228912 +23 *1602:30 *1604:30 0.00890252 +24 *1602:30 *1713:10 0.00129656 +25 *879:10 *1602:24 0.000277488 +26 *1143:15 *2472:mprj_adr_o_core[29] 2.95757e-05 +27 *1143:16 *1602:30 6.08467e-05 +28 *1257:15 *2472:mprj_adr_o_core[29] 6.9233e-05 +29 *1265:16 *1602:30 0.000134848 +30 *1271:10 *1602:30 0 +31 *1589:22 *1602:30 0.0172104 +32 *1597:16 *1602:24 0.0005862 +33 *1598:18 *1602:24 0.0630006 +34 *1598:24 *1602:30 0.000733508 +35 *1600:10 *1602:10 0.0319155 +36 *1600:24 *1602:24 0.00693877 +37 *1601:19 *1602:10 0.0321746 +38 *1601:22 *1602:13 0 +*RES +1 *2478:mprj_adr_o[29] *1602:7 31.4737 +2 *1602:7 *1602:9 4.5 +3 *1602:9 *1602:10 340.141 +4 *1602:10 *1602:12 4.5 +5 *1602:12 *1602:13 75.124 +6 *1602:13 *2471:wb_adr_i[29] 0.366399 +7 *1602:7 *1602:23 20.4506 +8 *1602:23 *1602:24 719.49 +9 *1602:24 *1602:29 19.137 +10 *1602:29 *1602:30 215.355 +11 *1602:30 *2472:mprj_adr_o_core[29] 35.1065 +*END + +*D_NET *1603 0.289569 +*CONN +*I *2471:wb_adr_i[2] I *D housekeeping +*I *2472:mprj_adr_o_core[2] I *D mgmt_protect +*I *2478:mprj_adr_o[2] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_adr_i[2] 1.28869e-05 +2 *2472:mprj_adr_o_core[2] 0.00281483 +3 *2478:mprj_adr_o[2] 0.00101343 +4 *1603:25 0.0035851 +5 *1603:24 0.00357221 +6 *1603:22 0.00520174 +7 *1603:21 0.00596138 +8 *1603:12 0.00281483 +9 *1603:10 0.00525662 +10 *1603:9 0.00525662 +11 *1603:7 0.00177307 +12 *2472:mprj_adr_o_core[2] *2472:mprj_dat_o_core[2] 0 +13 *2472:mprj_adr_o_core[2] *2472:mprj_sel_o_core[1] 0 +14 *2472:mprj_adr_o_core[2] *1671:7 0 +15 *1603:7 *1671:11 0 +16 *1603:10 *1606:10 7.24449e-05 +17 *1603:10 *1674:8 0.0646009 +18 *1603:10 *1722:10 0.000139836 +19 *1603:21 *1671:11 0 +20 *1603:22 *1606:25 0.0620738 +21 *1603:22 *1659:8 0.00139457 +22 *1603:22 *1739:16 0.0595971 +23 *1603:25 *1606:28 0 +24 *2471:wb_adr_i[1] *1603:25 0 +25 *607:13 *2472:mprj_adr_o_core[2] 0 +26 *729:11 *2472:mprj_adr_o_core[2] 0.000838174 +27 *1241:9 *2472:mprj_adr_o_core[2] 0 +28 *1369:10 *1603:10 3.58963e-05 +29 *1371:12 *1603:10 0.000114491 +30 *1592:24 *1603:10 0.063439 +*RES +1 *2478:mprj_adr_o[2] *1603:7 24.8296 +2 *1603:7 *1603:9 4.5 +3 *1603:9 *1603:10 693.423 +4 *1603:10 *1603:12 4.5 +5 *1603:12 *2472:mprj_adr_o_core[2] 62.9962 +6 *1603:7 *1603:21 17.5438 +7 *1603:21 *1603:22 684.55 +8 *1603:22 *1603:24 4.5 +9 *1603:24 *1603:25 81.3528 +10 *1603:25 *2471:wb_adr_i[2] 0.366399 +*END + +*D_NET *1604 0.251196 +*CONN +*I *2472:mprj_adr_o_core[30] I *D mgmt_protect +*I *2471:wb_adr_i[30] I *D housekeeping +*I *2478:mprj_adr_o[30] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_adr_o_core[30] 0.00164397 +2 *2471:wb_adr_i[30] 1.28869e-05 +3 *2478:mprj_adr_o[30] 0.00174507 +4 *1604:30 0.00567088 +5 *1604:29 0.00465371 +6 *1604:24 0.00699577 +7 *1604:23 0.00686731 +8 *1604:13 0.00327277 +9 *1604:12 0.00325988 +10 *1604:10 0.00233429 +11 *1604:9 0.00233429 +12 *1604:7 0.00224341 +13 *2472:mprj_adr_o_core[30] *2472:mprj_dat_o_core[29] 0 +14 *2472:mprj_adr_o_core[30] *1672:5 0 +15 *1604:7 *2478:mprj_dat_i[30] 0 +16 *1604:7 *1734:7 0 +17 *1604:7 *1734:24 1.77537e-06 +18 *1604:10 *1605:10 0.000246253 +19 *1604:10 *1739:16 0 +20 *1604:13 *1605:13 0 +21 *1604:23 *1734:7 0 +22 *1604:24 *1605:24 0.0072481 +23 *1604:30 *1713:10 0.00177768 +24 *624:11 *1604:29 0 +25 *1265:16 *1604:30 0.00409855 +26 *1268:16 *1604:30 0.00136434 +27 *1271:10 *1604:30 0 +28 *1274:16 *1604:30 0.00165041 +29 *1275:20 *1604:30 0 +30 *1596:21 *1604:10 0.0295837 +31 *1597:16 *1604:24 0.058576 +32 *1597:39 *1604:10 0.0298428 +33 *1598:37 *1604:10 6.44576e-05 +34 *1602:13 *1604:13 0 +35 *1602:24 *1604:24 0.066805 +36 *1602:30 *1604:30 0.00890252 +*RES +1 *2478:mprj_adr_o[30] *1604:7 36.0659 +2 *1604:7 *1604:9 4.5 +3 *1604:9 *1604:10 329.049 +4 *1604:10 *1604:12 4.5 +5 *1604:12 *1604:13 78.0308 +6 *1604:13 *2471:wb_adr_i[30] 0.366399 +7 *1604:7 *1604:23 17.1286 +8 *1604:23 *1604:24 716.717 +9 *1604:24 *1604:29 20.3828 +10 *1604:29 *1604:30 225.892 +11 *1604:30 *2472:mprj_adr_o_core[30] 34.276 +*END + +*D_NET *1605 0.27998 +*CONN +*I *2472:mprj_adr_o_core[31] I *D mgmt_protect +*I *2471:wb_adr_i[31] I *D housekeeping +*I *2478:mprj_adr_o[31] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_adr_o_core[31] 0.00234614 +2 *2471:wb_adr_i[31] 1.28869e-05 +3 *2478:mprj_adr_o[31] 0.00166943 +4 *1605:24 0.0214408 +5 *1605:23 0.019647 +6 *1605:13 0.00320513 +7 *1605:12 0.00319224 +8 *1605:10 0.00212403 +9 *1605:9 0.00212403 +10 *1605:7 0.00222178 +11 *2472:mprj_adr_o_core[31] *2472:mprj_dat_o_core[30] 0 +12 *2472:mprj_adr_o_core[31] *1673:7 0 +13 *1605:7 *1673:11 0 +14 *1605:7 *1736:9 0 +15 *1605:10 *1733:19 3.18408e-05 +16 *1605:13 *2471:wb_dat_i[0] 0 +17 *1605:23 *1673:11 0 +18 *1605:24 *1647:10 0 +19 *870:16 *1605:24 0.000409538 +20 *879:10 *1605:24 0.000195504 +21 *890:10 *1605:24 0.0442856 +22 *891:10 *1605:24 0.000915019 +23 *1137:16 *1605:24 0.00140471 +24 *1597:16 *1605:24 0.0109635 +25 *1597:24 *1605:24 0.00121289 +26 *1598:37 *1605:10 0.0286278 +27 *1599:10 *1605:10 0.0288869 +28 *1600:10 *1605:10 0.000113197 +29 *1600:24 *1605:24 0.0970915 +30 *1602:10 *1605:10 0.000135103 +31 *1602:24 *1605:24 0.000228912 +32 *1604:10 *1605:10 0.000246253 +33 *1604:13 *1605:13 0 +34 *1604:24 *1605:24 0.0072481 +*RES +1 *2478:mprj_adr_o[31] *1605:7 33.8431 +2 *1605:7 *1605:9 4.5 +3 *1605:9 *1605:10 316.293 +4 *1605:10 *1605:12 4.5 +5 *1605:12 *1605:13 76.3698 +6 *1605:13 *2471:wb_adr_i[31] 0.366399 +7 *1605:7 *1605:23 17.0355 +8 *1605:23 *1605:24 131.231 +9 *1605:24 *2472:mprj_adr_o_core[31] 44.9795 +*END + +*D_NET *1606 0.266927 +*CONN +*I *2471:wb_adr_i[3] I *D housekeeping +*I *2472:mprj_adr_o_core[3] I *D mgmt_protect +*I *2478:mprj_adr_o[3] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_adr_i[3] 1.28869e-05 +2 *2472:mprj_adr_o_core[3] 0.00278551 +3 *2478:mprj_adr_o[3] 0.00105849 +4 *1606:28 0.00356125 +5 *1606:27 0.00354837 +6 *1606:25 0.00675734 +7 *1606:24 0.00769382 +8 *1606:12 0.00278551 +9 *1606:10 0.00525833 +10 *1606:9 0.0072533 +11 *2472:mprj_adr_o_core[3] *2472:mprj_sel_o_core[2] 0 +12 *2472:mprj_adr_o_core[3] *1674:5 0.000754523 +13 *1606:9 *2478:mprj_dat_i[3] 0 +14 *1606:9 *2185:25 0 +15 *1606:10 *1607:10 0.054765 +16 *1606:10 *1607:16 0 +17 *1606:10 *1609:19 0.00303273 +18 *1606:10 *1674:8 0.0664828 +19 *1606:24 *1607:10 0.00122278 +20 *1606:24 *1674:8 0.000259093 +21 *1606:24 *1722:10 0 +22 *1606:24 *1738:9 0 +23 *1606:24 *2186:23 0 +24 *1606:25 *1659:8 0.0226635 +25 *1606:25 *1739:16 0.000113197 +26 *1606:28 *2471:wb_adr_i[4] 0 +27 *1606:28 *1608:13 0 +28 *606:14 *1606:10 0.00420899 +29 *1247:10 *1606:10 0 +30 *1369:9 *2472:mprj_adr_o_core[3] 0 +31 *1371:12 *1606:10 0.000127812 +32 *1590:27 *1606:25 0.0104359 +33 *1603:10 *1606:10 7.24449e-05 +34 *1603:22 *1606:25 0.0620738 +35 *1603:25 *1606:28 0 +*RES +1 *2478:mprj_adr_o[3] *1606:9 30.1601 +2 *1606:9 *1606:10 704.515 +3 *1606:10 *1606:12 4.5 +4 *1606:12 *2472:mprj_adr_o_core[3] 62.1657 +5 *1606:9 *1606:24 33.7227 +6 *1606:24 *1606:25 654.601 +7 *1606:25 *1606:27 4.5 +8 *1606:27 *1606:28 80.9375 +9 *1606:28 *2471:wb_adr_i[3] 0.366399 +*END + +*D_NET *1607 0.282826 +*CONN +*I *2471:wb_adr_i[4] I *D housekeeping +*I *2472:mprj_adr_o_core[4] I *D mgmt_protect +*I *2478:mprj_adr_o[4] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_adr_i[4] 0.00141188 +2 *2472:mprj_adr_o_core[4] 0.00242703 +3 *2478:mprj_adr_o[4] 0.00138232 +4 *1607:35 0.00486747 +5 *1607:33 0.00352007 +6 *1607:31 0.00153403 +7 *1607:30 0.0015886 +8 *1607:18 0.00242703 +9 *1607:16 0.00159319 +10 *1607:15 0.00177511 +11 *1607:10 0.00440137 +12 *1607:9 0.00548273 +13 *2471:wb_adr_i[4] *1608:13 0 +14 *2472:mprj_adr_o_core[4] *2472:mprj_sel_o_core[3] 0 +15 *2472:mprj_adr_o_core[4] *1675:7 0 +16 *1607:9 *1675:11 0 +17 *1607:9 *1713:31 2.84204e-05 +18 *1607:9 *2186:7 0.000591946 +19 *1607:9 *2186:23 8.3205e-05 +20 *1607:10 *1609:19 0.056494 +21 *1607:10 *1722:10 0 +22 *1607:10 *1735:10 0.000139878 +23 *1607:16 *1611:10 0.000147439 +24 *1607:16 *1650:8 0.00114063 +25 *1607:16 *1652:8 3.61993e-05 +26 *1607:16 *1654:10 0.00466341 +27 *1607:16 *1676:8 0 +28 *1607:16 *1678:8 2.53589e-05 +29 *1607:16 *1680:8 0.000159317 +30 *1607:16 *2195:10 0.000369269 +31 *1607:31 *1609:33 0.00657794 +32 *1607:31 *1738:31 0.000607553 +33 *1607:31 *1740:29 0.00277355 +34 *1607:31 *1740:31 0 +35 *1607:31 *1742:7 0 +36 *1607:31 *1744:33 5.80259e-05 +37 *1607:35 *1609:33 0.00020924 +38 *1607:35 *1716:27 0.0494227 +39 *1607:35 *1744:33 0.0524965 +40 *99:10 *1607:35 0.000510617 +41 *100:77 *1607:31 0.00130552 +42 *480:17 *1607:31 0.0011778 +43 *480:17 *1607:35 0.00485587 +44 *606:11 *2472:mprj_adr_o_core[4] 0.00191532 +45 *606:14 *1607:16 0 +46 *865:9 *1607:15 3.479e-05 +47 *865:10 *1607:16 0.0019047 +48 *1122:9 *1607:15 0 +49 *1242:9 *2472:mprj_adr_o_core[4] 6.22114e-05 +50 *1247:10 *1607:16 0.00663637 +51 *1606:10 *1607:10 0.054765 +52 *1606:10 *1607:16 0 +53 *1606:24 *1607:10 0.00122278 +54 *1606:28 *2471:wb_adr_i[4] 0 +*RES +1 *2478:mprj_adr_o[4] *1607:9 38.0744 +2 *1607:9 *1607:10 596.922 +3 *1607:10 *1607:15 12.493 +4 *1607:15 *1607:16 117.745 +5 *1607:16 *1607:18 4.5 +6 *1607:18 *2472:mprj_adr_o_core[4] 58.4284 +7 *2478:mprj_adr_o[4] *1607:30 6.93045 +8 *1607:30 *1607:31 99.4428 +9 *1607:31 *1607:33 1.80849 +10 *1607:33 *1607:35 548.672 +11 *1607:35 *2471:wb_adr_i[4] 41.7872 +*END + +*D_NET *1608 0.265042 +*CONN +*I *2472:mprj_adr_o_core[5] I *D mgmt_protect +*I *2471:wb_adr_i[5] I *D housekeeping +*I *2478:mprj_adr_o[5] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_adr_o_core[5] 0.00120807 +2 *2471:wb_adr_i[5] 1.28869e-05 +3 *2478:mprj_adr_o[5] 0.000997981 +4 *1608:30 0.00574489 +5 *1608:29 0.00504333 +6 *1608:24 0.00461302 +7 *1608:23 0.00553067 +8 *1608:13 0.00289278 +9 *1608:12 0.00287989 +10 *1608:10 0.00551429 +11 *1608:9 0.00551429 +12 *1608:7 0.00242215 +13 *2472:mprj_adr_o_core[5] *2472:mprj_dat_o_core[4] 0 +14 *2472:mprj_adr_o_core[5] *1676:5 0 +15 *1608:7 *2478:mprj_dat_i[5] 0 +16 *1608:7 *1739:12 0 +17 *1608:10 *1609:19 0 +18 *1608:10 *1610:10 0.00407263 +19 *1608:10 *1678:8 0 +20 *1608:10 *1714:15 0.000142393 +21 *1608:10 *1714:27 0.000605222 +22 *1608:10 *1715:10 0.0509566 +23 *1608:10 *1715:26 0.000596133 +24 *1608:10 *1717:10 0.0480744 +25 *1608:10 *1722:10 0.00203045 +26 *1608:10 *1735:10 0.00413509 +27 *1608:10 *1739:12 2.2836e-05 +28 *1608:13 *2471:wb_adr_i[6] 0 +29 *1608:13 *1610:13 0 +30 *1608:23 *2478:mprj_dat_i[5] 0 +31 *1608:23 *1739:12 0 +32 *1608:23 *1739:13 0 +33 *1608:23 *1739:29 0 +34 *1608:24 *1649:14 0.0153927 +35 *1608:24 *1653:16 0.00397241 +36 *1608:24 *1660:14 0.00950683 +37 *1608:30 *1610:30 0.0384626 +38 *1608:30 *1735:24 0.00456436 +39 *2471:wb_adr_i[4] *1608:13 0 +40 *626:11 *1608:29 0 +41 *882:12 *1608:24 0.00137341 +42 *885:10 *1608:24 0.0015295 +43 *1252:10 *1608:30 0.000520301 +44 *1275:10 *1608:24 0.00246032 +45 *1275:14 *1608:24 0.00142453 +46 *1275:20 *1608:30 0.0299719 +47 *1586:24 *1608:24 0.00285289 +48 *1606:28 *1608:13 0 +*RES +1 *2478:mprj_adr_o[5] *1608:7 23.9991 +2 *1608:7 *1608:9 4.5 +3 *1608:9 *1608:10 637.963 +4 *1608:10 *1608:12 4.5 +5 *1608:12 *1608:13 67.6495 +6 *1608:13 *2471:wb_adr_i[5] 0.366399 +7 *1608:7 *1608:23 32.4929 +8 *1608:23 *1608:24 305.201 +9 *1608:24 *1608:29 19.9675 +10 *1608:29 *1608:30 419.449 +11 *1608:30 *2472:mprj_adr_o_core[5] 29.7083 +*END + +*D_NET *1609 0.28635 +*CONN +*I *2471:wb_adr_i[6] I *D housekeeping +*I *2472:mprj_adr_o_core[6] I *D mgmt_protect +*I *2478:mprj_adr_o[6] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_adr_i[6] 0.0014479 +2 *2472:mprj_adr_o_core[6] 0.00295234 +3 *2478:mprj_adr_o[6] 2.00393e-05 +4 *1609:37 0.00442062 +5 *1609:35 0.0030161 +6 *1609:33 0.00102954 +7 *1609:32 0.00114229 +8 *1609:21 0.00295234 +9 *1609:19 0.00574112 +10 *1609:18 0.00716474 +11 *1609:5 0.00159979 +12 *2471:wb_adr_i[6] *1610:13 0 +13 *2472:mprj_adr_o_core[6] *2472:mprj_dat_o_core[5] 0 +14 *2472:mprj_adr_o_core[6] *1676:5 0 +15 *2472:mprj_adr_o_core[6] *1677:7 0 +16 *1609:18 *1677:11 0 +17 *1609:18 *1740:11 0 +18 *1609:18 *1740:29 0 +19 *1609:19 *1676:8 0.0682756 +20 *1609:19 *1678:8 0.000508612 +21 *1609:19 *1722:10 0 +22 *1609:19 *1735:10 0.000246453 +23 *1609:19 *1739:12 0.00063214 +24 *1609:19 *2195:10 0.000419621 +25 *1609:33 *1716:27 1.00937e-05 +26 *1609:35 *1716:25 3.65965e-05 +27 *1609:37 *1611:33 0.0010746 +28 *1609:37 *1611:35 0.0474779 +29 *1609:37 *1716:27 0.0498943 +30 *99:10 *1609:37 0.000424011 +31 *100:77 *1609:33 0.00970483 +32 *100:77 *1609:37 7.02172e-06 +33 *480:17 *1609:33 0.00134687 +34 *480:17 *1609:37 0.00507928 +35 *606:14 *1609:19 0.00304707 +36 *866:15 *2472:mprj_adr_o_core[6] 0.000309256 +37 *986:9 *2472:mprj_adr_o_core[6] 5.55772e-05 +38 *1606:10 *1609:19 0.00303273 +39 *1607:10 *1609:19 0.056494 +40 *1607:31 *1609:33 0.00657794 +41 *1607:35 *1609:33 0.00020924 +42 *1608:10 *1609:19 0 +43 *1608:13 *2471:wb_adr_i[6] 0 +*RES +1 *2478:mprj_adr_o[6] *1609:5 0.366399 +2 *1609:5 *1609:18 37.5126 +3 *1609:18 *1609:19 733.909 +4 *1609:19 *1609:21 4.5 +5 *1609:21 *2472:mprj_adr_o_core[6] 61.3352 +6 *1609:5 *1609:32 7.15029 +7 *1609:32 *1609:33 101.661 +8 *1609:33 *1609:35 1.39857 +9 *1609:35 *1609:37 521.496 +10 *1609:37 *2471:wb_adr_i[6] 42.6177 +*END + +*D_NET *1610 0.284047 +*CONN +*I *2472:mprj_adr_o_core[7] I *D mgmt_protect +*I *2471:wb_adr_i[7] I *D housekeeping +*I *2478:mprj_adr_o[7] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_adr_o_core[7] 0.00120165 +2 *2471:wb_adr_i[7] 1.28869e-05 +3 *2478:mprj_adr_o[7] 0.00111828 +4 *1610:30 0.00425553 +5 *1610:29 0.00358295 +6 *1610:24 0.00279449 +7 *1610:23 0.00356162 +8 *1610:13 0.00293509 +9 *1610:12 0.0029222 +10 *1610:10 0.00547491 +11 *1610:9 0.00547491 +12 *1610:7 0.00241448 +13 *2472:mprj_adr_o_core[7] *2472:mprj_dat_o_core[6] 0 +14 *2472:mprj_adr_o_core[7] *1677:7 0 +15 *2472:mprj_adr_o_core[7] *1678:5 0 +16 *1610:7 *2478:mprj_dat_i[7] 0 +17 *1610:7 *1741:7 0 +18 *1610:10 *1611:10 0 +19 *1610:10 *1612:10 0.00244771 +20 *1610:10 *1678:8 0 +21 *1610:10 *1680:8 0 +22 *1610:10 *1715:26 0.000591935 +23 *1610:10 *1717:10 0.0486055 +24 *1610:10 *1719:10 0.0457232 +25 *1610:10 *1741:10 0.00443437 +26 *1610:13 *2471:wb_adr_i[8] 0 +27 *1610:13 *1612:13 0 +28 *1610:23 *2478:mprj_dat_i[7] 0 +29 *1610:23 *1741:21 0 +30 *1610:24 *1612:24 0.0015295 +31 *1610:24 *1649:14 0.001368 +32 *1610:24 *1651:14 0.0249536 +33 *1610:24 *1653:16 0.0234707 +34 *1610:30 *1673:8 0.00334468 +35 *1610:30 *1732:10 0.000281315 +36 *1610:30 *1737:16 0.0243318 +37 *2471:wb_adr_i[6] *1610:13 0 +38 *626:11 *1610:29 0 +39 *884:10 *1610:24 0.0026351 +40 *885:10 *1610:24 0.00448322 +41 *1252:10 *1610:30 0.0142046 +42 *1266:7 *1610:29 0.000173308 +43 *1588:24 *1610:24 0.00318468 +44 *1608:10 *1610:10 0.00407263 +45 *1608:13 *1610:13 0 +46 *1608:30 *1610:30 0.0384626 +*RES +1 *2478:mprj_adr_o[7] *1610:7 26.5151 +2 *1610:7 *1610:9 4.5 +3 *1610:9 *1610:10 614.67 +4 *1610:10 *1610:12 4.5 +5 *1610:12 *1610:13 68.48 +6 *1610:13 *2471:wb_adr_i[7] 0.366399 +7 *1610:7 *1610:23 30.4166 +8 *1610:23 *1610:24 326.276 +9 *1610:24 *1610:29 21.6286 +10 *1610:29 *1610:30 414.458 +11 *1610:30 *2472:mprj_adr_o_core[7] 29.293 +*END + +*D_NET *1611 0.283249 +*CONN +*I *2471:wb_adr_i[8] I *D housekeeping +*I *2472:mprj_adr_o_core[8] I *D mgmt_protect +*I *2478:mprj_adr_o[8] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_adr_i[8] 0.00146248 +2 *2472:mprj_adr_o_core[8] 0.00275439 +3 *2478:mprj_adr_o[8] 0.00137118 +4 *1611:35 0.00432453 +5 *1611:33 0.00339762 +6 *1611:25 0.00219542 +7 *1611:24 0.00177342 +8 *1611:12 0.00275439 +9 *1611:10 0.00543752 +10 *1611:9 0.00669514 +11 *2471:wb_adr_i[8] *1612:13 0 +12 *2472:mprj_adr_o_core[8] *2472:mprj_dat_o_core[7] 0 +13 *2472:mprj_adr_o_core[8] *1679:7 0.000269015 +14 *1611:9 *1679:11 0 +15 *1611:10 *1678:8 0.0699197 +16 *1611:10 *1680:8 0.0701852 +17 *1611:10 *1718:10 0.000383594 +18 *1611:10 *2195:10 0.0018508 +19 *1611:25 *1744:31 0.000432613 +20 *1611:25 *2193:11 0.002931 +21 *1611:33 *1716:9 0 +22 *1611:35 *1716:27 0.000226394 +23 *1611:35 *1718:25 0.0476573 +24 *99:10 *1611:35 0.000390675 +25 *100:77 *1611:33 0.00107703 +26 *100:77 *1611:35 8.75392e-05 +27 *113:17 *1611:25 0.000937295 +28 *480:17 *1611:33 0.000319727 +29 *480:17 *1611:35 0.0051791 +30 *731:11 *2472:mprj_adr_o_core[8] 0.000418286 +31 *1248:10 *1611:10 0.000118134 +32 *1573:10 *1611:25 0 +33 *1607:16 *1611:10 0.000147439 +34 *1609:37 *1611:33 0.0010746 +35 *1609:37 *1611:35 0.0474779 +36 *1610:10 *1611:10 0 +37 *1610:13 *2471:wb_adr_i[8] 0 +*RES +1 *2478:mprj_adr_o[8] *1611:9 34.4592 +2 *1611:9 *1611:10 749.993 +3 *1611:10 *1611:12 4.5 +4 *1611:12 *2472:mprj_adr_o_core[8] 60.0894 +5 *2478:mprj_adr_o[8] *1611:24 6.93045 +6 *1611:24 *1611:25 72.2673 +7 *1611:25 *1611:33 38.7509 +8 *1611:33 *1611:35 501.808 +9 *1611:35 *2471:wb_adr_i[8] 43.033 +*END + +*D_NET *1612 0.278618 +*CONN +*I *2472:mprj_adr_o_core[9] I *D mgmt_protect +*I *2471:wb_adr_i[9] I *D housekeeping +*I *2478:mprj_adr_o[9] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_adr_o_core[9] 0.000822238 +2 *2471:wb_adr_i[9] 1.28869e-05 +3 *2478:mprj_adr_o[9] 0.000829011 +4 *1612:36 0.00182195 +5 *1612:35 0.00108193 +6 *1612:30 0.00235844 +7 *1612:29 0.00349974 +8 *1612:24 0.00343304 +9 *1612:23 0.00306922 +10 *1612:13 0.00298603 +11 *1612:12 0.00297314 +12 *1612:10 0.0063895 +13 *1612:9 0.0063895 +14 *1612:7 0.0016887 +15 *2472:mprj_adr_o_core[9] *2472:mprj_dat_o_core[8] 0 +16 *2472:mprj_adr_o_core[9] *1680:5 0 +17 *1612:7 *2478:mprj_dat_i[9] 0 +18 *1612:10 *2478:irq[0] 0.000324592 +19 *1612:10 *1650:8 0 +20 *1612:10 *1654:10 0 +21 *1612:10 *1680:8 1.41317e-05 +22 *1612:10 *1719:10 0.00122553 +23 *1612:10 *1721:10 0.0435076 +24 *1612:10 *1723:12 0.00106121 +25 *1612:10 *1723:25 0.040507 +26 *1612:10 *1736:10 0 +27 *1612:10 *1741:10 0.00126156 +28 *1612:24 *1651:14 0.0280718 +29 *1612:30 *1653:10 0.032419 +30 *1612:30 *1741:24 0.00333812 +31 *1612:35 *1663:7 9.98011e-06 +32 *1612:36 *1649:8 0.000322019 +33 *1612:36 *1651:8 0.00661635 +34 *1612:36 *1655:8 0.00418477 +35 *2471:wb_adr_i[10] *1612:13 0 +36 *2471:wb_adr_i[8] *1612:13 0 +37 *2472:mprj_adr_o_core[10] *1612:36 0.000339591 +38 *2472:mprj_adr_o_core[22] *1612:35 0 +39 *610:8 *1612:36 0.00254977 +40 *884:7 *1612:29 3.60933e-06 +41 *884:10 *1612:24 0.000167076 +42 *1581:24 *1612:36 0 +43 *1582:13 *1612:7 0.00119747 +44 *1582:13 *1612:23 0.00125403 +45 *1587:10 *1612:10 0.00147241 +46 *1589:16 *1612:24 0.0316508 +47 *1590:18 *1612:30 0.032419 +48 *1591:28 *1612:24 0.00336822 +49 *1610:10 *1612:10 0.00244771 +50 *1610:13 *1612:13 0 +51 *1610:24 *1612:24 0.0015295 +*RES +1 *2478:mprj_adr_o[9] *1612:7 26.0754 +2 *1612:7 *1612:9 4.5 +3 *1612:9 *1612:10 587.494 +4 *1612:10 *1612:12 4.5 +5 *1612:12 *1612:13 69.7257 +6 *1612:13 *2471:wb_adr_i[9] 0.366399 +7 *1612:7 *1612:23 28.3404 +8 *1612:23 *1612:24 332.931 +9 *1612:24 *1612:29 31.1794 +10 *1612:29 *1612:30 341.805 +11 *1612:30 *1612:35 10.4167 +12 *1612:35 *1612:36 86.1323 +13 *1612:36 *2472:mprj_adr_o_core[9] 21.8185 +*END + +*D_NET *1613 0.128188 +*CONN +*I *2473:wbs_adr_i[0] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[0] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[0] 0.00202471 +2 *2472:mprj_adr_o_user[0] 0.00130455 +3 *1613:10 0.00202471 +4 *1613:8 0.00607449 +5 *1613:7 0.00737905 +6 *1613:7 *2472:mprj_dat_i_user[0] 0 +7 *1613:7 *2196:7 0 +8 *1613:8 *1681:8 0.0689307 +9 *1613:8 *1758:8 0.000106589 +10 *1613:8 *2182:8 0.0312624 +11 *1613:8 *2187:8 0.00869571 +12 *1373:8 *1613:8 0.000385391 +*RES +1 *2472:mprj_adr_o_user[0] *1613:7 34.6913 +2 *1613:7 *1613:8 736.128 +3 *1613:8 *1613:10 4.5 +4 *1613:10 *2473:wbs_adr_i[0] 53.8607 +*END + +*D_NET *1614 0.130539 +*CONN +*I *2473:wbs_adr_i[10] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[10] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[10] 0.00208803 +2 *2472:mprj_adr_o_user[10] 0.0014488 +3 *1614:10 0.00208803 +4 *1614:8 0.00383392 +5 *1614:7 0.00528273 +6 *1614:7 *2472:mprj_dat_i_user[10] 0 +7 *1614:7 *1776:7 0 +8 *1614:8 *1644:8 0.0549021 +9 *1614:8 *1746:8 0.0549294 +10 *1614:8 *2189:8 0.00558952 +11 *1072:5 *1614:7 7.65861e-05 +12 *1445:8 *1614:8 0.00030032 +*RES +1 *2472:mprj_adr_o_user[10] *1614:7 38.0133 +2 *1614:7 *1614:8 584.721 +3 *1614:8 *1614:10 4.5 +4 *1614:10 *2473:wbs_adr_i[10] 50.5386 +*END + +*D_NET *1615 0.0854113 +*CONN +*I *2473:wbs_adr_i[11] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[11] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[11] 0.00297764 +2 *2472:mprj_adr_o_user[11] 0.000487132 +3 *1615:10 0.00297764 +4 *1615:8 0.0139231 +5 *1615:7 0.0144103 +6 *1615:7 *2472:mprj_dat_i_user[11] 0 +7 *1615:7 *1746:7 0 +8 *469:25 *1615:8 0.00645101 +9 *1580:8 *1615:8 0.0441844 +*RES +1 *2472:mprj_adr_o_user[11] *1615:7 17.2507 +2 *1615:7 *1615:8 571.965 +3 *1615:8 *1615:10 4.5 +4 *1615:10 *2473:wbs_adr_i[11] 71.3012 +*END + +*D_NET *1616 0.103049 +*CONN +*I *2473:wbs_adr_i[12] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[12] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[12] 0.00192783 +2 *2472:mprj_adr_o_user[12] 0.00165641 +3 *1616:8 0.0155514 +4 *1616:7 0.01528 +5 *1616:7 *2472:mprj_dat_i_user[12] 0 +6 *1616:7 *1747:7 0 +7 *1616:8 *1633:14 0.000287711 +8 *1616:8 *1684:8 0.00712931 +9 *1616:8 *1687:10 0.00035954 +10 *1616:8 *1691:8 0.00275392 +11 *1616:8 *1747:8 0 +12 *1616:8 *1754:16 0.000199062 +13 *1616:8 *1775:8 0.0577811 +14 *2473:la_data_in[6] *1616:7 0 +15 *733:20 *1616:8 0.00012309 +*RES +1 *2472:mprj_adr_o_user[12] *1616:7 40.827 +2 *1616:7 *1616:8 76.999 +3 *1616:8 *2473:wbs_adr_i[12] 49.9625 +*END + +*D_NET *1617 0.117094 +*CONN +*I *2473:wbs_adr_i[13] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[13] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[13] 0.00142327 +2 *2472:mprj_adr_o_user[13] 0.00220129 +3 *1617:8 0.00525291 +4 *1617:7 0.00382964 +5 *1617:5 0.00220129 +6 *1617:5 *2472:mprj_dat_i_user[13] 0 +7 *1617:5 *1748:7 0 +8 *1617:5 *1749:5 0 +9 *1617:8 *1710:8 0.0472562 +10 *1617:8 *1749:8 0.0510686 +11 *1617:8 *1767:10 0.00186668 +12 *2473:la_oenb[6] *1617:5 0 +13 *805:8 *1617:8 0.00199376 +14 *1083:5 *1617:5 0 +*RES +1 *2472:mprj_adr_o_user[13] *1617:5 48.4624 +2 *1617:5 *1617:7 4.5 +3 *1617:7 *1617:8 545.344 +4 *1617:8 *2473:wbs_adr_i[13] 40.0896 +*END + +*D_NET *1618 0.118342 +*CONN +*I *2473:wbs_adr_i[14] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[14] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[14] 0.00207211 +2 *2472:mprj_adr_o_user[14] 0.00129354 +3 *1618:16 0.00207211 +4 *1618:14 0.00307114 +5 *1618:13 0.00311163 +6 *1618:8 0.000572829 +7 *1618:7 0.00182587 +8 *1618:7 *1686:11 0.000898055 +9 *1618:7 *1749:5 0 +10 *1618:8 *1689:8 0.000211478 +11 *1618:8 *1689:12 0.00176543 +12 *1618:8 *1752:12 0.00425295 +13 *1618:13 *1687:17 6.88675e-05 +14 *1618:14 *1685:8 0.0462205 +15 *1618:14 *1748:8 0.0462289 +16 *1618:14 *1773:8 0.00460768 +17 *1445:11 *1618:13 6.88675e-05 +*RES +1 *2472:mprj_adr_o_user[14] *1618:7 40.9201 +2 *1618:7 *1618:8 45.6463 +3 *1618:8 *1618:13 10.4167 +4 *1618:13 *1618:14 486.002 +5 *1618:14 *1618:16 4.5 +6 *1618:16 *2473:wbs_adr_i[14] 48.8776 +*END + +*D_NET *1619 0.111337 +*CONN +*I *2473:wbs_adr_i[15] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[15] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[15] 0.00134679 +2 *2472:mprj_adr_o_user[15] 0.0021673 +3 *1619:8 0.0053335 +4 *1619:7 0.00398671 +5 *1619:5 0.0021673 +6 *1619:5 *2472:mprj_dat_i_user[15] 0 +7 *1619:5 *1750:7 0 +8 *1619:5 *1751:5 0 +9 *1619:8 *1686:8 0.000313928 +10 *1619:8 *1688:8 0.045803 +11 *1619:8 *1751:8 0.0485346 +12 *1619:8 *2190:8 0.00147798 +13 *2473:la_data_in[7] *1619:5 0 +14 *1094:5 *1619:5 0.00020584 +*RES +1 *2472:mprj_adr_o_user[15] *1619:5 50.1234 +2 *1619:5 *1619:7 4.5 +3 *1619:7 *1619:8 518.723 +4 *1619:8 *2473:wbs_adr_i[15] 38.4285 +*END + +*D_NET *1620 0.0823198 +*CONN +*I *2473:wbs_adr_i[16] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[16] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[16] 0.00174213 +2 *2472:mprj_adr_o_user[16] 0.000823496 +3 *1620:14 0.00980119 +4 *1620:13 0.00911275 +5 *1620:8 0.0034094 +6 *1620:7 0.00317922 +7 *1620:7 *2472:mprj_dat_i_user[16] 0 +8 *1620:7 *1751:5 0 +9 *1620:8 *1687:18 0.00534892 +10 *1620:8 *1745:8 6.50586e-05 +11 *1620:13 *2472:mprj_dat_i_user[0] 0 +12 *1620:13 *1639:13 7.90019e-05 +13 *1620:14 *1627:10 0.000225466 +14 *1620:14 *1629:8 0.00213562 +15 *1620:14 *1645:16 0 +16 *1620:14 *1696:8 0.000131218 +17 *1620:14 *1698:8 0.0154731 +18 *1620:14 *1754:16 0 +19 *1620:14 *1763:8 0.0141561 +20 *1620:14 *1776:8 0.00339983 +21 *989:8 *1620:8 0.0126035 +22 *1028:5 *1620:13 0.000633876 +*RES +1 *2472:mprj_adr_o_user[16] *1620:7 26.8015 +2 *1620:7 *1620:8 134.937 +3 *1620:8 *1620:13 30.3488 +4 *1620:13 *1620:14 370.089 +5 *1620:14 *2473:wbs_adr_i[16] 45.0726 +*END + +*D_NET *1621 0.108124 +*CONN +*I *2473:wbs_adr_i[17] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[17] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[17] 0.00130855 +2 *2472:mprj_adr_o_user[17] 2.27669e-05 +3 *1621:10 0.00475211 +4 *1621:9 0.00344356 +5 *1621:7 0.00189852 +6 *1621:5 0.00192129 +7 *1621:7 *2472:mprj_dat_i_user[16] 0 +8 *1621:7 *2472:mprj_dat_i_user[17] 0 +9 *1621:7 *1752:10 0 +10 *1621:10 *1688:8 0.0461618 +11 *1621:10 *1753:8 0.0459494 +12 *1621:10 *1770:8 0.00118142 +13 *2473:la_data_in[8] *1621:7 0.0014842 +14 *805:8 *1621:10 0 +*RES +1 *2472:mprj_adr_o_user[17] *1621:5 0.647305 +2 *1621:5 *1621:7 51.0394 +3 *1621:7 *1621:9 4.5 +4 *1621:9 *1621:10 491.548 +5 *1621:10 *2473:wbs_adr_i[17] 37.598 +*END + +*D_NET *1622 0.101429 +*CONN +*I *2473:wbs_adr_i[18] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[18] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[18] 0.00202522 +2 *2472:mprj_adr_o_user[18] 0.0016241 +3 *1622:14 0.00202522 +4 *1622:12 0.00313335 +5 *1622:10 0.00315421 +6 *1622:8 0.000913635 +7 *1622:7 0.00251687 +8 *1622:7 *2472:mprj_dat_i_user[18] 0 +9 *1622:7 *1753:5 0 +10 *1622:8 *1689:8 1.67988e-05 +11 *1622:8 *1689:12 0.00660833 +12 *1622:10 *1689:10 9.95922e-06 +13 *1622:12 *1689:8 0.0382226 +14 *1622:12 *1691:8 0.0347793 +15 *1622:12 *1754:16 0 +16 *1622:12 *1775:8 0.00305068 +17 *783:8 *1622:8 0.0031296 +18 *783:8 *1622:12 7.68538e-06 +19 *1105:5 *1622:7 0.000102632 +20 *1478:8 *1622:8 0.000108585 +*RES +1 *2472:mprj_adr_o_user[18] *1622:7 42.1658 +2 *1622:7 *1622:8 76.1495 +3 *1622:8 *1622:10 0.578717 +4 *1622:10 *1622:12 402.256 +5 *1622:12 *1622:14 4.5 +6 *1622:14 *2473:wbs_adr_i[18] 46.8014 +*END + +*D_NET *1623 0.100794 +*CONN +*I *2473:wbs_adr_i[19] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[19] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[19] 0.0012592 +2 *2472:mprj_adr_o_user[19] 0.00222386 +3 *1623:8 0.00443123 +4 *1623:7 0.00317203 +5 *1623:5 0.00222386 +6 *1623:5 *2472:mprj_dat_i_user[18] 0 +7 *1623:5 *2472:mprj_dat_i_user[19] 0 +8 *1623:5 *1754:7 0 +9 *1623:5 *1755:5 0 +10 *1623:8 *1625:10 0.0420855 +11 *1623:8 *1641:8 0.000540546 +12 *1623:8 *1690:8 0.000897631 +13 *1623:8 *1753:8 0.00028978 +14 *1623:8 *1755:8 0.0434492 +15 *2473:la_oenb[8] *1623:5 0.000221328 +*RES +1 *2472:mprj_adr_o_user[19] *1623:5 52.1996 +2 *1623:5 *1623:7 4.5 +3 *1623:7 *1623:8 464.927 +4 *1623:8 *2473:wbs_adr_i[19] 36.3523 +*END + +*D_NET *1624 0.188183 +*CONN +*I *2473:wbs_adr_i[1] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[1] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[1] 0.00200502 +2 *2472:mprj_adr_o_user[1] 0.000923382 +3 *1624:10 0.00200502 +4 *1624:8 0.00550943 +5 *1624:7 0.00643281 +6 *1624:7 *1692:11 0.00175531 +7 *1624:7 *2187:7 0 +8 *1624:8 *1638:8 0.00721213 +9 *1624:8 *1640:8 0.00662577 +10 *1624:8 *1750:8 7.06288e-05 +11 *1624:8 *1756:8 0.0775824 +12 *1624:8 *2187:8 0.078061 +*RES +1 *2472:mprj_adr_o_user[1] *1624:7 34.1829 +2 *1624:7 *1624:8 99.1047 +3 *1624:8 *1624:10 3.36879 +4 *1624:10 *2473:wbs_adr_i[1] 53.2378 +*END + +*D_NET *1625 0.0992235 +*CONN +*I *2473:wbs_adr_i[20] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[20] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[20] 0.00124021 +2 *2472:mprj_adr_o_user[20] 6.22868e-05 +3 *1625:10 0.00432698 +4 *1625:9 0.00308676 +5 *1625:7 0.00178671 +6 *1625:5 0.001849 +7 *1625:7 *2472:mprj_dat_i_user[20] 0 +8 *1625:7 *1755:5 0.000878557 +9 *1625:10 *1690:8 0.0415631 +10 *1625:10 *1755:8 0.00020979 +11 *1625:10 *1757:8 0.000484464 +12 *1625:10 *1772:8 0.000685206 +13 *2473:la_data_in[9] *1625:7 0.000964996 +14 *1623:8 *1625:10 0.0420855 +*RES +1 *2472:mprj_adr_o_user[20] *1625:5 1.77093 +2 *1625:5 *1625:7 52.7004 +3 *1625:7 *1625:9 4.5 +4 *1625:9 *1625:10 449.952 +5 *1625:10 *2473:wbs_adr_i[20] 35.937 +*END + +*D_NET *1626 0.0945627 +*CONN +*I *2473:wbs_adr_i[21] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[21] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[21] 0.00263006 +2 *2472:mprj_adr_o_user[21] 0.00116828 +3 *1626:10 0.00263006 +4 *1626:8 0.00395042 +5 *1626:7 0.0051187 +6 *1626:7 *2472:mprj_dat_i_user[21] 0 +7 *1626:7 *1757:5 0 +8 *1626:8 *1697:8 0.0339524 +9 *1626:8 *1750:8 0.0028485 +10 *1626:8 *1758:8 0.0407286 +11 *1626:8 *1760:8 0.00122665 +12 *1626:8 *2182:8 0.000309013 +*RES +1 *2472:mprj_adr_o_user[21] *1626:7 33.0303 +2 *1626:7 *1626:8 438.306 +3 *1626:8 *1626:10 4.5 +4 *1626:10 *2473:wbs_adr_i[21] 55.5217 +*END + +*D_NET *1627 0.0874962 +*CONN +*I *2473:wbs_adr_i[22] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[22] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[22] 0.00171986 +2 *2472:mprj_adr_o_user[22] 0.0017037 +3 *1627:10 0.00546869 +4 *1627:9 0.00374883 +5 *1627:7 0.0017037 +6 *1627:7 *2472:mprj_dat_i_user[21] 0 +7 *1627:7 *2472:mprj_dat_i_user[22] 0 +8 *1627:7 *1758:7 0.00169535 +9 *1627:10 *1635:8 0.00454653 +10 *1627:10 *1645:16 0.0121194 +11 *1627:10 *1696:8 0.0365949 +12 *1627:10 *1712:8 0.000289628 +13 *2473:la_oenb[9] *1627:7 0 +14 *772:8 *1627:10 0.0176802 +15 *1620:14 *1627:10 0.000225466 +*RES +1 *2472:mprj_adr_o_user[22] *1627:7 47.412 +2 *1627:7 *1627:9 4.5 +3 *1627:9 *1627:10 423.331 +4 *1627:10 *2473:wbs_adr_i[22] 42.9963 +*END + +*D_NET *1628 0.0932917 +*CONN +*I *2473:wbs_adr_i[23] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[23] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[23] 0.00282767 +2 *2472:mprj_adr_o_user[23] 0.000969836 +3 *1628:10 0.00282767 +4 *1628:8 0.0167094 +5 *1628:7 0.0176793 +6 *1628:7 *2472:mprj_dat_i_user[23] 0 +7 *1628:7 *1759:5 0 +8 *1628:8 *1634:8 0.000714341 +9 *1628:8 *1636:8 0.000477446 +10 *1628:8 *1639:10 0.00401207 +11 *1628:8 *1695:8 0.0438945 +12 *1628:8 *1701:8 0.000771867 +13 *1628:8 *1705:8 0.000124104 +14 *1628:8 *1764:8 0.00107168 +15 *1628:8 *1766:8 0.000771867 +16 *1628:8 *1768:8 0.00043991 +*RES +1 *2472:mprj_adr_o_user[23] *1628:7 28.3694 +2 *1628:7 *1628:8 56.8056 +3 *1628:8 *1628:10 3.36879 +4 *1628:10 *2473:wbs_adr_i[23] 59.0513 +*END + +*D_NET *1629 0.0860872 +*CONN +*I *2473:wbs_adr_i[24] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[24] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[24] 0.00180164 +2 *2472:mprj_adr_o_user[24] 0.00193566 +3 *1629:8 0.00482385 +4 *1629:7 0.00495787 +5 *1629:7 *2472:mprj_dat_i_user[23] 0 +6 *1629:7 *2472:mprj_dat_i_user[24] 0 +7 *1629:7 *1760:7 0 +8 *1629:7 *1761:7 0 +9 *1629:8 *1682:8 0.000362278 +10 *1629:8 *1696:8 0.000309013 +11 *1629:8 *1761:8 0.0369113 +12 *1629:8 *1763:8 0.03285 +13 *1620:14 *1629:8 0.00213562 +*RES +1 *2472:mprj_adr_o_user[24] *1629:7 48.8099 +2 *1629:7 *1629:8 398.374 +3 *1629:8 *2473:wbs_adr_i[24] 44.2421 +*END + +*D_NET *1630 0.0853997 +*CONN +*I *2473:wbs_adr_i[25] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[25] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[25] 0.00278801 +2 *2472:mprj_adr_o_user[25] 0.00105929 +3 *1630:10 0.00278801 +4 *1630:8 0.00342592 +5 *1630:7 0.00448521 +6 *1630:7 *2472:mprj_dat_i_user[25] 0 +7 *1630:7 *1761:7 0 +8 *1630:8 *1693:8 0.00206086 +9 *1630:8 *1699:8 0.0328854 +10 *1630:8 *1760:8 0.000309013 +11 *1630:8 *1762:8 0.035598 +*RES +1 *2472:mprj_adr_o_user[25] *1630:7 31.3693 +2 *1630:7 *1630:8 385.064 +3 *1630:8 *1630:10 4.5 +4 *1630:10 *2473:wbs_adr_i[25] 57.1827 +*END + +*D_NET *1631 0.0804116 +*CONN +*I *2473:wbs_adr_i[26] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[26] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[26] 0.00191069 +2 *2472:mprj_adr_o_user[26] 0.00182942 +3 *1631:8 0.00530876 +4 *1631:7 0.00522749 +5 *1631:7 *2472:mprj_dat_i_user[25] 0 +6 *1631:7 *2472:mprj_dat_i_user[26] 0 +7 *1631:7 *1762:7 0 +8 *1631:7 *1765:13 0 +9 *1631:8 *1645:10 0.0087398 +10 *1631:8 *1698:8 0.0345639 +11 *1631:8 *1700:8 0.000151389 +12 *1631:8 *1700:18 0.0193368 +13 *1631:8 *1747:8 0.000748203 +14 *1631:8 *1765:14 1.67988e-05 +15 *1631:8 *1765:20 0.00194244 +16 *1631:8 *1765:22 0.000426221 +17 *1412:8 *1631:8 0.00020979 +*RES +1 *2472:mprj_adr_o_user[26] *1631:7 47.1488 +2 *1631:7 *1631:8 371.753 +3 *1631:8 *2473:wbs_adr_i[26] 45.9031 +*END + +*D_NET *1632 0.0810823 +*CONN +*I *2473:wbs_adr_i[27] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[27] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[27] 0.00287537 +2 *2472:mprj_adr_o_user[27] 0.00102325 +3 *1632:10 0.00287537 +4 *1632:8 0.00296759 +5 *1632:7 0.00399085 +6 *1632:7 *2472:mprj_dat_i_user[27] 0 +7 *1632:7 *1763:7 0 +8 *1632:8 *1695:8 0.00116569 +9 *1632:8 *1699:8 0.0331782 +10 *1632:8 *1745:8 0 +11 *1632:8 *1764:8 0.033006 +12 *1373:8 *1632:8 0 +*RES +1 *2472:mprj_adr_o_user[27] *1632:7 30.5388 +2 *1632:7 *1632:8 358.443 +3 *1632:8 *1632:10 4.5 +4 *1632:10 *2473:wbs_adr_i[27] 58.0132 +*END + +*D_NET *1633 0.0662843 +*CONN +*I *2473:wbs_adr_i[28] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[28] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[28] 0.00201822 +2 *2472:mprj_adr_o_user[28] 0.00175146 +3 *1633:14 0.00442023 +4 *1633:13 0.0025199 +5 *1633:8 0.00195782 +6 *1633:7 0.00359139 +7 *2473:wbs_adr_i[28] *1645:15 0.000162209 +8 *1633:7 *2472:mprj_dat_i_user[27] 0 +9 *1633:7 *2472:mprj_dat_i_user[28] 0 +10 *1633:7 *1764:7 0 +11 *1633:8 *1684:8 0.000220514 +12 *1633:8 *1700:18 0.000371284 +13 *1633:8 *1702:8 0.0208978 +14 *1633:8 *1765:14 0.0195894 +15 *1633:13 *1692:11 0 +16 *1633:13 *1700:17 7.09666e-06 +17 *1633:13 *2188:5 0 +18 *1633:14 *1691:8 0.00152799 +19 *1633:14 *1700:8 0.000227651 +20 *1633:14 *1702:8 0.000371382 +21 *1633:14 *1704:8 0.000627856 +22 *1633:14 *1769:8 0.00482692 +23 *733:13 *1633:7 0 +24 *733:20 *1633:14 0.000877072 +25 *1412:8 *1633:8 3.04269e-05 +26 *1616:8 *1633:14 0.000287711 +*RES +1 *2472:mprj_adr_o_user[28] *1633:7 45.9031 +2 *1633:7 *1633:8 222.565 +3 *1633:8 *1633:13 11.2472 +4 *1633:13 *1633:14 122.736 +5 *1633:14 *2473:wbs_adr_i[28] 49.2251 +*END + +*D_NET *1634 0.0743661 +*CONN +*I *2473:wbs_adr_i[29] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[29] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[29] 0.0029951 +2 *2472:mprj_adr_o_user[29] 0.000934093 +3 *1634:10 0.0029951 +4 *1634:8 0.00299294 +5 *1634:7 0.00392703 +6 *1634:7 *2472:mprj_dat_i_user[29] 0 +7 *1634:7 *1765:8 0 +8 *1634:8 *1636:8 0.0290632 +9 *1634:8 *1701:8 0.000309013 +10 *1634:8 *1745:8 0 +11 *1634:8 *1766:8 0.0304353 +12 *1628:8 *1634:8 0.000714341 +*RES +1 *2472:mprj_adr_o_user[29] *1634:7 28.8777 +2 *1634:7 *1634:8 331.822 +3 *1634:8 *1634:10 4.5 +4 *1634:10 *2473:wbs_adr_i[29] 59.6742 +*END + +*D_NET *1635 0.144931 +*CONN +*I *2473:wbs_adr_i[2] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[2] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[2] 0.00145565 +2 *2472:mprj_adr_o_user[2] 0.00222927 +3 *1635:8 0.00685628 +4 *1635:7 0.00540063 +5 *1635:5 0.00222927 +6 *1635:5 *2472:mprj_dat_i_user[2] 0 +7 *1635:5 *1767:7 0 +8 *1635:5 *2188:5 0 +9 *1635:8 *1645:16 0.0541586 +10 *1635:8 *1648:8 0.00298806 +11 *1635:8 *1706:8 0.0624738 +12 *1635:8 *2188:8 0.000322757 +13 *2473:la_data_in[2] *1635:5 7.08059e-05 +14 *772:8 *1635:8 0.00219959 +15 *1627:10 *1635:8 0.00454653 +*RES +1 *2472:mprj_adr_o_user[2] *1635:5 46.3861 +2 *1635:5 *1635:7 4.5 +3 *1635:7 *1635:8 700.079 +4 *1635:8 *2473:wbs_adr_i[2] 42.1658 +*END + +*D_NET *1636 0.0723637 +*CONN +*I *2473:wbs_adr_i[30] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[30] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[30] 0.00303155 +2 *2472:mprj_adr_o_user[30] 0.000909241 +3 *1636:10 0.00303155 +4 *1636:8 0.002731 +5 *1636:7 0.00364024 +6 *1636:7 *2472:mprj_dat_i_user[30] 0 +7 *1636:7 *1766:7 0 +8 *1636:8 *1745:8 4.35194e-05 +9 *1636:8 *1766:8 0.000226394 +10 *1636:8 *1768:8 0.0292096 +11 *1628:8 *1636:8 0.000477446 +12 *1634:8 *1636:8 0.0290632 +*RES +1 *2472:mprj_adr_o_user[30] *1636:7 28.4625 +2 *1636:7 *1636:8 318.511 +3 *1636:8 *1636:10 4.5 +4 *1636:10 *2473:wbs_adr_i[30] 60.0894 +*END + +*D_NET *1637 0.068476 +*CONN +*I *2473:wbs_adr_i[31] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[31] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[31] 0.00203704 +2 *2472:mprj_adr_o_user[31] 0.00166315 +3 *1637:8 0.00458573 +4 *1637:7 0.00421184 +5 *1637:7 *2472:mprj_dat_i_user[30] 0 +6 *1637:7 *2472:mprj_dat_i_user[31] 0 +7 *1637:7 *1768:7 0 +8 *1637:7 *1769:7 0 +9 *1637:8 *1684:8 0.000111178 +10 *1637:8 *1702:8 0.0274459 +11 *1637:8 *1704:8 0.0281872 +12 *1637:8 *1769:8 0.000233938 +13 *1412:8 *1637:8 0 +*RES +1 *2472:mprj_adr_o_user[31] *1637:7 45.0726 +2 *1637:7 *1637:8 305.201 +3 *1637:8 *2473:wbs_adr_i[31] 47.9793 +*END + +*D_NET *1638 0.147648 +*CONN +*I *2473:wbs_adr_i[3] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[3] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[3] 0.00206185 +2 *2472:mprj_adr_o_user[3] 0.00132861 +3 *1638:10 0.00206185 +4 *1638:8 0.00496379 +5 *1638:7 0.00629241 +6 *1638:7 *2472:mprj_dat_i_user[3] 0 +7 *1638:7 *2189:7 0 +8 *1638:8 *1640:8 0.060369 +9 *1638:8 *1681:8 0.0623518 +10 *1638:8 *1707:8 0.000313928 +11 *2473:la_oenb[2] *1638:7 2.71397e-05 +12 *1028:8 *1638:8 0.000626631 +13 *1373:8 *1638:8 3.91975e-05 +14 *1624:8 *1638:8 0.00721213 +*RES +1 *2472:mprj_adr_o_user[3] *1638:7 35.5218 +2 *1638:7 *1638:8 682.886 +3 *1638:8 *1638:10 4.5 +4 *1638:10 *2473:wbs_adr_i[3] 53.0301 +*END + +*D_NET *1639 0.144492 +*CONN +*I *2473:wbs_adr_i[4] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[4] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[4] 0.00144451 +2 *2472:mprj_adr_o_user[4] 0.00331275 +3 *1639:14 0.00600859 +4 *1639:13 0.00569663 +5 *1639:10 0.0044453 +6 *1639:10 *2472:mprj_dat_i_user[4] 0 +7 *1639:10 *2190:5 0 +8 *1639:13 *1692:11 0 +9 *1639:13 *2187:7 0 +10 *1639:14 *1706:8 0.0596913 +11 *1639:14 *1708:8 0.0562651 +12 *1639:14 *2188:8 0.000199658 +13 *1639:14 *2192:8 0.00253186 +14 *1028:5 *1639:13 0.00080517 +15 *1620:13 *1639:13 7.90019e-05 +16 *1628:8 *1639:10 0.00401207 +*RES +1 *2472:mprj_adr_o_user[4] *1639:10 36.0824 +2 *1639:10 *1639:13 27.7175 +3 *1639:13 *1639:14 627.98 +4 *1639:14 *2473:wbs_adr_i[4] 41.3353 +*END + +*D_NET *1640 0.14457 +*CONN +*I *2473:wbs_adr_i[5] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[5] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[5] 0.00209424 +2 *2472:mprj_adr_o_user[5] 0.0013545 +3 *1640:10 0.00209424 +4 *1640:8 0.00408244 +5 *1640:7 0.00543694 +6 *1640:7 *2472:mprj_dat_i_user[5] 0 +7 *1640:7 *1771:7 0 +8 *1640:8 *1642:8 0.000218833 +9 *1640:8 *1707:8 0.0616201 +10 *1028:8 *1640:8 1.5006e-05 +11 *1050:8 *1640:8 0.00031994 +12 *1423:8 *1640:8 0.000338574 +13 *1624:8 *1640:8 0.00662577 +14 *1638:8 *1640:8 0.060369 +*RES +1 *2472:mprj_adr_o_user[5] *1640:7 35.937 +2 *1640:7 *1640:8 651.828 +3 *1640:8 *1640:10 4.5 +4 *1640:10 *2473:wbs_adr_i[5] 52.6149 +*END + +*D_NET *1641 0.162533 +*CONN +*I *2473:wbs_adr_i[6] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[6] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[6] 0.00125615 +2 *2472:mprj_adr_o_user[6] 0.0024717 +3 *1641:8 0.0100773 +4 *1641:7 0.00882111 +5 *1641:5 0.0024717 +6 *1641:5 *2472:mprj_dat_i_user[6] 0 +7 *1641:5 *1772:5 0 +8 *1641:8 *1712:8 0 +9 *1641:8 *1755:8 0.000787603 +10 *1641:8 *1770:8 0.0669177 +11 *1641:8 *1772:8 0.0689468 +12 *1641:8 *1774:8 0.000121359 +13 *1641:8 *2190:8 0.000121359 +14 *2473:la_data_in[4] *1641:5 0 +15 *1623:8 *1641:8 0.000540546 +*RES +1 *2472:mprj_adr_o_user[6] *1641:5 51.5768 +2 *1641:5 *1641:7 3.36879 +3 *1641:7 *1641:8 88.0136 +4 *1641:8 *2473:wbs_adr_i[6] 35.844 +*END + +*D_NET *1642 0.136872 +*CONN +*I *2473:wbs_adr_i[7] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[7] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[7] 0.00209212 +2 *2472:mprj_adr_o_user[7] 0.000956767 +3 *1642:10 0.00209212 +4 *1642:8 0.00451774 +5 *1642:7 0.00547451 +6 *1642:7 *2472:mprj_dat_i_user[7] 0.00184078 +7 *1642:7 *1773:7 0 +8 *1642:8 *1707:8 0.0575415 +9 *1642:8 *1711:8 0.0561622 +10 *1642:8 *1756:8 0.00560945 +11 *1050:8 *1642:8 0.000366428 +12 *1640:8 *1642:8 0.000218833 +*RES +1 *2472:mprj_adr_o_user[7] *1642:7 36.7675 +2 *1642:7 *1642:8 625.207 +3 *1642:8 *1642:10 4.5 +4 *1642:10 *2473:wbs_adr_i[7] 51.7844 +*END + +*D_NET *1643 0.105102 +*CONN +*I *2473:wbs_adr_i[8] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[8] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[8] 0.00115949 +2 *2472:mprj_adr_o_user[8] 0.00258284 +3 *1643:8 0.0169055 +4 *1643:7 0.015746 +5 *1643:5 0.00258284 +6 *1643:5 *2472:mprj_dat_i_user[8] 0 +7 *1643:5 *1687:17 0 +8 *1643:5 *1774:5 0 +9 *1643:8 *1694:8 0.00010238 +10 *1643:8 *1774:8 0.0660229 +11 *1445:11 *1643:5 0 +*RES +1 *2472:mprj_adr_o_user[8] *1643:5 54.0683 +2 *1643:5 *1643:7 3.36879 +3 *1643:7 *1643:8 84.3421 +4 *1643:8 *2473:wbs_adr_i[8] 33.3524 +*END + +*D_NET *1644 0.132272 +*CONN +*I *2473:wbs_adr_i[9] I *D user_analog_project_wrapper +*I *2472:mprj_adr_o_user[9] O *D mgmt_protect +*CAP +1 *2473:wbs_adr_i[9] 0.00208911 +2 *2472:mprj_adr_o_user[9] 0.00143071 +3 *1644:10 0.00208911 +4 *1644:8 0.00406771 +5 *1644:7 0.00549842 +6 *1644:7 *2472:mprj_dat_i_user[9] 0 +7 *1644:7 *1775:7 0 +8 *1644:8 *1703:8 0.00523289 +9 *1644:8 *1711:8 0.0564551 +10 *816:11 *1644:7 2.25812e-05 +11 *1061:8 *1644:8 4.91225e-06 +12 *1445:8 *1644:8 0.000479276 +13 *1614:8 *1644:8 0.0549021 +*RES +1 *2472:mprj_adr_o_user[9] *1644:7 37.598 +2 *1644:7 *1644:8 598.586 +3 *1644:8 *1644:10 4.5 +4 *1644:10 *2473:wbs_adr_i[9] 50.9539 +*END + +*D_NET *1645 0.127077 +*CONN +*I *2473:wb_clk_i I *D user_analog_project_wrapper +*I *2472:user_clock O *D mgmt_protect +*CAP +1 *2473:wb_clk_i 0.00144366 +2 *2472:user_clock 0.00204012 +3 *1645:16 0.0154075 +4 *1645:15 0.01417 +5 *1645:10 0.000845014 +6 *1645:9 0.00267899 +7 *1645:9 *2182:7 0 +8 *1645:10 *1700:8 0.000330532 +9 *1645:10 *1765:22 0.00800919 +10 *1645:16 *1648:8 0.00681304 +11 *2473:la_data_in[0] *1645:9 0 +12 *2473:wbs_adr_i[28] *1645:15 0.000162209 +13 *989:5 *1645:9 0.000158695 +14 *1620:14 *1645:16 0 +15 *1627:10 *1645:16 0.0121194 +16 *1631:8 *1645:10 0.0087398 +17 *1635:8 *1645:16 0.0541586 +*RES +1 *2472:user_clock *1645:9 48.59 +2 *1645:9 *1645:10 92.233 +3 *1645:10 *1645:15 12.9083 +4 *1645:15 *1645:16 669.021 +5 *1645:16 *2473:wb_clk_i 42.5811 +*END + +*D_NET *1646 0.358405 +*CONN +*I *2473:user_clock2 I *D user_analog_project_wrapper +*I *2472:user_clock2 O *D mgmt_protect +*CAP +1 *2473:user_clock2 0.00142238 +2 *2472:user_clock2 0.00264514 +3 *1646:8 0.0185765 +4 *1646:7 0.0197993 +5 *1646:7 *2472:user_irq_core[0] 0 +6 *1646:7 *2472:user_irq_core[1] 0 +7 *2473:la_oenb[61] *1646:7 0 +8 *1019:8 *1646:8 0.000647491 +9 *1393:14 *1646:8 0.00823899 +10 *1397:14 *1646:8 0.0101918 +11 *1399:8 *1646:8 0.143709 +12 *1401:10 *1646:8 0.000133887 +13 *1403:7 *1646:7 0 +14 *1403:8 *1646:8 0.15304 +*RES +1 *2472:user_clock2 *1646:7 49.9625 +2 *1646:7 *1646:8 195.023 +3 *1646:8 *2473:user_clock2 40.827 +*END + +*D_NET *1647 0.30396 +*CONN +*I *2471:wb_cyc_i I *D housekeeping +*I *2472:mprj_cyc_o_core I *D mgmt_protect +*I *2478:mprj_cyc_o O *D mgmt_core_wrapper +*CAP +1 *2471:wb_cyc_i 0.0011907 +2 *2472:mprj_cyc_o_core 0.00215085 +3 *2478:mprj_cyc_o 0.00239657 +4 *1647:27 0.00717844 +5 *1647:25 0.0065978 +6 *1647:12 0.00215085 +7 *1647:10 0.0171454 +8 *1647:9 0.0189319 +9 *2471:wb_cyc_i *2471:usr1_vcc_pwrgood 0 +10 *2471:wb_cyc_i *2471:wb_we_i 0 +11 *2472:mprj_cyc_o_core *2472:mprj_stb_o_core 0 +12 *1647:9 *2191:9 0 +13 *1647:10 *1724:10 0.0687012 +14 *1647:25 *2191:9 5.22654e-06 +15 *1647:27 *2195:27 0.0870754 +16 *2472:la_oenb_mprj[127] *2472:mprj_cyc_o_core 0 +17 *863:15 *2472:mprj_cyc_o_core 0.000626404 +18 *867:10 *1647:10 0.000101537 +19 *888:10 *1647:10 0 +20 *889:10 *1647:10 0 +21 *891:10 *1647:10 0 +22 *985:12 *1647:10 0 +23 *1120:15 *1647:10 0.000141764 +24 *1257:10 *1647:10 0.000615448 +25 *1576:10 *1647:27 0.0887684 +26 *1579:7 *2472:mprj_cyc_o_core 0.00018195 +27 *1579:11 *1647:9 0 +28 *1605:24 *1647:10 0 +*RES +1 *2478:mprj_cyc_o *1647:9 41.2789 +2 *1647:9 *1647:10 90.4613 +3 *1647:10 *1647:12 3.36879 +4 *1647:12 *2472:mprj_cyc_o_core 49.9158 +5 *2478:mprj_cyc_o *1647:25 18.2418 +6 *1647:25 *1647:27 931.903 +7 *1647:27 *2471:wb_cyc_i 37.6347 +*END + +*D_NET *1648 0.181171 +*CONN +*I *2473:wbs_cyc_i I *D user_analog_project_wrapper +*I *2472:mprj_cyc_o_user O *D mgmt_protect +*CAP +1 *2473:wbs_cyc_i 0.00145965 +2 *2472:mprj_cyc_o_user 0.00217481 +3 *1648:8 0.0161863 +4 *1648:7 0.0169015 +5 *1648:7 *2182:7 0 +6 *1648:7 *2192:7 0 +7 *1648:8 *1712:8 0.0535329 +8 *1648:8 *1776:8 0.000193654 +9 *1648:8 *2192:8 0.0806772 +10 *2472:mprj_ack_i_user *1648:7 0 +11 *2473:la_oenb[0] *1648:7 0.00024398 +12 *1635:8 *1648:8 0.00298806 +13 *1645:16 *1648:8 0.00681304 +*RES +1 *2472:mprj_cyc_o_user *1648:7 49.132 +2 *1648:7 *1648:8 103.388 +3 *1648:8 *2473:wbs_cyc_i 41.6575 +*END + +*D_NET *1649 0.146159 +*CONN +*I *2478:mprj_dat_i[0] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[0] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[0] 8.30061e-05 +2 *2472:mprj_dat_i_core[0] 0.00089339 +3 *1649:17 0.0024907 +4 *1649:16 0.00240769 +5 *1649:14 0.00113132 +6 *1649:13 0.00207911 +7 *1649:8 0.00491741 +8 *1649:7 0.00486301 +9 *1649:7 *2472:mprj_dat_o_core[0] 0 +10 *1649:7 *2472:mprj_we_o_core 0 +11 *1649:8 *1655:8 0.000517688 +12 *1649:8 *1657:8 0.0369871 +13 *1649:8 *1660:8 0.0472016 +14 *1649:8 *1715:30 0.00344673 +15 *1649:8 *2191:16 0.00033203 +16 *1649:14 *1653:16 0.0119134 +17 *2472:mprj_adr_o_core[0] *1649:7 0 +18 *608:8 *1649:8 0.0070112 +19 *610:8 *1649:8 0.000647488 +20 *629:11 *1649:13 0 +21 *885:10 *1649:14 0.000436811 +22 *1581:7 *1649:17 0 +23 *1581:21 *1649:17 0 +24 *1586:24 *1649:14 0.00171697 +25 *1608:24 *1649:14 0.0153927 +26 *1610:24 *1649:14 0.001368 +27 *1612:36 *1649:8 0.000322019 +*RES +1 *2472:mprj_dat_i_core[0] *1649:7 23.0642 +2 *1649:7 *1649:8 508.74 +3 *1649:8 *1649:13 27.0268 +4 *1649:13 *1649:14 162.113 +5 *1649:14 *1649:16 4.5 +6 *1649:16 *1649:17 51.0394 +7 *1649:17 *2478:mprj_dat_i[0] 2.05183 +*END + +*D_NET *1650 0.166278 +*CONN +*I *2478:mprj_dat_i[10] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[10] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[10] 0.00126461 +2 *2472:mprj_dat_i_core[10] 0.00276898 +3 *1650:8 0.0069031 +4 *1650:7 0.00563849 +5 *1650:5 0.00276898 +6 *2478:mprj_dat_i[10] *1714:15 0 +7 *1650:5 *2472:mprj_dat_o_core[9] 0 +8 *1650:5 *1714:19 0.000642913 +9 *1650:8 *1654:10 0.0703043 +10 *1650:8 *1680:8 0.0713938 +11 *1650:8 *1718:10 0.000895982 +12 *1650:8 *2195:10 0.00244147 +13 *2472:mprj_adr_o_core[10] *1650:5 0 +14 *608:11 *1650:5 0 +15 *1248:10 *1650:8 0.000114604 +16 *1582:9 *2478:mprj_dat_i[10] 0 +17 *1607:16 *1650:8 0.00114063 +18 *1612:10 *1650:8 0 +*RES +1 *2472:mprj_dat_i_core[10] *1650:5 59.2589 +2 *1650:5 *1650:7 4.5 +3 *1650:7 *1650:8 769.959 +4 *1650:8 *2478:mprj_dat_i[10] 34.1905 +*END + +*D_NET *1651 0.169643 +*CONN +*I *2478:mprj_dat_i[11] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[11] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[11] 9.52866e-05 +2 *2472:mprj_dat_i_core[11] 0.000811248 +3 *1651:17 0.00161008 +4 *1651:16 0.00151479 +5 *1651:14 0.00230127 +6 *1651:13 0.00342333 +7 *1651:8 0.00430496 +8 *1651:7 0.00399415 +9 *1651:7 *2472:mprj_dat_o_core[11] 0 +10 *1651:8 *1653:10 0.0412581 +11 *1651:8 *1655:8 0.00025715 +12 *1651:8 *1655:12 0.035393 +13 *1651:8 *1739:30 0.00366396 +14 *1651:14 *1653:16 0.00283592 +15 *1651:17 *1715:29 0.00139221 +16 *2472:mprj_adr_o_core[10] *1651:8 0.00122777 +17 *2472:mprj_adr_o_core[11] *1651:7 0 +18 *630:11 *1651:13 0 +19 *1582:24 *1651:8 5.30213e-05 +20 *1583:7 *1651:17 0.000130911 +21 *1583:21 *1651:17 0.00105001 +22 *1589:16 *1651:14 0.00142625 +23 *1591:28 *1651:14 0.00325843 +24 *1610:24 *1651:14 0.0249536 +25 *1612:24 *1651:14 0.0280718 +26 *1612:36 *1651:8 0.00661635 +*RES +1 *2472:mprj_dat_i_core[11] *1651:7 21.4032 +2 *1651:7 *1651:8 450.507 +3 *1651:8 *1651:13 29.9336 +4 *1651:13 *1651:14 328.494 +5 *1651:14 *1651:16 4.5 +6 *1651:16 *1651:17 49.7936 +7 *1651:17 *2478:mprj_dat_i[11] 2.05183 +*END + +*D_NET *1652 0.167003 +*CONN +*I *2478:mprj_dat_i[12] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[12] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[12] 0.00128577 +2 *2472:mprj_dat_i_core[12] 0.00296797 +3 *1652:12 0.00692757 +4 *1652:10 0.00569747 +5 *1652:8 0.000809093 +6 *1652:7 0.000753427 +7 *1652:5 0.00296797 +8 *2478:mprj_dat_i[12] *1716:9 0 +9 *1652:5 *2472:mprj_dat_o_core[12] 0 +10 *1652:8 *1654:10 4.91225e-06 +11 *1652:8 *1716:10 0.000291404 +12 *1652:12 *1654:10 0.0686033 +13 *1652:12 *1666:8 0 +14 *1652:12 *1672:8 8.90486e-05 +15 *1652:12 *1718:10 0.0017512 +16 *1652:12 *1727:24 0 +17 *1652:12 *1730:10 0 +18 *1652:12 *1731:24 0 +19 *1652:12 *1733:10 0.000262266 +20 *1652:12 *1736:10 0.0635099 +21 *1652:12 *2195:10 0.00191027 +22 *2472:mprj_adr_o_core[12] *1652:5 0 +23 *609:14 *1652:8 0.00120288 +24 *610:14 *1652:8 7.68065e-05 +25 *610:14 *1652:12 0 +26 *862:9 *1652:5 4.18029e-05 +27 *863:10 *1652:8 0.00219313 +28 *865:10 *1652:8 0.00528779 +29 *1247:10 *1652:8 0.000258087 +30 *1584:7 *2478:mprj_dat_i[12] 0 +31 *1584:21 *2478:mprj_dat_i[12] 0 +32 *1601:10 *1652:12 7.46601e-05 +33 *1607:16 *1652:8 3.61993e-05 +*RES +1 *2472:mprj_dat_i_core[12] *1652:5 57.1827 +2 *1652:5 *1652:7 4.5 +3 *1652:7 *1652:8 64.5028 +4 *1652:8 *1652:10 1.39857 +5 *1652:10 *1652:12 724.481 +6 *1652:12 *2478:mprj_dat_i[12] 33.8974 +*END + +*D_NET *1653 0.156163 +*CONN +*I *2478:mprj_dat_i[13] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[13] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[13] 8.30061e-05 +2 *2472:mprj_dat_i_core[13] 0.000619137 +3 *1653:19 0.00239278 +4 *1653:18 0.00230978 +5 *1653:16 0.00469386 +6 *1653:15 0.00579156 +7 *1653:10 0.00445987 +8 *1653:9 0.0039813 +9 *1653:9 *2472:mprj_dat_o_core[13] 0.000875119 +10 *1653:10 *1655:12 0.000668608 +11 *1653:10 *1741:24 0.00426103 +12 *2472:mprj_adr_o_core[13] *1653:9 0 +13 *1582:24 *1653:10 0.00295106 +14 *1585:7 *1653:19 0 +15 *1585:23 *1653:19 0 +16 *1588:24 *1653:16 0.00339601 +17 *1589:16 *1653:16 0.000530783 +18 *1590:18 *1653:10 0.00327915 +19 *1608:24 *1653:16 0.00397241 +20 *1610:24 *1653:16 0.0234707 +21 *1612:30 *1653:10 0.032419 +22 *1649:14 *1653:16 0.0119134 +23 *1651:8 *1653:10 0.0412581 +24 *1651:14 *1653:16 0.00283592 +*RES +1 *2472:mprj_dat_i_core[13] *1653:9 22.2826 +2 *1653:9 *1653:10 451.616 +3 *1653:10 *1653:15 29.5183 +4 *1653:15 *1653:16 343.468 +5 *1653:16 *1653:18 4.5 +6 *1653:18 *1653:19 50.6241 +7 *1653:19 *2478:mprj_dat_i[13] 2.05183 +*END + +*D_NET *1654 0.172428 +*CONN +*I *2478:mprj_dat_i[14] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[14] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[14] 0.0013501 +2 *2472:mprj_dat_i_core[14] 6.22868e-05 +3 *1654:10 0.00791882 +4 *1654:9 0.00656871 +5 *1654:7 0.00222752 +6 *1654:5 0.0022898 +7 *2478:mprj_dat_i[14] *1718:9 0 +8 *1654:7 *2472:mprj_dat_o_core[14] 0.00291234 +9 *1654:10 *1718:10 0.00141193 +10 *1654:10 *1736:10 0.00121044 +11 *1654:10 *2195:10 0.00262915 +12 *2472:mprj_adr_o_core[14] *1654:7 0 +13 *2478:la_input[101] *1654:7 0 +14 *1120:15 *1654:7 0.000271428 +15 *1247:9 *1654:7 0 +16 *1586:7 *2478:mprj_dat_i[14] 0 +17 *1586:23 *2478:mprj_dat_i[14] 0 +18 *1607:16 *1654:10 0.00466341 +19 *1612:10 *1654:10 0 +20 *1650:8 *1654:10 0.0703043 +21 *1652:8 *1654:10 4.91225e-06 +22 *1652:12 *1654:10 0.0686033 +*RES +1 *2472:mprj_dat_i_core[14] *1654:5 1.77093 +2 *1654:5 *1654:7 58.9292 +3 *1654:7 *1654:9 4.5 +4 *1654:9 *1654:10 803.235 +5 *1654:10 *2478:mprj_dat_i[14] 35.1676 +*END + +*D_NET *1655 0.178111 +*CONN +*I *2478:mprj_dat_i[15] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[15] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[15] 8.30061e-05 +2 *2472:mprj_dat_i_core[15] 0.000878408 +3 *1655:21 0.0022859 +4 *1655:20 0.0022029 +5 *1655:18 0.00241729 +6 *1655:17 0.00345601 +7 *1655:12 0.00387979 +8 *1655:10 0.00286412 +9 *1655:8 0.000564849 +10 *1655:7 0.00142022 +11 *1655:7 *2472:mprj_dat_o_core[14] 0 +12 *1655:7 *2472:mprj_dat_o_core[15] 0 +13 *1655:8 *1657:8 0.00361569 +14 *1655:12 *1657:8 0.000187218 +15 *1655:12 *1662:14 0.0305025 +16 *1655:12 *1739:30 0.00444218 +17 *1655:18 *1657:14 0.0319588 +18 *2472:mprj_adr_o_core[15] *1655:7 0 +19 *632:11 *1655:17 0.000397377 +20 *1587:7 *1655:21 0 +21 *1587:23 *1655:21 0 +22 *1589:16 *1655:18 0.0338513 +23 *1590:18 *1655:12 0.000316909 +24 *1595:24 *1655:18 0.0036624 +25 *1597:30 *1655:12 0.00760676 +26 *1598:18 *1655:18 0.000496273 +27 *1612:36 *1655:8 0.00418477 +28 *1649:8 *1655:8 0.000517688 +29 *1651:8 *1655:8 0.00025715 +30 *1651:8 *1655:12 0.035393 +31 *1653:10 *1655:12 0.000668608 +*RES +1 *2472:mprj_dat_i_core[15] *1655:7 22.2337 +2 *1655:7 *1655:8 51.7469 +3 *1655:8 *1655:10 0.578717 +4 *1655:10 *1655:12 406.139 +5 *1655:12 *1655:17 30.7641 +6 *1655:17 *1655:18 356.224 +7 *1655:18 *1655:20 4.5 +8 *1655:20 *1655:21 48.5479 +9 *1655:21 *2478:mprj_dat_i[15] 2.05183 +*END + +*D_NET *1656 0.132381 +*CONN +*I *2478:mprj_dat_i[16] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[16] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[16] 0.000967964 +2 *2472:mprj_dat_i_core[16] 0.00322952 +3 *1656:8 0.0168279 +4 *1656:7 0.01586 +5 *1656:5 0.00322952 +6 *1656:5 *2472:mprj_dat_o_core[16] 0 +7 *1656:8 *1720:10 0.0775847 +8 *1656:8 *2184:25 0.000709571 +9 *2472:mprj_adr_o_core[16] *1656:5 0.00041429 +10 *608:14 *1656:8 4.62974e-05 +11 *613:8 *1656:8 0.0101859 +12 *617:8 *1656:8 0.00255066 +13 *618:8 *1656:8 0.000339015 +14 *619:8 *1656:8 0.000125334 +15 *620:8 *1656:8 0 +16 *631:8 *1656:8 0 +17 *863:9 *1656:5 0 +18 *1120:9 *1656:5 0.000309963 +19 *1262:10 *1656:8 0 +20 *1264:10 *1656:8 0 +21 *1588:7 *2478:mprj_dat_i[16] 0 +*RES +1 *2472:mprj_dat_i_core[16] *1656:5 66.3182 +2 *1656:5 *1656:7 4.5 +3 *1656:7 *1656:8 822.646 +4 *1656:8 *2478:mprj_dat_i[16] 27.6931 +*END + +*D_NET *1657 0.178872 +*CONN +*I *2478:mprj_dat_i[17] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[17] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[17] 8.30061e-05 +2 *2472:mprj_dat_i_core[17] 0.000906673 +3 *1657:17 0.00225967 +4 *1657:16 0.00217667 +5 *1657:14 0.00257909 +6 *1657:13 0.00358964 +7 *1657:8 0.00434843 +8 *1657:7 0.00424456 +9 *1657:7 *2472:mprj_dat_o_core[17] 0 +10 *1657:8 *1660:8 0.00317552 +11 *1657:8 *1662:14 0.032395 +12 *1657:8 *1743:24 0.00461545 +13 *1657:14 *1662:20 0.0323837 +14 *1657:17 *1721:7 0 +15 *1657:17 *1721:23 0 +16 *2472:mprj_adr_o_core[17] *1657:7 0 +17 *633:11 *1657:13 0.000392227 +18 *1145:7 *1657:13 0 +19 *1589:9 *1657:17 0 +20 *1589:16 *1657:14 0.000943298 +21 *1597:30 *1657:8 0.00761096 +22 *1598:18 *1657:14 0.00108819 +23 *1599:24 *1657:14 0.00333083 +24 *1649:8 *1657:8 0.0369871 +25 *1655:8 *1657:8 0.00361569 +26 *1655:12 *1657:8 0.000187218 +27 *1655:18 *1657:14 0.0319588 +*RES +1 *2472:mprj_dat_i_core[17] *1657:7 22.649 +2 *1657:7 *1657:8 464.927 +3 *1657:8 *1657:13 30.3488 +4 *1657:13 *1657:14 366.207 +5 *1657:14 *1657:16 4.5 +6 *1657:16 *1657:17 48.1326 +7 *1657:17 *2478:mprj_dat_i[17] 2.05183 +*END + +*D_NET *1658 0.179492 +*CONN +*I *2478:mprj_dat_i[18] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[18] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[18] 0.0014307 +2 *2472:mprj_dat_i_core[18] 0.00247993 +3 *1658:14 0.00678286 +4 *1658:13 0.00543696 +5 *1658:8 0.00127809 +6 *1658:7 0.00119328 +7 *1658:5 0.00247993 +8 *2478:mprj_dat_i[18] *1722:9 0 +9 *1658:5 *2472:mprj_dat_o_core[17] 0 +10 *1658:5 *2472:mprj_dat_o_core[18] 0 +11 *1658:8 *1659:8 0.00468429 +12 *1658:13 *1731:27 1.54479e-05 +13 *1658:14 *1659:8 1.15389e-05 +14 *1658:14 *1661:8 0.0742406 +15 *1658:14 *1738:10 0.00254022 +16 *1658:14 *1740:12 0.000228981 +17 *2472:mprj_adr_o_core[18] *1658:5 0 +18 *611:16 *1658:8 0.000802325 +19 *864:10 *1658:8 0 +20 *867:10 *1658:8 0 +21 *1122:12 *1658:8 0 +22 *1122:15 *1658:5 0 +23 *1582:21 *1658:5 0.000836779 +24 *1590:12 *2478:mprj_dat_i[18] 0.000823584 +25 *1590:12 *1658:14 0.000113968 +26 *1590:17 *2478:mprj_dat_i[18] 8.62625e-06 +27 *1593:30 *1658:14 0.0740965 +28 *1594:30 *1658:13 7.09666e-06 +*RES +1 *2472:mprj_dat_i_core[18] *1658:5 50.5386 +2 *1658:5 *1658:7 4.5 +3 *1658:7 *1658:8 56.1838 +4 *1658:8 *1658:13 10.4167 +5 *1658:13 *1658:14 783.824 +6 *1658:14 *2478:mprj_dat_i[18] 42.2269 +*END + +*D_NET *1659 0.145885 +*CONN +*I *2478:mprj_dat_i[19] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[19] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[19] 0.00147223 +2 *2472:mprj_dat_i_core[19] 0.00274707 +3 *1659:8 0.0147912 +4 *1659:7 0.013319 +5 *1659:5 0.00274707 +6 *1659:5 *2472:mprj_dat_o_core[18] 0 +7 *1659:5 *2472:mprj_dat_o_core[19] 0 +8 *1659:8 *2184:16 0.000101365 +9 *1659:8 *2191:12 0.000422861 +10 *2472:mprj_adr_o_core[19] *1659:5 0 +11 *2472:mprj_adr_o_core[20] *1659:5 0 +12 *867:10 *1659:8 0 +13 *868:14 *1659:8 0 +14 *1122:12 *1659:8 0 +15 *1136:10 *1659:8 0 +16 *1257:10 *1659:8 0.001569 +17 *1590:12 *1659:8 0.000167076 +18 *1590:27 *1659:8 0.000605222 +19 *1591:7 *2478:mprj_dat_i[19] 0.000685027 +20 *1591:27 *2478:mprj_dat_i[19] 0.000155824 +21 *1593:30 *1659:8 0.0783481 +22 *1603:22 *1659:8 0.00139457 +23 *1606:25 *1659:8 0.0226635 +24 *1658:8 *1659:8 0.00468429 +25 *1658:14 *1659:8 1.15389e-05 +*RES +1 *2472:mprj_dat_i_core[19] *1659:5 50.9539 +2 *1659:5 *1659:7 4.5 +3 *1659:7 *1659:8 848.712 +4 *1659:8 *2478:mprj_dat_i[19] 43.0574 +*END + +*D_NET *1660 0.143748 +*CONN +*I *2478:mprj_dat_i[1] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[1] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[1] 4.18398e-05 +2 *2472:mprj_dat_i_core[1] 0.000803962 +3 *1660:17 0.00230492 +4 *1660:16 0.00226308 +5 *1660:14 0.00167794 +6 *1660:13 0.0025388 +7 *1660:8 0.005294 +8 *1660:7 0.00523711 +9 *1660:7 *2472:mprj_dat_o_core[1] 0 +10 *1660:8 *1662:14 0.00021369 +11 *1660:8 *1715:30 0.00463906 +12 *1660:8 *2191:16 0.055114 +13 *1660:17 *1724:9 0 +14 *1660:17 *2184:15 0.000682521 +15 *2472:mprj_adr_o_core[1] *1660:7 0.00024649 +16 *1275:10 *1660:14 0.00160185 +17 *1585:24 *1660:14 0.00120502 +18 *1608:24 *1660:14 0.00950683 +19 *1649:8 *1660:8 0.0472016 +20 *1657:8 *1660:8 0.00317552 +*RES +1 *2472:mprj_dat_i_core[1] *1660:7 23.4795 +2 *1660:7 *1660:8 583.612 +3 *1660:8 *1660:13 25.7811 +4 *1660:13 *1660:14 99.9974 +5 *1660:14 *1660:16 4.5 +6 *1660:16 *1660:17 51.8699 +7 *1660:17 *2478:mprj_dat_i[1] 0.928211 +*END + +*D_NET *1661 0.185892 +*CONN +*I *2478:mprj_dat_i[20] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[20] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[20] 0.00147438 +2 *2472:mprj_dat_i_core[20] 0.00283481 +3 *1661:8 0.00744998 +4 *1661:7 0.00597559 +5 *1661:5 0.00283481 +6 *1661:5 *2472:mprj_dat_o_core[20] 0 +7 *1661:8 *1726:10 0.0804 +8 *1661:8 *1738:10 0.00286678 +9 *1661:8 *1740:12 0.00024852 +10 *2472:mprj_adr_o_core[20] *1661:5 0 +11 *2472:mprj_adr_o_core[21] *1661:5 0 +12 *1122:12 *1661:8 0.000205749 +13 *1590:12 *1661:8 1.41853e-05 +14 *1590:27 *1661:8 0.00286042 +15 *1593:7 *2478:mprj_dat_i[20] 0.000555237 +16 *1593:26 *2478:mprj_dat_i[20] 0.000136229 +17 *1593:30 *1661:8 0.000202457 +18 *1594:30 *1661:8 0.00359255 +19 *1658:14 *1661:8 0.0742406 +*RES +1 *2472:mprj_dat_i_core[20] *1661:5 52.1996 +2 *1661:5 *1661:7 4.5 +3 *1661:7 *1661:8 857.586 +4 *1661:8 *2478:mprj_dat_i[20] 41.8116 +*END + +*D_NET *1662 0.184832 +*CONN +*I *2478:mprj_dat_i[21] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[21] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[21] 0.00224595 +2 *2472:mprj_dat_i_core[21] 0.0010236 +3 *1662:22 0.00224595 +4 *1662:20 0.00349084 +5 *1662:19 0.00466601 +6 *1662:14 0.00419769 +7 *1662:13 0.00321678 +8 *1662:8 0.00121094 +9 *1662:7 0.00204029 +10 *1662:7 *2472:mprj_dat_o_core[21] 0.000237581 +11 *1662:8 *1665:8 0.00750476 +12 *1662:8 *1679:8 0.00925462 +13 *1662:8 *1721:24 0.000433185 +14 *1662:8 *1728:16 0.000164123 +15 *1662:13 *1728:15 7.09666e-06 +16 *1662:14 *1743:24 0.00414909 +17 *1662:14 *2191:16 0.000290632 +18 *2472:mprj_adr_o_core[21] *1662:7 0 +19 *1250:10 *1662:8 8.46377e-05 +20 *1254:7 *1662:13 2.30095e-05 +21 *1274:7 *1662:19 3.69268e-05 +22 *1590:18 *1662:14 0.000286319 +23 *1594:7 *2478:mprj_dat_i[21] 0 +24 *1594:23 *2478:mprj_dat_i[21] 0 +25 *1597:29 *1662:13 0.000162209 +26 *1598:18 *1662:20 0.038191 +27 *1599:24 *1662:20 0.00417434 +28 *1655:12 *1662:14 0.0305025 +29 *1657:8 *1662:14 0.032395 +30 *1657:14 *1662:20 0.0323837 +31 *1660:8 *1662:14 0.00021369 +*RES +1 *2472:mprj_dat_i_core[21] *1662:7 25.971 +2 *1662:7 *1662:8 98.8882 +3 *1662:8 *1662:13 12.9083 +4 *1662:13 *1662:14 365.653 +5 *1662:14 *1662:19 31.1794 +6 *1662:19 *1662:20 401.702 +7 *1662:20 *1662:22 4.5 +8 *1662:22 *2478:mprj_dat_i[21] 49.7692 +*END + +*D_NET *1663 0.188715 +*CONN +*I *2478:mprj_dat_i[22] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[22] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[22] 0.00166938 +2 *2472:mprj_dat_i_core[22] 6.22868e-05 +3 *1663:14 0.00752917 +4 *1663:12 0.00620315 +5 *1663:7 0.00255816 +6 *1663:5 0.00227708 +7 *2478:mprj_dat_i[22] *1727:7 0 +8 *2478:mprj_dat_i[22] *1727:23 0 +9 *1663:7 *2472:mprj_dat_o_core[22] 0.00256786 +10 *1663:12 *1726:10 0.0026051 +11 *1663:12 *1727:24 3.59437e-05 +12 *1663:12 *1740:12 0.000110257 +13 *1663:14 *1726:10 0.000409617 +14 *1663:14 *1740:12 0.000157517 +15 *1663:14 *1742:30 0.000107429 +16 *1663:14 *2186:24 0.00253422 +17 *2472:mprj_adr_o_core[22] *1663:7 0 +18 *1122:12 *1663:12 0.000111708 +19 *1129:15 *1663:7 3.95188e-05 +20 *1590:27 *1663:14 6.33518e-05 +21 *1594:24 *1663:14 0.0782848 +22 *1595:7 *2478:mprj_dat_i[22] 0 +23 *1595:10 *1663:14 0.000238987 +24 *1596:10 *1663:12 0.0010263 +25 *1596:10 *1663:14 0.0801136 +26 *1612:35 *1663:7 9.98011e-06 +*RES +1 *2472:mprj_dat_i_core[22] *1663:5 1.77093 +2 *1663:5 *1663:7 53.1156 +3 *1663:7 *1663:12 32.9777 +4 *1663:12 *1663:14 845.939 +5 *1663:14 *2478:mprj_dat_i[22] 40.5659 +*END + +*D_NET *1664 0.196528 +*CONN +*I *2478:mprj_dat_i[23] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[23] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[23] 6.85902e-05 +2 *2472:mprj_dat_i_core[23] 0.001703 +3 *1664:17 0.00271803 +4 *1664:16 0.00264944 +5 *1664:14 0.00480211 +6 *1664:13 0.00517662 +7 *1664:8 0.00125746 +8 *1664:7 0.00258595 +9 *1664:7 *2472:mprj_dat_o_core[23] 0 +10 *1664:13 *1728:15 7.09666e-06 +11 *1664:14 *1665:8 0.0760008 +12 *1664:14 *1668:8 0.0760008 +13 *1664:14 *1723:16 0.00934121 +14 *2472:mprj_adr_o_core[23] *1664:7 0 +15 *611:13 *1664:7 0 +16 *1147:16 *1664:8 0.00177249 +17 *1254:7 *1664:13 0.00043595 +18 *1265:15 *1664:13 4.70005e-05 +19 *1582:16 *1664:8 0.000141029 +20 *1589:22 *1664:8 0.00818447 +21 *1596:9 *1664:17 0 +22 *1597:15 *1664:17 0.000751398 +23 *1597:29 *1664:13 5.26316e-05 +24 *1598:28 *1664:8 0.00283176 +*RES +1 *2472:mprj_dat_i_core[23] *1664:7 35.937 +2 *1664:7 *1664:8 87.2416 +3 *1664:8 *1664:13 18.3065 +4 *1664:13 *1664:14 797.134 +5 *1664:14 *1664:16 4.5 +6 *1664:16 *1664:17 65.158 +7 *1664:17 *2478:mprj_dat_i[23] 1.49002 +*END + +*D_NET *1665 0.196804 +*CONN +*I *2478:mprj_dat_i[24] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[24] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[24] 1.72824e-05 +2 *2472:mprj_dat_i_core[24] 0.001068 +3 *1665:11 0.00284831 +4 *1665:10 0.00283103 +5 *1665:8 0.00575569 +6 *1665:7 0.00682369 +7 *1665:7 *2472:mprj_dat_o_core[24] 0 +8 *1665:8 *1668:8 0.000723529 +9 *1665:8 *1679:8 1.41689e-05 +10 *1665:8 *1723:16 0.00880387 +11 *1665:8 *1728:10 0.0766446 +12 *1665:8 *1728:16 0.00755785 +13 *1665:11 *1729:15 0 +14 *2472:mprj_adr_o_core[24] *1665:7 0.000209958 +15 *1597:9 *1665:11 0 +16 *1662:8 *1665:8 0.00750476 +17 *1664:14 *1665:8 0.0760008 +*RES +1 *2472:mprj_dat_i_core[24] *1665:7 26.3862 +2 *1665:7 *1665:8 894.744 +3 *1665:8 *1665:10 4.5 +4 *1665:10 *1665:11 65.5732 +5 *1665:11 *2478:mprj_dat_i[24] 0.366399 +*END + +*D_NET *1666 0.193523 +*CONN +*I *2478:mprj_dat_i[25] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[25] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[25] 0.00162884 +2 *2472:mprj_dat_i_core[25] 0.00291824 +3 *1666:8 0.00802727 +4 *1666:7 0.00639843 +5 *1666:5 0.00291824 +6 *2478:mprj_dat_i[25] *1730:9 0 +7 *1666:5 *2472:mprj_dat_o_core[25] 0 +8 *1666:8 *1667:10 0.0845439 +9 *1666:8 *1716:10 0.000101794 +10 *1666:8 *1727:24 0.0814959 +11 *1666:8 *1730:10 0.000253172 +12 *1666:8 *1742:30 0.00316606 +13 *2472:mprj_adr_o_core[25] *1666:5 0 +14 *866:9 *1666:5 8.06219e-05 +15 *1136:15 *1666:5 0.000272294 +16 *1596:10 *1666:8 0.00020979 +17 *1596:21 *1666:8 9.97598e-05 +18 *1597:15 *1666:8 0.000453691 +19 *1597:39 *1666:8 0.000672619 +20 *1598:12 *2478:mprj_dat_i[25] 0 +21 *1598:12 *1666:8 0.00027329 +22 *1598:17 *2478:mprj_dat_i[25] 8.62625e-06 +23 *1652:12 *1666:8 0 +*RES +1 *2472:mprj_dat_i_core[25] *1666:5 54.6912 +2 *1666:5 *1666:7 4.5 +3 *1666:7 *1666:8 901.4 +4 *1666:8 *2478:mprj_dat_i[25] 39.3201 +*END + +*D_NET *1667 0.19716 +*CONN +*I *2478:mprj_dat_i[26] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[26] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[26] 0.00161404 +2 *2472:mprj_dat_i_core[26] 6.22868e-05 +3 *1667:10 0.00788834 +4 *1667:9 0.0062743 +5 *1667:7 0.00274843 +6 *1667:5 0.00281071 +7 *1667:7 *1731:27 0.000498959 +8 *1667:10 *1714:16 0.000156689 +9 *1667:10 *1716:10 0.000102215 +10 *1667:10 *1730:10 0.0850132 +11 *1667:10 *1731:24 0.000253038 +12 *1667:10 *1744:10 0.00304106 +13 *2472:mprj_adr_o_core[26] *1667:7 0 +14 *2478:la_input[105] *1667:7 0.000240764 +15 *1123:13 *1667:7 0 +16 *1141:15 *1667:7 0.000131931 +17 *1598:12 *1667:10 1.41853e-05 +18 *1598:37 *1667:10 0.0013863 +19 *1599:7 *2478:mprj_dat_i[26] 0 +20 *1599:10 *1667:10 0.000379505 +21 *1666:8 *1667:10 0.0845439 +*RES +1 *2472:mprj_dat_i_core[26] *1667:5 1.77093 +2 *1667:5 *1667:7 55.1919 +3 *1667:7 *1667:9 4.5 +4 *1667:9 *1667:10 908.055 +5 *1667:10 *2478:mprj_dat_i[26] 38.9049 +*END + +*D_NET *1668 0.199736 +*CONN +*I *2478:mprj_dat_i[27] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[27] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[27] 0.000107621 +2 *2472:mprj_dat_i_core[27] 0.0012134 +3 *1668:11 0.00248765 +4 *1668:10 0.00238003 +5 *1668:8 0.00643214 +6 *1668:7 0.00764554 +7 *1668:7 *2472:mprj_dat_o_core[27] 0 +8 *1668:8 *1670:8 0.0863197 +9 *1668:8 *1725:24 0.00914421 +10 *1668:8 *1728:16 0.00575712 +11 *1668:8 *1729:16 0.000108464 +12 *1668:8 *1732:10 0.000253799 +13 *2472:mprj_adr_o_core[27] *1668:7 0 +14 *1252:10 *1668:8 4.03749e-05 +15 *1600:7 *1668:11 0.00071777 +16 *1600:23 *1668:11 0.000403607 +17 *1664:14 *1668:8 0.0760008 +18 *1665:8 *1668:8 0.000723529 +*RES +1 *2472:mprj_dat_i_core[27] *1668:7 27.2167 +2 *1668:7 *1668:8 919.147 +3 *1668:8 *1668:10 4.5 +4 *1668:10 *1668:11 64.7427 +5 *1668:11 *2478:mprj_dat_i[27] 2.05183 +*END + +*D_NET *1669 0.201635 +*CONN +*I *2478:mprj_dat_i[28] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[28] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[28] 0.00131461 +2 *2472:mprj_dat_i_core[28] 0.00307041 +3 *1669:8 0.00771501 +4 *1669:7 0.0064004 +5 *1669:5 0.00307041 +6 *1669:5 *2472:mprj_dat_o_core[28] 0 +7 *1669:8 *1714:16 0.00350473 +8 *1669:8 *1716:10 0.00012426 +9 *1669:8 *1731:24 0.085759 +10 *2472:mprj_adr_o_core[28] *1669:5 0 +11 *867:9 *1669:5 0.00039899 +12 *1599:10 *1669:8 0.00022889 +13 *1600:10 *1669:8 0.00153498 +14 *1601:9 *2478:mprj_dat_i[28] 0.000697318 +15 *1601:10 *1669:8 0.0877024 +16 *1601:19 *1669:8 0.000113968 +*RES +1 *2472:mprj_dat_i_core[28] *1669:5 56.3522 +2 *1669:5 *1669:7 4.5 +3 *1669:7 *1669:8 927.466 +4 *1669:8 *2478:mprj_dat_i[28] 37.6591 +*END + +*D_NET *1670 0.209037 +*CONN +*I *2478:mprj_dat_i[29] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[29] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[29] 7.75081e-05 +2 *2472:mprj_dat_i_core[29] 0.00113704 +3 *1670:11 0.00178241 +4 *1670:10 0.0017049 +5 *1670:8 0.0061726 +6 *1670:7 0.00730964 +7 *1670:7 *2472:mprj_dat_o_core[29] 0.000243194 +8 *1670:8 *1673:8 0.000304636 +9 *1670:8 *1725:24 0.0101446 +10 *1670:8 *1729:16 0.000117719 +11 *1670:8 *1732:10 7.24449e-05 +12 *1670:8 *1734:10 0.0891279 +13 *1670:11 *2410:14 0.00230193 +14 *1670:11 *2411:14 0.00222106 +15 *2472:mprj_adr_o_core[29] *1670:7 0 +16 *1602:7 *1670:11 0 +17 *1668:8 *1670:8 0.0863197 +*RES +1 *2472:mprj_dat_i_core[29] *1670:7 27.632 +2 *1670:7 *1670:8 936.894 +3 *1670:8 *1670:10 4.5 +4 *1670:10 *1670:11 64.3275 +5 *1670:11 *2478:mprj_dat_i[29] 1.49002 +*END + +*D_NET *1671 0.149318 +*CONN +*I *2478:mprj_dat_i[2] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[2] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[2] 9.53405e-05 +2 *2472:mprj_dat_i_core[2] 0.000945021 +3 *1671:11 0.00329372 +4 *1671:10 0.00319838 +5 *1671:8 0.00618864 +6 *1671:7 0.00713366 +7 *1671:7 *2472:mprj_dat_o_core[2] 0 +8 *1671:8 *1675:8 0.0640834 +9 *1671:8 *1717:24 0.00627732 +10 *1671:8 *2191:16 0.0574594 +11 *1671:11 *1735:7 0 +12 *1671:11 *1735:23 0 +13 *2472:mprj_adr_o_core[2] *1671:7 0 +14 *606:8 *1671:8 0.000618181 +15 *865:16 *1671:8 2.50997e-05 +16 *1590:18 *1671:8 0 +17 *1603:7 *1671:11 0 +18 *1603:21 *1671:11 0 +*RES +1 *2472:mprj_dat_i_core[2] *1671:7 24.31 +2 *1671:7 *1671:8 693.978 +3 *1671:8 *1671:10 4.5 +4 *1671:10 *1671:11 67.6495 +5 *1671:11 *2478:mprj_dat_i[2] 2.05183 +*END + +*D_NET *1672 0.205206 +*CONN +*I *2478:mprj_dat_i[30] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[30] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[30] 0.00153071 +2 *2472:mprj_dat_i_core[30] 0.00316207 +3 *1672:8 0.00807779 +4 *1672:7 0.00654708 +5 *1672:5 0.00316207 +6 *2478:mprj_dat_i[30] *1736:9 0 +7 *2478:mprj_dat_i[30] *2412:14 3.92579e-05 +8 *1672:5 *2472:mprj_dat_o_core[30] 0 +9 *1672:8 *1718:10 0.00359735 +10 *1672:8 *1733:10 0.0872733 +11 *1672:8 *1733:19 0.00218537 +12 *1672:8 *1736:10 0.0892527 +13 *2472:mprj_adr_o_core[30] *1672:5 0 +14 *1252:7 *1672:5 0.0002888 +15 *1604:7 *2478:mprj_dat_i[30] 0 +16 *1652:12 *1672:8 8.90486e-05 +*RES +1 *2472:mprj_dat_i_core[30] *1672:5 57.5979 +2 *1672:5 *1672:7 4.5 +3 *1672:7 *1672:8 945.213 +4 *1672:8 *2478:mprj_dat_i[30] 36.4134 +*END + +*D_NET *1673 0.210139 +*CONN +*I *2478:mprj_dat_i[31] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[31] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[31] 0.00017106 +2 *2472:mprj_dat_i_core[31] 0.00135448 +3 *1673:11 0.00285254 +4 *1673:10 0.00268148 +5 *1673:8 0.015359 +6 *1673:7 0.0167134 +7 *1673:7 *2472:mprj_dat_o_core[31] 0 +8 *1673:8 *1729:16 0.0928511 +9 *1673:8 *1734:10 0.000325419 +10 *1673:8 *1735:24 0.0552346 +11 *1673:8 *1737:16 0.0187229 +12 *1673:8 *2185:10 0.000224106 +13 *1673:11 *1737:15 0 +14 *2472:mprj_adr_o_core[31] *1673:7 0 +15 *1582:16 *1673:8 0 +16 *1605:7 *1673:11 0 +17 *1605:23 *1673:11 0 +18 *1610:30 *1673:8 0.00334468 +19 *1670:8 *1673:8 0.000304636 +*RES +1 *2472:mprj_dat_i_core[31] *1673:7 28.3694 +2 *1673:7 *1673:8 131.536 +3 *1673:8 *1673:10 3.36879 +4 *1673:10 *1673:11 62.4588 +5 *1673:11 *2478:mprj_dat_i[31] 2.05183 +*END + +*D_NET *1674 0.151399 +*CONN +*I *2478:mprj_dat_i[3] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[3] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[3] 0.0011173 +2 *2472:mprj_dat_i_core[3] 0.0023602 +3 *1674:8 0.00640039 +4 *1674:7 0.00528309 +5 *1674:5 0.0023602 +6 *2478:mprj_dat_i[3] *1738:9 0 +7 *1674:5 *2472:mprj_dat_o_core[3] 0 +8 *1674:5 *2472:mprj_sel_o_core[3] 0 +9 *1674:8 *1722:10 0 +10 *2472:mprj_adr_o_core[3] *1674:5 0.000754523 +11 *867:19 *1674:5 0.00157513 +12 *985:9 *1674:5 8.05899e-05 +13 *1369:9 *1674:5 0 +14 *1371:12 *1674:8 0.00012426 +15 *1603:10 *1674:8 0.0646009 +16 *1606:9 *2478:mprj_dat_i[3] 0 +17 *1606:10 *1674:8 0.0664828 +18 *1606:24 *1674:8 0.000259093 +*RES +1 *2472:mprj_dat_i_core[3] *1674:5 62.5809 +2 *1674:5 *1674:7 4.5 +3 *1674:7 *1674:8 705.625 +4 *1674:8 *2478:mprj_dat_i[3] 31.4303 +*END + +*D_NET *1675 0.156756 +*CONN +*I *2478:mprj_dat_i[4] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[4] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[4] 8.30061e-05 +2 *2472:mprj_dat_i_core[4] 0.000959646 +3 *1675:11 0.0032129 +4 *1675:10 0.00312989 +5 *1675:8 0.00557928 +6 *1675:7 0.00653893 +7 *1675:7 *2472:mprj_dat_o_core[4] 0 +8 *1675:8 *1677:8 0.066771 +9 *1675:8 *1719:24 0.0061042 +10 *2472:mprj_adr_o_core[4] *1675:7 0 +11 *865:16 *1675:8 0.000294016 +12 *1607:9 *1675:11 0 +13 *1671:8 *1675:8 0.0640834 +*RES +1 *2472:mprj_dat_i_core[4] *1675:7 24.7252 +2 *1675:7 *1675:8 717.271 +3 *1675:8 *1675:10 4.5 +4 *1675:10 *1675:11 67.2342 +5 *1675:11 *2478:mprj_dat_i[4] 2.05183 +*END + +*D_NET *1676 0.156007 +*CONN +*I *2478:mprj_dat_i[5] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[5] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[5] 0.00118796 +2 *2472:mprj_dat_i_core[5] 0.00278863 +3 *1676:8 0.00645938 +4 *1676:7 0.00527142 +5 *1676:5 0.00278863 +6 *2478:mprj_dat_i[5] *1739:13 5.05252e-05 +7 *1676:5 *2472:mprj_dat_o_core[5] 0.000640321 +8 *1676:8 *1678:8 0.0674494 +9 *1676:8 *1735:10 0.000246453 +10 *1676:8 *2195:10 0.000643073 +11 *2472:mprj_adr_o_core[5] *1676:5 0 +12 *2472:mprj_adr_o_core[6] *1676:5 0 +13 *606:14 *1676:8 0.000161493 +14 *1370:9 *1676:5 4.38172e-05 +15 *1607:16 *1676:8 0 +16 *1608:7 *2478:mprj_dat_i[5] 0 +17 *1608:23 *2478:mprj_dat_i[5] 0 +18 *1609:19 *1676:8 0.0682756 +*RES +1 *2472:mprj_dat_i_core[5] *1676:5 60.9199 +2 *1676:5 *1676:7 4.5 +3 *1676:7 *1676:8 725.59 +4 *1676:8 *2478:mprj_dat_i[5] 33.0913 +*END + +*D_NET *1677 0.16222 +*CONN +*I *2478:mprj_dat_i[6] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[6] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[6] 8.30061e-05 +2 *2472:mprj_dat_i_core[6] 0.000984498 +3 *1677:11 0.00316727 +4 *1677:10 0.00308426 +5 *1677:8 0.00543128 +6 *1677:7 0.00641578 +7 *1677:7 *2472:mprj_dat_o_core[6] 0 +8 *1677:8 *1679:8 0.0684962 +9 *1677:8 *1719:24 0.00726186 +10 *2472:mprj_adr_o_core[6] *1677:7 0 +11 *2472:mprj_adr_o_core[7] *1677:7 0 +12 *865:16 *1677:8 0.000525232 +13 *1609:18 *1677:11 0 +14 *1675:8 *1677:8 0.066771 +*RES +1 *2472:mprj_dat_i_core[6] *1677:7 25.1405 +2 *1677:7 *1677:8 735.019 +3 *1677:8 *1677:10 4.5 +4 *1677:10 *1677:11 66.819 +5 *1677:11 *2478:mprj_dat_i[6] 2.05183 +*END + +*D_NET *1678 0.159762 +*CONN +*I *2478:mprj_dat_i[7] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[7] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[7] 0.00121894 +2 *2472:mprj_dat_i_core[7] 0.00306157 +3 *1678:8 0.00676464 +4 *1678:7 0.0055457 +5 *1678:5 0.00306157 +6 *2478:mprj_dat_i[7] *1742:29 0 +7 *1678:5 *2472:mprj_dat_o_core[7] 0 +8 *1678:8 *1718:10 0.000365829 +9 *1678:8 *2195:10 0.00160888 +10 *2472:mprj_adr_o_core[7] *1678:5 0 +11 *731:11 *1678:5 0 +12 *1118:12 *1678:8 0.000123271 +13 *1248:10 *1678:8 0.000108607 +14 *1607:16 *1678:8 2.53589e-05 +15 *1608:10 *1678:8 0 +16 *1609:19 *1678:8 0.000508612 +17 *1610:7 *2478:mprj_dat_i[7] 0 +18 *1610:10 *1678:8 0 +19 *1610:23 *2478:mprj_dat_i[7] 0 +20 *1611:10 *1678:8 0.0699197 +21 *1676:8 *1678:8 0.0674494 +*RES +1 *2472:mprj_dat_i_core[7] *1678:5 60.5047 +2 *1678:5 *1678:7 4.5 +3 *1678:7 *1678:8 743.338 +4 *1678:8 *2478:mprj_dat_i[7] 33.5066 +*END + +*D_NET *1679 0.162791 +*CONN +*I *2478:mprj_dat_i[8] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[8] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[8] 9.52866e-05 +2 *2472:mprj_dat_i_core[8] 0.000900476 +3 *1679:11 0.00313573 +4 *1679:10 0.00304045 +5 *1679:8 0.00608808 +6 *1679:7 0.00698855 +7 *1679:7 *2472:mprj_dat_o_core[8] 0 +8 *1679:8 *1721:24 0.00679209 +9 *1679:8 *1728:10 0.0542317 +10 *1679:11 *1743:7 0 +11 *1679:11 *1743:21 0 +12 *2472:mprj_adr_o_core[8] *1679:7 0.000269015 +13 *865:16 *1679:8 0.00302127 +14 *1119:10 *1679:8 7.92757e-06 +15 *1122:16 *1679:8 0.000455956 +16 *1250:10 *1679:8 0 +17 *1611:9 *1679:11 0 +18 *1662:8 *1679:8 0.00925462 +19 *1665:8 *1679:8 1.41689e-05 +20 *1677:8 *1679:8 0.0684962 +*RES +1 *2472:mprj_dat_i_core[8] *1679:7 25.5557 +2 *1679:7 *1679:8 752.766 +3 *1679:8 *1679:10 4.5 +4 *1679:10 *1679:11 66.4037 +5 *1679:11 *2478:mprj_dat_i[8] 2.05183 +*END + +*D_NET *1680 0.164613 +*CONN +*I *2478:mprj_dat_i[9] I *D mgmt_core_wrapper +*I *2472:mprj_dat_i_core[9] O *D mgmt_protect +*CAP +1 *2478:mprj_dat_i[9] 0.00127572 +2 *2472:mprj_dat_i_core[9] 0.00302015 +3 *1680:8 0.00690345 +4 *1680:7 0.00562773 +5 *1680:5 0.00302015 +6 *2478:mprj_dat_i[9] *1744:9 0 +7 *1680:5 *2472:mprj_dat_o_core[9] 0 +8 *1680:8 *1718:10 0.000620666 +9 *1680:8 *2195:10 0.00215045 +10 *2472:mprj_adr_o_core[9] *1680:5 0 +11 *987:9 *1680:5 0.000117507 +12 *1248:10 *1680:8 0.000124658 +13 *1582:13 *2478:mprj_dat_i[9] 0 +14 *1607:16 *1680:8 0.000159317 +15 *1610:10 *1680:8 0 +16 *1611:10 *1680:8 0.0701852 +17 *1612:7 *2478:mprj_dat_i[9] 0 +18 *1612:10 *1680:8 1.41317e-05 +19 *1650:8 *1680:8 0.0713938 +*RES +1 *2472:mprj_dat_i_core[9] *1680:5 59.6742 +2 *1680:5 *1680:7 4.5 +3 *1680:7 *1680:8 761.085 +4 *1680:8 *2478:mprj_dat_i[9] 34.3371 +*END + +*D_NET *1681 0.156593 +*CONN +*I *2472:mprj_dat_i_user[0] I *D mgmt_protect +*I *2473:wbs_dat_o[0] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[0] 0.0013207 +2 *2473:wbs_dat_o[0] 0.0020101 +3 *1681:8 0.00662251 +4 *1681:7 0.0053018 +5 *1681:5 0.0020101 +6 *2472:mprj_dat_i_user[0] *1745:7 0 +7 *2472:mprj_dat_i_user[0] *2187:7 0 +8 *1681:8 *2187:8 0.00799443 +9 *1373:8 *1681:8 5.03285e-05 +10 *1613:7 *2472:mprj_dat_i_user[0] 0 +11 *1613:8 *1681:8 0.0689307 +12 *1620:13 *2472:mprj_dat_i_user[0] 0 +13 *1638:8 *1681:8 0.0623518 +*RES +1 *2473:wbs_dat_o[0] *1681:5 53.4454 +2 *1681:5 *1681:7 4.5 +3 *1681:7 *1681:8 723.927 +4 *1681:8 *2472:mprj_dat_i_user[0] 35.1065 +*END + +*D_NET *1682 0.145926 +*CONN +*I *2472:mprj_dat_i_user[10] I *D mgmt_protect +*I *2473:wbs_dat_o[10] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[10] 0.00203989 +2 *2473:wbs_dat_o[10] 0.00166707 +3 *1682:8 0.00937662 +4 *1682:7 0.00900379 +5 *2472:mprj_dat_i_user[10] *1746:7 0 +6 *1682:8 *1712:8 0.0613396 +7 *1682:8 *1747:8 0.000242717 +8 *1682:8 *1761:8 0.000238938 +9 *1682:8 *1776:8 0.0616548 +10 *2473:la_oenb[5] *2472:mprj_dat_i_user[10] 0 +11 *1072:5 *2472:mprj_dat_i_user[10] 0 +12 *1614:7 *2472:mprj_dat_i_user[10] 0 +13 *1629:8 *1682:8 0.000362278 +*RES +1 *2473:wbs_dat_o[10] *1682:7 43.3185 +2 *1682:7 *1682:8 79.1407 +3 *1682:8 *2472:mprj_dat_i_user[10] 47.471 +*END + +*D_NET *1683 0.124689 +*CONN +*I *2472:mprj_dat_i_user[11] I *D mgmt_protect +*I *2473:wbs_dat_o[11] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[11] 0.0014995 +2 *2473:wbs_dat_o[11] 0.00206786 +3 *1683:8 0.00531216 +4 *1683:7 0.00381265 +5 *1683:5 0.00206786 +6 *2472:mprj_dat_i_user[11] *1746:7 0 +7 *2472:mprj_dat_i_user[11] *1747:7 0 +8 *1683:8 *1746:8 0.0527584 +9 *1683:8 *1748:8 0.0518492 +10 *1683:8 *1771:8 0.00521143 +11 *2473:la_oenb[5] *2472:mprj_dat_i_user[11] 0 +12 *1445:8 *1683:8 1.5006e-05 +13 *1456:8 *1683:8 9.51286e-05 +14 *1615:7 *2472:mprj_dat_i_user[11] 0 +*RES +1 *2473:wbs_dat_o[11] *1683:5 49.7081 +2 *1683:5 *1683:7 4.5 +3 *1683:7 *1683:8 559.764 +4 *1683:8 *2472:mprj_dat_i_user[11] 38.8438 +*END + +*D_NET *1684 0.104081 +*CONN +*I *2472:mprj_dat_i_user[12] I *D mgmt_protect +*I *2473:wbs_dat_o[12] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[12] 0.0017723 +2 *2473:wbs_dat_o[12] 0.00178179 +3 *1684:8 0.0165528 +4 *1684:7 0.0165623 +5 *2472:mprj_dat_i_user[12] *1685:16 0.000267319 +6 *2472:mprj_dat_i_user[12] *1748:7 0 +7 *1684:8 *1700:8 0.000133887 +8 *1684:8 *1700:18 0.000108607 +9 *1684:8 *1702:8 0.000231696 +10 *1684:8 *1704:8 0.000102438 +11 *1684:8 *1747:8 0.0587635 +12 *1684:8 *1765:14 0.000220514 +13 *1684:8 *1765:20 0.00012309 +14 *2473:la_data_in[6] *2472:mprj_dat_i_user[12] 0 +15 *1083:5 *2472:mprj_dat_i_user[12] 0 +16 *1616:7 *2472:mprj_dat_i_user[12] 0 +17 *1616:8 *1684:8 0.00712931 +18 *1633:8 *1684:8 0.000220514 +19 *1637:8 *1684:8 0.000111178 +*RES +1 *2473:wbs_dat_o[12] *1684:7 45.81 +2 *1684:7 *1684:8 75.4692 +3 *1684:8 *2472:mprj_dat_i_user[12] 44.9795 +*END + +*D_NET *1685 0.114364 +*CONN +*I *2472:mprj_dat_i_user[13] I *D mgmt_protect +*I *2473:wbs_dat_o[13] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[13] 0.000779681 +2 *2473:wbs_dat_o[13] 0.00204485 +3 *1685:16 0.00162287 +4 *1685:8 0.00513956 +5 *1685:7 0.00429637 +6 *1685:5 0.00204485 +7 *2472:mprj_dat_i_user[13] *1749:5 0 +8 *1685:8 *1687:10 0 +9 *1685:8 *1691:14 0.00301707 +10 *1685:8 *1748:8 0.00034733 +11 *1685:8 *1752:12 0.0445541 +12 *1685:8 *1773:8 0.00358909 +13 *1685:16 *1687:18 0.000296342 +14 *1685:16 *1748:7 0.000140424 +15 *2472:mprj_dat_i_user[12] *1685:16 0.000267319 +16 *1083:5 *1685:16 3.20069e-06 +17 *1617:5 *2472:mprj_dat_i_user[13] 0 +18 *1618:14 *1685:8 0.0462205 +*RES +1 *2473:wbs_dat_o[13] *1685:5 48.4624 +2 *1685:5 *1685:7 4.5 +3 *1685:7 *1685:8 526.488 +4 *1685:8 *1685:16 35.5835 +5 *1685:16 *2472:mprj_dat_i_user[13] 21.0557 +*END + +*D_NET *1686 0.113377 +*CONN +*I *2472:mprj_dat_i_user[14] I *D mgmt_protect +*I *2473:wbs_dat_o[14] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[14] 6.22868e-05 +2 *2473:wbs_dat_o[14] 0.00138503 +3 *1686:11 0.00190199 +4 *1686:10 0.0018397 +5 *1686:8 0.00357635 +6 *1686:7 0.00496138 +7 *1686:8 *1692:8 0.00147286 +8 *1686:8 *1749:8 0.0488574 +9 *1686:8 *1751:8 0.0478548 +10 *1686:11 *1749:5 0 +11 *1686:11 *1750:7 0 +12 *2473:la_data_in[7] *1686:11 0.000188291 +13 *805:8 *1686:8 6.45664e-05 +14 *1618:7 *1686:11 0.000898055 +15 *1619:8 *1686:8 0.000313928 +*RES +1 *2473:wbs_dat_o[14] *1686:7 39.2591 +2 *1686:7 *1686:8 518.723 +3 *1686:8 *1686:10 4.5 +4 *1686:10 *1686:11 49.3784 +5 *1686:11 *2472:mprj_dat_i_user[14] 1.77093 +*END + +*D_NET *1687 0.106253 +*CONN +*I *2472:mprj_dat_i_user[15] I *D mgmt_protect +*I *2473:wbs_dat_o[15] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[15] 0.000808891 +2 *2473:wbs_dat_o[15] 0.00195503 +3 *1687:18 0.00210878 +4 *1687:17 0.00192802 +5 *1687:12 0.00353319 +6 *1687:10 0.0039608 +7 *1687:5 0.00301076 +8 *2472:mprj_dat_i_user[15] *1751:5 0 +9 *1687:10 *1752:12 8.92241e-05 +10 *1687:12 *1689:8 0.0387092 +11 *1687:12 *1709:8 0.00321977 +12 *1687:12 *1752:12 0.0400836 +13 *1687:12 *1775:8 0.000202397 +14 *1687:17 *2472:mprj_dat_i_user[8] 0.000526414 +15 *1445:11 *1687:17 4.34379e-05 +16 *1616:8 *1687:10 0.00035954 +17 *1618:13 *1687:17 6.88675e-05 +18 *1619:5 *2472:mprj_dat_i_user[15] 0 +19 *1620:8 *1687:18 0.00534892 +20 *1643:5 *1687:17 0 +21 *1685:8 *1687:10 0 +22 *1685:16 *1687:18 0.000296342 +*RES +1 *2473:wbs_dat_o[15] *1687:5 45.9709 +2 *1687:5 *1687:10 34.7621 +3 *1687:10 *1687:12 421.668 +4 *1687:12 *1687:17 23.7048 +5 *1687:17 *1687:18 57.293 +6 *1687:18 *2472:mprj_dat_i_user[15] 26.3862 +*END + +*D_NET *1688 0.107506 +*CONN +*I *2472:mprj_dat_i_user[16] I *D mgmt_protect +*I *2473:wbs_dat_o[16] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[16] 0.00218616 +2 *2473:wbs_dat_o[16] 0.00132767 +3 *1688:10 0.00218616 +4 *1688:8 0.00347403 +5 *1688:7 0.0048017 +6 *2472:mprj_dat_i_user[16] *1751:5 0 +7 *2472:mprj_dat_i_user[16] *1752:10 0 +8 *1688:8 *1751:8 0.000202245 +9 *1688:8 *2190:8 0.00117777 +10 *2473:la_oenb[7] *2472:mprj_dat_i_user[16] 0.000185323 +11 *805:8 *1688:8 0 +12 *1619:8 *1688:8 0.045803 +13 *1620:7 *2472:mprj_dat_i_user[16] 0 +14 *1621:7 *2472:mprj_dat_i_user[16] 0 +15 *1621:10 *1688:8 0.0461618 +*RES +1 *2473:wbs_dat_o[16] *1688:7 38.0133 +2 *1688:7 *1688:8 493.211 +3 *1688:8 *1688:10 4.5 +4 *1688:10 *2472:mprj_dat_i_user[16] 50.5386 +*END + +*D_NET *1689 0.104966 +*CONN +*I *2472:mprj_dat_i_user[17] I *D mgmt_protect +*I *2473:wbs_dat_o[17] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[17] 0.00162704 +2 *2473:wbs_dat_o[17] 0.00204434 +3 *1689:12 0.0024839 +4 *1689:10 0.000877732 +5 *1689:8 0.00277699 +6 *1689:7 0.00275613 +7 *1689:5 0.00204434 +8 *2472:mprj_dat_i_user[17] *1753:5 0 +9 *1689:8 *1752:12 1.15389e-05 +10 *1689:8 *1775:8 0.00362033 +11 *1689:12 *1752:10 0.000609798 +12 *1689:12 *1752:12 0.000351167 +13 *2473:la_data_in[8] *2472:mprj_dat_i_user[17] 0 +14 *1478:8 *1689:12 0.000218833 +15 *1618:8 *1689:8 0.000211478 +16 *1618:8 *1689:12 0.00176543 +17 *1621:7 *2472:mprj_dat_i_user[17] 0 +18 *1622:8 *1689:8 1.67988e-05 +19 *1622:8 *1689:12 0.00660833 +20 *1622:10 *1689:10 9.95922e-06 +21 *1622:12 *1689:8 0.0382226 +22 *1687:12 *1689:8 0.0387092 +*RES +1 *2473:wbs_dat_o[17] *1689:5 47.2166 +2 *1689:5 *1689:7 4.5 +3 *1689:7 *1689:8 410.021 +4 *1689:8 *1689:10 0.578717 +5 *1689:10 *1689:12 70.6034 +6 *1689:12 *2472:mprj_dat_i_user[17] 41.7506 +*END + +*D_NET *1690 0.0984967 +*CONN +*I *2472:mprj_dat_i_user[18] I *D mgmt_protect +*I *2473:wbs_dat_o[18] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[18] 0.00236403 +2 *2473:wbs_dat_o[18] 0.00121295 +3 *1690:10 0.00236403 +4 *1690:8 0.00375633 +5 *1690:7 0.00496929 +6 *2472:mprj_dat_i_user[18] *1754:7 0 +7 *1690:8 *1753:8 0.000131218 +8 *1690:8 *1757:8 0.0408833 +9 *1690:8 *1772:8 0.000354801 +10 *2473:la_oenb[8] *2472:mprj_dat_i_user[18] 0 +11 *1105:5 *2472:mprj_dat_i_user[18] 0 +12 *1622:7 *2472:mprj_dat_i_user[18] 0 +13 *1623:5 *2472:mprj_dat_i_user[18] 0 +14 *1623:8 *1690:8 0.000897631 +15 *1625:10 *1690:8 0.0415631 +*RES +1 *2473:wbs_dat_o[18] *1690:7 35.5218 +2 *1690:7 *1690:8 466.59 +3 *1690:8 *1690:10 4.5 +4 *1690:10 *2472:mprj_dat_i_user[18] 53.0301 +*END + +*D_NET *1691 0.0820245 +*CONN +*I *2472:mprj_dat_i_user[19] I *D mgmt_protect +*I *2473:wbs_dat_o[19] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[19] 0.00152291 +2 *2473:wbs_dat_o[19] 0.00203045 +3 *1691:14 0.00236607 +4 *1691:13 0.000969455 +5 *1691:8 0.006066 +6 *1691:7 0.0059397 +7 *1691:5 0.00203045 +8 *2472:mprj_dat_i_user[19] *1755:5 0 +9 *1691:8 *1700:8 0 +10 *1691:13 *1775:7 1.47632e-05 +11 *1691:14 *1748:8 0.00317414 +12 *1691:14 *1752:12 3.83336e-05 +13 *733:14 *1691:8 7.02172e-06 +14 *733:20 *1691:8 0.00398786 +15 *783:8 *1691:8 0.00246556 +16 *827:8 *1691:14 0.000301715 +17 *838:8 *1691:14 0.00380725 +18 *1083:8 *1691:14 0.00510047 +19 *1445:11 *1691:13 0.000124169 +20 *1616:8 *1691:8 0.00275392 +21 *1622:12 *1691:8 0.0347793 +22 *1623:5 *2472:mprj_dat_i_user[19] 0 +23 *1633:14 *1691:8 0.00152799 +24 *1685:8 *1691:14 0.00301707 +*RES +1 *2473:wbs_dat_o[19] *1691:5 46.3861 +2 *1691:5 *1691:7 4.5 +3 *1691:7 *1691:8 366.207 +4 *1691:8 *1691:13 11.6625 +5 *1691:13 *1691:14 87.7962 +6 *1691:14 *2472:mprj_dat_i_user[19] 39.6743 +*END + +*D_NET *1692 0.174867 +*CONN +*I *2472:mprj_dat_i_user[1] I *D mgmt_protect +*I *2473:wbs_dat_o[1] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[1] 8.20467e-05 +2 *2473:wbs_dat_o[1] 0.00133475 +3 *1692:11 0.00206008 +4 *1692:10 0.00197803 +5 *1692:8 0.0089998 +6 *1692:7 0.0103346 +7 *1692:8 *1706:8 0.000131 +8 *1692:8 *1751:8 0.00135408 +9 *1692:8 *1767:10 0.0742015 +10 *1692:8 *1770:8 0.00066078 +11 *1692:8 *2188:8 0.00066078 +12 *1692:8 *2190:8 0.069545 +13 *1692:8 *2192:8 0.000198316 +14 *1692:11 *1700:17 1.00824e-05 +15 *1692:11 *1756:7 0 +16 *2473:la_oenb[1] *1692:11 8.8054e-05 +17 *1624:7 *1692:11 0.00175531 +18 *1633:13 *1692:11 0 +19 *1639:13 *1692:11 0 +20 *1686:8 *1692:8 0.00147286 +*RES +1 *2473:wbs_dat_o[1] *1692:7 38.3355 +2 *1692:7 *1692:8 97.1924 +3 *1692:8 *1692:10 3.36879 +4 *1692:10 *1692:11 49.1707 +5 *1692:11 *2472:mprj_dat_i_user[1] 2.33274 +*END + +*D_NET *1693 0.113905 +*CONN +*I *2472:mprj_dat_i_user[20] I *D mgmt_protect +*I *2473:wbs_dat_o[20] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[20] 0.00107888 +2 *2473:wbs_dat_o[20] 0.00271043 +3 *1693:8 0.00794281 +4 *1693:7 0.00686392 +5 *1693:5 0.00271043 +6 *2472:mprj_dat_i_user[20] *1757:5 0 +7 *1693:8 *1695:8 0.0429385 +8 *1693:8 *1754:8 0.0461657 +9 *1693:8 *1762:8 0.00143374 +10 *1625:7 *2472:mprj_dat_i_user[20] 0 +11 *1630:8 *1693:8 0.00206086 +*RES +1 *2473:wbs_dat_o[20] *1693:5 57.3903 +2 *1693:5 *1693:7 3.36879 +3 *1693:7 *1693:8 60.7066 +4 *1693:8 *2472:mprj_dat_i_user[20] 30.0304 +*END + +*D_NET *1694 0.0612911 +*CONN +*I *2472:mprj_dat_i_user[21] I *D mgmt_protect +*I *2473:wbs_dat_o[21] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[21] 0.00215016 +2 *2473:wbs_dat_o[21] 0.00115565 +3 *1694:10 0.00215016 +4 *1694:8 0.00974792 +5 *1694:7 0.0109036 +6 *2472:mprj_dat_i_user[21] *1757:5 0 +7 *2472:mprj_dat_i_user[21] *1758:7 0 +8 *1694:8 *1757:8 0.00473309 +9 *1694:8 *1759:14 0.0294113 +10 *2473:la_oenb[9] *2472:mprj_dat_i_user[21] 0.000936873 +11 *1626:7 *2472:mprj_dat_i_user[21] 0 +12 *1627:7 *2472:mprj_dat_i_user[21] 0 +13 *1643:8 *1694:8 0.00010238 +*RES +1 *2473:wbs_dat_o[21] *1694:7 34.276 +2 *1694:7 *1694:8 427.214 +3 *1694:8 *1694:10 4.5 +4 *1694:10 *2472:mprj_dat_i_user[21] 54.2759 +*END + +*D_NET *1695 0.109581 +*CONN +*I *2472:mprj_dat_i_user[22] I *D mgmt_protect +*I *2473:wbs_dat_o[22] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[22] 0.00102436 +2 *2473:wbs_dat_o[22] 0.00278943 +3 *1695:8 0.00746577 +4 *1695:7 0.00644141 +5 *1695:5 0.00278943 +6 *2472:mprj_dat_i_user[22] *1759:5 0 +7 *1695:8 *1699:8 0.00107168 +8 *1627:7 *2472:mprj_dat_i_user[22] 0 +9 *1628:8 *1695:8 0.0438945 +10 *1632:8 *1695:8 0.00116569 +11 *1693:8 *1695:8 0.0429385 +*RES +1 *2473:wbs_dat_o[22] *1695:5 58.2208 +2 *1695:5 *1695:7 3.36879 +3 *1695:7 *1695:8 57.0351 +4 *1695:8 *2472:mprj_dat_i_user[22] 29.1999 +*END + +*D_NET *1696 0.0878794 +*CONN +*I *2472:mprj_dat_i_user[23] I *D mgmt_protect +*I *2473:wbs_dat_o[23] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[23] 0.00198775 +2 *2473:wbs_dat_o[23] 0.0017554 +3 *1696:8 0.00499769 +4 *1696:7 0.00476533 +5 *2472:mprj_dat_i_user[23] *1759:5 0 +6 *2472:mprj_dat_i_user[23] *1760:7 0 +7 *1696:8 *1712:8 0.000362278 +8 *1696:8 *1761:8 0.0364355 +9 *772:8 *1696:8 0.000540322 +10 *1620:14 *1696:8 0.000131218 +11 *1627:10 *1696:8 0.0365949 +12 *1628:7 *2472:mprj_dat_i_user[23] 0 +13 *1629:7 *2472:mprj_dat_i_user[23] 0 +14 *1629:8 *1696:8 0.000309013 +*RES +1 *2473:wbs_dat_o[23] *1696:7 43.4116 +2 *1696:7 *1696:8 400.593 +3 *1696:8 *2472:mprj_dat_i_user[23] 49.6404 +*END + +*D_NET *1697 0.0860614 +*CONN +*I *2472:mprj_dat_i_user[24] I *D mgmt_protect +*I *2473:wbs_dat_o[24] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[24] 0.00111675 +2 *2473:wbs_dat_o[24] 0.0027145 +3 *1697:8 0.00416449 +4 *1697:7 0.00304774 +5 *1697:5 0.0027145 +6 *2472:mprj_dat_i_user[24] *1761:7 0 +7 *1697:8 *1754:8 0.00143374 +8 *1697:8 *1758:8 0.000226394 +9 *1697:8 *1760:8 0.0359827 +10 *1697:8 *1762:8 0.000202245 +11 *1373:8 *1697:8 0.000505953 +12 *1626:8 *1697:8 0.0339524 +13 *1629:7 *2472:mprj_dat_i_user[24] 0 +*RES +1 *2473:wbs_dat_o[24] *1697:5 55.9369 +2 *1697:5 *1697:7 4.5 +3 *1697:7 *1697:8 386.728 +4 *1697:8 *2472:mprj_dat_i_user[24] 32.615 +*END + +*D_NET *1698 0.0830445 +*CONN +*I *2472:mprj_dat_i_user[25] I *D mgmt_protect +*I *2473:wbs_dat_o[25] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[25] 0.00185769 +2 *2473:wbs_dat_o[25] 0.00188343 +3 *1698:8 0.00474367 +4 *1698:7 0.00476941 +5 *2472:mprj_dat_i_user[25] *1761:7 0 +6 *2472:mprj_dat_i_user[25] *1762:7 0 +7 *1698:8 *1747:8 0.000412718 +8 *1698:8 *1763:8 0.000305446 +9 *1412:8 *1698:8 0.0190352 +10 *1620:14 *1698:8 0.0154731 +11 *1630:7 *2472:mprj_dat_i_user[25] 0 +12 *1631:7 *2472:mprj_dat_i_user[25] 0 +13 *1631:8 *1698:8 0.0345639 +*RES +1 *2473:wbs_dat_o[25] *1698:7 45.4878 +2 *1698:7 *1698:8 373.417 +3 *1698:8 *2472:mprj_dat_i_user[25] 47.5641 +*END + +*D_NET *1699 0.0812214 +*CONN +*I *2472:mprj_dat_i_user[26] I *D mgmt_protect +*I *2473:wbs_dat_o[26] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[26] 0.000982179 +2 *2473:wbs_dat_o[26] 0.00284811 +3 *1699:8 0.00396221 +4 *1699:7 0.00298003 +5 *1699:5 0.00284811 +6 *2472:mprj_dat_i_user[26] *1763:7 0 +7 *2472:mprj_dat_i_user[26] *1765:13 0.00023907 +8 *1699:8 *1762:8 0.000226394 +9 *1373:8 *1699:8 0 +10 *1630:8 *1699:8 0.0328854 +11 *1631:7 *2472:mprj_dat_i_user[26] 0 +12 *1632:8 *1699:8 0.0331782 +13 *1695:8 *1699:8 0.00107168 +*RES +1 *2473:wbs_dat_o[26] *1699:5 57.5979 +2 *1699:5 *1699:7 4.5 +3 *1699:7 *1699:8 360.107 +4 *1699:8 *2472:mprj_dat_i_user[26] 30.954 +*END + +*D_NET *1700 0.0730701 +*CONN +*I *2472:mprj_dat_i_user[27] I *D mgmt_protect +*I *2473:wbs_dat_o[27] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[27] 0.00179431 +2 *2473:wbs_dat_o[27] 0.00198433 +3 *1700:18 0.00359747 +4 *1700:17 0.00198186 +5 *1700:8 0.00172525 +6 *1700:7 0.00353088 +7 *2472:mprj_dat_i_user[27] *1763:7 0 +8 *2472:mprj_dat_i_user[27] *1764:7 0 +9 *1700:8 *1702:8 0.00814048 +10 *1700:8 *1765:20 0.000826652 +11 *1700:8 *1765:22 0.00900087 +12 *1700:18 *1765:14 0.0195405 +13 *1412:8 *1700:18 0.000270207 +14 *1631:8 *1700:8 0.000151389 +15 *1631:8 *1700:18 0.0193368 +16 *1632:7 *2472:mprj_dat_i_user[27] 0 +17 *1633:7 *2472:mprj_dat_i_user[27] 0 +18 *1633:8 *1700:18 0.000371284 +19 *1633:13 *1700:17 7.09666e-06 +20 *1633:14 *1700:8 0.000227651 +21 *1645:10 *1700:8 0.000330532 +22 *1684:8 *1700:8 0.000133887 +23 *1684:8 *1700:18 0.000108607 +24 *1691:8 *1700:8 0 +25 *1692:11 *1700:17 1.00824e-05 +*RES +1 *2473:wbs_dat_o[27] *1700:7 47.1488 +2 *1700:7 *1700:8 128.837 +3 *1700:8 *1700:17 11.8823 +4 *1700:17 *1700:18 217.019 +5 *1700:18 *2472:mprj_dat_i_user[27] 46.7336 +*END + +*D_NET *1701 0.0754077 +*CONN +*I *2472:mprj_dat_i_user[28] I *D mgmt_protect +*I *2473:wbs_dat_o[28] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[28] 0.000980381 +2 *2473:wbs_dat_o[28] 0.00295291 +3 *1701:8 0.00384618 +4 *1701:7 0.0028658 +5 *1701:5 0.00295291 +6 *2472:mprj_dat_i_user[28] *1765:8 0 +7 *1701:8 *1745:8 0 +8 *1701:8 *1764:8 0.0308157 +9 *1701:8 *1766:8 0.0299129 +10 *1373:8 *1701:8 0 +11 *1628:8 *1701:8 0.000771867 +12 *1633:7 *2472:mprj_dat_i_user[28] 0 +13 *1634:8 *1701:8 0.000309013 +*RES +1 *2473:wbs_dat_o[28] *1701:5 58.8437 +2 *1701:5 *1701:7 4.5 +3 *1701:7 *1701:8 333.486 +4 *1701:8 *2472:mprj_dat_i_user[28] 29.7083 +*END + +*D_NET *1702 0.0704334 +*CONN +*I *2472:mprj_dat_i_user[29] I *D mgmt_protect +*I *2473:wbs_dat_o[29] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[29] 0.00170849 +2 *2473:wbs_dat_o[29] 0.0020156 +3 *1702:8 0.00449914 +4 *1702:7 0.00480625 +5 *2472:mprj_dat_i_user[29] *1766:7 0 +6 *1702:8 *1704:8 0.000309013 +7 *1702:8 *1765:20 7.6719e-06 +8 *1412:8 *1702:8 0 +9 *1633:8 *1702:8 0.0208978 +10 *1633:14 *1702:8 0.000371382 +11 *1634:7 *2472:mprj_dat_i_user[29] 0 +12 *1637:8 *1702:8 0.0274459 +13 *1684:8 *1702:8 0.000231696 +14 *1700:8 *1702:8 0.00814048 +*RES +1 *2473:wbs_dat_o[29] *1702:7 47.5641 +2 *1702:7 *1702:8 320.175 +3 *1702:8 *2472:mprj_dat_i_user[29] 45.4878 +*END + +*D_NET *1703 0.179259 +*CONN +*I *2472:mprj_dat_i_user[2] I *D mgmt_protect +*I *2473:wbs_dat_o[2] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[2] 0.000983544 +2 *2473:wbs_dat_o[2] 0.00198023 +3 *1703:8 0.00675487 +4 *1703:7 0.00577133 +5 *1703:5 0.00198023 +6 *2472:mprj_dat_i_user[2] *1767:7 0.00183936 +7 *1703:8 *1711:8 0.00599911 +8 *1703:8 *1750:8 9.37208e-05 +9 *1703:8 *1756:8 0.0742945 +10 *1703:8 *2189:8 0.074329 +11 *1635:5 *2472:mprj_dat_i_user[2] 0 +12 *1644:8 *1703:8 0.00523289 +*RES +1 *2473:wbs_dat_o[2] *1703:5 51.5768 +2 *1703:5 *1703:7 3.36879 +3 *1703:7 *1703:8 95.0507 +4 *1703:8 *2472:mprj_dat_i_user[2] 35.844 +*END + +*D_NET *1704 0.0689016 +*CONN +*I *2472:mprj_dat_i_user[30] I *D mgmt_protect +*I *2473:wbs_dat_o[30] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[30] 0.00165539 +2 *2473:wbs_dat_o[30] 0.00205162 +3 *1704:8 0.00403642 +4 *1704:7 0.00443266 +5 *2472:mprj_dat_i_user[30] *1766:7 0 +6 *2472:mprj_dat_i_user[30] *1768:7 0 +7 *1704:8 *1769:8 0.027499 +8 *1633:14 *1704:8 0.000627856 +9 *1636:7 *2472:mprj_dat_i_user[30] 0 +10 *1637:7 *2472:mprj_dat_i_user[30] 0 +11 *1637:8 *1704:8 0.0281872 +12 *1684:8 *1704:8 0.000102438 +13 *1702:8 *1704:8 0.000309013 +*RES +1 *2473:wbs_dat_o[30] *1704:7 48.3946 +2 *1704:7 *1704:8 306.865 +3 *1704:8 *2472:mprj_dat_i_user[30] 44.6573 +*END + +*D_NET *1705 0.0666973 +*CONN +*I *2472:mprj_dat_i_user[31] I *D mgmt_protect +*I *2473:wbs_dat_o[31] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[31] 0.000866369 +2 *2473:wbs_dat_o[31] 0.00309493 +3 *1705:8 0.00351639 +4 *1705:7 0.00265002 +5 *1705:5 0.00309493 +6 *2472:mprj_dat_i_user[31] *1769:7 0 +7 *1705:8 *1745:8 0.000361169 +8 *1705:8 *1768:8 0.0269195 +9 *989:8 *1705:8 0.0260698 +10 *1628:8 *1705:8 0.000124104 +11 *1637:7 *2472:mprj_dat_i_user[31] 0 +*RES +1 *2473:wbs_dat_o[31] *1705:5 60.9199 +2 *1705:5 *1705:7 4.5 +3 *1705:7 *1705:8 293.554 +4 *1705:8 *2472:mprj_dat_i_user[31] 27.632 +*END + +*D_NET *1706 0.143788 +*CONN +*I *2472:mprj_dat_i_user[3] I *D mgmt_protect +*I *2473:wbs_dat_o[3] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[3] 0.00214979 +2 *2473:wbs_dat_o[3] 0.00145459 +3 *1706:10 0.00214979 +4 *1706:8 0.00465152 +5 *1706:7 0.00610611 +6 *2472:mprj_dat_i_user[3] *1770:5 0 +7 *1706:8 *1708:8 0.00119535 +8 *1706:8 *2192:8 0.00295362 +9 *2473:la_oenb[2] *2472:mprj_dat_i_user[3] 0.00028143 +10 *772:8 *1706:8 0.000549381 +11 *1635:8 *1706:8 0.0624738 +12 *1638:7 *2472:mprj_dat_i_user[3] 0 +13 *1639:14 *1706:8 0.0596913 +14 *1692:8 *1706:8 0.000131 +*RES +1 *2473:wbs_dat_o[3] *1706:7 41.7506 +2 *1706:7 *1706:8 670.685 +3 *1706:8 *1706:10 4.5 +4 *1706:10 *2472:mprj_dat_i_user[3] 46.8014 +*END + +*D_NET *1707 0.142776 +*CONN +*I *2472:mprj_dat_i_user[4] I *D mgmt_protect +*I *2473:wbs_dat_o[4] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[4] 0.00137493 +2 *2473:wbs_dat_o[4] 0.00206698 +3 *1707:8 0.00607517 +4 *1707:7 0.00470025 +5 *1707:5 0.00206698 +6 *2472:mprj_dat_i_user[4] *1771:7 0 +7 *2472:mprj_dat_i_user[4] *2190:5 0 +8 *1707:8 *1756:8 0.00660562 +9 *1707:8 *1771:8 0.000410779 +10 *1050:5 *2472:mprj_dat_i_user[4] 0 +11 *1638:8 *1707:8 0.000313928 +12 *1639:10 *2472:mprj_dat_i_user[4] 0 +13 *1640:8 *1707:8 0.0616201 +14 *1642:8 *1707:8 0.0575415 +*RES +1 *2473:wbs_dat_o[4] *1707:5 52.1996 +2 *1707:5 *1707:7 4.5 +3 *1707:7 *1707:8 653.492 +4 *1707:8 *2472:mprj_dat_i_user[4] 36.3523 +*END + +*D_NET *1708 0.135127 +*CONN +*I *2472:mprj_dat_i_user[5] I *D mgmt_protect +*I *2473:wbs_dat_o[5] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[5] 0.00219667 +2 *2473:wbs_dat_o[5] 0.00143441 +3 *1708:10 0.00219667 +4 *1708:8 0.00493166 +5 *1708:7 0.00636606 +6 *2472:mprj_dat_i_user[5] *1771:7 0 +7 *2472:mprj_dat_i_user[5] *1772:5 0 +8 *1708:8 *1710:8 0.0566915 +9 *1708:8 *2188:8 0.00236014 +10 *2473:la_oenb[3] *2472:mprj_dat_i_user[5] 0.00017338 +11 *772:8 *1708:8 9.36702e-05 +12 *794:14 *1708:8 0.00122278 +13 *1050:5 *2472:mprj_dat_i_user[5] 0 +14 *1639:14 *1708:8 0.0562651 +15 *1640:7 *2472:mprj_dat_i_user[5] 0 +16 *1706:8 *1708:8 0.00119535 +*RES +1 *2473:wbs_dat_o[5] *1708:7 40.9201 +2 *1708:7 *1708:8 639.627 +3 *1708:8 *1708:10 4.5 +4 *1708:10 *2472:mprj_dat_i_user[5] 47.6319 +*END + +*D_NET *1709 0.158706 +*CONN +*I *2472:mprj_dat_i_user[6] I *D mgmt_protect +*I *2473:wbs_dat_o[6] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[6] 0.0015914 +2 *2473:wbs_dat_o[6] 0.00192393 +3 *1709:8 0.00761818 +4 *1709:7 0.00602678 +5 *1709:5 0.00192393 +6 *2472:mprj_dat_i_user[6] *1773:7 0 +7 *1709:8 *1752:12 0.00380939 +8 *1709:8 *1773:8 0.0682402 +9 *1709:8 *1775:8 0.0643524 +10 *1641:5 *2472:mprj_dat_i_user[6] 0 +11 *1687:12 *1709:8 0.00321977 +*RES +1 *2473:wbs_dat_o[6] *1709:5 48.2547 +2 *1709:5 *1709:7 3.36879 +3 *1709:7 *1709:8 86.4838 +4 *1709:8 *2472:mprj_dat_i_user[6] 39.166 +*END + +*D_NET *1710 0.126785 +*CONN +*I *2472:mprj_dat_i_user[7] I *D mgmt_protect +*I *2473:wbs_dat_o[7] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[7] 0.00182276 +2 *2473:wbs_dat_o[7] 0.00143336 +3 *1710:10 0.00182276 +4 *1710:8 0.00575668 +5 *1710:7 0.00719004 +6 *2472:mprj_dat_i_user[7] *1773:7 0 +7 *2472:mprj_dat_i_user[7] *1774:5 0 +8 *1710:8 *2188:8 0.00190188 +9 *794:14 *1710:8 0.000353776 +10 *805:8 *1710:8 0.000538827 +11 *1061:5 *2472:mprj_dat_i_user[7] 0.000176075 +12 *1617:8 *1710:8 0.0472562 +13 *1642:7 *2472:mprj_dat_i_user[7] 0.00184078 +14 *1708:8 *1710:8 0.0566915 +*RES +1 *2473:wbs_dat_o[7] *1710:7 40.5048 +2 *1710:7 *1710:8 611.342 +3 *1710:8 *1710:10 4.5 +4 *1710:10 *2472:mprj_dat_i_user[7] 49.9035 +*END + +*D_NET *1711 0.133994 +*CONN +*I *2472:mprj_dat_i_user[8] I *D mgmt_protect +*I *2473:wbs_dat_o[8] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[8] 0.00127294 +2 *2473:wbs_dat_o[8] 0.00210823 +3 *1711:8 0.00520362 +4 *1711:7 0.00393069 +5 *1711:5 0.00210823 +6 *2472:mprj_dat_i_user[8] *1775:7 0 +7 *1050:8 *1711:8 2.90905e-05 +8 *1061:8 *1711:8 0.000189584 +9 *1445:11 *2472:mprj_dat_i_user[8] 8.62625e-06 +10 *1642:8 *1711:8 0.0561622 +11 *1643:5 *2472:mprj_dat_i_user[8] 0 +12 *1644:8 *1711:8 0.0564551 +13 *1687:17 *2472:mprj_dat_i_user[8] 0.000526414 +14 *1703:8 *1711:8 0.00599911 +*RES +1 *2473:wbs_dat_o[8] *1711:5 51.3691 +2 *1711:5 *1711:7 4.5 +3 *1711:7 *1711:8 600.25 +4 *1711:8 *2472:mprj_dat_i_user[8] 37.1828 +*END + +*D_NET *1712 0.143008 +*CONN +*I *2472:mprj_dat_i_user[9] I *D mgmt_protect +*I *2473:wbs_dat_o[9] O *D user_analog_project_wrapper +*CAP +1 *2472:mprj_dat_i_user[9] 0.00192882 +2 *2473:wbs_dat_o[9] 0.00162883 +3 *1712:8 0.0108781 +4 *1712:7 0.0105781 +5 *2472:mprj_dat_i_user[9] *1776:7 0 +6 *1712:8 *1767:10 0.000111022 +7 *1712:8 *1774:8 0 +8 *1712:8 *1776:8 0.000961946 +9 *1712:8 *2188:8 0.000627723 +10 *1712:8 *2190:8 0 +11 *1712:8 *2192:8 0.000121359 +12 *816:11 *2472:mprj_dat_i_user[9] 0.00064738 +13 *1627:10 *1712:8 0.000289628 +14 *1641:8 *1712:8 0 +15 *1644:7 *2472:mprj_dat_i_user[9] 0 +16 *1648:8 *1712:8 0.0535329 +17 *1682:8 *1712:8 0.0613396 +18 *1696:8 *1712:8 0.000362278 +*RES +1 *2473:wbs_dat_o[9] *1712:7 42.488 +2 *1712:7 *1712:8 80.9 +3 *1712:8 *2472:mprj_dat_i_user[9] 48.3015 +*END + +*D_NET *1713 0.297511 +*CONN +*I *2471:wb_dat_i[0] I *D housekeeping +*I *2472:mprj_dat_o_core[0] I *D mgmt_protect +*I *2478:mprj_dat_o[0] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_dat_i[0] 0.00128326 +2 *2472:mprj_dat_o_core[0] 0.00110338 +3 *2478:mprj_dat_o[0] 0.000189363 +4 *1713:33 0.00559898 +5 *1713:31 0.00467846 +6 *1713:25 0.00176215 +7 *1713:24 0.00157588 +8 *1713:10 0.0239989 +9 *1713:9 0.0228955 +10 *1713:7 0.00274127 +11 *1713:5 0.00275416 +12 *2471:wb_dat_i[0] *2471:wb_dat_i[1] 0 +13 *2472:mprj_dat_o_core[0] *2472:mprj_sel_o_core[0] 0 +14 *1713:7 *2183:14 0 +15 *1713:7 *2183:16 0 +16 *1713:10 *2183:19 0.0727757 +17 *1713:24 *2183:14 0 +18 *1713:25 *2193:11 0.00625285 +19 *1713:31 *1724:27 0.000197192 +20 *1713:31 *1724:31 7.32658e-06 +21 *1713:33 *1724:27 0 +22 *1713:33 *1724:31 4.82966e-05 +23 *1713:33 *1724:33 0.0696822 +24 *1713:33 *2185:27 0.0698415 +25 *99:10 *1713:33 0.000344331 +26 *485:44 *1713:25 0.000782018 +27 *609:13 *2472:mprj_dat_o_core[0] 0.000642613 +28 *730:11 *2472:mprj_dat_o_core[0] 0.000448313 +29 *1259:16 *1713:10 0.000600965 +30 *1263:16 *1713:10 0.000858442 +31 *1265:16 *1713:10 0.000163504 +32 *1268:16 *1713:10 0.000991958 +33 *1274:16 *1713:10 0.00218951 +34 *1573:10 *1713:25 0 +35 *1582:16 *1713:10 0 +36 *1583:24 *1713:10 0 +37 *1602:30 *1713:10 0.00129656 +38 *1604:30 *1713:10 0.00177768 +39 *1605:13 *2471:wb_dat_i[0] 0 +40 *1607:9 *1713:31 2.84204e-05 +41 *1649:7 *2472:mprj_dat_o_core[0] 0 +*RES +1 *2478:mprj_dat_o[0] *1713:5 0.366399 +2 *1713:5 *1713:7 59.1368 +3 *1713:7 *1713:9 3.36879 +4 *1713:9 *1713:10 93.1384 +5 *1713:10 *2472:mprj_dat_o_core[0] 31.6914 +6 *2478:mprj_dat_o[0] *1713:24 8.59146 +7 *1713:24 *1713:25 65.612 +8 *1713:25 *1713:31 17.1816 +9 *1713:31 *1713:33 731.691 +10 *1713:33 *2471:wb_dat_i[0] 39.2957 +*END + +*D_NET *1714 0.339935 +*CONN +*I *2471:wb_dat_i[10] I *D housekeeping +*I *2472:mprj_dat_o_core[10] I *D mgmt_protect +*I *2478:mprj_dat_o[10] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_dat_i[10] 1.28869e-05 +2 *2472:mprj_dat_o_core[10] 2.27669e-05 +3 *2478:mprj_dat_o[10] 0.000977219 +4 *1714:30 0.00272232 +5 *1714:29 0.00270943 +6 *1714:27 0.00468881 +7 *1714:19 0.0021573 +8 *1714:18 0.00213454 +9 *1714:16 0.0107245 +10 *1714:15 0.0111725 +11 *1714:9 0.00611403 +12 *1714:15 *1722:10 0.000330596 +13 *1714:16 *1716:10 0.082134 +14 *1714:16 *1731:24 0.00322808 +15 *1714:16 *1744:10 0.0819887 +16 *1714:16 *2195:10 0.000151238 +17 *1714:27 *1715:10 0.0607459 +18 *1714:27 *1722:10 0.0112763 +19 *1714:27 *1731:10 0.038977 +20 *1714:27 *1743:10 0.0052714 +21 *1714:27 *2186:10 0.00434774 +22 *1714:30 *2471:wb_dat_i[9] 0 +23 *1714:30 *1715:13 0 +24 *1714:30 *1743:13 0 +25 *2472:mprj_adr_o_core[11] *1714:19 0 +26 *2478:mprj_dat_i[10] *1714:15 0 +27 *607:14 *1714:16 0.000130331 +28 *608:11 *1714:19 0.00192147 +29 *609:14 *1714:16 0.000464675 +30 *863:10 *1714:16 0.000141764 +31 *1246:12 *1714:16 0.000337748 +32 *1608:10 *1714:15 0.000142393 +33 *1608:10 *1714:27 0.000605222 +34 *1650:5 *1714:19 0.000642913 +35 *1667:10 *1714:16 0.000156689 +36 *1669:8 *1714:16 0.00350473 +*RES +1 *2478:mprj_dat_o[10] *1714:9 27.6686 +2 *1714:9 *1714:15 19.6336 +3 *1714:15 *1714:16 106.524 +4 *1714:16 *1714:18 3.36879 +5 *1714:18 *1714:19 56.6453 +6 *1714:19 *2472:mprj_dat_o_core[10] 0.647305 +7 *1714:9 *1714:27 654.601 +8 *1714:27 *1714:29 4.5 +9 *1714:29 *1714:30 66.819 +10 *1714:30 *2471:wb_dat_i[10] 0.366399 +*END + +*D_NET *1715 0.331909 +*CONN +*I *2472:mprj_dat_o_core[11] I *D mgmt_protect +*I *2471:wb_dat_i[11] I *D housekeeping +*I *2478:mprj_dat_o[11] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_dat_o_core[11] 0.000951049 +2 *2471:wb_dat_i[11] 1.28869e-05 +3 *2478:mprj_dat_o[11] 0.00101412 +4 *1715:30 0.00897395 +5 *1715:29 0.00972394 +6 *1715:26 0.0018093 +7 *1715:13 0.00273389 +8 *1715:12 0.00272101 +9 *1715:10 0.00453088 +10 *1715:9 0.00453088 +11 *1715:7 0.00112238 +12 *1715:10 *1717:10 0.00447049 +13 *1715:10 *1731:10 0.000113197 +14 *1715:10 *2186:10 0.0039392 +15 *1715:13 *2471:wb_dat_i[12] 0 +16 *1715:13 *1717:13 0 +17 *1715:30 *1717:24 0.0829849 +18 *1715:30 *1735:24 0 +19 *1715:30 *1743:24 0.0798671 +20 *2472:mprj_adr_o_core[11] *2472:mprj_dat_o_core[11] 0 +21 *2472:mprj_adr_o_core[12] *2472:mprj_dat_o_core[11] 0 +22 *1583:21 *1715:29 4.08754e-05 +23 *1584:7 *1715:7 0 +24 *1584:21 *1715:7 0 +25 *1608:10 *1715:10 0.0509566 +26 *1608:10 *1715:26 0.000596133 +27 *1610:10 *1715:26 0.000591935 +28 *1649:8 *1715:30 0.00344673 +29 *1651:7 *2472:mprj_dat_o_core[11] 0 +30 *1651:17 *1715:29 0.00139221 +31 *1660:8 *1715:30 0.00463906 +32 *1714:27 *1715:10 0.0607459 +33 *1714:30 *1715:13 0 +*RES +1 *2478:mprj_dat_o[11] *1715:7 23.5839 +2 *1715:7 *1715:9 4.5 +3 *1715:9 *1715:10 642.954 +4 *1715:10 *1715:12 4.5 +5 *1715:12 *1715:13 67.2342 +6 *1715:13 *2471:wb_dat_i[11] 0.366399 +7 *1715:7 *1715:26 16.2709 +8 *1715:26 *1715:29 47.7641 +9 *1715:29 *1715:30 107.213 +10 *1715:30 *2472:mprj_dat_o_core[11] 22.5559 +*END + +*D_NET *1716 0.33239 +*CONN +*I *2471:wb_dat_i[12] I *D housekeeping +*I *2472:mprj_dat_o_core[12] I *D mgmt_protect +*I *2478:mprj_dat_o[12] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_dat_i[12] 0.00137866 +2 *2472:mprj_dat_o_core[12] 0.00297492 +3 *2478:mprj_dat_o[12] 0.00195902 +4 *1716:27 0.00635144 +5 *1716:25 0.00515326 +6 *1716:12 0.00297492 +7 *1716:10 0.0115231 +8 *1716:9 0.0133017 +9 *2471:wb_dat_i[12] *1717:13 0 +10 *1716:10 *1718:10 0.0841234 +11 *1716:10 *1727:24 0.000101794 +12 *1716:10 *1731:24 0.000114491 +13 *1716:10 *1733:10 0.00389851 +14 *1716:10 *2195:10 0.000576645 +15 *1716:27 *1718:25 0.00237967 +16 *1716:27 *1744:33 0.00426676 +17 *1716:27 *2185:27 0 +18 *2472:mprj_adr_o_core[13] *2472:mprj_dat_o_core[12] 0 +19 *2478:mprj_dat_i[12] *1716:9 0 +20 *99:10 *1716:27 0.000469437 +21 *480:17 *1716:27 0.00476053 +22 *610:13 *2472:mprj_dat_o_core[12] 0 +23 *862:9 *2472:mprj_dat_o_core[12] 4.67024e-05 +24 *863:10 *1716:10 0.000101365 +25 *1601:10 *1716:10 0.00359011 +26 *1607:35 *1716:27 0.0494227 +27 *1609:33 *1716:27 1.00937e-05 +28 *1609:35 *1716:25 3.65965e-05 +29 *1609:37 *1716:27 0.0498943 +30 *1611:33 *1716:9 0 +31 *1611:35 *1716:27 0.000226394 +32 *1652:5 *2472:mprj_dat_o_core[12] 0 +33 *1652:8 *1716:10 0.000291404 +34 *1666:8 *1716:10 0.000101794 +35 *1667:10 *1716:10 0.000102215 +36 *1669:8 *1716:10 0.00012426 +37 *1714:16 *1716:10 0.082134 +38 *1715:13 *2471:wb_dat_i[12] 0 +*RES +1 *2478:mprj_dat_o[12] *1716:9 41.3033 +2 *1716:9 *1716:10 108.972 +3 *1716:10 *1716:12 3.36879 +4 *1716:12 *2472:mprj_dat_o_core[12] 57.3903 +5 *2478:mprj_dat_o[12] *1716:25 7.91909 +6 *1716:25 *1716:27 628.535 +7 *1716:27 *2471:wb_dat_i[12] 42.2025 +*END + +*D_NET *1717 0.328311 +*CONN +*I *2472:mprj_dat_o_core[13] I *D mgmt_protect +*I *2471:wb_dat_i[13] I *D housekeeping +*I *2478:mprj_dat_o[13] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_dat_o_core[13] 0.000792859 +2 *2471:wb_dat_i[13] 1.28869e-05 +3 *2478:mprj_dat_o[13] 0.00108011 +4 *1717:24 0.00879977 +5 *1717:23 0.00998082 +6 *1717:13 0.0027592 +7 *1717:12 0.00274631 +8 *1717:10 0.00464287 +9 *1717:9 0.00464287 +10 *1717:7 0.00305402 +11 *1717:10 *1719:10 0.00448558 +12 *1717:10 *1731:10 9.48476e-05 +13 *1717:10 *1735:10 0.00390299 +14 *1717:13 *2471:wb_dat_i[14] 0 +15 *1717:13 *1719:13 0 +16 *1717:24 *1719:24 0.0855385 +17 *1717:24 *1735:24 0 +18 *1717:24 *2191:16 0.00448941 +19 *2471:wb_dat_i[12] *1717:13 0 +20 *2472:mprj_adr_o_core[14] *2472:mprj_dat_o_core[13] 0 +21 *610:13 *2472:mprj_dat_o_core[13] 0 +22 *1586:7 *1717:7 0 +23 *1586:23 *1717:7 0 +24 *1586:23 *1717:23 0 +25 *1608:10 *1717:10 0.0480744 +26 *1610:10 *1717:10 0.0486055 +27 *1653:9 *2472:mprj_dat_o_core[13] 0.000875119 +28 *1671:8 *1717:24 0.00627732 +29 *1715:10 *1717:10 0.00447049 +30 *1715:13 *1717:13 0 +31 *1715:30 *1717:24 0.0829849 +*RES +1 *2478:mprj_dat_o[13] *1717:7 24.4144 +2 *1717:7 *1717:9 4.5 +3 *1717:9 *1717:10 617.997 +4 *1717:10 *1717:12 4.5 +5 *1717:12 *1717:13 68.0647 +6 *1717:13 *2471:wb_dat_i[13] 0.366399 +7 *1717:7 *1717:23 46.9336 +8 *1717:23 *1717:24 110.502 +9 *1717:24 *2472:mprj_dat_o_core[13] 23.3864 +*END + +*D_NET *1718 0.330304 +*CONN +*I *2471:wb_dat_i[14] I *D housekeeping +*I *2472:mprj_dat_o_core[14] I *D mgmt_protect +*I *2478:mprj_dat_o[14] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_dat_i[14] 0.00142248 +2 *2472:mprj_dat_o_core[14] 0.00230281 +3 *2478:mprj_dat_o[14] 0.00172686 +4 *1718:25 0.00601803 +5 *1718:24 0.00495016 +6 *1718:12 0.00230281 +7 *1718:10 0.0192644 +8 *1718:9 0.0206366 +9 *2471:wb_dat_i[14] *1719:13 0 +10 *1718:10 *1733:10 0.000103514 +11 *1718:10 *1735:10 0 +12 *1718:10 *1736:10 0.00390639 +13 *1718:10 *1741:10 0 +14 *1718:10 *2184:15 0 +15 *1718:10 *2184:25 0 +16 *1718:10 *2195:10 0.0606962 +17 *1718:25 *1722:25 0.0517061 +18 *2472:mprj_adr_o_core[15] *2472:mprj_dat_o_core[14] 0 +19 *2478:mprj_dat_i[14] *1718:9 0 +20 *100:77 *1718:25 0.0026997 +21 *480:17 *1718:25 0.00619868 +22 *865:10 *1718:10 0.000267904 +23 *1247:9 *2472:mprj_dat_o_core[14] 2.33103e-06 +24 *1587:7 *1718:24 0 +25 *1611:10 *1718:10 0.000383594 +26 *1611:35 *1718:25 0.0476573 +27 *1650:8 *1718:10 0.000895982 +28 *1652:12 *1718:10 0.0017512 +29 *1654:7 *2472:mprj_dat_o_core[14] 0.00291234 +30 *1654:10 *1718:10 0.00141193 +31 *1655:7 *2472:mprj_dat_o_core[14] 0 +32 *1672:8 *1718:10 0.00359735 +33 *1678:8 *1718:10 0.000365829 +34 *1680:8 *1718:10 0.000620666 +35 *1716:10 *1718:10 0.0841234 +36 *1716:27 *1718:25 0.00237967 +37 *1717:13 *2471:wb_dat_i[14] 0 +*RES +1 *2478:mprj_dat_o[14] *1718:9 34.6593 +2 *1718:9 *1718:10 111.42 +3 *1718:10 *1718:12 3.36879 +4 *1718:12 *2472:mprj_dat_o_core[14] 58.2208 +5 *2478:mprj_dat_o[14] *1718:24 11.2051 +6 *1718:24 *1718:25 604.687 +7 *1718:25 *2471:wb_dat_i[14] 43.4482 +*END + +*D_NET *1719 0.333098 +*CONN +*I *2472:mprj_dat_o_core[15] I *D mgmt_protect +*I *2471:wb_dat_i[15] I *D housekeeping +*I *2478:mprj_dat_o[15] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_dat_o_core[15] 0.0010785 +2 *2471:wb_dat_i[15] 1.28869e-05 +3 *2478:mprj_dat_o[15] 0.00113731 +4 *1719:24 0.00881232 +5 *1719:23 0.00959598 +6 *1719:13 0.00278159 +7 *1719:12 0.00276871 +8 *1719:10 0.00427565 +9 *1719:9 0.00427565 +10 *1719:7 0.00299947 +11 *1719:10 *1721:10 0.0535947 +12 *1719:10 *1731:10 0 +13 *1719:10 *1741:10 0.00376542 +14 *1719:10 *2186:10 0.000156019 +15 *1719:13 *2471:wb_dat_i[16] 0 +16 *1719:24 *1721:24 0.0875053 +17 *1719:24 *1735:24 0 +18 *2471:wb_dat_i[14] *1719:13 0 +19 *2472:mprj_adr_o_core[16] *2472:mprj_dat_o_core[15] 0 +20 *1588:7 *1719:7 0 +21 *1588:7 *1719:23 0 +22 *1588:23 *1719:23 0 +23 *1610:10 *1719:10 0.0457232 +24 *1612:10 *1719:10 0.00122553 +25 *1655:7 *2472:mprj_dat_o_core[15] 0 +26 *1675:8 *1719:24 0.0061042 +27 *1677:8 *1719:24 0.00726186 +28 *1717:10 *1719:10 0.00448558 +29 *1717:13 *1719:13 0 +30 *1717:24 *1719:24 0.0855385 +*RES +1 *2478:mprj_dat_o[15] *1719:7 25.2449 +2 *1719:7 *1719:9 4.5 +3 *1719:9 *1719:10 593.04 +4 *1719:10 *1719:12 4.5 +5 *1719:12 *1719:13 68.8952 +6 *1719:13 *2471:wb_dat_i[15] 0.366399 +7 *1719:7 *1719:23 45.2726 +8 *1719:23 *1719:24 112.873 +9 *1719:24 *2472:mprj_dat_o_core[15] 24.2169 +*END + +*D_NET *1720 0.265621 +*CONN +*I *2471:wb_dat_i[16] I *D housekeeping +*I *2472:mprj_dat_o_core[16] I *D mgmt_protect +*I *2478:mprj_dat_o[16] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_dat_i[16] 0.00165929 +2 *2472:mprj_dat_o_core[16] 0.00338719 +3 *2478:mprj_dat_o[16] 1.28869e-05 +4 *1720:24 0.00633031 +5 *1720:23 0.00479027 +6 *1720:12 0.00338719 +7 *1720:10 0.0077208 +8 *1720:9 0.00862213 +9 *1720:5 0.00103346 +10 *2471:wb_dat_i[16] *1721:13 0 +11 *1720:10 *1722:10 0.0291113 +12 *1720:10 *1743:10 0.0117089 +13 *1720:10 *2184:25 0.000551302 +14 *1720:24 *1726:25 0.0479822 +15 *1720:24 *2193:11 0.0552514 +16 *2472:mprj_adr_o_core[17] *2472:mprj_dat_o_core[16] 0 +17 *2478:la_input[102] *2472:mprj_dat_o_core[16] 0 +18 *485:44 *1720:24 0.00579314 +19 *608:14 *1720:10 0.000166542 +20 *1120:9 *2472:mprj_dat_o_core[16] 0.000300728 +21 *1251:10 *1720:10 0.000227563 +22 *1573:10 *1720:24 0 +23 *1589:9 *1720:9 0 +24 *1589:9 *1720:23 0 +25 *1656:5 *2472:mprj_dat_o_core[16] 0 +26 *1656:8 *1720:10 0.0775847 +27 *1719:13 *2471:wb_dat_i[16] 0 +*RES +1 *2478:mprj_dat_o[16] *1720:5 0.366399 +2 *1720:5 *1720:9 26.0565 +3 *1720:9 *1720:10 827.637 +4 *1720:10 *1720:12 4.5 +5 *1720:12 *2472:mprj_dat_o_core[16] 65.903 +6 *1720:5 *1720:23 7.27242 +7 *1720:23 *1720:24 579.73 +8 *1720:24 *2471:wb_dat_i[16] 47.1855 +*END + +*D_NET *1721 0.333282 +*CONN +*I *2472:mprj_dat_o_core[17] I *D mgmt_protect +*I *2471:wb_dat_i[17] I *D housekeeping +*I *2478:mprj_dat_o[17] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_dat_o_core[17] 0.00112749 +2 *2471:wb_dat_i[17] 1.28869e-05 +3 *2478:mprj_dat_o[17] 0.00121144 +4 *1721:24 0.00853094 +5 *1721:23 0.00919235 +6 *1721:13 0.00278502 +7 *1721:12 0.00277213 +8 *1721:10 0.00406239 +9 *1721:9 0.00406239 +10 *1721:7 0.00300035 +11 *1721:10 *2478:irq[0] 0.000346541 +12 *1721:10 *1723:25 0.0047663 +13 *1721:10 *1731:10 0 +14 *1721:10 *1741:10 0.001053 +15 *1721:13 *2471:wb_dat_i[18] 0 +16 *1721:13 *1723:28 0 +17 *1721:24 *1723:16 0.0893098 +18 *1721:24 *1728:10 0.00906555 +19 *1721:24 *1735:24 0.0001506 +20 *2471:wb_dat_i[16] *1721:13 0 +21 *2472:mprj_adr_o_core[17] *2472:mprj_dat_o_core[17] 0 +22 *2472:mprj_adr_o_core[18] *2472:mprj_dat_o_core[17] 0 +23 *1612:10 *1721:10 0.0435076 +24 *1657:7 *2472:mprj_dat_o_core[17] 0 +25 *1657:17 *1721:7 0 +26 *1657:17 *1721:23 0 +27 *1658:5 *2472:mprj_dat_o_core[17] 0 +28 *1662:8 *1721:24 0.000433185 +29 *1679:8 *1721:24 0.00679209 +30 *1719:10 *1721:10 0.0535947 +31 *1719:24 *1721:24 0.0875053 +*RES +1 *2478:mprj_dat_o[17] *1721:7 27.3456 +2 *1721:7 *1721:9 4.5 +3 *1721:9 *1721:10 570.301 +4 *1721:10 *1721:12 4.5 +5 *1721:12 *1721:13 69.3105 +6 *1721:13 *2471:wb_dat_i[17] 0.366399 +7 *1721:7 *1721:23 44.0269 +8 *1721:23 *1721:24 115.091 +9 *1721:24 *2472:mprj_dat_o_core[17] 25.0474 +*END + +*D_NET *1722 0.2347 +*CONN +*I *2471:wb_dat_i[18] I *D housekeeping +*I *2472:mprj_dat_o_core[18] I *D mgmt_protect +*I *2478:mprj_dat_o[18] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_dat_i[18] 0.0014412 +2 *2472:mprj_dat_o_core[18] 0.00308763 +3 *2478:mprj_dat_o[18] 0.00157745 +4 *1722:25 0.00501612 +5 *1722:24 0.00376091 +6 *1722:12 0.00308763 +7 *1722:10 0.0114089 +8 *1722:9 0.0128004 +9 *2471:wb_dat_i[18] *1723:28 0 +10 *1722:10 *1739:12 0 +11 *1722:10 *1743:10 0.0143852 +12 *1722:10 *2184:25 0.000557532 +13 *2472:mprj_adr_o_core[19] *2472:mprj_dat_o_core[18] 0.000407913 +14 *2478:mprj_dat_i[18] *1722:9 0 +15 *100:77 *1722:25 0.0527978 +16 *480:17 *1722:25 0.00586024 +17 *634:8 *1722:10 0.00185525 +18 *864:9 *2472:mprj_dat_o_core[18] 0.000592024 +19 *1248:9 *2472:mprj_dat_o_core[18] 0 +20 *1251:10 *1722:10 0.00535381 +21 *1270:10 *1722:10 0.01456 +22 *1582:21 *2472:mprj_dat_o_core[18] 0.000173069 +23 *1592:24 *1722:10 0.00138234 +24 *1603:10 *1722:10 0.000139836 +25 *1606:24 *1722:10 0 +26 *1607:10 *1722:10 0 +27 *1608:10 *1722:10 0.00203045 +28 *1609:19 *1722:10 0 +29 *1658:5 *2472:mprj_dat_o_core[18] 0 +30 *1659:5 *2472:mprj_dat_o_core[18] 0 +31 *1674:8 *1722:10 0 +32 *1714:15 *1722:10 0.000330596 +33 *1714:27 *1722:10 0.0112763 +34 *1718:25 *1722:25 0.0517061 +35 *1720:10 *1722:10 0.0291113 +36 *1721:13 *2471:wb_dat_i[18] 0 +*RES +1 *2478:mprj_dat_o[18] *1722:9 33.9218 +2 *1722:9 *1722:10 842.612 +3 *1722:10 *1722:12 4.5 +4 *1722:12 *2472:mprj_dat_o_core[18] 65.0725 +5 *2478:mprj_dat_o[18] *1722:24 6.93045 +6 *1722:24 *1722:25 554.218 +7 *1722:25 *2471:wb_dat_i[18] 43.8635 +*END + +*D_NET *1723 0.333096 +*CONN +*I *2471:wb_dat_i[19] I *D housekeeping +*I *2472:mprj_dat_o_core[19] I *D mgmt_protect +*I *2478:mprj_dat_o[19] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_dat_i[19] 1.28869e-05 +2 *2472:mprj_dat_o_core[19] 0.00116696 +3 *2478:mprj_dat_o[19] 0.00117834 +4 *1723:28 0.00280963 +5 *1723:27 0.00279674 +6 *1723:25 0.00390032 +7 *1723:16 0.00821682 +8 *1723:15 0.00875068 +9 *1723:12 0.00181905 +10 *1723:9 0.00519688 +11 *1723:16 *1725:24 0.0908336 +12 *1723:16 *1728:16 0.000433185 +13 *1723:16 *1735:24 0.000127811 +14 *1723:25 *2478:irq[2] 0.00036298 +15 *1723:25 *1725:10 0.0502494 +16 *1723:25 *1731:10 0 +17 *1723:25 *1741:10 0.000332844 +18 *1723:28 *1725:13 0 +19 *2471:wb_dat_i[18] *1723:28 0 +20 *2472:mprj_adr_o_core[20] *2472:mprj_dat_o_core[19] 0 +21 *1587:10 *1723:12 0.00046538 +22 *1587:10 *1723:25 0.000653519 +23 *1591:7 *1723:15 0 +24 *1591:27 *1723:15 0 +25 *1612:10 *1723:12 0.00106121 +26 *1612:10 *1723:25 0.040507 +27 *1659:5 *2472:mprj_dat_o_core[19] 0 +28 *1664:14 *1723:16 0.00934121 +29 *1665:8 *1723:16 0.00880387 +30 *1721:10 *1723:25 0.0047663 +31 *1721:13 *1723:28 0 +32 *1721:24 *1723:16 0.0893098 +*RES +1 *2478:mprj_dat_o[19] *1723:9 30.9906 +2 *1723:9 *1723:12 15.7609 +3 *1723:12 *1723:15 42.3659 +4 *1723:15 *1723:16 116.162 +5 *1723:16 *2472:mprj_dat_o_core[19] 25.8779 +6 *1723:9 *1723:25 544.235 +7 *1723:25 *1723:27 4.5 +8 *1723:27 *1723:28 70.141 +9 *1723:28 *2471:wb_dat_i[19] 0.366399 +*END + +*D_NET *1724 0.319501 +*CONN +*I *2471:wb_dat_i[1] I *D housekeeping +*I *2472:mprj_dat_o_core[1] I *D mgmt_protect +*I *2478:mprj_dat_o[1] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_dat_i[1] 0.00113513 +2 *2472:mprj_dat_o_core[1] 0.00212118 +3 *2478:mprj_dat_o[1] 0.00231538 +4 *1724:33 0.00613368 +5 *1724:31 0.00503912 +6 *1724:27 0.000943873 +7 *1724:24 0.00104151 +8 *1724:12 0.00212118 +9 *1724:10 0.00612705 +10 *1724:9 0.00830424 +11 *2471:wb_dat_i[1] *1735:13 0 +12 *2472:mprj_dat_o_core[1] *2472:mprj_sel_o_core[1] 0 +13 *1724:9 *2184:15 0 +14 *1724:10 *1738:10 0.000147045 +15 *1724:10 *2184:16 0.0734052 +16 *1724:27 *1738:27 0.000631564 +17 *1724:27 *2183:37 0.000683502 +18 *1724:31 *1738:27 0 +19 *1724:31 *1738:31 4.73037e-06 +20 *1724:33 *1738:31 0 +21 *1724:33 *1738:33 0.0657257 +22 *1724:33 *2185:27 0.000137345 +23 *2471:wb_dat_i[0] *2471:wb_dat_i[1] 0 +24 *2472:mprj_adr_o_core[1] *2472:mprj_dat_o_core[1] 0 +25 *99:10 *1724:33 0.000361052 +26 *100:77 *1724:27 0.00175037 +27 *480:17 *1724:27 0.000895693 +28 *488:32 *2471:wb_dat_i[1] 0.000728314 +29 *607:13 *2472:mprj_dat_o_core[1] 0.000677005 +30 *868:23 *2472:mprj_dat_o_core[1] 0.000408405 +31 *985:12 *1724:10 2.6242e-05 +32 *1647:10 *1724:10 0.0687012 +33 *1660:7 *2472:mprj_dat_o_core[1] 0 +34 *1660:17 *1724:9 0 +35 *1713:31 *1724:27 0.000197192 +36 *1713:31 *1724:31 7.32658e-06 +37 *1713:33 *1724:27 0 +38 *1713:33 *1724:31 4.82966e-05 +39 *1713:33 *1724:33 0.0696822 +*RES +1 *2478:mprj_dat_o[1] *1724:9 47.9473 +2 *1724:9 *1724:10 94.5153 +3 *1724:10 *1724:12 3.36879 +4 *1724:12 *2472:mprj_dat_o_core[1] 50.7463 +5 *2478:mprj_dat_o[1] *1724:24 6.93045 +6 *1724:24 *1724:27 49.6491 +7 *1724:27 *1724:31 1.85672 +8 *1724:31 *1724:33 733.355 +9 *1724:33 *2471:wb_dat_i[1] 39.7109 +*END + +*D_NET *1725 0.333668 +*CONN +*I *2472:mprj_dat_o_core[20] I *D mgmt_protect +*I *2471:wb_dat_i[20] I *D housekeeping +*I *2478:mprj_dat_o[20] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_dat_o_core[20] 0.00120669 +2 *2471:wb_dat_i[20] 1.28869e-05 +3 *2478:mprj_dat_o[20] 0.00120797 +4 *1725:24 0.00839736 +5 *1725:23 0.00880702 +6 *1725:13 0.00282013 +7 *1725:12 0.00280725 +8 *1725:10 0.00369973 +9 *1725:9 0.00369973 +10 *1725:7 0.00282432 +11 *1725:10 *2478:irq[2] 0.000342696 +12 *1725:10 *1727:10 0.00441162 +13 *1725:10 *1731:10 0 +14 *1725:10 *1741:10 0.000742466 +15 *1725:13 *2471:wb_dat_i[21] 0 +16 *1725:13 *1727:13 0 +17 *1725:24 *1729:16 0.0910138 +18 *1725:24 *1735:24 0.000650902 +19 *2472:mprj_adr_o_core[21] *2472:mprj_dat_o_core[20] 0 +20 *1587:10 *1725:10 0.0406512 +21 *1594:7 *1725:7 0 +22 *1594:23 *1725:7 0 +23 *1594:23 *1725:23 0 +24 *1661:5 *2472:mprj_dat_o_core[20] 0 +25 *1668:8 *1725:24 0.00914421 +26 *1670:8 *1725:24 0.0101446 +27 *1723:16 *1725:24 0.0908336 +28 *1723:25 *1725:10 0.0502494 +29 *1723:28 *1725:13 0 +*RES +1 *2478:mprj_dat_o[20] *1725:7 26.9059 +2 *1725:7 *1725:9 4.5 +3 *1725:9 *1725:10 531.479 +4 *1725:10 *1725:12 4.5 +5 *1725:12 *1725:13 70.5562 +6 *1725:13 *2471:wb_dat_i[20] 0.366399 +7 *1725:7 *1725:23 41.1201 +8 *1725:23 *1725:24 118.916 +9 *1725:24 *2472:mprj_dat_o_core[20] 26.7084 +*END + +*D_NET *1726 0.298147 +*CONN +*I *2471:wb_dat_i[21] I *D housekeeping +*I *2472:mprj_dat_o_core[21] I *D mgmt_protect +*I *2478:mprj_dat_o[21] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_dat_i[21] 0.00167007 +2 *2472:mprj_dat_o_core[21] 0.00278007 +3 *2478:mprj_dat_o[21] 0.00175643 +4 *1726:25 0.00539296 +5 *1726:24 0.00383453 +6 *1726:12 0.00278007 +7 *1726:10 0.00607573 +8 *1726:9 0.00772052 +9 *2471:wb_dat_i[21] *1727:13 0 +10 *1726:10 *1740:12 0.00291073 +11 *1726:25 *1728:31 0.0463165 +12 *1726:25 *2193:11 0.000597677 +13 *2472:mprj_adr_o_core[21] *2472:mprj_dat_o_core[21] 0 +14 *2472:mprj_adr_o_core[22] *2472:mprj_dat_o_core[21] 0 +15 *113:17 *1726:25 0.00523011 +16 *1122:12 *1726:10 0.000265631 +17 *1129:15 *2472:mprj_dat_o_core[21] 0 +18 *1249:9 *2472:mprj_dat_o_core[21] 0 +19 *1573:10 *1726:25 0 +20 *1590:27 *1726:10 0.000895002 +21 *1594:24 *1726:10 0.078287 +22 *1595:7 *1726:9 0 +23 *1595:7 *1726:24 0 +24 *1661:8 *1726:10 0.0804 +25 *1662:7 *2472:mprj_dat_o_core[21] 0.000237581 +26 *1663:12 *1726:10 0.0026051 +27 *1663:14 *1726:10 0.000409617 +28 *1720:24 *1726:25 0.0479822 +29 *1725:13 *2471:wb_dat_i[21] 0 +*RES +1 *2478:mprj_dat_o[21] *1726:9 40.2728 +2 *1726:9 *1726:10 870.342 +3 *1726:10 *1726:12 4.5 +4 *1726:12 *2472:mprj_dat_o_core[21] 52.6149 +5 *2478:mprj_dat_o[21] *1726:24 7.05258 +6 *1726:24 *1726:25 518.169 +7 *1726:25 *2471:wb_dat_i[21] 47.6007 +*END + +*D_NET *1727 0.290868 +*CONN +*I *2472:mprj_dat_o_core[22] I *D mgmt_protect +*I *2471:wb_dat_i[22] I *D housekeeping +*I *2478:mprj_dat_o[22] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_dat_o_core[22] 0.00228728 +2 *2471:wb_dat_i[22] 1.28869e-05 +3 *2478:mprj_dat_o[22] 0.00133769 +4 *1727:26 0.00228728 +5 *1727:24 0.00625386 +6 *1727:23 0.00655053 +7 *1727:13 0.00284527 +8 *1727:12 0.00283239 +9 *1727:10 0.00426656 +10 *1727:9 0.00426656 +11 *1727:7 0.00163435 +12 *1727:10 *2478:irq[1] 0.00036442 +13 *1727:10 *1729:25 0.00254933 +14 *1727:10 *1741:10 0.00221918 +15 *1727:13 *2471:wb_dat_i[23] 0 +16 *1727:13 *1729:28 0 +17 *1727:24 *1742:30 0.00302282 +18 *2471:wb_dat_i[21] *1727:13 0 +19 *2472:mprj_adr_o_core[23] *2472:mprj_dat_o_core[22] 0 +20 *2478:mprj_dat_i[22] *1727:7 0 +21 *2478:mprj_dat_i[22] *1727:23 0 +22 *610:14 *1727:24 0.000284653 +23 *1122:9 *2472:mprj_dat_o_core[22] 4.63742e-05 +24 *1122:12 *1727:24 2.16355e-05 +25 *1587:10 *1727:10 0.0379218 +26 *1588:10 *1727:10 0.0381917 +27 *1589:31 *1727:10 0.000137345 +28 *1596:9 *1727:7 0 +29 *1596:9 *1727:23 0 +30 *1596:10 *1727:24 0.0829211 +31 *1652:12 *1727:24 0 +32 *1663:7 *2472:mprj_dat_o_core[22] 0.00256786 +33 *1663:12 *1727:24 3.59437e-05 +34 *1666:8 *1727:24 0.0814959 +35 *1716:10 *1727:24 0.000101794 +36 *1725:10 *1727:10 0.00441162 +37 *1725:13 *1727:13 0 +*RES +1 *2478:mprj_dat_o[22] *1727:7 29.4218 +2 *1727:7 *1727:9 4.5 +3 *1727:9 *1727:10 508.186 +4 *1727:10 *1727:12 4.5 +5 *1727:12 *1727:13 71.3867 +6 *1727:13 *2471:wb_dat_i[22] 0.366399 +7 *1727:7 *1727:23 10.4845 +8 *1727:23 *1727:24 878.106 +9 *1727:24 *1727:26 4.5 +10 *1727:26 *2472:mprj_dat_o_core[22] 54.2759 +*END + +*D_NET *1728 0.286576 +*CONN +*I *2471:wb_dat_i[23] I *D housekeeping +*I *2472:mprj_dat_o_core[23] I *D mgmt_protect +*I *2478:mprj_dat_o[23] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_dat_i[23] 0.00168919 +2 *2472:mprj_dat_o_core[23] 0.00117379 +3 *2478:mprj_dat_o[23] 0.000166684 +4 *1728:31 0.00517368 +5 *1728:30 0.00363609 +6 *1728:16 0.00212956 +7 *1728:15 0.00100911 +8 *1728:10 0.00843418 +9 *1728:9 0.00838084 +10 *1728:7 0.00285531 +11 *1728:5 0.0028704 +12 *2471:wb_dat_i[23] *1729:28 0 +13 *1728:31 *1730:25 0.0439202 +14 *1728:31 *2193:11 0.000128915 +15 *2472:mprj_adr_o_core[24] *2472:mprj_dat_o_core[23] 0 +16 *113:17 *1728:31 0.00439555 +17 *1250:10 *1728:16 9.91596e-05 +18 *1252:10 *1728:16 0.000109568 +19 *1254:7 *1728:15 1.02986e-05 +20 *1573:10 *1728:31 0.000198023 +21 *1597:9 *1728:7 0 +22 *1597:9 *1728:30 0 +23 *1597:15 *1728:7 0 +24 *1597:29 *1728:15 1.02986e-05 +25 *1662:8 *1728:16 0.000164123 +26 *1662:13 *1728:15 7.09666e-06 +27 *1664:7 *2472:mprj_dat_o_core[23] 0 +28 *1664:13 *1728:15 7.09666e-06 +29 *1665:8 *1728:10 0.0766446 +30 *1665:8 *1728:16 0.00755785 +31 *1668:8 *1728:16 0.00575712 +32 *1679:8 *1728:10 0.0542317 +33 *1721:24 *1728:10 0.00906555 +34 *1723:16 *1728:16 0.000433185 +35 *1726:25 *1728:31 0.0463165 +36 *1727:13 *2471:wb_dat_i[23] 0 +*RES +1 *2478:mprj_dat_o[23] *1728:5 0.366399 +2 *1728:5 *1728:7 65.9885 +3 *1728:7 *1728:9 4.5 +4 *1728:9 *1728:10 803.789 +5 *1728:10 *1728:15 10.0015 +6 *1728:15 *1728:16 84.4685 +7 *1728:16 *2472:mprj_dat_o_core[23] 26.8015 +8 *2478:mprj_dat_o[23] *1728:30 7.76095 +9 *1728:30 *1728:31 492.102 +10 *1728:31 *2471:wb_dat_i[23] 48.016 +*END + +*D_NET *1729 0.322884 +*CONN +*I *2471:wb_dat_i[24] I *D housekeeping +*I *2472:mprj_dat_o_core[24] I *D mgmt_protect +*I *2478:mprj_dat_o[24] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_dat_i[24] 1.28869e-05 +2 *2472:mprj_dat_o_core[24] 0.00127906 +3 *2478:mprj_dat_o[24] 0.00141448 +4 *1729:28 0.00288095 +5 *1729:27 0.00286806 +6 *1729:25 0.00446639 +7 *1729:16 0.00938948 +8 *1729:15 0.00955813 +9 *1729:9 0.00732858 +10 *1729:16 *1732:10 0.0108377 +11 *1729:16 *1734:10 0.00977543 +12 *1729:16 *1735:24 0.00189034 +13 *1729:25 *2478:irq[1] 0.000193655 +14 *1729:25 *1737:25 0.0025617 +15 *1729:28 *2471:wb_dat_i[25] 0 +16 *1729:28 *1731:13 0 +17 *2471:wb_dat_i[23] *1729:28 0 +18 *2472:mprj_adr_o_core[25] *2472:mprj_dat_o_core[24] 0 +19 *1250:7 *2472:mprj_dat_o_core[24] 0 +20 *1589:31 *1729:15 0.000383703 +21 *1589:31 *1729:25 0.0352422 +22 *1591:14 *1729:15 0.000379505 +23 *1591:14 *1729:25 0.035781 +24 *1598:12 *1729:9 0 +25 *1665:7 *2472:mprj_dat_o_core[24] 0 +26 *1665:11 *1729:15 0 +27 *1668:8 *1729:16 0.000108464 +28 *1670:8 *1729:16 0.000117719 +29 *1673:8 *1729:16 0.0928511 +30 *1725:24 *1729:16 0.0910138 +31 *1727:10 *1729:25 0.00254933 +32 *1727:13 *1729:28 0 +*RES +1 *2478:mprj_dat_o[24] *1729:9 35.1676 +2 *1729:9 *1729:15 46.7643 +3 *1729:15 *1729:16 123.582 +4 *1729:16 *2472:mprj_dat_o_core[24] 27.5389 +5 *1729:9 *1729:25 479.346 +6 *1729:25 *1729:27 4.5 +7 *1729:27 *1729:28 72.6325 +8 *1729:28 *2471:wb_dat_i[24] 0.366399 +*END + +*D_NET *1730 0.294805 +*CONN +*I *2471:wb_dat_i[25] I *D housekeeping +*I *2472:mprj_dat_o_core[25] I *D mgmt_protect +*I *2478:mprj_dat_o[25] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_dat_i[25] 0.00170831 +2 *2472:mprj_dat_o_core[25] 0.00309577 +3 *2478:mprj_dat_o[25] 0.00178457 +4 *1730:25 0.00499644 +5 *1730:24 0.00343521 +6 *1730:12 0.00309577 +7 *1730:10 0.00637311 +8 *1730:9 0.00801061 +9 *2471:wb_dat_i[25] *1731:13 0 +10 *1730:10 *1731:24 0.0848626 +11 *1730:10 *1744:10 0.00330783 +12 *1730:25 *1732:25 0.00130401 +13 *1730:25 *1734:25 0.0386561 +14 *1730:25 *2193:11 8.8758e-05 +15 *2472:mprj_adr_o_core[26] *2472:mprj_dat_o_core[25] 0 +16 *2478:mprj_dat_i[25] *1730:9 0 +17 *1123:13 *2472:mprj_dat_o_core[25] 0 +18 *1147:15 *2472:mprj_dat_o_core[25] 0 +19 *1553:24 *1730:25 0.00434791 +20 *1573:10 *1730:25 0.000551188 +21 *1652:12 *1730:10 0 +22 *1666:5 *2472:mprj_dat_o_core[25] 0 +23 *1666:8 *1730:10 0.000253172 +24 *1667:10 *1730:10 0.0850132 +25 *1728:31 *1730:25 0.0439202 +26 *1729:28 *2471:wb_dat_i[25] 0 +*RES +1 *2478:mprj_dat_o[25] *1730:9 39.3201 +2 *1730:9 *1730:10 904.173 +3 *1730:10 *1730:12 4.5 +4 *1730:12 *2472:mprj_dat_o_core[25] 55.5217 +5 *2478:mprj_dat_o[25] *1730:24 6.93045 +6 *1730:24 *1730:25 467.145 +7 *1730:25 *2471:wb_dat_i[25] 48.4312 +*END + +*D_NET *1731 0.293861 +*CONN +*I *2472:mprj_dat_o_core[26] I *D mgmt_protect +*I *2471:wb_dat_i[26] I *D housekeeping +*I *2478:mprj_dat_o[26] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_dat_o_core[26] 4.25268e-05 +2 *2471:wb_dat_i[26] 1.28869e-05 +3 *2478:mprj_dat_o[26] 0.00107262 +4 *1731:27 0.00239797 +5 *1731:26 0.00235545 +6 *1731:24 0.0064312 +7 *1731:23 0.00694482 +8 *1731:13 0.00263839 +9 *1731:12 0.00262551 +10 *1731:10 0.00433606 +11 *1731:9 0.00433606 +12 *1731:7 0.00158624 +13 *1731:10 *1743:10 0.038446 +14 *1731:10 *2184:25 0.00330201 +15 *1731:13 *2471:wb_dat_i[27] 0 +16 *1731:13 *1733:22 0 +17 *2471:wb_dat_i[25] *1731:13 0 +18 *2472:mprj_adr_o_core[27] *1731:27 0.00196231 +19 *2478:la_input[105] *1731:27 8.86708e-05 +20 *1594:30 *1731:27 5.88009e-05 +21 *1599:10 *1731:24 0.00122278 +22 *1600:7 *1731:7 0 +23 *1600:7 *1731:23 0 +24 *1601:10 *1731:24 8.41339e-05 +25 *1652:12 *1731:24 0 +26 *1658:13 *1731:27 1.54479e-05 +27 *1667:7 *1731:27 0.000498959 +28 *1667:10 *1731:24 0.000253038 +29 *1669:8 *1731:24 0.085759 +30 *1714:16 *1731:24 0.00322808 +31 *1714:27 *1731:10 0.038977 +32 *1715:10 *1731:10 0.000113197 +33 *1716:10 *1731:24 0.000114491 +34 *1717:10 *1731:10 9.48476e-05 +35 *1719:10 *1731:10 0 +36 *1721:10 *1731:10 0 +37 *1723:25 *1731:10 0 +38 *1725:10 *1731:10 0 +39 *1729:28 *1731:13 0 +40 *1730:10 *1731:24 0.0848626 +*RES +1 *2478:mprj_dat_o[26] *1731:7 24.4388 +2 *1731:7 *1731:9 4.5 +3 *1731:9 *1731:10 454.944 +4 *1731:10 *1731:12 4.5 +5 *1731:12 *1731:13 66.4037 +6 *1731:13 *2471:wb_dat_i[26] 0.366399 +7 *1731:7 *1731:23 13.8065 +8 *1731:23 *1731:24 915.265 +9 *1731:24 *1731:26 4.5 +10 *1731:26 *1731:27 56.0224 +11 *1731:27 *2472:mprj_dat_o_core[26] 1.20912 +*END + +*D_NET *1732 0.297581 +*CONN +*I *2471:wb_dat_i[27] I *D housekeeping +*I *2472:mprj_dat_o_core[27] I *D mgmt_protect +*I *2478:mprj_dat_o[27] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_dat_i[27] 0.0017448 +2 *2472:mprj_dat_o_core[27] 0.00127766 +3 *2478:mprj_dat_o[27] 0.000136329 +4 *1732:25 0.00480913 +5 *1732:24 0.00318338 +6 *1732:10 0.00703839 +7 *1732:9 0.00576073 +8 *1732:7 0.0026987 +9 *1732:5 0.00271598 +10 *2471:wb_dat_i[27] *1733:22 0 +11 *1732:10 *1734:10 0.0868018 +12 *1732:10 *1737:16 0.0855553 +13 *1732:25 *1734:25 0.0391766 +14 *1732:25 *1736:23 0.0378335 +15 *2472:mprj_adr_o_core[28] *2472:mprj_dat_o_core[27] 0 +16 *1252:10 *1732:10 0.00177894 +17 *1553:24 *1732:25 0.00251159 +18 *1573:10 *1732:25 0.00180887 +19 *1601:9 *1732:24 0 +20 *1610:30 *1732:10 0.000281315 +21 *1668:7 *2472:mprj_dat_o_core[27] 0 +22 *1668:8 *1732:10 0.000253799 +23 *1670:8 *1732:10 7.24449e-05 +24 *1729:16 *1732:10 0.0108377 +25 *1730:25 *1732:25 0.00130401 +26 *1731:13 *2471:wb_dat_i[27] 0 +*RES +1 *2478:mprj_dat_o[27] *1732:5 0.366399 +2 *1732:5 *1732:7 63.4969 +3 *1732:7 *1732:9 4.5 +4 *1732:9 *1732:10 923.584 +5 *1732:10 *2472:mprj_dat_o_core[27] 28.4625 +6 *2478:mprj_dat_o[27] *1732:24 6.93045 +7 *1732:24 *1732:25 442.188 +8 *1732:25 *2471:wb_dat_i[27] 49.2617 +*END + +*D_NET *1733 0.288678 +*CONN +*I *2471:wb_dat_i[28] I *D housekeeping +*I *2472:mprj_dat_o_core[28] I *D mgmt_protect +*I *2478:mprj_dat_o[28] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_dat_i[28] 1.28869e-05 +2 *2472:mprj_dat_o_core[28] 0.00309155 +3 *2478:mprj_dat_o[28] 0.00142719 +4 *1733:22 0.00292307 +5 *1733:21 0.00291018 +6 *1733:19 0.00404214 +7 *1733:12 0.00309155 +8 *1733:10 0.00649385 +9 *1733:9 0.0119632 +10 *1733:19 *1736:10 0.000202245 +11 *1733:19 *1737:15 0.000379505 +12 *1733:19 *1737:25 0.036655 +13 *1733:22 *2471:wb_dat_i[29] 0 +14 *1733:22 *2471:wb_dat_i[30] 0 +15 *2471:wb_dat_i[27] *1733:22 0 +16 *2472:mprj_adr_o_core[29] *2472:mprj_dat_o_core[28] 0 +17 *1124:9 *2472:mprj_dat_o_core[28] 0 +18 *1257:15 *2472:mprj_dat_o_core[28] 0.000378618 +19 *1591:14 *1733:19 0.000376796 +20 *1601:10 *1733:10 0.0874858 +21 *1601:19 *1733:10 0.000783723 +22 *1601:19 *1733:19 0.032593 +23 *1602:7 *1733:9 0 +24 *1602:10 *1733:19 0.000113197 +25 *1605:10 *1733:19 3.18408e-05 +26 *1652:12 *1733:10 0.000262266 +27 *1669:5 *2472:mprj_dat_o_core[28] 0 +28 *1672:8 *1733:10 0.0872733 +29 *1672:8 *1733:19 0.00218537 +30 *1716:10 *1733:10 0.00389851 +31 *1718:10 *1733:10 0.000103514 +32 *1731:13 *1733:22 0 +*RES +1 *2478:mprj_dat_o[28] *1733:9 35.1432 +2 *1733:9 *1733:10 932.457 +3 *1733:10 *1733:12 4.5 +4 *1733:12 *2472:mprj_dat_o_core[28] 57.1827 +5 *1733:9 *1733:19 431.65 +6 *1733:19 *1733:21 4.5 +7 *1733:21 *1733:22 74.2935 +8 *1733:22 *2471:wb_dat_i[28] 0.366399 +*END + +*D_NET *1734 0.297891 +*CONN +*I *2471:wb_dat_i[29] I *D housekeeping +*I *2472:mprj_dat_o_core[29] I *D mgmt_protect +*I *2478:mprj_dat_o[29] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_dat_i[29] 0.00172336 +2 *2472:mprj_dat_o_core[29] 0.00116313 +3 *2478:mprj_dat_o[29] 0.000112424 +4 *1734:25 0.00458005 +5 *1734:24 0.00295183 +6 *1734:10 0.00706641 +7 *1734:9 0.00590328 +8 *1734:7 0.00273444 +9 *1734:5 0.00275173 +10 *2471:wb_dat_i[29] *2471:wb_dat_i[30] 0 +11 *1734:7 *2410:14 0 +12 *1734:10 *1737:16 0.00129795 +13 *1734:25 *1736:23 0.000226394 +14 *1734:25 *2193:11 6.36816e-05 +15 *2472:mprj_adr_o_core[30] *2472:mprj_dat_o_core[29] 0 +16 *1553:24 *1734:25 0.00320795 +17 *1604:7 *1734:7 0 +18 *1604:7 *1734:24 1.77537e-06 +19 *1604:23 *1734:7 0 +20 *1670:7 *2472:mprj_dat_o_core[29] 0.000243194 +21 *1670:8 *1734:10 0.0891279 +22 *1673:8 *1734:10 0.000325419 +23 *1729:16 *1734:10 0.00977543 +24 *1730:25 *1734:25 0.0386561 +25 *1732:10 *1734:10 0.0868018 +26 *1732:25 *1734:25 0.0391766 +27 *1733:22 *2471:wb_dat_i[29] 0 +*RES +1 *2478:mprj_dat_o[29] *1734:5 0.366399 +2 *1734:5 *1734:7 63.9122 +3 *1734:7 *1734:9 4.5 +4 *1734:9 *1734:10 941.331 +5 *1734:10 *2472:mprj_dat_o_core[29] 28.0472 +6 *2478:mprj_dat_o[29] *1734:24 6.36863 +7 *1734:24 *1734:25 417.785 +8 *1734:25 *2471:wb_dat_i[29] 48.8465 +*END + +*D_NET *1735 0.363432 +*CONN +*I *2472:mprj_dat_o_core[2] I *D mgmt_protect +*I *2471:wb_dat_i[2] I *D housekeeping +*I *2478:mprj_dat_o[2] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_dat_o_core[2] 0.0012368 +2 *2471:wb_dat_i[2] 1.28869e-05 +3 *2478:mprj_dat_o[2] 0.00105507 +4 *1735:24 0.0126075 +5 *1735:23 0.0132375 +6 *1735:13 0.00279405 +7 *1735:12 0.00278117 +8 *1735:10 0.0106979 +9 *1735:9 0.0106979 +10 *1735:7 0.00292185 +11 *2472:mprj_dat_o_core[2] *2472:mprj_sel_o_core[2] 0 +12 *1735:7 *2185:7 0 +13 *1735:10 *1741:10 0.0752583 +14 *1735:10 *2184:25 0.00132602 +15 *1735:10 *2186:10 0.079923 +16 *1735:13 *2471:wb_dat_i[3] 0 +17 *1735:13 *1739:19 0 +18 *1735:23 *2185:7 0 +19 *1735:24 *1739:30 0 +20 *1735:24 *1743:24 0 +21 *1735:24 *2183:19 0.000102747 +22 *1735:24 *2185:10 0.0752063 +23 *2471:wb_dat_i[1] *1735:13 0 +24 *2472:mprj_adr_o_core[2] *2472:mprj_dat_o_core[2] 0 +25 *488:32 *1735:13 0 +26 *729:11 *2472:mprj_dat_o_core[2] 0 +27 *1275:20 *1735:24 0.00228409 +28 *1607:10 *1735:10 0.000139878 +29 *1608:10 *1735:10 0.00413509 +30 *1608:30 *1735:24 0.00456436 +31 *1609:19 *1735:10 0.000246453 +32 *1671:7 *2472:mprj_dat_o_core[2] 0 +33 *1671:11 *1735:7 0 +34 *1671:11 *1735:23 0 +35 *1673:8 *1735:24 0.0552346 +36 *1676:8 *1735:10 0.000246453 +37 *1715:30 *1735:24 0 +38 *1717:10 *1735:10 0.00390299 +39 *1717:24 *1735:24 0 +40 *1718:10 *1735:10 0 +41 *1719:24 *1735:24 0 +42 *1721:24 *1735:24 0.0001506 +43 *1723:16 *1735:24 0.000127811 +44 *1725:24 *1735:24 0.000650902 +45 *1729:16 *1735:24 0.00189034 +*RES +1 *2478:mprj_dat_o[2] *1735:7 25.4769 +2 *1735:7 *1735:9 3.36879 +3 *1735:9 *1735:10 105.759 +4 *1735:10 *1735:12 3.36879 +5 *1735:12 *1735:13 67.4418 +6 *1735:13 *2471:wb_dat_i[2] 0.366399 +7 *1735:7 *1735:23 41.743 +8 *1735:23 *1735:24 96.1216 +9 *1735:24 *2472:mprj_dat_o_core[2] 29.1999 +*END + +*D_NET *1736 0.289279 +*CONN +*I *2471:wb_dat_i[30] I *D housekeeping +*I *2472:mprj_dat_o_core[30] I *D mgmt_protect +*I *2478:mprj_dat_o[30] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_dat_i[30] 0.00175598 +2 *2472:mprj_dat_o_core[30] 0.00286524 +3 *2478:mprj_dat_o[30] 0.00155064 +4 *1736:23 0.00450386 +5 *1736:22 0.00277088 +6 *1736:12 0.00286524 +7 *1736:10 0.00731843 +8 *1736:9 0.00884607 +9 *2471:wb_dat_i[30] *1737:28 0 +10 *1736:9 *2412:14 0 +11 *1736:23 *2193:11 0 +12 *2471:wb_dat_i[29] *2471:wb_dat_i[30] 0 +13 *2472:mprj_adr_o_core[31] *2472:mprj_dat_o_core[30] 0 +14 *2478:mprj_dat_i[30] *1736:9 0 +15 *868:12 *2472:mprj_dat_o_core[30] 0 +16 *1252:7 *2472:mprj_dat_o_core[30] 0.00155079 +17 *1553:24 *1736:23 0.00221772 +18 *1573:10 *1736:23 0.0386237 +19 *1587:10 *1736:10 0 +20 *1588:10 *1736:10 0.000218095 +21 *1589:15 *1736:10 0.000109258 +22 *1589:31 *1736:10 0.000421596 +23 *1591:12 *1736:10 0.000272272 +24 *1591:14 *1736:10 0.0142976 +25 *1593:26 *1736:10 0.00294953 +26 *1605:7 *1736:9 0 +27 *1612:10 *1736:10 0 +28 *1652:12 *1736:10 0.0635099 +29 *1654:10 *1736:10 0.00121044 +30 *1672:5 *2472:mprj_dat_o_core[30] 0 +31 *1672:8 *1736:10 0.0892527 +32 *1718:10 *1736:10 0.00390639 +33 *1732:25 *1736:23 0.0378335 +34 *1733:19 *1736:10 0.000202245 +35 *1733:22 *2471:wb_dat_i[30] 0 +36 *1734:25 *1736:23 0.000226394 +*RES +1 *2478:mprj_dat_o[30] *1736:9 35.9981 +2 *1736:9 *1736:10 947.986 +3 *1736:10 *1736:12 4.5 +4 *1736:12 *2472:mprj_dat_o_core[30] 58.0132 +5 *2478:mprj_dat_o[30] *1736:22 5.20837 +6 *1736:22 *1736:23 406.971 +7 *1736:23 *2471:wb_dat_i[30] 49.677 +*END + +*D_NET *1737 0.237681 +*CONN +*I *2471:wb_dat_i[31] I *D housekeeping +*I *2472:mprj_dat_o_core[31] I *D mgmt_protect +*I *2478:mprj_dat_o[31] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_dat_i[31] 1.28869e-05 +2 *2472:mprj_dat_o_core[31] 0.00132244 +3 *2478:mprj_dat_o[31] 0.00159365 +4 *1737:28 0.00288413 +5 *1737:27 0.00287125 +6 *1737:25 0.00397783 +7 *1737:16 0.0182934 +8 *1737:15 0.0182958 +9 *1737:9 0.00689629 +10 *1737:25 *2478:irq[1] 0.000183925 +11 *1737:28 *2471:wb_sel_i[0] 0 +12 *2471:wb_dat_i[30] *1737:28 0 +13 *1591:14 *1737:15 0.000171456 +14 *1591:14 *1737:25 0.0116742 +15 *1610:30 *1737:16 0.0243318 +16 *1673:7 *2472:mprj_dat_o_core[31] 0 +17 *1673:8 *1737:16 0.0187229 +18 *1673:11 *1737:15 0 +19 *1729:25 *1737:25 0.0025617 +20 *1732:10 *1737:16 0.0855553 +21 *1733:19 *1737:15 0.000379505 +22 *1733:19 *1737:25 0.036655 +23 *1734:10 *1737:16 0.00129795 +*RES +1 *2478:mprj_dat_o[31] *1737:9 36.4134 +2 *1737:9 *1737:15 46.4422 +3 *1737:15 *1737:16 956.86 +4 *1737:16 *2472:mprj_dat_o_core[31] 28.8777 +5 *1737:9 *1737:25 392.274 +6 *1737:25 *1737:27 4.5 +7 *1737:27 *1737:28 73.8783 +8 *1737:28 *2471:wb_dat_i[31] 0.366399 +*END + +*D_NET *1738 0.332225 +*CONN +*I *2471:wb_dat_i[3] I *D housekeeping +*I *2472:mprj_dat_o_core[3] I *D mgmt_protect +*I *2478:mprj_dat_o[3] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_dat_i[3] 0.00130564 +2 *2472:mprj_dat_o_core[3] 0.00246403 +3 *2478:mprj_dat_o[3] 0.00236388 +4 *1738:33 0.00564952 +5 *1738:31 0.00558869 +6 *1738:27 0.00153146 +7 *1738:12 0.00246403 +8 *1738:10 0.0103771 +9 *1738:9 0.0124544 +10 *2471:wb_dat_i[3] *1739:19 0 +11 *2472:mprj_dat_o_core[3] *2472:mprj_sel_o_core[3] 0 +12 *1738:10 *1740:12 0.0749683 +13 *1738:10 *2184:16 0.0718148 +14 *1738:10 *2186:24 0.000563713 +15 *1738:31 *1740:29 0.000743873 +16 *1738:33 *1740:29 0 +17 *1738:33 *1740:31 0.0651021 +18 *1738:33 *2185:27 0.000122714 +19 *2478:mprj_dat_i[3] *1738:9 0 +20 *99:10 *1738:33 0.000385684 +21 *100:77 *1738:27 0.000589703 +22 *100:77 *1738:31 2.79092e-05 +23 *480:17 *1738:31 0.000416377 +24 *864:10 *1738:10 0.000101365 +25 *867:19 *2472:mprj_dat_o_core[3] 0.000343352 +26 *986:12 *1738:10 4.61116e-05 +27 *1593:30 *1738:10 0.000175462 +28 *1594:30 *1738:10 0.000101365 +29 *1606:24 *1738:9 0 +30 *1607:31 *1738:31 0.000607553 +31 *1658:14 *1738:10 0.00254022 +32 *1661:8 *1738:10 0.00286678 +33 *1674:5 *2472:mprj_dat_o_core[3] 0 +34 *1724:10 *1738:10 0.000147045 +35 *1724:27 *1738:27 0.000631564 +36 *1724:31 *1738:27 0 +37 *1724:31 *1738:31 4.73037e-06 +38 *1724:33 *1738:31 0 +39 *1724:33 *1738:33 0.0657257 +40 *1735:13 *2471:wb_dat_i[3] 0 +*RES +1 *2478:mprj_dat_o[3] *1738:9 45.4558 +2 *1738:9 *1738:10 97.7278 +3 *1738:10 *1738:12 3.36879 +4 *1738:12 *2472:mprj_dat_o_core[3] 52.4073 +5 *2478:mprj_dat_o[3] *1738:27 15.0084 +6 *1738:27 *1738:31 47.5874 +7 *1738:31 *1738:33 694.255 +8 *1738:33 *2471:wb_dat_i[3] 40.1262 +*END + +*D_NET *1739 0.28796 +*CONN +*I *2472:mprj_dat_o_core[4] I *D mgmt_protect +*I *2471:wb_dat_i[4] I *D housekeeping +*I *2478:mprj_dat_o[4] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_dat_o_core[4] 0.000826225 +2 *2471:wb_dat_i[4] 1.28869e-05 +3 *2478:mprj_dat_o[4] 0.00130334 +4 *1739:30 0.00893905 +5 *1739:29 0.00961783 +6 *1739:19 0.00336419 +7 *1739:18 0.0033513 +8 *1739:16 0.0166577 +9 *1739:15 0.0166577 +10 *1739:13 0.00218642 +11 *1739:12 0.00198476 +12 *1739:19 *2471:wb_dat_i[5] 0 +13 *1739:19 *1741:13 0 +14 *1739:30 *1741:24 0.0778123 +15 *1739:30 *1743:24 0.0764514 +16 *2471:wb_dat_i[3] *1739:19 0 +17 *2472:mprj_adr_o_core[5] *2472:mprj_dat_o_core[4] 0 +18 *2478:mprj_dat_i[5] *1739:13 5.05252e-05 +19 *1590:27 *1739:16 0.00027341 +20 *1595:10 *1739:16 0 +21 *1596:21 *1739:16 0 +22 *1603:22 *1739:16 0.0595971 +23 *1604:10 *1739:16 0 +24 *1606:25 *1739:16 0.000113197 +25 *1608:7 *1739:12 0 +26 *1608:10 *1739:12 2.2836e-05 +27 *1608:23 *1739:12 0 +28 *1608:23 *1739:13 0 +29 *1608:23 *1739:29 0 +30 *1609:19 *1739:12 0.00063214 +31 *1651:8 *1739:30 0.00366396 +32 *1655:12 *1739:30 0.00444218 +33 *1675:7 *2472:mprj_dat_o_core[4] 0 +34 *1722:10 *1739:12 0 +35 *1735:13 *1739:19 0 +36 *1735:24 *1739:30 0 +*RES +1 *2478:mprj_dat_o[4] *1739:12 43.5849 +2 *1739:12 *1739:13 12.2133 +3 *1739:13 *1739:15 4.5 +4 *1739:15 *1739:16 721.153 +5 *1739:16 *1739:18 4.5 +6 *1739:18 *1739:19 81.768 +7 *1739:19 *2471:wb_dat_i[4] 0.366399 +8 *1739:13 *1739:29 35.7218 +9 *1739:29 *1739:30 100.787 +10 *1739:30 *2472:mprj_dat_o_core[4] 20.8949 +*END + +*D_NET *1740 0.339126 +*CONN +*I *2471:wb_dat_i[5] I *D housekeeping +*I *2472:mprj_dat_o_core[5] I *D mgmt_protect +*I *2478:mprj_dat_o[5] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_dat_i[5] 0.00132024 +2 *2472:mprj_dat_o_core[5] 0.00201338 +3 *2478:mprj_dat_o[5] 0.00241128 +4 *1740:31 0.00547632 +5 *1740:29 0.0047129 +6 *1740:14 0.00201338 +7 *1740:12 0.011177 +8 *1740:11 0.0130315 +9 *2471:wb_dat_i[5] *1741:13 0 +10 *1740:12 *1742:30 0.00125604 +11 *1740:12 *2186:24 0.0759981 +12 *1740:29 *1742:5 4.24594e-05 +13 *1740:31 *1742:7 0.0655471 +14 *1740:31 *2185:27 8.8758e-05 +15 *2472:mprj_adr_o_core[6] *2472:mprj_dat_o_core[5] 0 +16 *99:10 *1740:31 0.000418593 +17 *480:17 *1740:29 0.000337843 +18 *864:10 *1740:12 0.000511918 +19 *866:15 *2472:mprj_dat_o_core[5] 0.0014697 +20 *1593:30 *1740:12 0.000214358 +21 *1594:24 *1740:12 0.00305581 +22 *1596:10 *1740:12 0.000144814 +23 *1607:31 *1740:29 0.00277355 +24 *1607:31 *1740:31 0 +25 *1609:18 *1740:11 0 +26 *1609:18 *1740:29 0 +27 *1658:14 *1740:12 0.000228981 +28 *1661:8 *1740:12 0.00024852 +29 *1663:12 *1740:12 0.000110257 +30 *1663:14 *1740:12 0.000157517 +31 *1676:5 *2472:mprj_dat_o_core[5] 0.000640321 +32 *1726:10 *1740:12 0.00291073 +33 *1738:10 *1740:12 0.0749683 +34 *1738:31 *1740:29 0.000743873 +35 *1738:33 *1740:29 0 +36 *1738:33 *1740:31 0.0651021 +37 *1739:19 *2471:wb_dat_i[5] 0 +*RES +1 *2478:mprj_dat_o[5] *1740:11 43.1109 +2 *1740:11 *1740:12 100.787 +3 *1740:12 *1740:14 3.36879 +4 *1740:14 *2472:mprj_dat_o_core[5] 53.2378 +5 *2478:mprj_dat_o[5] *1740:29 38.2738 +6 *1740:29 *1740:31 687.877 +7 *1740:31 *2471:wb_dat_i[5] 40.5414 +*END + +*D_NET *1741 0.316383 +*CONN +*I *2472:mprj_dat_o_core[6] I *D mgmt_protect +*I *2471:wb_dat_i[6] I *D housekeeping +*I *2478:mprj_dat_o[6] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_dat_o_core[6] 0.000788009 +2 *2471:wb_dat_i[6] 1.28869e-05 +3 *2478:mprj_dat_o[6] 0.00103182 +4 *1741:24 0.0228815 +5 *1741:23 0.0220935 +6 *1741:21 0.00224653 +7 *1741:13 0.00281638 +8 *1741:12 0.0028035 +9 *1741:10 0.0236734 +10 *1741:9 0.0236734 +11 *1741:7 0.00327835 +12 *1741:10 *2478:irq[0] 0.00593133 +13 *1741:10 *2478:irq[1] 2.48636e-05 +14 *1741:10 *2478:irq[2] 0.0010138 +15 *1741:10 *2186:10 0.000499585 +16 *1741:13 *2471:wb_dat_i[7] 0 +17 *1741:13 *1743:13 0 +18 *1741:24 *1743:24 0.000994708 +19 *2471:wb_dat_i[5] *1741:13 0 +20 *2472:mprj_adr_o_core[7] *2472:mprj_dat_o_core[6] 0 +21 *635:8 *1741:24 0.00352548 +22 *1145:10 *1741:24 0.00313436 +23 *1579:8 *1741:24 0.00369071 +24 *1581:24 *1741:24 0.00411816 +25 *1587:10 *1741:10 0.000605439 +26 *1587:24 *1741:24 0.00582633 +27 *1590:18 *1741:24 0.00724031 +28 *1610:7 *1741:7 0 +29 *1610:10 *1741:10 0.00443437 +30 *1610:23 *1741:21 0 +31 *1612:10 *1741:10 0.00126156 +32 *1612:30 *1741:24 0.00333812 +33 *1653:10 *1741:24 0.00426103 +34 *1677:7 *2472:mprj_dat_o_core[6] 0 +35 *1718:10 *1741:10 0 +36 *1719:10 *1741:10 0.00376542 +37 *1721:10 *1741:10 0.001053 +38 *1723:25 *1741:10 0.000332844 +39 *1725:10 *1741:10 0.000742466 +40 *1727:10 *1741:10 0.00221918 +41 *1735:10 *1741:10 0.0752583 +42 *1739:19 *1741:13 0 +43 *1739:30 *1741:24 0.0778123 +*RES +1 *2478:mprj_dat_o[6] *1741:7 24.622 +2 *1741:7 *1741:9 3.36879 +3 *1741:9 *1741:10 97.2689 +4 *1741:10 *1741:12 3.36879 +5 *1741:12 *1741:13 68.2723 +6 *1741:13 *2471:wb_dat_i[6] 0.366399 +7 *1741:7 *1741:21 46.6792 +8 *1741:21 *1741:23 3.36879 +9 *1741:23 *1741:24 102.011 +10 *1741:24 *2472:mprj_dat_o_core[6] 20.0644 +*END + +*D_NET *1742 0.335594 +*CONN +*I *2472:mprj_dat_o_core[7] I *D mgmt_protect +*I *2471:wb_dat_i[7] I *D housekeeping +*I *2478:mprj_dat_o[7] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_dat_o_core[7] 0.00235317 +2 *2471:wb_dat_i[7] 0.00133485 +3 *2478:mprj_dat_o[7] 0.00206654 +4 *1742:32 0.00235317 +5 *1742:30 0.0110241 +6 *1742:29 0.0130683 +7 *1742:7 0.00609102 +8 *1742:5 0.00477855 +9 *2471:wb_dat_i[7] *1743:13 0 +10 *1742:7 *1744:33 0.06254 +11 *1742:7 *2185:27 3.18408e-05 +12 *1742:30 *1744:10 0.0793401 +13 *1742:30 *2186:24 0.0745623 +14 *2472:mprj_adr_o_core[8] *2472:mprj_dat_o_core[7] 0 +15 *2478:mprj_dat_i[7] *1742:29 0 +16 *99:10 *1742:7 0.000463439 +17 *731:11 *2472:mprj_dat_o_core[7] 0.00165642 +18 *862:10 *1742:30 0.000252021 +19 *987:12 *1742:30 0.000293424 +20 *1246:12 *1742:30 2.61599e-05 +21 *1594:24 *1742:30 0.000100568 +22 *1596:10 *1742:30 0.000116596 +23 *1607:31 *1742:7 0 +24 *1663:14 *1742:30 0.000107429 +25 *1666:8 *1742:30 0.00316606 +26 *1678:5 *2472:mprj_dat_o_core[7] 0 +27 *1727:24 *1742:30 0.00302282 +28 *1740:12 *1742:30 0.00125604 +29 *1740:29 *1742:5 4.24594e-05 +30 *1740:31 *1742:7 0.0655471 +31 *1741:13 *2471:wb_dat_i[7] 0 +*RES +1 *2478:mprj_dat_o[7] *1742:5 5.48864 +2 *1742:5 *1742:7 692.591 +3 *1742:7 *2471:wb_dat_i[7] 40.9567 +4 *2478:mprj_dat_o[7] *1742:29 45.3337 +5 *1742:29 *1742:30 102.929 +6 *1742:30 *1742:32 3.36879 +7 *1742:32 *2472:mprj_dat_o_core[7] 54.8988 +*END + +*D_NET *1743 0.326433 +*CONN +*I *2472:mprj_dat_o_core[8] I *D mgmt_protect +*I *2471:wb_dat_i[8] I *D housekeeping +*I *2478:mprj_dat_o[8] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_dat_o_core[8] 0.00088156 +2 *2471:wb_dat_i[8] 1.28869e-05 +3 *2478:mprj_dat_o[8] 0.000995965 +4 *1743:24 0.00890632 +5 *1743:23 0.00802476 +6 *1743:21 0.00226847 +7 *1743:13 0.00267991 +8 *1743:12 0.00266702 +9 *1743:10 0.00650743 +10 *1743:9 0.00650743 +11 *1743:7 0.00326444 +12 *1743:10 *2184:25 0.00460762 +13 *1743:13 *2471:wb_dat_i[9] 0 +14 *2471:wb_dat_i[7] *1743:13 0 +15 *2472:mprj_adr_o_core[9] *2472:mprj_dat_o_core[8] 0 +16 *1593:10 *1743:10 0.042709 +17 *1594:10 *1743:10 0.000113197 +18 *1597:30 *1743:24 0.00039825 +19 *1657:8 *1743:24 0.00461545 +20 *1662:14 *1743:24 0.00414909 +21 *1679:7 *2472:mprj_dat_o_core[8] 0 +22 *1679:11 *1743:7 0 +23 *1679:11 *1743:21 0 +24 *1714:27 *1743:10 0.0052714 +25 *1714:30 *1743:13 0 +26 *1715:30 *1743:24 0.0798671 +27 *1720:10 *1743:10 0.0117089 +28 *1722:10 *1743:10 0.0143852 +29 *1731:10 *1743:10 0.038446 +30 *1735:24 *1743:24 0 +31 *1739:30 *1743:24 0.0764514 +32 *1741:13 *1743:13 0 +33 *1741:24 *1743:24 0.000994708 +*RES +1 *2478:mprj_dat_o[8] *1743:7 24.0236 +2 *1743:7 *1743:9 4.5 +3 *1743:9 *1743:10 681.777 +4 *1743:10 *1743:12 4.5 +5 *1743:12 *1743:13 65.9885 +6 *1743:13 *2471:wb_dat_i[8] 0.366399 +7 *1743:7 *1743:21 47.3021 +8 *1743:21 *1743:23 3.36879 +9 *1743:23 *1743:24 104.23 +10 *1743:24 *2472:mprj_dat_o_core[8] 21.7254 +*END + +*D_NET *1744 0.333947 +*CONN +*I *2471:wb_dat_i[9] I *D housekeeping +*I *2472:mprj_dat_o_core[9] I *D mgmt_protect +*I *2478:mprj_dat_o[9] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_dat_i[9] 0.00134945 +2 *2472:mprj_dat_o_core[9] 0.00284618 +3 *2478:mprj_dat_o[9] 0.00213438 +4 *1744:33 0.00580797 +5 *1744:31 0.00497205 +6 *1744:12 0.00284618 +7 *1744:10 0.0115736 +8 *1744:9 0.0131945 +9 *1744:31 *2193:11 0.000436811 +10 *1744:33 *2185:27 0 +11 *2472:mprj_adr_o_core[10] *2472:mprj_dat_o_core[9] 0 +12 *2478:mprj_dat_i[9] *1744:9 0 +13 *99:10 *1744:33 0.000504093 +14 *610:14 *1744:10 0.000545583 +15 *1246:12 *1744:10 0.000162159 +16 *1596:10 *1744:10 0.000102518 +17 *1607:31 *1744:33 5.80259e-05 +18 *1607:35 *1744:33 0.0524965 +19 *1611:25 *1744:31 0.000432613 +20 *1650:5 *2472:mprj_dat_o_core[9] 0 +21 *1667:10 *1744:10 0.00304106 +22 *1680:5 *2472:mprj_dat_o_core[9] 0 +23 *1714:16 *1744:10 0.0819887 +24 *1714:30 *2471:wb_dat_i[9] 0 +25 *1716:27 *1744:33 0.00426676 +26 *1730:10 *1744:10 0.00330783 +27 *1742:7 *1744:33 0.06254 +28 *1742:30 *1744:10 0.0793401 +29 *1743:13 *2471:wb_dat_i[9] 0 +*RES +1 *2478:mprj_dat_o[9] *1744:9 38.8118 +2 *1744:9 *1744:10 105.377 +3 *1744:10 *1744:12 3.36879 +4 *1744:12 *2472:mprj_dat_o_core[9] 55.7293 +5 *2478:mprj_dat_o[9] *1744:31 26.6681 +6 *1744:31 *1744:33 661.256 +7 *1744:33 *2471:wb_dat_i[9] 41.372 +*END + +*D_NET *1745 0.0594405 +*CONN +*I *2473:wbs_dat_i[0] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[0] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[0] 0.0023407 +2 *2472:mprj_dat_o_user[0] 0.000816953 +3 *1745:10 0.0023407 +4 *1745:8 0.0256412 +5 *1745:7 0.0264581 +6 *1745:7 *2187:7 0 +7 *1745:8 *1764:8 0 +8 *1745:8 *1768:8 0.000524873 +9 *2472:mprj_dat_i_user[0] *1745:7 0 +10 *989:8 *1745:8 0.000848219 +11 *1620:8 *1745:8 6.50586e-05 +12 *1632:8 *1745:8 0 +13 *1634:8 *1745:8 0 +14 *1636:8 *1745:8 4.35194e-05 +15 *1701:8 *1745:8 0 +16 *1705:8 *1745:8 0.000361169 +*RES +1 *2472:mprj_dat_o_user[0] *1745:7 26.3862 +2 *1745:7 *1745:8 733.909 +3 *1745:8 *1745:10 4.5 +4 *1745:10 *2473:wbs_dat_i[0] 62.1657 +*END + +*D_NET *1746 0.128128 +*CONN +*I *2473:wbs_dat_i[10] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[10] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[10] 0.00206891 +2 *2472:mprj_dat_o_user[10] 0.00147385 +3 *1746:10 0.00206891 +4 *1746:8 0.00415993 +5 *1746:7 0.00563378 +6 *1746:8 *1771:8 0.00010238 +7 *1746:8 *2189:8 0.00477669 +8 *2472:mprj_dat_i_user[10] *1746:7 0 +9 *2472:mprj_dat_i_user[11] *1746:7 0 +10 *2473:la_oenb[5] *1746:7 2.71397e-05 +11 *1445:8 *1746:8 0.000128915 +12 *1614:8 *1746:8 0.0549294 +13 *1615:7 *1746:7 0 +14 *1683:8 *1746:8 0.0527584 +*RES +1 *2472:mprj_dat_o_user[10] *1746:7 38.4285 +2 *1746:7 *1746:8 583.057 +3 *1746:8 *1746:10 4.5 +4 *1746:10 *2473:wbs_dat_i[10] 50.1234 +*END + +*D_NET *1747 0.142845 +*CONN +*I *2473:wbs_dat_i[11] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[11] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[11] 0.00174355 +2 *2472:mprj_dat_o_user[11] 0.001813 +3 *1747:8 0.0092667 +4 *1747:7 0.00933615 +5 *1747:8 *1775:8 0 +6 *1747:8 *1776:8 0.0600803 +7 *2472:mprj_dat_i_user[11] *1747:7 0 +8 *2473:la_data_in[6] *1747:7 0.000437695 +9 *1616:7 *1747:7 0 +10 *1616:8 *1747:8 0 +11 *1631:8 *1747:8 0.000748203 +12 *1682:8 *1747:8 0.000242717 +13 *1684:8 *1747:8 0.0587635 +14 *1698:8 *1747:8 0.000412718 +*RES +1 *2472:mprj_dat_o_user[11] *1747:7 45.81 +2 *1747:7 *1747:8 78.6053 +3 *1747:8 *2473:wbs_dat_i[11] 44.9795 +*END + +*D_NET *1748 0.121445 +*CONN +*I *2473:wbs_dat_i[12] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[12] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[12] 0.00205777 +2 *2472:mprj_dat_o_user[12] 0.00146376 +3 *1748:10 0.00205777 +4 *1748:8 0.00407883 +5 *1748:7 0.00554259 +6 *1748:8 *1771:8 0.00414196 +7 *2472:mprj_dat_i_user[12] *1748:7 0 +8 *827:8 *1748:8 0.000312946 +9 *1456:8 *1748:8 4.92912e-05 +10 *1617:5 *1748:7 0 +11 *1618:14 *1748:8 0.0462289 +12 *1683:8 *1748:8 0.0518492 +13 *1685:8 *1748:8 0.00034733 +14 *1685:16 *1748:7 0.000140424 +15 *1691:14 *1748:8 0.00317414 +*RES +1 *2472:mprj_dat_o_user[12] *1748:7 39.2591 +2 *1748:7 *1748:8 556.436 +3 *1748:8 *1748:10 4.5 +4 *1748:10 *2473:wbs_dat_i[12] 49.2929 +*END + +*D_NET *1749 0.117115 +*CONN +*I *2473:wbs_dat_i[13] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[13] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[13] 0.00140415 +2 *2472:mprj_dat_o_user[13] 0.00209736 +3 *1749:8 0.00545992 +4 *1749:7 0.00405577 +5 *1749:5 0.00209736 +6 *1749:8 *1767:10 0.00163396 +7 *2472:mprj_dat_i_user[13] *1749:5 0 +8 *2473:la_oenb[6] *1749:5 0.000325317 +9 *805:8 *1749:8 0.000114786 +10 *1617:5 *1749:5 0 +11 *1617:8 *1749:8 0.0510686 +12 *1618:7 *1749:5 0 +13 *1686:8 *1749:8 0.0488574 +14 *1686:11 *1749:5 0 +*RES +1 *2472:mprj_dat_o_user[13] *1749:5 48.8776 +2 *1749:5 *1749:7 4.5 +3 *1749:7 *1749:8 543.126 +4 *1749:8 *2473:wbs_dat_i[13] 39.6743 +*END + +*D_NET *1750 0.125488 +*CONN +*I *2473:wbs_dat_i[14] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[14] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[14] 0.00249562 +2 *2472:mprj_dat_o_user[14] 0.00119476 +3 *1750:10 0.00249562 +4 *1750:8 0.0115464 +5 *1750:7 0.0127412 +6 *1750:8 *1754:8 0.0458542 +7 *1750:8 *1756:8 0.000104744 +8 *1750:8 *1758:8 0.00215785 +9 *1750:8 *1771:8 0 +10 *1750:8 *1773:8 0 +11 *1750:8 *1775:8 0 +12 *1750:8 *2187:8 0.000452673 +13 *1750:8 *2189:8 0 +14 *1750:8 *2196:8 0.043432 +15 *1619:5 *1750:7 0 +16 *1624:8 *1750:8 7.06288e-05 +17 *1626:8 *1750:8 0.0028485 +18 *1686:11 *1750:7 0 +19 *1703:8 *1750:8 9.37208e-05 +*RES +1 *2472:mprj_dat_o_user[14] *1750:7 31.6914 +2 *1750:7 *1750:8 73.098 +3 *1750:8 *1750:10 3.36879 +4 *1750:10 *2473:wbs_dat_i[14] 55.7293 +*END + +*D_NET *1751 0.112534 +*CONN +*I *2473:wbs_dat_i[15] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[15] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[15] 0.00137405 +2 *2472:mprj_dat_o_user[15] 0.0022325 +3 *1751:8 0.00497523 +4 *1751:7 0.00360118 +5 *1751:5 0.0022325 +6 *1751:8 *2190:8 0.00010238 +7 *2472:mprj_dat_i_user[15] *1751:5 0 +8 *2472:mprj_dat_i_user[16] *1751:5 0 +9 *2473:la_oenb[7] *1751:5 0 +10 *805:8 *1751:8 7.09348e-05 +11 *1094:5 *1751:5 0 +12 *1619:5 *1751:5 0 +13 *1619:8 *1751:8 0.0485346 +14 *1620:7 *1751:5 0 +15 *1686:8 *1751:8 0.0478548 +16 *1688:8 *1751:8 0.000202245 +17 *1692:8 *1751:8 0.00135408 +*RES +1 *2472:mprj_dat_o_user[15] *1751:5 49.7081 +2 *1751:5 *1751:7 4.5 +3 *1751:7 *1751:8 516.505 +4 *1751:8 *2473:wbs_dat_i[15] 38.8438 +*END + +*D_NET *1752 0.111497 +*CONN +*I *2473:wbs_dat_i[16] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[16] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[16] 0.00206497 +2 *2472:mprj_dat_o_user[16] 0.00170899 +3 *1752:14 0.00206497 +4 *1752:12 0.00360043 +5 *1752:10 0.00530942 +6 *2472:mprj_dat_i_user[16] *1752:10 0 +7 *1083:8 *1752:10 4.51518e-05 +8 *1083:8 *1752:12 0.00247657 +9 *1478:8 *1752:10 0.000426168 +10 *1618:8 *1752:12 0.00425295 +11 *1621:7 *1752:10 0 +12 *1685:8 *1752:12 0.0445541 +13 *1687:10 *1752:12 8.92241e-05 +14 *1687:12 *1752:12 0.0400836 +15 *1689:8 *1752:12 1.15389e-05 +16 *1689:12 *1752:10 0.000609798 +17 *1689:12 *1752:12 0.000351167 +18 *1691:14 *1752:12 3.83336e-05 +19 *1709:8 *1752:12 0.00380939 +*RES +1 *2472:mprj_dat_o_user[16] *1752:10 48.8707 +2 *1752:10 *1752:12 496.816 +3 *1752:12 *1752:14 4.5 +4 *1752:14 *2473:wbs_dat_i[16] 48.0471 +*END + +*D_NET *1753 0.104256 +*CONN +*I *2473:wbs_dat_i[17] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[17] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[17] 0.00128943 +2 *2472:mprj_dat_o_user[17] 0.00228509 +3 *1753:8 0.00513762 +4 *1753:7 0.00384819 +5 *1753:5 0.00228509 +6 *1753:8 *1755:8 0.0424105 +7 *1753:8 *1770:8 0.000629962 +8 *2472:mprj_dat_i_user[17] *1753:5 0 +9 *2473:la_data_in[8] *1753:5 0 +10 *805:8 *1753:8 0 +11 *1105:5 *1753:5 0 +12 *1621:10 *1753:8 0.0459494 +13 *1622:7 *1753:5 0 +14 *1623:8 *1753:8 0.00028978 +15 *1690:8 *1753:8 0.000131218 +*RES +1 *2472:mprj_dat_o_user[17] *1753:5 51.3691 +2 *1753:5 *1753:7 4.5 +3 *1753:7 *1753:8 489.884 +4 *1753:8 *2473:wbs_dat_i[17] 37.1828 +*END + +*D_NET *1754 0.119861 +*CONN +*I *2473:wbs_dat_i[18] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[18] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[18] 0.00192904 +2 *2472:mprj_dat_o_user[18] 0.0011334 +3 *1754:16 0.00340753 +4 *1754:8 0.00901391 +5 *1754:7 0.00866882 +6 *1754:8 *1760:8 0.00205572 +7 *2472:mprj_dat_i_user[18] *1754:7 0 +8 *1616:8 *1754:16 0.000199062 +9 *1620:14 *1754:16 0 +10 *1622:12 *1754:16 0 +11 *1623:5 *1754:7 0 +12 *1693:8 *1754:8 0.0461657 +13 *1697:8 *1754:8 0.00143374 +14 *1750:8 *1754:8 0.0458542 +*RES +1 *2472:mprj_dat_o_user[18] *1754:7 30.8609 +2 *1754:7 *1754:8 62.8483 +3 *1754:8 *1754:16 46.0334 +4 *1754:16 *2473:wbs_dat_i[18] 44.3099 +*END + +*D_NET *1755 0.101498 +*CONN +*I *2473:wbs_dat_i[19] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[19] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[19] 0.00128646 +2 *2472:mprj_dat_o_user[19] 0.00176325 +3 *1755:8 0.00465959 +4 *1755:7 0.00337313 +5 *1755:5 0.00176325 +6 *2472:mprj_dat_i_user[19] *1755:5 0 +7 *2473:la_data_in[9] *1755:5 0.000916502 +8 *805:8 *1755:8 0 +9 *1623:5 *1755:5 0 +10 *1623:8 *1755:8 0.0434492 +11 *1625:7 *1755:5 0.000878557 +12 *1625:10 *1755:8 0.00020979 +13 *1641:8 *1755:8 0.000787603 +14 *1753:8 *1755:8 0.0424105 +*RES +1 *2472:mprj_dat_o_user[19] *1755:5 51.7844 +2 *1755:5 *1755:7 4.5 +3 *1755:7 *1755:8 463.263 +4 *1755:8 *2473:wbs_dat_i[19] 36.7675 +*END + +*D_NET *1756 0.183121 +*CONN +*I *2473:wbs_dat_i[1] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[1] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[1] 0.00197422 +2 *2472:mprj_dat_o_user[1] 0.00138219 +3 *1756:10 0.00197422 +4 *1756:8 0.00610549 +5 *1756:7 0.00748768 +6 *1756:7 *2188:5 0 +7 *1624:8 *1756:8 0.0775824 +8 *1642:8 *1756:8 0.00560945 +9 *1692:11 *1756:7 0 +10 *1703:8 *1756:8 0.0742945 +11 *1707:8 *1756:8 0.00660562 +12 *1750:8 *1756:8 0.000104744 +*RES +1 *2472:mprj_dat_o_user[1] *1756:7 35.0134 +2 *1756:7 *1756:8 98.8752 +3 *1756:8 *1756:10 3.36879 +4 *1756:10 *2473:wbs_dat_i[1] 52.4073 +*END + +*D_NET *1757 0.0905265 +*CONN +*I *2473:wbs_dat_i[20] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[20] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[20] 0.00119383 +2 *2472:mprj_dat_o_user[20] 0.00221319 +3 *1757:8 0.00505138 +4 *1757:7 0.00385755 +5 *1757:5 0.00221319 +6 *1757:8 *1759:14 0.029409 +7 *1757:8 *1774:8 0.00010238 +8 *2472:mprj_dat_i_user[20] *1757:5 0 +9 *2472:mprj_dat_i_user[21] *1757:5 0 +10 *1116:5 *1757:5 0.000385114 +11 *1625:10 *1757:8 0.000484464 +12 *1626:7 *1757:5 0 +13 *1690:8 *1757:8 0.0408833 +14 *1694:8 *1757:8 0.00473309 +*RES +1 *2472:mprj_dat_o_user[20] *1757:5 53.4454 +2 *1757:5 *1757:7 4.5 +3 *1757:7 *1757:8 449.952 +4 *1757:8 *2473:wbs_dat_i[20] 35.1065 +*END + +*D_NET *1758 0.0988924 +*CONN +*I *2473:wbs_dat_i[21] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[21] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[21] 0.00261108 +2 *2472:mprj_dat_o_user[21] 0.000792237 +3 *1758:10 0.00261108 +4 *1758:8 0.003161 +5 *1758:7 0.00395324 +6 *1758:8 *2182:8 0.0226282 +7 *2472:mprj_dat_i_user[21] *1758:7 0 +8 *1373:8 *1758:8 0.0182208 +9 *1613:8 *1758:8 0.000106589 +10 *1626:8 *1758:8 0.0407286 +11 *1627:7 *1758:7 0.00169535 +12 *1697:8 *1758:8 0.000226394 +13 *1750:8 *1758:8 0.00215785 +*RES +1 *2472:mprj_dat_o_user[21] *1758:7 33.4455 +2 *1758:7 *1758:8 436.642 +3 *1758:8 *1758:10 4.5 +4 *1758:10 *2473:wbs_dat_i[21] 55.1064 +*END + +*D_NET *1759 0.0879461 +*CONN +*I *2473:wbs_dat_i[22] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[22] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[22] 0.00118291 +2 *2472:mprj_dat_o_user[22] 0.00206912 +3 *1759:14 0.0032621 +4 *1759:13 0.00240758 +5 *1759:8 0.00150795 +6 *1759:7 0.00117956 +7 *1759:5 0.00206912 +8 *2472:mprj_dat_i_user[22] *1759:5 0 +9 *2472:mprj_dat_i_user[23] *1759:5 0 +10 *772:8 *1759:8 0.00470981 +11 *794:14 *1759:8 0.0106547 +12 *816:11 *1759:13 0 +13 *1445:11 *1759:13 8.29573e-05 +14 *1628:7 *1759:5 0 +15 *1694:8 *1759:14 0.0294113 +16 *1757:8 *1759:14 0.029409 +*RES +1 *2472:mprj_dat_o_user[22] *1759:5 46.8014 +2 *1759:5 *1759:7 4.5 +3 *1759:7 *1759:8 113.308 +4 *1759:8 *1759:13 16.2303 +5 *1759:13 *1759:14 310.192 +6 *1759:14 *2473:wbs_dat_i[22] 34.6913 +*END + +*D_NET *1760 0.0890054 +*CONN +*I *2473:wbs_dat_i[23] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[23] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[23] 0.00270906 +2 *2472:mprj_dat_o_user[23] 0.00110217 +3 *1760:10 0.00270906 +4 *1760:8 0.00359917 +5 *1760:7 0.00470134 +6 *1760:8 *1762:8 0.0346106 +7 *2472:mprj_dat_i_user[23] *1760:7 0 +8 *1626:8 *1760:8 0.00122665 +9 *1629:7 *1760:7 0 +10 *1630:8 *1760:8 0.000309013 +11 *1697:8 *1760:8 0.0359827 +12 *1754:8 *1760:8 0.00205572 +*RES +1 *2472:mprj_dat_o_user[23] *1760:7 32.1998 +2 *1760:7 *1760:8 410.021 +3 *1760:8 *1760:10 4.5 +4 *1760:10 *2473:wbs_dat_i[23] 56.3522 +*END + +*D_NET *1761 0.087475 +*CONN +*I *2473:wbs_dat_i[24] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[24] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[24] 0.00178266 +2 *2472:mprj_dat_o_user[24] 0.00194583 +3 *1761:8 0.00475069 +4 *1761:7 0.00491386 +5 *1761:8 *1763:8 0.000233938 +6 *2472:mprj_dat_i_user[24] *1761:7 0 +7 *2472:mprj_dat_i_user[25] *1761:7 0 +8 *772:8 *1761:8 0.000262266 +9 *1629:7 *1761:7 0 +10 *1629:8 *1761:8 0.0369113 +11 *1630:7 *1761:7 0 +12 *1682:8 *1761:8 0.000238938 +13 *1696:8 *1761:8 0.0364355 +*RES +1 *2472:mprj_dat_o_user[24] *1761:7 49.2251 +2 *1761:7 *1761:8 396.71 +3 *1761:8 *2473:wbs_dat_i[24] 43.8268 +*END + +*D_NET *1762 0.085911 +*CONN +*I *2473:wbs_dat_i[25] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[25] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[25] 0.00276903 +2 *2472:mprj_dat_o_user[25] 0.00107388 +3 *1762:10 0.00276903 +4 *1762:8 0.00304413 +5 *1762:7 0.00411801 +6 *2472:mprj_dat_i_user[25] *1762:7 0 +7 *1373:8 *1762:8 6.58943e-05 +8 *1630:8 *1762:8 0.035598 +9 *1631:7 *1762:7 0 +10 *1693:8 *1762:8 0.00143374 +11 *1697:8 *1762:8 0.000202245 +12 *1699:8 *1762:8 0.000226394 +13 *1760:8 *1762:8 0.0346106 +*RES +1 *2472:mprj_dat_o_user[25] *1762:7 31.7845 +2 *1762:7 *1762:8 383.4 +3 *1762:8 *1762:10 4.5 +4 *1762:10 *2473:wbs_dat_i[25] 56.7674 +*END + +*D_NET *1763 0.0812645 +*CONN +*I *2473:wbs_dat_i[26] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[26] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[26] 0.00183718 +2 *2472:mprj_dat_o_user[26] 0.00187905 +3 *1763:8 0.00478626 +4 *1763:7 0.00482812 +5 *1763:7 *1765:13 0 +6 *1763:8 *1776:8 0.000238938 +7 *2472:mprj_dat_i_user[26] *1763:7 0 +8 *2472:mprj_dat_i_user[27] *1763:7 0 +9 *772:8 *1763:8 0.000102959 +10 *1412:8 *1763:8 0.0200465 +11 *1620:14 *1763:8 0.0141561 +12 *1629:8 *1763:8 0.03285 +13 *1632:7 *1763:7 0 +14 *1698:8 *1763:8 0.000305446 +15 *1761:8 *1763:8 0.000233938 +*RES +1 *2472:mprj_dat_o_user[26] *1763:7 48.3946 +2 *1763:7 *1763:8 370.089 +3 *1763:8 *2473:wbs_dat_i[26] 44.6573 +*END + +*D_NET *1764 0.0792878 +*CONN +*I *2473:wbs_dat_i[27] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[27] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[27] 0.00290263 +2 *2472:mprj_dat_o_user[27] 0.00100182 +3 *1764:10 0.00290263 +4 *1764:8 0.00329276 +5 *1764:7 0.00429457 +6 *2472:mprj_dat_i_user[27] *1764:7 0 +7 *1373:8 *1764:8 0 +8 *1628:8 *1764:8 0.00107168 +9 *1632:8 *1764:8 0.033006 +10 *1633:7 *1764:7 0 +11 *1701:8 *1764:8 0.0308157 +12 *1745:8 *1764:8 0 +*RES +1 *2472:mprj_dat_o_user[27] *1764:7 30.1235 +2 *1764:7 *1764:8 356.779 +3 *1764:8 *1764:10 4.5 +4 *1764:10 *2473:wbs_dat_i[27] 58.4284 +*END + +*D_NET *1765 0.0753266 +*CONN +*I *2473:wbs_dat_i[28] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[28] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[28] 0.00196521 +2 *2472:mprj_dat_o_user[28] 0.00129358 +3 *1765:22 0.00264367 +4 *1765:20 0.000946128 +5 *1765:14 0.00191814 +6 *1765:13 0.00257647 +7 *1765:8 0.00221957 +8 *2472:mprj_dat_i_user[26] *1765:13 0.00023907 +9 *2472:mprj_dat_i_user[28] *1765:8 0 +10 *849:8 *1765:8 0.00182139 +11 *1631:7 *1765:13 0 +12 *1631:8 *1765:14 1.67988e-05 +13 *1631:8 *1765:20 0.00194244 +14 *1631:8 *1765:22 0.000426221 +15 *1633:8 *1765:14 0.0195894 +16 *1634:7 *1765:8 0 +17 *1645:10 *1765:22 0.00800919 +18 *1684:8 *1765:14 0.000220514 +19 *1684:8 *1765:20 0.00012309 +20 *1700:8 *1765:20 0.000826652 +21 *1700:8 *1765:22 0.00900087 +22 *1700:18 *1765:14 0.0195405 +23 *1702:8 *1765:20 7.6719e-06 +24 *1763:7 *1765:13 0 +*RES +1 *2472:mprj_dat_o_user[28] *1765:8 45.9662 +2 *1765:8 *1765:13 29.1031 +3 *1765:13 *1765:14 208.7 +4 *1765:14 *1765:20 21.8466 +5 *1765:20 *1765:22 95.006 +6 *1765:22 *2473:wbs_dat_i[28] 46.7336 +*END + +*D_NET *1766 0.0748821 +*CONN +*I *2473:wbs_dat_i[29] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[29] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[29] 0.00298017 +2 *2472:mprj_dat_o_user[29] 0.000945262 +3 *1766:10 0.00298017 +4 *1766:8 0.00284241 +5 *1766:7 0.00378768 +6 *2472:mprj_dat_i_user[29] *1766:7 0 +7 *2472:mprj_dat_i_user[30] *1766:7 0 +8 *733:13 *1766:8 0 +9 *1628:8 *1766:8 0.000771867 +10 *1634:8 *1766:8 0.0304353 +11 *1636:7 *1766:7 0 +12 *1636:8 *1766:8 0.000226394 +13 *1701:8 *1766:8 0.0299129 +*RES +1 *2472:mprj_dat_o_user[29] *1766:7 29.293 +2 *1766:7 *1766:8 330.158 +3 *1766:8 *1766:10 4.5 +4 *1766:10 *2473:wbs_dat_i[29] 59.2589 +*END + +*D_NET *1767 0.17888 +*CONN +*I *2473:wbs_dat_i[2] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[2] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[2] 0.00137299 +2 *2472:mprj_dat_o_user[2] 8.20467e-05 +3 *1767:10 0.00983146 +4 *1767:9 0.00845847 +5 *1767:7 0.00191103 +6 *1767:5 0.00199308 +7 *1767:7 *2189:7 0 +8 *1767:10 *2188:8 0.0749833 +9 *1767:10 *2190:8 0.000595257 +10 *2472:mprj_dat_i_user[2] *1767:7 0.00183936 +11 *2473:la_data_in[2] *1767:7 0 +12 *1039:9 *1767:7 0 +13 *1617:8 *1767:10 0.00186668 +14 *1635:5 *1767:7 0 +15 *1692:8 *1767:10 0.0742015 +16 *1712:8 *1767:10 0.000111022 +17 *1749:8 *1767:10 0.00163396 +*RES +1 *2472:mprj_dat_o_user[2] *1767:5 2.33274 +2 *1767:5 *1767:7 48.3402 +3 *1767:7 *1767:9 3.36879 +4 *1767:9 *1767:10 96.0451 +5 *1767:10 *2473:wbs_dat_i[2] 39.166 +*END + +*D_NET *1768 0.0707537 +*CONN +*I *2473:wbs_dat_i[30] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[30] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[30] 0.00305298 +2 *2472:mprj_dat_o_user[30] 0.000887805 +3 *1768:10 0.00305298 +4 *1768:8 0.00288908 +5 *1768:7 0.00377688 +6 *2472:mprj_dat_i_user[30] *1768:7 0 +7 *1628:8 *1768:8 0.00043991 +8 *1636:8 *1768:8 0.0292096 +9 *1637:7 *1768:7 0 +10 *1705:8 *1768:8 0.0269195 +11 *1745:8 *1768:8 0.000524873 +*RES +1 *2472:mprj_dat_o_user[30] *1768:7 28.0472 +2 *1768:7 *1768:8 316.847 +3 *1768:8 *1768:10 4.5 +4 *1768:10 *2473:wbs_dat_i[30] 60.5047 +*END + +*D_NET *1769 0.0669165 +*CONN +*I *2473:wbs_dat_i[31] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[31] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[31] 0.00207991 +2 *2472:mprj_dat_o_user[31] 0.00161788 +3 *1769:8 0.00461979 +4 *1769:7 0.00415775 +5 *2472:mprj_dat_i_user[31] *1769:7 0 +6 *733:7 *1769:7 0 +7 *733:14 *1769:8 0.0206364 +8 *733:20 *1769:8 9.17756e-05 +9 *1039:12 *1769:8 0.00115309 +10 *1412:8 *1769:8 0 +11 *1633:14 *1769:8 0.00482692 +12 *1637:7 *1769:7 0 +13 *1637:8 *1769:8 0.000233938 +14 *1704:8 *1769:8 0.027499 +*RES +1 *2472:mprj_dat_o_user[31] *1769:7 44.2421 +2 *1769:7 *1769:8 303.537 +3 *1769:8 *2473:wbs_dat_i[31] 48.8099 +*END + +*D_NET *1770 0.16762 +*CONN +*I *2473:wbs_dat_i[3] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[3] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[3] 0.00127633 +2 *2472:mprj_dat_o_user[3] 0.0024672 +3 *1770:8 0.0101422 +4 *1770:7 0.00886589 +5 *1770:5 0.0024672 +6 *1770:5 *2190:5 0 +7 *1770:8 *1772:8 0.00066078 +8 *1770:8 *2190:8 0.0723506 +9 *2472:mprj_dat_i_user[3] *1770:5 0 +10 *2473:la_oenb[2] *1770:5 0 +11 *1621:10 *1770:8 0.00118142 +12 *1641:8 *1770:8 0.0669177 +13 *1692:8 *1770:8 0.00066078 +14 *1753:8 *1770:8 0.000629962 +*RES +1 *2472:mprj_dat_o_user[3] *1770:5 50.7463 +2 *1770:5 *1770:7 3.36879 +3 *1770:7 *1770:8 93.9033 +4 *1770:8 *2473:wbs_dat_i[3] 36.6745 +*END + +*D_NET *1771 0.168703 +*CONN +*I *2473:wbs_dat_i[4] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[4] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[4] 0.00195615 +2 *2472:mprj_dat_o_user[4] 0.00145007 +3 *1771:10 0.00195615 +4 *1771:8 0.0069964 +5 *1771:7 0.00844648 +6 *1771:8 *1773:8 0.0676124 +7 *1771:8 *2189:8 0.0702528 +8 *2472:mprj_dat_i_user[4] *1771:7 0 +9 *2472:mprj_dat_i_user[5] *1771:7 0 +10 *1050:5 *1771:7 0.000166394 +11 *1640:7 *1771:7 0 +12 *1683:8 *1771:8 0.00521143 +13 *1707:8 *1771:8 0.000410779 +14 *1746:8 *1771:8 0.00010238 +15 *1748:8 *1771:8 0.00414196 +16 *1750:8 *1771:8 0 +*RES +1 *2472:mprj_dat_o_user[4] *1771:7 37.505 +2 *1771:7 *1771:8 91.5321 +3 *1771:8 *1771:10 3.36879 +4 *1771:10 *2473:wbs_dat_i[4] 49.9158 +*END + +*D_NET *1772 0.161391 +*CONN +*I *2473:wbs_dat_i[5] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[5] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[5] 0.00121791 +2 *2472:mprj_dat_o_user[5] 0.00238311 +3 *1772:8 0.00986561 +4 *1772:7 0.00864771 +5 *1772:5 0.00238311 +6 *1772:8 *1774:8 0.0658606 +7 *2472:mprj_dat_i_user[5] *1772:5 0 +8 *2473:la_oenb[3] *1772:5 0.000385299 +9 *1625:10 *1772:8 0.000685206 +10 *1641:5 *1772:5 0 +11 *1641:8 *1772:8 0.0689468 +12 *1690:8 *1772:8 0.000354801 +13 *1770:8 *1772:8 0.00066078 +*RES +1 *2472:mprj_dat_o_user[5] *1772:5 52.4073 +2 *1772:5 *1772:7 3.36879 +3 *1772:7 *1772:8 89.6199 +4 *1772:8 *2473:wbs_dat_i[5] 35.0134 +*END + +*D_NET *1773 0.164014 +*CONN +*I *2473:wbs_dat_i[6] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[6] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[6] 0.00195314 +2 *2472:mprj_dat_o_user[6] 0.00153688 +3 *1773:10 0.00195314 +4 *1773:8 0.00643152 +5 *1773:7 0.0079684 +6 *1773:8 *1775:8 0.000121359 +7 *2472:mprj_dat_i_user[6] *1773:7 0 +8 *2472:mprj_dat_i_user[7] *1773:7 0 +9 *1618:14 *1773:8 0.00460768 +10 *1642:7 *1773:7 0 +11 *1685:8 *1773:8 0.00358909 +12 *1709:8 *1773:8 0.0682402 +13 *1750:8 *1773:8 0 +14 *1771:8 *1773:8 0.0676124 +*RES +1 *2472:mprj_dat_o_user[6] *1773:7 38.3355 +2 *1773:7 *1773:8 87.8606 +3 *1773:8 *1773:10 3.36879 +4 *1773:10 *2473:wbs_dat_i[6] 49.0853 +*END + +*D_NET *1774 0.157081 +*CONN +*I *2473:wbs_dat_i[7] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[7] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[7] 0.0011887 +2 *2472:mprj_dat_o_user[7] 0.00253313 +3 *1774:8 0.0099539 +4 *1774:7 0.0087652 +5 *1774:5 0.00253313 +6 *2472:mprj_dat_i_user[7] *1774:5 0 +7 *1061:5 *1774:5 0 +8 *1641:8 *1774:8 0.000121359 +9 *1643:5 *1774:5 0 +10 *1643:8 *1774:8 0.0660229 +11 *1712:8 *1774:8 0 +12 *1757:8 *1774:8 0.00010238 +13 *1772:8 *1774:8 0.0658606 +*RES +1 *2472:mprj_dat_o_user[7] *1774:5 53.2378 +2 *1774:5 *1774:7 3.36879 +3 *1774:7 *1774:8 85.9484 +4 *1774:8 *2473:wbs_dat_i[7] 34.1829 +*END + +*D_NET *1775 0.151469 +*CONN +*I *2473:wbs_dat_i[8] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[8] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[8] 0.00192092 +2 *2472:mprj_dat_o_user[8] 0.00162122 +3 *1775:10 0.00192092 +4 *1775:8 0.00762077 +5 *1775:7 0.009242 +6 *1775:8 *1776:8 0 +7 *2472:mprj_dat_i_user[8] *1775:7 0 +8 *816:11 *1775:7 0 +9 *1445:11 *1775:7 0 +10 *1616:8 *1775:8 0.0577811 +11 *1622:12 *1775:8 0.00305068 +12 *1644:7 *1775:7 0 +13 *1687:12 *1775:8 0.000202397 +14 *1689:8 *1775:8 0.00362033 +15 *1691:13 *1775:7 1.47632e-05 +16 *1709:8 *1775:8 0.0643524 +17 *1747:8 *1775:8 0 +18 *1750:8 *1775:8 0 +19 *1773:8 *1775:8 0.000121359 +*RES +1 *2472:mprj_dat_o_user[8] *1775:7 39.9965 +2 *1775:7 *1775:8 84.1891 +3 *1775:8 *1775:10 3.36879 +4 *1775:10 *2473:wbs_dat_i[8] 47.4242 +*END + +*D_NET *1776 0.150694 +*CONN +*I *2473:wbs_dat_i[9] I *D user_analog_project_wrapper +*I *2472:mprj_dat_o_user[9] O *D mgmt_protect +*CAP +1 *2473:wbs_dat_i[9] 0.00168724 +2 *2472:mprj_dat_o_user[9] 0.00199364 +3 *1776:8 0.00997829 +4 *1776:7 0.0102847 +5 *2472:mprj_dat_i_user[9] *1776:7 0 +6 *1072:5 *1776:7 0 +7 *1412:8 *1776:8 0.000220514 +8 *1614:7 *1776:7 0 +9 *1620:14 *1776:8 0.00339983 +10 *1648:8 *1776:8 0.000193654 +11 *1682:8 *1776:8 0.0616548 +12 *1712:8 *1776:8 0.000961946 +13 *1747:8 *1776:8 0.0600803 +14 *1763:8 *1776:8 0.000238938 +15 *1775:8 *1776:8 0 +*RES +1 *2472:mprj_dat_o_user[9] *1776:7 46.6405 +2 *1776:7 *1776:8 82.2768 +3 *1776:8 *2473:wbs_dat_i[9] 44.149 +*END + +*D_NET *1777 0.0121411 +*CONN +*I *2474:mprj_io_analog_en[0] I *D chip_io_alt +*I *2444:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_en[0] 0.000297244 +2 *2444:pad_gpio_ana_en 0.000518252 +3 *1777:14 0.00271839 +4 *1777:13 0.0029394 +5 *2474:mprj_io_analog_en[0] *2474:mprj_io_dm[1] 0.000548997 +6 *1777:13 *1804:13 0.00161422 +7 *1777:13 *2074:8 0 +8 *1777:14 *1804:14 0.00259258 +9 *1777:14 *1869:14 0 +10 *1777:14 *1993:17 0 +11 *1777:14 *1993:30 0 +12 *1777:14 *2047:14 0 +13 *1533:15 *1777:13 0.000912063 +*RES +1 *2444:pad_gpio_ana_en *1777:13 35.234 +2 *1777:13 *1777:14 92.7722 +3 *1777:14 *2474:mprj_io_analog_en[0] 19.4392 +*END + +*D_NET *1778 0.0535179 +*CONN +*I *2474:mprj_io_analog_en[10] I *D chip_io_alt +*I *2451:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_en[10] 0.000611081 +2 *2451:pad_gpio_ana_en 0.000832831 +3 *1778:16 0.00892524 +4 *1778:15 0.00914699 +5 *2474:mprj_io_analog_en[10] *2474:mprj_io_dm[30] 0 +6 *2474:mprj_io_analog_en[10] *2304:19 0.000159409 +7 *2474:mprj_io_analog_en[10] *2322:13 0.000101437 +8 *1778:15 *1879:32 0 +9 *1778:15 *1881:13 0 +10 *1778:16 *1857:20 0.00405865 +11 *1778:16 *1881:14 0.000362696 +12 *1778:16 *1883:14 0.0105541 +13 *1778:16 *2075:8 0.00617163 +14 *1778:16 *2100:8 0.00010448 +15 *1778:16 *2127:14 0 +16 *1778:16 *2127:23 0.00242582 +17 *501:14 *1778:16 0.00736799 +18 *511:14 *1778:16 0.00269555 +*RES +1 *2451:pad_gpio_ana_en *1778:15 30.335 +2 *1778:15 *1778:16 439.093 +3 *1778:16 *2474:mprj_io_analog_en[10] 24.1547 +*END + +*D_NET *1779 0.0610701 +*CONN +*I *2474:mprj_io_analog_en[11] I *D chip_io_alt +*I *2452:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_en[11] 0.000537962 +2 *2452:pad_gpio_ana_en 0.00334058 +3 *1779:20 0.00127806 +4 *1779:19 0.00124487 +5 *1779:14 0.00320589 +6 *1779:13 0.0060417 +7 *2474:mprj_io_analog_en[11] *2474:mprj_io_dm[33] 0.000108501 +8 *2474:mprj_io_analog_en[11] *1889:18 5.5787e-05 +9 *1779:13 *2474:mprj_io_analog_pol[10] 0.00416612 +10 *1779:13 *2474:mprj_io_ib_mode_sel[10] 0.000424014 +11 *1779:13 *1806:13 0.0165757 +12 *1779:13 *1832:8 8.21151e-05 +13 *1779:13 *1833:20 0 +14 *1779:13 *1967:20 0.000274287 +15 *1779:14 *1806:14 0.00379303 +16 *1779:14 *1806:18 0 +17 *1779:14 *1833:20 0.000722314 +18 *1779:14 *1834:13 0 +19 *1779:14 *1887:13 0 +20 *1779:14 *1888:13 0 +21 *1779:14 *1889:8 0.00377537 +22 *1779:14 *1942:14 0 +23 *1779:14 *2021:7 2.5386e-05 +24 *1779:19 *1885:19 0.000240073 +25 *1779:20 *1834:14 0.00574178 +26 *1779:20 *1885:20 0.00515915 +27 *1779:20 *1942:22 9.71323e-06 +28 *1779:20 *1942:26 0.000570713 +29 *1779:20 *1969:13 0.000730668 +30 *2452:serial_data_in *1779:13 0.00296635 +31 *2453:mgmt_gpio_out *1779:14 0 +*RES +1 *2452:pad_gpio_ana_en *1779:13 36.9409 +2 *1779:13 *1779:14 119.971 +3 *1779:14 *1779:19 21.3701 +4 *1779:19 *1779:20 91.9417 +5 *1779:20 *2474:mprj_io_analog_en[11] 21.2074 +*END + +*D_NET *1780 0.0518387 +*CONN +*I *2474:mprj_io_analog_en[12] I *D chip_io_alt +*I *2453:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_en[12] 0.000505432 +2 *2453:pad_gpio_ana_en 0.00584052 +3 *1780:18 0.0048923 +4 *1780:17 0.0102274 +5 *2474:mprj_io_analog_en[12] *2474:mprj_io_dm[36] 0 +6 *2474:mprj_io_analog_en[12] *2324:13 0.000162075 +7 *1780:17 *1807:19 0.0174273 +8 *1780:17 *1833:20 0 +9 *1780:18 *1887:14 0 +10 *1780:18 *2104:14 0.0127501 +11 *2453:mgmt_gpio_out *1780:17 3.36517e-05 +*RES +1 *2453:pad_gpio_ana_en *1780:17 40.0662 +2 *1780:17 *1780:18 203.437 +3 *1780:18 *2474:mprj_io_analog_en[12] 22.4953 +*END + +*D_NET *1781 0.0161764 +*CONN +*I *2474:mprj_io_analog_en[13] I *D chip_io_alt +*I *2454:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_en[13] 0.000280674 +2 *2454:pad_gpio_ana_en 0.000677698 +3 *1781:22 0.000872686 +4 *1781:14 0.00224659 +5 *1781:13 0.00233227 +6 *2474:mprj_io_analog_en[13] *2474:mprj_io_dm[39] 0 +7 *2474:mprj_io_analog_en[13] *2325:9 0.000202472 +8 *1781:13 *1808:13 0.00136509 +9 *1781:13 *1997:19 0 +10 *1781:14 *1890:14 0.00475978 +11 *1781:22 *2474:mprj_io_dm[39] 0.00111694 +12 *1781:22 *1892:14 0.00186719 +13 *1781:22 *1893:17 5.04829e-06 +14 *1781:22 *1997:13 0 +15 *1781:22 *2051:14 0.000449909 +16 *502:22 *1781:14 0 +*RES +1 *2454:pad_gpio_ana_en *1781:13 33.9939 +2 *1781:13 *1781:14 76.1621 +3 *1781:14 *1781:22 49.284 +4 *1781:22 *2474:mprj_io_analog_en[13] 12.4909 +*END + +*D_NET *1782 0.00792777 +*CONN +*I *2474:mprj_io_analog_en[14] I *D chip_io_alt +*I *2461:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_en[14] 0.00350171 +2 *2461:pad_gpio_ana_en 0.00350171 +3 *2474:mprj_io_analog_en[14] *2474:mprj_io_inp_dis[14] 0 +4 *2474:mprj_io_analog_en[14] *1809:13 5.19526e-05 +5 *2474:mprj_io_analog_en[14] *1894:11 0.000113603 +6 *2474:mprj_io_analog_en[14] *1998:7 0.000527776 +7 *2474:mprj_io_analog_en[14] *2308:9 0.000180791 +8 *2461:mgmt_gpio_out *2474:mprj_io_analog_en[14] 5.02212e-05 +9 *100:42 *2474:mprj_io_analog_en[14] 0 +10 *467:12 *2474:mprj_io_analog_en[14] 0 +*RES +1 *2461:pad_gpio_ana_en *2474:mprj_io_analog_en[14] 18.0274 +*END + +*D_NET *1783 0.00837823 +*CONN +*I *2474:mprj_io_analog_en[15] I *D chip_io_alt +*I *2462:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_en[15] 0.000214054 +2 *2462:pad_gpio_ana_en 0.00130669 +3 *1783:18 0.00173923 +4 *1783:15 0.00246422 +5 *1783:12 0.00224574 +6 *2474:mprj_io_analog_en[15] *2474:mprj_io_inp_dis[15] 0 +7 *2474:mprj_io_analog_en[15] *2107:14 0.000162254 +8 *2474:mprj_io_analog_en[15] *2327:11 0 +9 *1783:12 *2462:pad_gpio_in 4.89469e-06 +10 *1783:12 *1972:12 0 +11 *1783:15 *1810:15 3.75095e-05 +12 *1783:15 *2053:13 5.04829e-06 +13 *1783:18 *2474:mprj_io_dm[45] 0 +14 *1783:18 *1837:8 0.00019354 +15 *1783:18 *1897:8 0 +16 *1783:18 *1898:8 0 +17 *1783:18 *2107:8 0 +18 *1783:18 *2107:14 0 +19 *1783:18 *2134:8 0 +20 *1783:18 *2327:11 0 +21 *489:13 *2474:mprj_io_analog_en[15] 5.04829e-06 +*RES +1 *2462:pad_gpio_ana_en *1783:12 42.109 +2 *1783:12 *1783:15 29.626 +3 *1783:15 *1783:18 48.6877 +4 *1783:18 *2474:mprj_io_analog_en[15] 10.7333 +*END + +*D_NET *1784 0.0128891 +*CONN +*I *2474:mprj_io_analog_en[16] I *D chip_io_alt +*I *2463:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_en[16] 0.000492991 +2 *2463:pad_gpio_ana_en 0.000544026 +3 *1784:8 0.00125725 +4 *1784:7 0.00130829 +5 *2474:mprj_io_analog_en[16] *2474:mprj_io_dm[48] 8.1645e-05 +6 *1784:7 *1811:7 0.000133918 +7 *1784:7 *1838:7 0 +8 *1784:8 *1903:16 0.00339015 +9 *1784:8 *1946:8 0.000743997 +10 *2463:mgmt_gpio_out *1784:7 3.09106e-06 +11 *518:8 *1784:8 0.00493371 +*RES +1 *2463:pad_gpio_ana_en *1784:7 5.19125 +2 *1784:7 *1784:8 79.2765 +3 *1784:8 *2474:mprj_io_analog_en[16] 20.8271 +*END + +*D_NET *1785 0.0134712 +*CONN +*I *2474:mprj_io_analog_en[17] I *D chip_io_alt +*I *2464:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_en[17] 0.000354366 +2 *2464:pad_gpio_ana_en 0.000574705 +3 *1785:20 0.000725787 +4 *1785:16 0.000665882 +5 *1785:13 0.000869167 +6 *2474:mprj_io_analog_en[17] *2474:mprj_io_dm[51] 0 +7 *2474:mprj_io_analog_en[17] *2312:9 9.29258e-05 +8 *1785:13 *2464:pad_gpio_in 0.000628637 +9 *1785:13 *1812:13 4.80336e-05 +10 *1785:13 *2055:8 0.000625055 +11 *1785:16 *1839:36 1.3813e-05 +12 *1785:16 *2055:14 0.000202472 +13 *1785:16 *2163:8 0.00176033 +14 *1785:20 *2474:mprj_io_analog_pol[17] 0.000962164 +15 *1785:20 *1839:36 0.00254725 +16 *1785:20 *1906:22 0.000282607 +17 *1785:20 *1974:17 0.00149895 +18 *1785:20 *2055:14 3.10929e-05 +19 *2464:mgmt_gpio_out *1785:13 3.44774e-05 +20 *473:8 *1785:16 0 +21 *519:22 *1785:16 0.00155346 +*RES +1 *2464:pad_gpio_ana_en *1785:13 31.494 +2 *1785:13 *1785:16 29.7638 +3 *1785:16 *1785:20 45.5733 +4 *1785:20 *2474:mprj_io_analog_en[17] 12.8629 +*END + +*D_NET *1786 0.0104016 +*CONN +*I *2474:mprj_io_analog_en[18] I *D chip_io_alt +*I *2465:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_en[18] 0.000342041 +2 *2465:pad_gpio_ana_en 0.00134049 +3 *1786:18 0.00130965 +4 *1786:15 0.00203273 +5 *1786:12 0.00240561 +6 *2474:mprj_io_analog_en[18] *2474:mprj_io_dm[54] 0 +7 *2474:mprj_io_analog_en[18] *2295:13 5.23318e-05 +8 *2474:mprj_io_analog_en[18] *2313:15 0 +9 *1786:15 *2164:15 5.04829e-06 +10 *1786:18 *2474:mprj_io_inp_dis[18] 0.000247781 +11 *1786:18 *1909:10 0 +12 *1786:18 *1948:22 0.00266595 +13 *1786:18 *2137:10 0 +14 *1786:18 *2295:13 0 +15 *1786:18 *2313:15 0 +16 *107:51 *1786:18 0 +*RES +1 *2465:pad_gpio_ana_en *1786:12 42.996 +2 *1786:12 *1786:15 32.399 +3 *1786:15 *1786:18 47.442 +4 *1786:18 *2474:mprj_io_analog_en[18] 14.5283 +*END + +*D_NET *1787 0.0133288 +*CONN +*I *2474:mprj_io_analog_en[19] I *D chip_io_alt +*I *2466:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_en[19] 0.000248051 +2 *2466:pad_gpio_ana_en 0.000403797 +3 *1787:8 0.000975818 +4 *1787:7 0.00113156 +5 *2474:mprj_io_analog_en[19] *2474:mprj_io_analog_pol[19] 0.000217643 +6 *2474:mprj_io_analog_en[19] *2474:mprj_io_dm[57] 3.27332e-05 +7 *1787:7 *1814:7 0.000242299 +8 *1787:8 *1912:14 1.87125e-05 +9 *1787:8 *2057:14 0.00254879 +10 *1787:8 *2057:29 0.00116524 +11 *1787:8 *2138:12 0.00373293 +12 *1787:8 *2165:28 0.00121846 +13 *1787:8 *2296:13 0.0013897 +14 *2466:mgmt_gpio_out *1787:7 3.09106e-06 +15 *475:16 *1787:8 0 +*RES +1 *2466:pad_gpio_ana_en *1787:7 4.8088 +2 *1787:7 *1787:8 80.5223 +3 *1787:8 *2474:mprj_io_analog_en[19] 16.8083 +*END + +*D_NET *1788 0.0166377 +*CONN +*I *2474:mprj_io_analog_en[1] I *D chip_io_alt +*I *2445:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_en[1] 0.000501359 +2 *2445:pad_gpio_ana_en 0.000584024 +3 *1788:18 0.00132577 +4 *1788:16 0.00136309 +5 *1788:13 0.0011227 +6 *2474:mprj_io_analog_en[1] *2474:mprj_io_dm[3] 0 +7 *1788:13 *1815:13 0.000875346 +8 *1788:13 *1842:13 0 +9 *1788:13 *1891:13 0 +10 *1788:16 *1891:14 1.2366e-05 +11 *1788:16 *1902:19 0.00140854 +12 *1788:16 *2058:14 0 +13 *1788:18 *1891:14 0.00480754 +14 *1788:18 *2004:11 0 +15 *1788:18 *2058:14 0 +16 *1788:18 *2058:20 0.00430323 +17 *1788:18 *2139:14 0.00012693 +18 *467:55 *2474:mprj_io_analog_en[1] 0.000202472 +19 *485:53 *1788:13 4.3116e-06 +*RES +1 *2445:pad_gpio_ana_en *1788:13 27.2733 +2 *1788:13 *1788:16 23.9503 +3 *1788:16 *1788:18 80.9375 +4 *1788:18 *2474:mprj_io_analog_en[1] 22.5297 +*END + +*D_NET *1789 0.0135122 +*CONN +*I *2474:mprj_io_analog_en[20] I *D chip_io_alt +*I *2467:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_en[20] 0.00031457 +2 *2467:pad_gpio_ana_en 0.000491895 +3 *1789:16 0.00112314 +4 *1789:15 0.00130047 +5 *2474:mprj_io_analog_en[20] *2474:mprj_io_dm[60] 0 +6 *2474:mprj_io_analog_en[20] *1916:18 9.29258e-05 +7 *1789:15 *1816:15 0.00024656 +8 *1789:15 *1843:15 4.97938e-05 +9 *1789:15 *1914:15 4.15236e-05 +10 *1789:16 *1843:16 2.18442e-05 +11 *1789:16 *1915:8 0.00431494 +12 *1789:16 *2059:14 0.00121359 +13 *1789:16 *2086:13 0.000670231 +14 *1789:16 *2140:8 0.00362454 +15 *2467:mgmt_gpio_out *1789:15 6.21488e-06 +16 *474:48 *1789:16 0 +*RES +1 *2467:pad_gpio_ana_en *1789:15 24.0951 +2 *1789:15 *1789:16 78.6536 +3 *1789:16 *2474:mprj_io_analog_en[20] 17.3629 +*END + +*D_NET *1790 0.0138248 +*CONN +*I *2474:mprj_io_analog_en[21] I *D chip_io_alt +*I *2468:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_en[21] 0.00036721 +2 *2468:pad_gpio_ana_en 0.000376211 +3 *1790:8 0.00106453 +4 *1790:7 0.00107353 +5 *2474:mprj_io_analog_en[21] *2474:mprj_io_dm[63] 0 +6 *2474:mprj_io_analog_en[21] *2298:21 1.64263e-05 +7 *2474:mprj_io_analog_en[21] *2316:13 9.7442e-05 +8 *1790:7 *1817:7 0.000263857 +9 *1790:8 *1817:8 0.00499598 +10 *1790:8 *1917:14 0.00330122 +11 *1790:8 *2087:8 0 +12 *2468:mgmt_gpio_out *1790:7 5.36925e-05 +13 *477:8 *1790:8 0.00154711 +14 *494:20 *1790:8 0.000667635 +*RES +1 *2468:pad_gpio_ana_en *1790:7 4.88529 +2 *1790:7 *1790:8 80.107 +3 *1790:8 *2474:mprj_io_analog_en[21] 17.9175 +*END + +*D_NET *1791 0.00948161 +*CONN +*I *2474:mprj_io_analog_en[22] I *D chip_io_alt +*I *2469:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_en[22] 4.41996e-05 +2 *2469:pad_gpio_ana_en 0.000864002 +3 *1791:19 0.00168455 +4 *1791:15 0.00195406 +5 *1791:12 0.00117772 +6 *2474:mprj_io_analog_en[22] *2317:12 4.35659e-05 +7 *1791:12 *1818:15 0.000958982 +8 *1791:12 *1845:12 0.00186867 +9 *1791:12 *1922:8 0 +10 *1791:19 *2474:mprj_io_dm[66] 0 +11 *1791:19 *1922:25 0 +12 *1791:19 *2115:8 0 +13 *1791:19 *2142:14 0 +14 *1791:19 *2299:21 4.05482e-05 +15 *1791:19 *2317:12 0 +16 *2469:mgmt_gpio_out *1791:12 1.53622e-05 +17 *477:26 *1791:19 0.000411592 +18 *496:17 *1791:12 0.00041836 +*RES +1 *2469:pad_gpio_ana_en *1791:12 46.6767 +2 *1791:12 *1791:15 11.8786 +3 *1791:15 *1791:19 48.8621 +4 *1791:19 *2474:mprj_io_analog_en[22] 6.03888 +*END + +*D_NET *1792 0.0139111 +*CONN +*I *2474:mprj_io_analog_en[23] I *D chip_io_alt +*I *2470:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_en[23] 0.000357666 +2 *2470:pad_gpio_ana_en 0.000588549 +3 *1792:8 0.00110287 +4 *1792:7 0.00133375 +5 *2474:mprj_io_analog_en[23] *2474:mprj_io_dm[69] 0.000223427 +6 *2474:mprj_io_analog_en[23] *2300:18 0 +7 *1792:7 *1819:7 0.000229469 +8 *1792:8 *1819:8 0.000655145 +9 *1792:8 *1926:16 0.00366586 +10 *2470:mgmt_gpio_out *1792:7 3.28957e-06 +11 *494:20 *1792:8 0.000729048 +12 *513:14 *1792:8 0.00502203 +*RES +1 *2470:pad_gpio_ana_en *1792:7 5.03827 +2 *1792:7 *1792:8 80.5223 +3 *1792:8 *2474:mprj_io_analog_en[23] 18.4721 +*END + +*D_NET *1793 0.00819775 +*CONN +*I *2474:mprj_io_analog_en[24] I *D chip_io_alt +*I *2446:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_en[24] 0.000255799 +2 *2446:pad_gpio_ana_en 0.000598812 +3 *1793:14 0.00248608 +4 *1793:13 0.00282909 +5 *2474:mprj_io_analog_en[24] *1927:15 8.1645e-05 +6 *2474:mprj_io_analog_en[24] *1982:19 5.04829e-06 +7 *2474:mprj_io_analog_en[24] *2319:10 0 +8 *1793:13 *1820:13 3.81792e-05 +9 *1793:13 *2009:11 0.000618491 +10 *1793:14 *2474:mprj_io_analog_pol[24] 0 +11 *1793:14 *1927:15 0 +12 *1793:14 *1928:8 0 +13 *1793:14 *1955:8 0.000567179 +14 *1793:14 *1955:14 0 +15 *1793:14 *2301:11 0 +16 *2446:mgmt_gpio_out *1793:13 3.81792e-05 +17 *477:26 *1793:14 0.00067925 +18 *514:14 *1793:14 0 +*RES +1 *2446:pad_gpio_ana_en *1793:13 28.7209 +2 *1793:13 *1793:14 68.6876 +3 *1793:14 *2474:mprj_io_analog_en[24] 15.8357 +*END + +*D_NET *1794 0.0124749 +*CONN +*I *2474:mprj_io_analog_en[25] I *D chip_io_alt +*I *2447:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_en[25] 0.000386268 +2 *2447:pad_gpio_ana_en 0.000802776 +3 *1794:16 0.00102565 +4 *1794:15 0.00144216 +5 *2474:mprj_io_analog_en[25] *2474:mprj_io_analog_pol[25] 0.000159956 +6 *1794:16 *1848:16 0.00384819 +7 *1794:16 *1930:10 7.8756e-07 +8 *1794:16 *1930:12 1.7607e-05 +9 *1794:16 *2064:8 0.000267062 +10 *1794:16 *2118:8 0.000514271 +11 *1794:16 *2118:21 0.000323277 +12 *1794:16 *2172:14 0.00351467 +13 *2447:mgmt_gpio_oeb *1794:15 0.00014929 +14 *2447:mgmt_gpio_out *1794:15 2.29355e-05 +15 *515:12 *1794:15 0 +*RES +1 *2447:pad_gpio_ana_en *1794:15 31.2937 +2 *1794:15 *1794:16 70.7639 +3 *1794:16 *2474:mprj_io_analog_en[25] 18.9862 +*END + +*D_NET *1795 0.00919868 +*CONN +*I *2474:mprj_io_analog_en[26] I *D chip_io_alt +*I *2448:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_en[26] 4.75854e-05 +2 *2448:pad_gpio_ana_en 0.000152619 +3 *1795:8 0.00217907 +4 *1795:7 0.0022841 +5 *1795:7 *1822:7 0.000145223 +6 *1795:7 *1984:21 4.79986e-05 +7 *1795:8 *2474:mprj_io_dm[79] 6.55232e-05 +8 *1795:8 *2474:mprj_io_slow_sel[26] 0.0006713 +9 *1795:8 *2474:mprj_io_vtrip_sel[26] 0.000272159 +10 *1795:8 *1822:8 0 +11 *1795:8 *1934:8 0 +12 *1795:8 *1984:21 0.000301444 +13 *1795:8 *2011:7 0.00292714 +14 *2448:mgmt_gpio_out *1795:7 0.000104522 +*RES +1 *2448:pad_gpio_ana_en *1795:7 4.12039 +2 *1795:7 *1795:8 81.9757 +3 *1795:8 *2474:mprj_io_analog_en[26] 1.20912 +*END + +*D_NET *1796 0.0150472 +*CONN +*I *2474:mprj_io_analog_en[2] I *D chip_io_alt +*I *2455:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_en[2] 0.00020644 +2 *2455:pad_gpio_ana_en 0.00105917 +3 *1796:14 0.000775732 +4 *1796:13 0.000740014 +5 *1796:8 0.00122989 +6 *1796:8 *1823:13 0.000254349 +7 *1796:8 *1850:13 4.3116e-06 +8 *1796:13 *2147:13 0.000160617 +9 *2455:mgmt_gpio_out *1796:8 3.36517e-05 +10 *100:80 *1796:14 0.00365668 +11 *104:40 *1796:8 0.00284392 +12 *104:55 *2474:mprj_io_analog_en[2] 5.04829e-06 +13 *471:40 *2474:mprj_io_analog_en[2] 0.00019711 +14 *503:20 *1796:14 0.00366386 +15 *503:25 *1796:13 0.000211492 +16 *1555:29 *1796:8 4.89469e-06 +*RES +1 *2455:pad_gpio_ana_en *1796:8 49.4555 +2 *1796:8 *1796:13 13.6056 +3 *1796:13 *1796:14 59.5521 +4 *1796:14 *2474:mprj_io_analog_en[2] 14.5871 +*END + +*D_NET *1797 0.0139714 +*CONN +*I *2474:mprj_io_analog_en[3] I *D chip_io_alt +*I *2456:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_en[3] 0.000718899 +2 *2456:pad_gpio_ana_en 0.000690269 +3 *1797:22 0.00178139 +4 *1797:19 0.00137766 +5 *1797:13 0.00100544 +6 *2474:mprj_io_analog_en[3] *2474:mprj_io_analog_pol[3] 0.00015919 +7 *2474:mprj_io_analog_en[3] *2474:mprj_io_dm[9] 0.000118972 +8 *2474:mprj_io_analog_en[3] *2474:mprj_io_inp_dis[3] 0.000208218 +9 *2474:mprj_io_analog_en[3] *2013:7 0.00160635 +10 *2474:mprj_io_analog_en[3] *2040:20 0.000225379 +11 *1797:13 *1824:13 3.84497e-05 +12 *1797:13 *2094:8 0.000396678 +13 *1797:19 *1824:17 5.04829e-06 +14 *1797:19 *1860:16 0.00174863 +15 *1797:19 *2121:14 0.00175222 +16 *1797:22 *1824:20 0 +17 *1797:22 *1860:23 8.21849e-06 +18 *1797:22 *2121:14 0.000471395 +19 *1797:22 *2148:26 0.000754971 +20 *1797:22 *2175:14 0 +21 *2455:resetn_out *2474:mprj_io_analog_en[3] 0.000106863 +22 *1564:25 *1797:13 0.00079721 +*RES +1 *2456:pad_gpio_ana_en *1797:13 34.8788 +2 *1797:13 *1797:19 38.8255 +3 *1797:19 *1797:22 45.781 +4 *1797:22 *2474:mprj_io_analog_en[3] 37.3273 +*END + +*D_NET *1798 0.0186909 +*CONN +*I *2474:mprj_io_analog_en[4] I *D chip_io_alt +*I *2457:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_en[4] 0.000377159 +2 *2457:pad_gpio_ana_en 0.000689833 +3 *1798:14 0.00131306 +4 *1798:13 0.00162573 +5 *2474:mprj_io_analog_en[4] *2474:mprj_io_dm[13] 0.00020879 +6 *2474:mprj_io_analog_en[4] *2014:18 0.000471151 +7 *1798:13 *1825:15 0.000249186 +8 *1798:13 *1852:13 0 +9 *1798:13 *1861:15 0 +10 *1798:13 *2014:31 0 +11 *1798:14 *1861:16 0.00624292 +12 *1798:14 *1862:16 0.0064983 +13 *1798:14 *2014:18 9.34396e-06 +14 *1798:14 *2014:21 0 +15 *477:32 *1798:14 0.00100548 +16 *505:14 *1798:14 0 +*RES +1 *2457:pad_gpio_ana_en *1798:13 27.828 +2 *1798:13 *1798:14 104.815 +3 *1798:14 *2474:mprj_io_analog_en[4] 21.521 +*END + +*D_NET *1799 0.01809 +*CONN +*I *2474:mprj_io_analog_en[5] I *D chip_io_alt +*I *2458:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_en[5] 0.000524766 +2 *2458:pad_gpio_ana_en 0.000730295 +3 *1799:14 0.00143534 +4 *1799:13 0.00164087 +5 *2474:mprj_io_analog_en[5] *2474:mprj_io_dm[15] 0 +6 *2474:mprj_io_analog_en[5] *2474:mprj_io_dm[16] 0 +7 *1799:13 *1826:13 0.00117647 +8 *1799:13 *2150:8 0 +9 *1799:14 *1864:20 0.000553587 +10 *1799:14 *2015:11 0.0061995 +11 *1799:14 *2069:14 0.00479451 +12 *1799:14 *2150:14 0 +13 *2458:mgmt_gpio_out *1799:13 3.36517e-05 +14 *478:32 *1799:14 0.000904028 +15 *495:64 *2474:mprj_io_analog_en[5] 9.69513e-05 +16 *1566:29 *1799:13 0 +*RES +1 *2458:pad_gpio_ana_en *1799:13 32.4094 +2 *1799:13 *1799:14 99.001 +3 *1799:14 *2474:mprj_io_analog_en[5] 23.0988 +*END + +*D_NET *1800 0.0146865 +*CONN +*I *2474:mprj_io_analog_en[6] I *D chip_io_alt +*I *2459:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_en[6] 0.000534604 +2 *2459:pad_gpio_ana_en 0.000820463 +3 *1800:14 0.00261113 +4 *1800:13 0.00289699 +5 *2474:mprj_io_analog_en[6] *2474:mprj_io_dm[18] 0 +6 *1800:13 *1827:13 3.84497e-05 +7 *1800:13 *2097:8 0.000268051 +8 *1800:14 *1827:14 2.6506e-05 +9 *1800:14 *1827:20 0.00254154 +10 *1800:14 *1867:14 9.4939e-05 +11 *1800:14 *1868:14 0 +12 *1800:14 *2016:19 0 +13 *1800:14 *2043:13 0 +14 *1800:14 *2151:16 0.0026848 +15 *477:32 *1800:14 0.000876323 +16 *507:18 *2474:mprj_io_analog_en[6] 9.4338e-05 +17 *1567:25 *1800:13 0.00119837 +*RES +1 *2459:pad_gpio_ana_en *1800:13 38.9004 +2 *1800:13 *1800:14 91.9417 +3 *1800:14 *2474:mprj_io_analog_en[6] 22.3515 +*END + +*D_NET *1801 0.0440476 +*CONN +*I *2474:mprj_io_analog_en[7] I *D chip_io_alt +*I *2460:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_en[7] 0.000598517 +2 *2460:pad_gpio_ana_en 0.00044638 +3 *1801:20 0.00109126 +4 *1801:19 0.000643941 +5 *1801:14 0.0102202 +6 *1801:13 0.0105154 +7 *2474:mprj_io_analog_en[7] *2474:mprj_io_dm[21] 3.31733e-05 +8 *2474:mprj_io_analog_en[7] *2293:9 1.15509e-05 +9 *2474:mprj_io_analog_en[7] *2311:13 4.65615e-06 +10 *1801:13 *1828:13 0.00106567 +11 *1801:13 *1855:13 0 +12 *1801:14 *1802:14 0.00319876 +13 *1801:14 *1828:14 0 +14 *1801:14 *1872:14 0.00539242 +15 *1801:14 *1873:14 0 +16 *1801:14 *2179:14 0.00301963 +17 *1801:19 *1828:23 5.04829e-06 +18 *1801:19 *1873:19 0 +19 *1801:20 *1873:14 0.00021551 +20 *1801:20 *1873:20 0 +21 *1801:20 *1874:22 0.000628648 +22 *1801:20 *1876:14 0.00353265 +23 *1801:20 *1963:16 0.00260008 +24 *1801:20 *2099:16 0.000522055 +25 *2460:mgmt_gpio_out *1801:13 3.84497e-05 +26 *110:98 *1801:14 0 +27 *477:32 *1801:14 0.000263647 +*RES +1 *2460:pad_gpio_ana_en *1801:13 27.4071 +2 *1801:13 *1801:14 376.389 +3 *1801:14 *1801:19 12.4964 +4 *1801:19 *1801:20 56.6453 +5 *1801:20 *2474:mprj_io_analog_en[7] 21.3845 +*END + +*D_NET *1802 0.0552278 +*CONN +*I *2474:mprj_io_analog_en[8] I *D chip_io_alt +*I *2449:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_en[8] 0.000676077 +2 *2449:pad_gpio_ana_en 0.000578169 +3 *1802:20 0.00170559 +4 *1802:19 0.00126274 +5 *1802:14 0.00571852 +6 *1802:13 0.00606346 +7 *2474:mprj_io_analog_en[8] *2474:mprj_io_dm[24] 0 +8 *2474:mprj_io_analog_en[8] *2019:11 0 +9 *2474:mprj_io_analog_en[8] *2320:15 6.38108e-05 +10 *1802:14 *1829:14 0.00219694 +11 *1802:14 *1856:19 0.00185323 +12 *1802:14 *1872:14 0.00942161 +13 *1802:14 *1873:14 0 +14 *1802:14 *1873:20 0.00239578 +15 *1802:14 *1874:22 0 +16 *1802:14 *1875:14 0.00271408 +17 *1802:14 *1876:14 0 +18 *1802:14 *1877:14 0.00412641 +19 *1802:14 *1963:20 0.0022711 +20 *1802:14 *1964:16 0.000164008 +21 *1802:14 *2179:14 0.000751736 +22 *1802:19 *1875:19 0.000202749 +23 *1802:20 *1875:20 0.0051382 +24 *1802:20 *1876:14 2.01595e-05 +25 *1802:20 *1991:16 0.000825644 +26 *1802:20 *2073:37 0.000502001 +27 *110:123 *1802:13 0.000298093 +28 *495:87 *1802:13 0.000306656 +29 *511:14 *1802:20 0.0027723 +30 *1801:14 *1802:14 0.00319876 +*RES +1 *2449:pad_gpio_ana_en *1802:13 27.1284 +2 *1802:13 *1802:14 346.076 +3 *1802:14 *1802:19 15.2694 +4 *1802:19 *1802:20 90.696 +5 *1802:20 *2474:mprj_io_analog_en[8] 22.9089 +*END + +*D_NET *1803 0.0533982 +*CONN +*I *2474:mprj_io_analog_en[9] I *D chip_io_alt +*I *2450:pad_gpio_ana_en O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_en[9] 0.000143051 +2 *2450:pad_gpio_ana_en 0.00530494 +3 *1803:27 0.000665697 +4 *1803:14 0.00291236 +5 *1803:13 0.00769466 +6 *2474:mprj_io_analog_en[9] *2303:13 4.40471e-05 +7 *2474:mprj_io_analog_en[9] *2321:13 0.000110297 +8 *1803:13 *1829:13 6.55666e-06 +9 *1803:13 *1830:13 0.0174418 +10 *1803:14 *1879:32 0.00352789 +11 *1803:14 *1965:8 0.00142959 +12 *1803:14 *2019:11 1.23804e-05 +13 *1803:14 *2073:44 0.0105013 +14 *1803:27 *2474:mprj_io_slow_sel[9] 8.0872e-06 +15 *1803:27 *1879:32 0 +16 *1803:27 *1879:42 0.000765261 +17 *1803:27 *1879:44 0.000291627 +18 *1803:27 *1965:8 0.000237656 +19 *1803:27 *1994:44 0.00129905 +20 *1803:27 *2019:11 0.000197391 +21 *1803:27 *2154:14 1.2693e-05 +22 *1803:27 *2303:13 0.000747954 +23 *2450:resetn *1803:13 4.38142e-05 +*RES +1 *2450:pad_gpio_ana_en *1803:13 38.5425 +2 *1803:13 *1803:14 170.424 +3 *1803:14 *1803:27 48.7341 +4 *1803:27 *2474:mprj_io_analog_en[9] 8.86012 +*END + +*D_NET *1804 0.0131608 +*CONN +*I *2474:mprj_io_analog_pol[0] I *D chip_io_alt +*I *2444:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_pol[0] 0.000320712 +2 *2444:pad_gpio_ana_pol 0.000724069 +3 *1804:14 0.00140364 +4 *1804:13 0.001807 +5 *2474:mprj_io_analog_pol[0] *2474:mprj_io_dm[0] 5.65975e-05 +6 *2474:mprj_io_analog_pol[0] *2474:mprj_io_inp_dis[0] 0 +7 *1804:13 *1831:19 3.84497e-05 +8 *1804:13 *2074:8 0 +9 *1804:14 *1993:17 0.000375822 +10 *1804:14 *1993:21 0.00419553 +11 *1804:14 *1993:30 4.80103e-06 +12 *1533:15 *1804:13 2.73318e-05 +13 *1777:13 *1804:13 0.00161422 +14 *1777:14 *1804:14 0.00259258 +*RES +1 *2444:pad_gpio_ana_pol *1804:13 37.5097 +2 *1804:13 *1804:14 85.2977 +3 *1804:14 *2474:mprj_io_analog_pol[0] 17.4995 +*END + +*D_NET *1805 0.0508868 +*CONN +*I *2474:mprj_io_analog_pol[10] I *D chip_io_alt +*I *2451:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_pol[10] 0.00132971 +2 *2451:pad_gpio_ana_pol 3.60638e-05 +3 *1805:8 0.00933322 +4 *1805:7 0.00803957 +5 *2474:mprj_io_analog_pol[10] *2474:mprj_io_ib_mode_sel[10] 0.00131349 +6 *2474:mprj_io_analog_pol[10] *2474:mprj_io_inp_dis[10] 0 +7 *2474:mprj_io_analog_pol[10] *2322:13 0 +8 *1805:8 *1832:8 0.0266686 +9 *1779:13 *2474:mprj_io_analog_pol[10] 0.00416612 +*RES +1 *2451:pad_gpio_ana_pol *1805:7 0.51465 +2 *1805:7 *1805:8 53.2018 +3 *1805:8 *2474:mprj_io_analog_pol[10] 15.5486 +*END + +*D_NET *1806 0.0641132 +*CONN +*I *2474:mprj_io_analog_pol[11] I *D chip_io_alt +*I *2452:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_pol[11] 0.000543388 +2 *2452:pad_gpio_ana_pol 0.00242096 +3 *1806:26 0.000806239 +4 *1806:18 0.00199604 +5 *1806:16 0.00181966 +6 *1806:14 0.0010521 +7 *1806:13 0.00338658 +8 *2474:mprj_io_analog_pol[11] *2474:mprj_io_dm[33] 0 +9 *2474:mprj_io_analog_pol[11] *1889:18 0.000210531 +10 *2474:mprj_io_analog_pol[11] *1969:17 0.000159945 +11 *2474:mprj_io_analog_pol[11] *1996:32 0.000113028 +12 *2474:mprj_io_analog_pol[11] *2049:30 0.00156107 +13 *2474:mprj_io_analog_pol[11] *2050:18 0.000254307 +14 *2474:mprj_io_analog_pol[11] *2305:13 0.00103372 +15 *1806:13 *1832:8 0.0130952 +16 *1806:14 *2021:7 0.00171314 +17 *1806:14 *2103:18 0.000523547 +18 *1806:14 *2130:24 0 +19 *1806:18 *2474:mprj_io_out[11] 0.00091007 +20 *1806:18 *1942:14 0.0049269 +21 *1806:18 *2050:14 0.00309845 +22 *1806:18 *2050:18 0.00139239 +23 *1806:18 *2130:24 9.89388e-06 +24 *1806:18 *2130:28 0.000338349 +25 *1806:26 *1942:22 0.000158451 +26 *1806:26 *2049:30 2.62399e-05 +27 *1806:26 *2050:18 0.00106255 +28 *1806:26 *2077:10 0.000127672 +29 *1806:26 *2130:32 0.00100412 +30 *1779:13 *1806:13 0.0165757 +31 *1779:14 *1806:14 0.00379303 +32 *1779:14 *1806:18 0 +*RES +1 *2452:pad_gpio_ana_pol *1806:13 36.9417 +2 *1806:13 *1806:14 60.8711 +3 *1806:14 *1806:16 2.41823 +4 *1806:16 *1806:18 113.254 +5 *1806:18 *1806:26 28.9694 +6 *1806:26 *2474:mprj_io_analog_pol[11] 41.7584 +*END + +*D_NET *1807 0.0552105 +*CONN +*I *2474:mprj_io_analog_pol[12] I *D chip_io_alt +*I *2453:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_pol[12] 0.000310612 +2 *2453:pad_gpio_ana_pol 0.00551146 +3 *1807:20 0.00322764 +4 *1807:19 0.00842849 +5 *2474:mprj_io_analog_pol[12] *2474:mprj_io_inp_dis[12] 2.09084e-05 +6 *2474:mprj_io_analog_pol[12] *2324:13 0 +7 *1807:19 *2474:mprj_io_analog_sel[11] 0 +8 *1807:19 *2474:mprj_io_out[11] 0 +9 *1807:19 *1833:20 6.68192e-05 +10 *1807:19 *1834:13 3.54846e-05 +11 *1807:19 *2077:15 0 +12 *1807:19 *2131:10 0.000460632 +13 *1807:20 *1887:14 0.00022421 +14 *1807:20 *1888:14 0.0122959 +15 *1807:20 *1942:40 0.00114814 +16 *1807:20 *1969:17 0.00194344 +17 *1807:20 *1996:15 0.00284633 +18 *1807:20 *1996:19 0.00104769 +19 *1807:20 *2050:18 0 +20 *1807:20 *2104:14 0 +21 *1807:20 *2324:13 0.000215495 +22 *1780:17 *1807:19 0.0174273 +*RES +1 *2453:pad_gpio_ana_pol *1807:19 38.7399 +2 *1807:19 *1807:20 213.403 +3 *1807:20 *2474:mprj_io_analog_pol[12] 15.8385 +*END + +*D_NET *1808 0.0148332 +*CONN +*I *2474:mprj_io_analog_pol[13] I *D chip_io_alt +*I *2454:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_pol[13] 0.00034576 +2 *2454:pad_gpio_ana_pol 0.000595771 +3 *1808:14 0.00149947 +4 *1808:13 0.00174948 +5 *2474:mprj_io_analog_pol[13] *2474:mprj_io_dm[39] 0 +6 *2474:mprj_io_analog_pol[13] *2474:mprj_io_inp_dis[13] 0 +7 *2474:mprj_io_analog_pol[13] *2325:9 0.000176426 +8 *1808:13 *1890:13 0 +9 *1808:14 *1892:14 0.00612497 +10 *1808:14 *2132:14 0.00297624 +11 *1781:13 *1808:13 0.00136509 +*RES +1 *2454:pad_gpio_ana_pol *1808:13 32.9419 +2 *1808:13 *1808:14 98.1705 +3 *1808:14 *2474:mprj_io_analog_pol[13] 17.6849 +*END + +*D_NET *1809 0.0149098 +*CONN +*I *2474:mprj_io_analog_pol[14] I *D chip_io_alt +*I *2461:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_pol[14] 0.000471667 +2 *2461:pad_gpio_ana_pol 0.000568875 +3 *1809:14 0.00118513 +4 *1809:13 0.00128234 +5 *2474:mprj_io_analog_pol[14] *2474:mprj_io_dm[42] 0 +6 *2474:mprj_io_analog_pol[14] *2474:mprj_io_inp_dis[14] 0.00019711 +7 *1809:13 *1836:13 7.03696e-05 +8 *1809:14 *2160:8 0.00554117 +9 *2474:mprj_io_analog_en[14] *1809:13 5.19526e-05 +10 *516:8 *1809:14 0.00554117 +*RES +1 *2461:pad_gpio_ana_pol *1809:13 23.7463 +2 *1809:13 *1809:14 88.6197 +3 *1809:14 *2474:mprj_io_analog_pol[14] 20.6877 +*END + +*D_NET *1810 0.0126627 +*CONN +*I *2474:mprj_io_analog_pol[15] I *D chip_io_alt +*I *2462:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_pol[15] 0.000491875 +2 *2462:pad_gpio_ana_pol 0.00121303 +3 *1810:16 0.000956093 +4 *1810:15 0.000977582 +5 *1810:12 0.00172639 +6 *2474:mprj_io_analog_pol[15] *2474:mprj_io_dm[45] 0 +7 *2474:mprj_io_analog_pol[15] *2474:mprj_io_inp_dis[15] 9.4338e-05 +8 *1810:12 *1837:7 5.16691e-06 +9 *1810:12 *1972:19 0 +10 *1810:12 *1999:9 0 +11 *1810:12 *2134:8 0 +12 *1810:15 *2053:13 7.65239e-05 +13 *1810:16 *1837:16 0.00261386 +14 *1810:16 *2080:8 0.000658277 +15 *2462:mgmt_gpio_out *1810:12 0.000461064 +16 *471:16 *1810:16 0.00331202 +17 *517:20 *1810:16 1.87269e-05 +18 *1551:35 *1810:12 2.02035e-05 +19 *1783:15 *1810:15 3.75095e-05 +*RES +1 *2462:pad_gpio_ana_pol *1810:12 42.5242 +2 *1810:12 *1810:15 16.8701 +3 *1810:15 *1810:16 53.3233 +4 *1810:16 *2474:mprj_io_analog_pol[15] 21.2423 +*END + +*D_NET *1811 0.011356 +*CONN +*I *2474:mprj_io_analog_pol[16] I *D chip_io_alt +*I *2463:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_pol[16] 0.000464019 +2 *2463:pad_gpio_ana_pol 0.000138698 +3 *1811:8 0.00169273 +4 *1811:7 0.00136741 +5 *2474:mprj_io_analog_pol[16] *2474:mprj_io_dm[48] 0.00105183 +6 *2474:mprj_io_analog_pol[16] *2474:mprj_io_inp_dis[16] 0 +7 *2474:mprj_io_analog_pol[16] *1838:8 0 +8 *2474:mprj_io_analog_pol[16] *1838:14 0.00042737 +9 *2474:mprj_io_analog_pol[16] *1900:8 1.38204e-05 +10 *2474:mprj_io_analog_pol[16] *1901:8 1.3813e-05 +11 *1811:7 *1838:7 0.000133918 +12 *1811:8 *1900:8 0 +13 *1811:8 *1901:8 0.00440576 +14 *1811:8 *2310:13 0.00151273 +15 *1784:7 *1811:7 0.000133918 +*RES +1 *2463:pad_gpio_ana_pol *1811:7 4.12039 +2 *1811:7 *1811:8 70.7639 +3 *1811:8 *2474:mprj_io_analog_pol[16] 26.4418 +*END + +*D_NET *1812 0.0132587 +*CONN +*I *2474:mprj_io_analog_pol[17] I *D chip_io_alt +*I *2464:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_pol[17] 0.000569973 +2 *2464:pad_gpio_ana_pol 0.000761564 +3 *1812:23 0.00114072 +4 *1812:16 0.00174057 +5 *1812:13 0.00193139 +6 *2474:mprj_io_analog_pol[17] *2474:mprj_io_dm[51] 0 +7 *2474:mprj_io_analog_pol[17] *1839:36 9.15115e-06 +8 *2474:mprj_io_analog_pol[17] *1906:22 0.000479286 +9 *2474:mprj_io_analog_pol[17] *2055:14 0.00133853 +10 *2474:mprj_io_analog_pol[17] *2312:9 0.00019711 +11 *1812:13 *2464:pad_gpio_in 4.51062e-05 +12 *1812:13 *1904:7 0 +13 *1812:13 *2055:8 4.15236e-05 +14 *1812:16 *2082:12 0.00119282 +15 *1812:16 *2109:16 0.00041783 +16 *1812:23 *1947:17 0.00112352 +17 *1812:23 *1974:17 5.04829e-06 +18 *1812:23 *2109:16 2.01595e-05 +19 *1812:23 *2109:18 0.00123416 +20 *1785:13 *1812:13 4.80336e-05 +21 *1785:20 *2474:mprj_io_analog_pol[17] 0.000962164 +*RES +1 *2464:pad_gpio_ana_pol *1812:13 27.4892 +2 *1812:13 *1812:16 46.7892 +3 *1812:16 *1812:23 35.9954 +4 *1812:23 *2474:mprj_io_analog_pol[17] 40.2334 +*END + +*D_NET *1813 0.0153169 +*CONN +*I *2474:mprj_io_analog_pol[18] I *D chip_io_alt +*I *2465:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_pol[18] 0.000494765 +2 *2465:pad_gpio_ana_pol 0.000465288 +3 *1813:16 0.000901653 +4 *1813:10 0.00085545 +5 *1813:9 0.00091385 +6 *2474:mprj_io_analog_pol[18] *2474:mprj_io_analog_sel[18] 3.87462e-05 +7 *2474:mprj_io_analog_pol[18] *2474:mprj_io_inp_dis[18] 0 +8 *1813:9 *1840:13 0.000284075 +9 *1813:10 *1907:10 0.00321974 +10 *1813:10 *1908:10 0.00322332 +11 *1813:16 *2474:mprj_io_inp_dis[18] 0.000277634 +12 *1813:16 *1909:15 5.04829e-06 +13 *1813:16 *1975:22 0.00231656 +14 *1813:16 *2313:16 1.19726e-05 +15 *2465:mgmt_gpio_out *1813:9 0 +16 *474:14 *1813:16 0.00230879 +*RES +1 *2465:pad_gpio_ana_pol *1813:9 5.18127 +2 *1813:9 *1813:10 51.8699 +3 *1813:10 *1813:16 47.961 +4 *1813:16 *2474:mprj_io_analog_pol[18] 20.5512 +*END + +*D_NET *1814 0.0147986 +*CONN +*I *2474:mprj_io_analog_pol[19] I *D chip_io_alt +*I *2466:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_pol[19] 0.000696806 +2 *2466:pad_gpio_ana_pol 0.000445725 +3 *1814:8 0.0014416 +4 *1814:7 0.00119051 +5 *2474:mprj_io_analog_pol[19] *2474:mprj_io_dm[57] 0.00019711 +6 *1814:7 *1841:7 0.000295951 +7 *1814:8 *1912:8 0.00325775 +8 *1814:8 *1949:8 0.000276812 +9 *1814:8 *1949:17 0.000588095 +10 *1814:8 *2057:29 0.000205067 +11 *1814:8 *2084:8 0.00509 +12 *1814:8 *2165:27 0.00065321 +13 *2474:mprj_io_analog_en[19] *2474:mprj_io_analog_pol[19] 0.000217643 +14 *475:16 *2474:mprj_io_analog_pol[19] 0 +15 *1787:7 *1814:7 0.000242299 +*RES +1 *2466:pad_gpio_ana_pol *1814:7 5.19125 +2 *1814:7 *1814:8 81.768 +3 *1814:8 *2474:mprj_io_analog_pol[19] 28.718 +*END + +*D_NET *1815 0.0124517 +*CONN +*I *2474:mprj_io_analog_pol[1] I *D chip_io_alt +*I *2445:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_pol[1] 0.000669129 +2 *2445:pad_gpio_ana_pol 0.000438355 +3 *1815:20 0.00201304 +4 *1815:19 0.00172168 +5 *1815:13 0.000816131 +6 *2474:mprj_io_analog_pol[1] *2474:mprj_io_analog_sel[1] 0.000286651 +7 *2474:mprj_io_analog_pol[1] *2474:mprj_io_dm[3] 0 +8 *2474:mprj_io_analog_pol[1] *2474:mprj_io_inp_dis[1] 0.00019711 +9 *1815:13 *1842:13 0.000129429 +10 *1815:19 *1950:17 5.04829e-06 +11 *1815:19 *2004:11 0.00158217 +12 *1815:19 *2058:14 0.000740845 +13 *1815:19 *2058:19 5.04829e-06 +14 *1815:19 *2058:20 0 +15 *1815:20 *1950:20 0.00138386 +16 *1815:20 *2004:11 0.000152386 +17 *1815:20 *2058:20 0 +18 *467:55 *2474:mprj_io_analog_pol[1] 0.00134341 +19 *485:53 *1815:13 9.20603e-05 +20 *1788:13 *1815:13 0.000875346 +*RES +1 *2445:pad_gpio_ana_pol *1815:13 24.9893 +2 *1815:13 *1815:19 35.7794 +3 *1815:19 *1815:20 52.908 +4 *1815:20 *2474:mprj_io_analog_pol[1] 37.4732 +*END + +*D_NET *1816 0.0121537 +*CONN +*I *2474:mprj_io_analog_pol[20] I *D chip_io_alt +*I *2467:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_pol[20] 0.000441716 +2 *2467:pad_gpio_ana_pol 0.000599218 +3 *1816:16 0.00173753 +4 *1816:15 0.00189504 +5 *2474:mprj_io_analog_pol[20] *2474:mprj_io_dm[60] 0 +6 *2474:mprj_io_analog_pol[20] *2474:mprj_io_inp_dis[20] 0 +7 *2474:mprj_io_analog_pol[20] *1916:18 0.000145018 +8 *1816:15 *1843:15 0.00013145 +9 *1816:15 *1914:15 0.000119662 +10 *1816:16 *1843:16 0.00220484 +11 *1816:16 *1914:16 0.00434304 +12 *1816:16 *2140:8 0.000289658 +13 *494:8 *1816:16 0 +14 *1789:15 *1816:15 0.00024656 +*RES +1 *2467:pad_gpio_ana_pol *1816:15 27.5592 +2 *1816:15 *1816:16 86.9587 +3 *1816:16 *2474:mprj_io_analog_pol[20] 20.4118 +*END + +*D_NET *1817 0.0152627 +*CONN +*I *2474:mprj_io_analog_pol[21] I *D chip_io_alt +*I *2468:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_pol[21] 0.000398227 +2 *2468:pad_gpio_ana_pol 0.000317115 +3 *1817:8 0.00119678 +4 *1817:7 0.00111567 +5 *2474:mprj_io_analog_pol[21] *2474:mprj_io_inp_dis[21] 0 +6 *2474:mprj_io_analog_pol[21] *2298:21 5.6259e-05 +7 *1817:7 *1844:10 0.000279125 +8 *1817:8 *1917:14 7.12527e-05 +9 *1817:8 *1952:14 0.000102508 +10 *1817:8 *2168:8 0.00562108 +11 *477:8 *1817:8 6.80434e-05 +12 *494:20 *1817:8 0.000776783 +13 *1790:7 *1817:7 0.000263857 +14 *1790:8 *1817:8 0.00499598 +*RES +1 *2468:pad_gpio_ana_pol *1817:7 4.96178 +2 *1817:7 *1817:8 90.0731 +3 *1817:8 *2474:mprj_io_analog_pol[21] 18.8874 +*END + +*D_NET *1818 0.015055 +*CONN +*I *2474:mprj_io_analog_pol[22] I *D chip_io_alt +*I *2469:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_pol[22] 0.00034857 +2 *2469:pad_gpio_ana_pol 0.000908267 +3 *1818:16 0.00092726 +4 *1818:15 0.00148696 +5 *2474:mprj_io_analog_pol[22] *2474:mprj_io_dm[66] 8.47119e-05 +6 *2474:mprj_io_analog_pol[22] *2474:mprj_io_inp_dis[22] 0 +7 *2474:mprj_io_analog_pol[22] *1922:33 6.50727e-05 +8 *2474:mprj_io_analog_pol[22] *2317:12 0.000107031 +9 *1818:15 *1845:12 7.93742e-05 +10 *1818:15 *2007:12 0.000464193 +11 *1818:16 *1921:8 0.00343653 +12 *1818:16 *1922:33 0.000884026 +13 *1818:16 *2115:8 1.72799e-05 +14 *1818:16 *2169:16 0.00436911 +15 *478:10 *1818:16 0.00060648 +16 *495:44 *1818:15 0.000311148 +17 *1791:12 *1818:15 0.000958982 +*RES +1 *2469:pad_gpio_ana_pol *1818:15 41.675 +2 *1818:15 *1818:16 69.9334 +3 *1818:16 *2474:mprj_io_analog_pol[22] 19.4392 +*END + +*D_NET *1819 0.0127857 +*CONN +*I *2474:mprj_io_analog_pol[23] I *D chip_io_alt +*I *2470:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_pol[23] 0.00039288 +2 *2470:pad_gpio_ana_pol 0.000589907 +3 *1819:8 0.00232802 +4 *1819:7 0.00252505 +5 *2474:mprj_io_analog_pol[23] *2474:mprj_io_inp_dis[23] 0.000365085 +6 *1819:7 *1846:7 0.000138555 +7 *1819:7 *1923:7 0 +8 *1819:8 *1926:16 0.00410865 +9 *1819:8 *2300:21 0.000602602 +10 *494:20 *1819:8 0.000804228 +11 *513:14 *1819:8 4.61488e-05 +12 *1792:7 *1819:7 0.000229469 +13 *1792:8 *1819:8 0.000655145 +*RES +1 *2470:pad_gpio_ana_pol *1819:7 5.19125 +2 *1819:7 *1819:8 90.0731 +3 *1819:8 *2474:mprj_io_analog_pol[23] 20.4118 +*END + +*D_NET *1820 0.0145279 +*CONN +*I *2474:mprj_io_analog_pol[24] I *D chip_io_alt +*I *2446:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_pol[24] 0.000913463 +2 *2446:pad_gpio_ana_pol 0.000562988 +3 *1820:14 0.00151193 +4 *1820:13 0.00116145 +5 *2474:mprj_io_analog_pol[24] *2474:mprj_io_dm[72] 4.81588e-05 +6 *2474:mprj_io_analog_pol[24] *2474:mprj_io_dm[73] 0 +7 *2474:mprj_io_analog_pol[24] *1927:15 0.0010243 +8 *2474:mprj_io_analog_pol[24] *1955:14 0 +9 *2474:mprj_io_analog_pol[24] *1982:19 0 +10 *1820:13 *1847:7 3.81792e-05 +11 *1820:13 *2009:11 1.66771e-05 +12 *1820:14 *2063:8 0.00434582 +13 *1820:14 *2319:11 0.00434582 +14 *494:20 *1820:14 0.000520968 +15 *1793:13 *1820:13 3.81792e-05 +16 *1793:14 *2474:mprj_io_analog_pol[24] 0 +*RES +1 *2446:pad_gpio_ana_pol *1820:13 23.1917 +2 *1820:13 *1820:14 69.9334 +3 *1820:14 *2474:mprj_io_analog_pol[24] 39.0049 +*END + +*D_NET *1821 0.0152151 +*CONN +*I *2474:mprj_io_analog_pol[25] I *D chip_io_alt +*I *2447:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_pol[25] 0.000503722 +2 *2447:pad_gpio_ana_pol 0.000522449 +3 *1821:16 0.00175641 +4 *1821:15 0.00190939 +5 *1821:12 0.00117915 +6 *2474:mprj_io_analog_pol[25] *2474:mprj_io_dm[75] 0.000118972 +7 *1821:12 *1848:12 0.0011938 +8 *1821:15 *1848:15 0.00279443 +9 *1821:16 *1930:12 0.00356168 +10 *1821:16 *1931:8 0 +11 *2448:serial_load *2474:mprj_io_analog_pol[25] 0.000127577 +12 *2448:serial_load *1821:16 0.000478558 +13 *2474:mprj_io_analog_en[25] *2474:mprj_io_analog_pol[25] 0.000159956 +14 *515:8 *2474:mprj_io_analog_pol[25] 0.000908956 +15 *515:8 *1821:16 0 +*RES +1 *2447:pad_gpio_ana_pol *1821:12 27.5751 +2 *1821:12 *1821:15 34.0628 +3 *1821:15 *1821:16 57.0605 +4 *1821:16 *2474:mprj_io_analog_pol[25] 29.5184 +*END + +*D_NET *1822 0.00954265 +*CONN +*I *2474:mprj_io_analog_pol[26] I *D chip_io_alt +*I *2448:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_pol[26] 0.000433309 +2 *2448:pad_gpio_ana_pol 0.00024693 +3 *1822:8 0.00253752 +4 *1822:7 0.00235114 +5 *2474:mprj_io_analog_pol[26] *2474:mprj_io_dm[78] 0.000152048 +6 *2474:mprj_io_analog_pol[26] *2474:mprj_io_inp_dis[26] 0 +7 *2474:mprj_io_analog_pol[26] *1933:8 0 +8 *1822:7 *1849:7 0.000261617 +9 *1822:8 *1933:8 0.00174438 +10 *1822:8 *1934:8 0 +11 *1822:8 *2146:21 0.00167049 +12 *2448:mgmt_gpio_out *1822:7 0 +13 *1795:7 *1822:7 0.000145223 +14 *1795:8 *1822:8 0 +*RES +1 *2448:pad_gpio_ana_pol *1822:7 4.73231 +2 *1822:7 *1822:8 85.0901 +3 *1822:8 *2474:mprj_io_analog_pol[26] 22.2526 +*END + +*D_NET *1823 0.0167538 +*CONN +*I *2474:mprj_io_analog_pol[2] I *D chip_io_alt +*I *2455:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_pol[2] 0.000619379 +2 *2455:pad_gpio_ana_pol 0.00073543 +3 *1823:20 0.00123507 +4 *1823:19 0.00106974 +5 *1823:13 0.00118948 +6 *2474:mprj_io_analog_pol[2] *2474:mprj_io_dm[6] 0 +7 *1823:13 *1850:13 0.00176225 +8 *1823:19 *1935:14 0.00203363 +9 *1823:20 *1935:23 0.00106726 +10 *1823:20 *2012:11 0.00361469 +11 *104:40 *1823:13 5.35541e-05 +12 *471:40 *2474:mprj_io_analog_pol[2] 4.35659e-05 +13 *480:14 *1823:19 0.000990376 +14 *480:14 *1823:20 0.00124392 +15 *485:50 *1823:19 0.000327945 +16 *485:50 *1823:20 0.000513186 +17 *1796:8 *1823:13 0.000254349 +*RES +1 *2455:pad_gpio_ana_pol *1823:13 37.3187 +2 *1823:13 *1823:19 43.3691 +3 *1823:19 *1823:20 57.891 +4 *1823:20 *2474:mprj_io_analog_pol[2] 22.9089 +*END + +*D_NET *1824 0.0128556 +*CONN +*I *2474:mprj_io_analog_pol[3] I *D chip_io_alt +*I *2456:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_pol[3] 0.000506471 +2 *2456:pad_gpio_ana_pol 0.000611737 +3 *1824:20 0.0015368 +4 *1824:19 0.00103033 +5 *1824:17 0.00118699 +6 *1824:13 0.00179872 +7 *2474:mprj_io_analog_pol[3] *2474:mprj_io_inp_dis[3] 0 +8 *1824:13 *1851:15 4.69062e-05 +9 *1824:13 *1938:13 0 +10 *1824:13 *1986:13 0 +11 *1824:13 *2094:8 5.88867e-05 +12 *1824:17 *2121:14 0.00123731 +13 *1824:17 *2175:14 0 +14 *1824:20 *1938:18 0.00358983 +15 *1824:20 *2148:26 0 +16 *1824:20 *2175:14 0.00104897 +17 *2474:mprj_io_analog_en[3] *2474:mprj_io_analog_pol[3] 0.00015919 +18 *1797:13 *1824:13 3.84497e-05 +19 *1797:19 *1824:17 5.04829e-06 +20 *1797:22 *1824:20 0 +*RES +1 *2456:pad_gpio_ana_pol *1824:13 25.3308 +2 *1824:13 *1824:17 48.8621 +3 *1824:17 *1824:19 4.5 +4 *1824:19 *1824:20 57.4758 +5 *1824:20 *2474:mprj_io_analog_pol[3] 21.747 +*END + +*D_NET *1825 0.0108986 +*CONN +*I *2474:mprj_io_analog_pol[4] I *D chip_io_alt +*I *2457:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_pol[4] 0.000736212 +2 *2457:pad_gpio_ana_pol 0.000548526 +3 *1825:16 0.0028623 +4 *1825:15 0.00267462 +5 *2474:mprj_io_analog_pol[4] *2474:mprj_io_analog_sel[4] 0 +6 *2474:mprj_io_analog_pol[4] *2474:mprj_io_dm[12] 0 +7 *2474:mprj_io_analog_pol[4] *2474:mprj_io_inp_dis[4] 7.44489e-05 +8 *1825:15 *1852:13 0.000116529 +9 *1825:15 *1861:15 0 +10 *1825:15 *2014:31 0 +11 *1825:16 *1861:16 0 +12 *1825:16 *2014:21 0.000455641 +13 *1825:16 *2122:14 0.00181483 +14 *110:98 *1825:16 0 +15 *475:37 *1825:16 0.000819002 +16 *495:56 *2474:mprj_io_analog_pol[4] 0.000545108 +17 *495:62 *2474:mprj_io_analog_pol[4] 2.1558e-06 +18 *1798:13 *1825:15 0.000249186 +*RES +1 *2457:pad_gpio_ana_pol *1825:15 25.5567 +2 *1825:15 *1825:16 82.3909 +3 *1825:16 *2474:mprj_io_analog_pol[4] 34.1656 +*END + +*D_NET *1826 0.0143828 +*CONN +*I *2474:mprj_io_analog_pol[5] I *D chip_io_alt +*I *2458:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_pol[5] 0.000329224 +2 *2458:pad_gpio_ana_pol 0.000410237 +3 *1826:23 0.00145206 +4 *1826:19 0.001635 +5 *1826:13 0.000922404 +6 *2474:mprj_io_analog_pol[5] *2474:mprj_io_analog_sel[5] 7.08723e-06 +7 *2474:mprj_io_analog_pol[5] *2474:mprj_io_dm[15] 0 +8 *2474:mprj_io_analog_pol[5] *2474:mprj_io_inp_dis[5] 0.000905713 +9 *2474:mprj_io_analog_pol[5] *2042:11 5.05252e-05 +10 *1826:13 *1853:13 0.00111034 +11 *1826:13 *2150:8 0 +12 *1826:19 *1864:14 0.00112654 +13 *1826:19 *1864:19 5.04829e-06 +14 *1826:19 *1864:20 0 +15 *1826:19 *1866:14 0.00236865 +16 *1826:23 *2474:mprj_io_dm[17] 0.000912777 +17 *1826:23 *2474:mprj_io_inp_dis[5] 0.000458361 +18 *1826:23 *1864:20 0 +19 *1826:23 *1866:14 8.35615e-06 +20 *1826:23 *2150:14 0.000157419 +21 *108:49 *2474:mprj_io_analog_pol[5] 0.000138177 +22 *475:37 *1826:23 0.00030076 +23 *493:51 *1826:19 0.000382316 +24 *495:64 *2474:mprj_io_analog_pol[5] 0.000525313 +25 *1799:13 *1826:13 0.00117647 +*RES +1 *2458:pad_gpio_ana_pol *1826:13 30.8028 +2 *1826:13 *1826:19 48.2369 +3 *1826:19 *1826:23 47.6192 +4 *1826:23 *2474:mprj_io_analog_pol[5] 24.5054 +*END + +*D_NET *1827 0.0175628 +*CONN +*I *2474:mprj_io_analog_pol[6] I *D chip_io_alt +*I *2459:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_pol[6] 0.000555492 +2 *2459:pad_gpio_ana_pol 0.000789226 +3 *1827:20 0.000925066 +4 *1827:14 0.00083477 +5 *1827:13 0.00125442 +6 *2474:mprj_io_analog_pol[6] *2474:mprj_io_inp_dis[6] 0 +7 *1827:13 *1854:13 3.84497e-05 +8 *1827:13 *1989:13 0 +9 *1827:13 *2097:8 4.05974e-05 +10 *1827:14 *1867:14 0.00358188 +11 *1827:14 *2151:16 0.00351317 +12 *1827:20 *1867:14 0.000126618 +13 *1827:20 *2070:28 0.00226954 +14 *477:32 *1827:20 0.000409501 +15 *478:32 *1827:14 0.000535945 +16 *507:18 *2474:mprj_io_analog_pol[6] 8.1645e-05 +17 *1800:13 *1827:13 3.84497e-05 +18 *1800:14 *1827:14 2.6506e-05 +19 *1800:14 *1827:20 0.00254154 +*RES +1 *2459:pad_gpio_ana_pol *1827:13 29.2131 +2 *1827:13 *1827:14 57.2682 +3 *1827:14 *1827:20 45.8909 +4 *1827:20 *2474:mprj_io_analog_pol[6] 17.9909 +*END + +*D_NET *1828 0.0612442 +*CONN +*I *2474:mprj_io_analog_pol[7] I *D chip_io_alt +*I *2460:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_pol[7] 0.000580597 +2 *2460:pad_gpio_ana_pol 0.000467651 +3 *1828:28 0.000861603 +4 *1828:23 0.00101895 +5 *1828:14 0.00506883 +6 *1828:13 0.00479854 +7 *2474:mprj_io_analog_pol[7] *2018:24 5.04829e-06 +8 *2474:mprj_io_analog_pol[7] *2153:16 2.22735e-05 +9 *2474:mprj_io_analog_pol[7] *2293:9 7.39195e-05 +10 *2474:mprj_io_analog_pol[7] *2293:10 0.000199558 +11 *1828:13 *1855:13 3.84497e-05 +12 *1828:14 *1855:14 0.00862865 +13 *1828:14 *1873:14 0.0224395 +14 *1828:14 *1876:14 0.00555205 +15 *1828:14 *2099:16 0.000518598 +16 *1828:23 *2474:mprj_io_slow_sel[7] 5.04829e-06 +17 *1828:23 *1874:21 0.00052298 +18 *1828:23 *1991:16 0.000255037 +19 *1828:28 *1855:14 0.00213423 +20 *1828:28 *1991:16 0.000344674 +21 *477:32 *1828:14 0.000320798 +22 *478:32 *1828:14 0.0024402 +23 *510:14 *1828:23 0.00173846 +24 *510:14 *1828:28 0.00213783 +25 *1570:20 *1828:23 0 +26 *1801:13 *1828:13 0.00106567 +27 *1801:14 *1828:14 0 +28 *1801:19 *1828:23 5.04829e-06 +*RES +1 *2460:pad_gpio_ana_pol *1828:13 27.3498 +2 *1828:13 *1828:14 375.974 +3 *1828:14 *1828:23 45.7127 +4 *1828:23 *1828:28 43.2217 +5 *1828:28 *2474:mprj_io_analog_pol[7] 18.5078 +*END + +*D_NET *1829 0.0506618 +*CONN +*I *2474:mprj_io_analog_pol[8] I *D chip_io_alt +*I *2449:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_pol[8] 0.000399618 +2 *2449:pad_gpio_ana_pol 0.00735869 +3 *1829:14 0.00490395 +4 *1829:13 0.011863 +5 *2474:mprj_io_analog_pol[8] *2474:mprj_io_inp_dis[8] 0 +6 *2474:mprj_io_analog_pol[8] *1879:25 7.77744e-05 +7 *2474:mprj_io_analog_pol[8] *2302:15 5.62734e-05 +8 *1829:13 *1856:10 0.00151839 +9 *1829:13 *1857:13 2.02814e-05 +10 *1829:13 *1874:10 0.000953202 +11 *1829:13 *1990:8 0 +12 *1829:14 *1856:19 0.000142472 +13 *1829:14 *1857:14 0 +14 *1829:14 *1876:14 0 +15 *1829:14 *1877:14 0.000717961 +16 *1829:14 *2072:24 0.00195445 +17 *1829:14 *2181:8 0.00142495 +18 *2450:resetn *1829:13 0.016466 +19 *509:16 *1829:13 0.000601249 +20 *1802:14 *1829:14 0.00219694 +21 *1803:13 *1829:13 6.55666e-06 +*RES +1 *2449:pad_gpio_ana_pol *1829:13 44.1651 +2 *1829:13 *1829:14 172.708 +3 *1829:14 *2474:mprj_io_analog_pol[8] 18.3328 +*END + +*D_NET *1830 0.0604958 +*CONN +*I *2474:mprj_io_analog_pol[9] I *D chip_io_alt +*I *2450:pad_gpio_ana_pol O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_pol[9] 0.000549935 +2 *2450:pad_gpio_ana_pol 0.00508838 +3 *1830:22 0.00154592 +4 *1830:19 0.00123074 +5 *1830:14 0.00168229 +6 *1830:13 0.00653591 +7 *2474:mprj_io_analog_pol[9] *2474:mprj_io_dm[27] 0 +8 *2474:mprj_io_analog_pol[9] *2474:mprj_io_inp_dis[9] 0 +9 *2474:mprj_io_analog_pol[9] *2303:13 9.43525e-05 +10 *1830:14 *1877:14 0.0102848 +11 *1830:14 *1878:14 0.0102724 +12 *1830:14 *1940:10 0.000994168 +13 *1830:14 *2181:8 0.000445769 +14 *1830:19 *1857:19 5.04829e-06 +15 *1830:22 *1877:22 0.00232164 +16 *1830:22 *1881:14 3.74394e-05 +17 *1830:22 *2048:14 0 +18 *1830:22 *2100:8 0.000453415 +19 *1830:22 *2127:14 0.000267587 +20 *1830:22 *2127:28 0.000137372 +21 *2450:resetn *1830:13 0.000997457 +22 *2450:serial_clock *1830:13 0.000109401 +23 *2450:serial_load *1830:13 0 +24 *1803:13 *1830:13 0.0174418 +*RES +1 *2450:pad_gpio_ana_pol *1830:13 38.6963 +2 *1830:13 *1830:14 165.649 +3 *1830:14 *1830:19 14.1602 +4 *1830:19 *1830:22 49.5182 +5 *1830:22 *2474:mprj_io_analog_pol[9] 17.2969 +*END + +*D_NET *1831 0.012093 +*CONN +*I *2474:mprj_io_analog_sel[0] I *D chip_io_alt +*I *2444:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_sel[0] 0.000117778 +2 *2444:pad_gpio_ana_sel 0.000452659 +3 *1831:20 0.00108336 +4 *1831:19 0.00141824 +5 *2474:mprj_io_analog_sel[0] *2474:mprj_io_dm[2] 0 +6 *1831:19 *1858:13 0.000181113 +7 *1831:19 *1880:10 5.66868e-06 +8 *1831:19 *1966:13 0.000565216 +9 *1831:19 *1966:14 0.00106334 +10 *1831:19 *2074:8 0 +11 *1831:19 *2101:14 0.00108938 +12 *1831:19 *2155:19 3.98241e-05 +13 *1831:20 *1880:12 0.000783503 +14 *1831:20 *1966:14 0.00234978 +15 *1831:20 *1993:17 6.86916e-05 +16 *1831:20 *1993:21 0 +17 *1831:20 *2101:14 0.00283599 +18 *1804:13 *1831:19 3.84497e-05 +*RES +1 *2444:pad_gpio_ana_sel *1831:19 48.1448 +2 *1831:19 *1831:20 67.2342 +3 *1831:20 *2474:mprj_io_analog_sel[0] 2.89455 +*END + +*D_NET *1832 0.0577922 +*CONN +*I *2474:mprj_io_analog_sel[10] I *D chip_io_alt +*I *2451:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_sel[10] 0.00051449 +2 *2451:pad_gpio_ana_sel 3.60638e-05 +3 *1832:8 0.00643947 +4 *1832:7 0.00596104 +5 *2474:mprj_io_analog_sel[10] *2474:mprj_io_dm[32] 0 +6 *2452:serial_data_in *1832:8 0.00499525 +7 *1779:13 *1832:8 8.21151e-05 +8 *1805:8 *1832:8 0.0266686 +9 *1806:13 *1832:8 0.0130952 +*RES +1 *2451:pad_gpio_ana_sel *1832:7 0.51465 +2 *1832:7 *1832:8 63.4891 +3 *1832:8 *2474:mprj_io_analog_sel[10] 7.14266 +*END + +*D_NET *1833 0.0474161 +*CONN +*I *2474:mprj_io_analog_sel[11] I *D chip_io_alt +*I *2452:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_sel[11] 0.00291454 +2 *2452:pad_gpio_ana_sel 0.00893349 +3 *1833:20 0.011848 +4 *2474:mprj_io_analog_sel[11] *2474:mprj_io_dm[35] 2.07556e-06 +5 *2474:mprj_io_analog_sel[11] *2474:mprj_io_out[11] 0.00787088 +6 *2474:mprj_io_analog_sel[11] *1889:18 0.000130916 +7 *2474:mprj_io_analog_sel[11] *1942:14 0.000538784 +8 *1833:20 *2474:mprj_io_ib_mode_sel[10] 0.00332417 +9 *1833:20 *2474:mprj_io_out[11] 0.000393304 +10 *1833:20 *1884:13 2.89493e-05 +11 *1833:20 *1886:7 0 +12 *1833:20 *1889:8 0.000324993 +13 *1833:20 *1967:20 0.0024642 +14 *1833:20 *2103:18 0.00759354 +15 *1833:20 *2131:10 0.000130395 +16 *1833:20 *2156:17 0.00012875 +17 *1779:13 *1833:20 0 +18 *1779:14 *1833:20 0.000722314 +19 *1780:17 *1833:20 0 +20 *1807:19 *2474:mprj_io_analog_sel[11] 0 +21 *1807:19 *1833:20 6.68192e-05 +*RES +1 *2452:pad_gpio_ana_sel *1833:20 49.8061 +2 *1833:20 *2474:mprj_io_analog_sel[11] 22.7038 +*END + +*D_NET *1834 0.0573272 +*CONN +*I *2474:mprj_io_analog_sel[12] I *D chip_io_alt +*I *2453:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_sel[12] 0.000839282 +2 *2453:pad_gpio_ana_sel 0.000758738 +3 *1834:14 0.00644145 +4 *1834:13 0.00636091 +5 *2474:mprj_io_analog_sel[12] *2474:mprj_io_dm[38] 0.000317293 +6 *2474:mprj_io_analog_sel[12] *2474:mprj_io_inp_dis[12] 2.01653e-05 +7 *2474:mprj_io_analog_sel[12] *2077:15 0.000132415 +8 *1834:13 *1887:13 2.72062e-05 +9 *1834:14 *1884:14 0.00067385 +10 *1834:14 *1885:20 2.19276e-05 +11 *1834:14 *1941:8 0.00021747 +12 *1834:14 *1942:26 0.00106572 +13 *1834:14 *1942:33 0.00172233 +14 *1834:14 *1969:13 0.00111891 +15 *1834:14 *2104:14 0.0264855 +16 *501:14 *1834:14 0 +17 *502:16 *1834:14 0.000527146 +18 *502:22 *1834:14 0.00481959 +19 *1779:14 *1834:13 0 +20 *1779:20 *1834:14 0.00574178 +21 *1807:19 *1834:13 3.54846e-05 +*RES +1 *2453:pad_gpio_ana_sel *1834:13 29.2131 +2 *1834:13 *1834:14 446.567 +3 *1834:14 *2474:mprj_io_analog_sel[12] 34.7186 +*END + +*D_NET *1835 0.0159499 +*CONN +*I *2474:mprj_io_analog_sel[13] I *D chip_io_alt +*I *2454:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_sel[13] 8.43645e-05 +2 *2454:pad_gpio_ana_sel 2.68958e-05 +3 *1835:18 0.000667516 +4 *1835:13 0.00117523 +5 *1835:8 0.00121933 +6 *1835:7 0.000654149 +7 *2474:mprj_io_analog_sel[13] *2474:mprj_io_dm[41] 0 +8 *2474:mprj_io_analog_sel[13] *1997:13 7.20173e-06 +9 *2474:mprj_io_analog_sel[13] *2051:14 0 +10 *1835:8 *1970:5 0.00191642 +11 *1835:8 *2105:5 0.00294341 +12 *1835:13 *1893:13 1.58551e-05 +13 *1835:13 *1943:13 1.65872e-05 +14 *1835:13 *2105:10 0.00222312 +15 *1835:18 *2474:mprj_io_dm[41] 0 +16 *1835:18 *1997:19 0.00293508 +17 *1835:18 *2051:14 0.000864566 +18 *1835:18 *2159:19 0.00120018 +*RES +1 *2454:pad_gpio_ana_sel *1835:7 3.43197 +2 *1835:7 *1835:8 47.3021 +3 *1835:8 *1835:13 34.126 +4 *1835:13 *1835:18 49.5982 +5 *1835:18 *2474:mprj_io_analog_sel[13] 3.11439 +*END + +*D_NET *1836 0.0166904 +*CONN +*I *2474:mprj_io_analog_sel[14] I *D chip_io_alt +*I *2461:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_sel[14] 0.000384956 +2 *2461:pad_gpio_ana_sel 0.000694749 +3 *1836:14 0.00141209 +4 *1836:13 0.00172188 +5 *2474:mprj_io_analog_sel[14] *2474:mprj_io_dm[44] 0 +6 *2474:mprj_io_analog_sel[14] *2474:mprj_io_oeb[14] 0.000171064 +7 *1836:13 *1894:11 0.0011317 +8 *1836:14 *1894:14 0.00382722 +9 *1836:14 *2079:8 0.000414631 +10 *1836:14 *2106:21 0 +11 *1836:14 *2160:8 0.00582768 +12 *2461:mgmt_gpio_out *1836:13 0.000470189 +13 *485:17 *1836:14 0 +14 *1550:34 *1836:13 0.00056387 +15 *1809:13 *1836:13 7.03696e-05 +*RES +1 *2461:pad_gpio_ana_sel *1836:13 39.1843 +2 *1836:13 *1836:14 93.1875 +3 *1836:14 *2474:mprj_io_analog_sel[14] 19.1633 +*END + +*D_NET *1837 0.0160146 +*CONN +*I *2474:mprj_io_analog_sel[15] I *D chip_io_alt +*I *2462:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_sel[15] 0.000659764 +2 *2462:pad_gpio_ana_sel 0.000195253 +3 *1837:16 0.00105721 +4 *1837:13 0.000750267 +5 *1837:8 0.00100825 +6 *1837:7 0.00085068 +7 *2474:mprj_io_analog_sel[15] *2474:mprj_io_dm[47] 0.000171064 +8 *2474:mprj_io_analog_sel[15] *2309:14 0 +9 *1837:7 *1897:7 0.000154819 +10 *1837:8 *2053:8 0.000824553 +11 *1837:8 *2107:8 0 +12 *1837:8 *2134:8 0.00305119 +13 *1837:13 *1972:19 0 +14 *1837:13 *2080:13 5.04829e-06 +15 *471:16 *2474:mprj_io_analog_sel[15] 0.00115603 +16 *471:16 *1837:16 1.2366e-05 +17 *517:16 *2474:mprj_io_analog_sel[15] 0.000182084 +18 *517:20 *2474:mprj_io_analog_sel[15] 0.000466976 +19 *517:20 *1837:16 0.00265651 +20 *1783:18 *1837:8 0.00019354 +21 *1810:12 *1837:7 5.16691e-06 +22 *1810:16 *1837:16 0.00261386 +*RES +1 *2462:pad_gpio_ana_sel *1837:7 4.19688 +2 *1837:7 *1837:8 48.9631 +3 *1837:8 *1837:13 16.3786 +4 *1837:13 *1837:16 43.4671 +5 *1837:16 *2474:mprj_io_analog_sel[15] 38.9222 +*END + +*D_NET *1838 0.0140512 +*CONN +*I *2474:mprj_io_analog_sel[16] I *D chip_io_alt +*I *2463:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_sel[16] 0.000247254 +2 *2463:pad_gpio_ana_sel 0.000349358 +3 *1838:14 0.00149678 +4 *1838:8 0.00225687 +5 *1838:7 0.0013567 +6 *2474:mprj_io_analog_sel[16] *2474:mprj_io_dm[50] 6.8952e-05 +7 *2474:mprj_io_analog_sel[16] *2027:10 0 +8 *1838:7 *1900:7 0.00019495 +9 *1838:8 *1900:8 0 +10 *1838:8 *1946:8 0.000287034 +11 *1838:8 *2135:8 0.00405056 +12 *1838:8 *2162:8 0.00311799 +13 *1838:14 *2474:mprj_io_dm[48] 5.04829e-06 +14 *1838:14 *2474:mprj_io_dm[50] 0 +15 *1838:14 *2474:mprj_io_holdover[16] 0 +16 *1838:14 *1946:8 0 +17 *1838:14 *1946:17 0 +18 *1838:14 *2054:20 5.84352e-05 +19 *1838:14 *2108:16 0 +20 *2474:mprj_io_analog_pol[16] *1838:8 0 +21 *2474:mprj_io_analog_pol[16] *1838:14 0.00042737 +22 *1784:7 *1838:7 0 +23 *1811:7 *1838:7 0.000133918 +*RES +1 *2463:pad_gpio_ana_sel *1838:7 4.88529 +2 *1838:7 *1838:8 74.7088 +3 *1838:8 *1838:14 48.7943 +4 *1838:14 *2474:mprj_io_analog_sel[16] 15.4204 +*END + +*D_NET *1839 0.0560718 +*CONN +*I *2474:mprj_io_analog_sel[17] I *D chip_io_alt +*I *2464:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_sel[17] 0.000388751 +2 *2464:pad_gpio_ana_sel 0.000876342 +3 *1839:36 0.00115514 +4 *1839:35 0.000766389 +5 *1839:33 0.00519919 +6 *1839:32 0.00565163 +7 *1839:17 0.00586306 +8 *1839:16 0.00628696 +9 *2474:mprj_io_analog_sel[17] *2474:mprj_io_dm[53] 0 +10 *2474:mprj_io_analog_sel[17] *2312:9 0.000171064 +11 *1839:36 *1906:22 0.00154711 +12 *1839:36 *2109:8 0.00101784 +13 *1839:36 *2163:8 0.00106635 +14 *1839:36 *2163:14 0.00365753 +15 *1839:36 *2163:23 0.000246295 +16 *2474:mprj_io_analog_pol[17] *1839:36 9.15115e-06 +17 *491:17 *1839:33 0.0195892 +18 *519:21 *1839:36 1.87269e-05 +19 *1785:16 *1839:36 1.3813e-05 +20 *1785:20 *1839:36 0.00254725 +*RES +1 *2464:pad_gpio_ana_sel *1839:16 13.0377 +2 *1839:16 *1839:17 151.021 +3 *1839:17 *1839:32 27.6306 +4 *1839:32 *1839:33 228.665 +5 *1839:33 *1839:35 4.5 +6 *1839:35 *1839:36 84.0519 +7 *1839:36 *2474:mprj_io_analog_sel[17] 19.1633 +*END + +*D_NET *1840 0.0147879 +*CONN +*I *2474:mprj_io_analog_sel[18] I *D chip_io_alt +*I *2465:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_sel[18] 0.000657147 +2 *2465:pad_gpio_ana_sel 0.00109932 +3 *1840:27 0.0029858 +4 *1840:13 0.00342797 +5 *2474:mprj_io_analog_sel[18] *2474:mprj_io_holdover[18] 0.000674897 +6 *2474:mprj_io_analog_sel[18] *2474:mprj_io_inp_dis[18] 2.98205e-05 +7 *2474:mprj_io_analog_sel[18] *2295:13 0 +8 *1840:13 *1907:9 0.000299342 +9 *1840:13 *1975:19 0.000173182 +10 *1840:13 *2056:10 0.00183361 +11 *1840:13 *2110:15 0.000298318 +12 *1840:27 *2474:mprj_io_inp_dis[18] 0 +13 *1840:27 *1909:10 0.000441815 +14 *1840:27 *2137:10 0.00133683 +15 *1840:27 *2295:13 0.000102556 +16 *1840:27 *2313:15 0.000113119 +17 *2474:mprj_io_analog_pol[18] *2474:mprj_io_analog_sel[18] 3.87462e-05 +18 *107:51 *1840:27 0.000104535 +19 *520:16 *1840:13 0.00088682 +20 *1813:9 *1840:13 0.000284075 +*RES +1 *2465:pad_gpio_ana_sel *1840:13 47.3667 +2 *1840:13 *1840:27 39.2606 +3 *1840:27 *2474:mprj_io_analog_sel[18] 22.558 +*END + +*D_NET *1841 0.0157469 +*CONN +*I *2474:mprj_io_analog_sel[19] I *D chip_io_alt +*I *2466:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_sel[19] 0.000492813 +2 *2466:pad_gpio_ana_sel 0.000578892 +3 *1841:19 0.0012735 +4 *1841:8 0.00212548 +5 *1841:7 0.00192369 +6 *2474:mprj_io_analog_sel[19] *2474:mprj_io_holdover[19] 0.000674897 +7 *2474:mprj_io_analog_sel[19] *2474:mprj_io_inp_dis[19] 9.29258e-05 +8 *1841:7 *1910:7 0.000320815 +9 *1841:8 *2111:8 0.00470439 +10 *1841:19 *1976:16 0.000560261 +11 *1841:19 *2057:29 0.000116789 +12 *474:42 *2474:mprj_io_analog_sel[19] 0.000126093 +13 *475:16 *2474:mprj_io_analog_sel[19] 0 +14 *493:16 *1841:8 0.00153368 +15 *493:20 *1841:19 0.000700943 +16 *493:22 *1841:19 9.71323e-06 +17 *521:8 *1841:19 0.000204856 +18 *521:14 *1841:8 1.1246e-05 +19 *1814:7 *1841:7 0.000295951 +*RES +1 *2466:pad_gpio_ana_sel *1841:7 5.5737 +2 *1841:7 *1841:8 75.9545 +3 *1841:8 *1841:19 49.1015 +4 *1841:19 *2474:mprj_io_analog_sel[19] 18.4665 +*END + +*D_NET *1842 0.0121662 +*CONN +*I *2474:mprj_io_analog_sel[1] I *D chip_io_alt +*I *2445:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_sel[1] 0.000223677 +2 *2445:pad_gpio_ana_sel 0.000406835 +3 *1842:18 0.000809374 +4 *1842:16 0.000973029 +5 *1842:13 0.000794166 +6 *1842:13 *1891:13 0.000228387 +7 *1842:16 *1913:19 0.0018151 +8 *1842:16 *2112:14 1.2366e-05 +9 *1842:18 *1977:19 0.00146422 +10 *1842:18 *2004:11 0 +11 *1842:18 *2112:14 0.0024272 +12 *2474:mprj_io_analog_pol[1] *2474:mprj_io_analog_sel[1] 0.000286651 +13 *100:90 *1842:16 0.000153978 +14 *100:90 *1842:18 3.39725e-05 +15 *467:55 *2474:mprj_io_analog_sel[1] 4.3116e-06 +16 *467:55 *1842:16 0.000819535 +17 *485:53 *1842:13 8.35615e-06 +18 *485:61 *1842:18 0.00157562 +19 *1788:13 *1842:13 0 +20 *1815:13 *1842:13 0.000129429 +*RES +1 *2445:pad_gpio_ana_sel *1842:13 22.555 +2 *1842:13 *1842:16 30.5943 +3 *1842:16 *1842:18 50.2089 +4 *1842:18 *2474:mprj_io_analog_sel[1] 15.6963 +*END + +*D_NET *1843 0.0147392 +*CONN +*I *2474:mprj_io_analog_sel[20] I *D chip_io_alt +*I *2467:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_sel[20] 0.000418245 +2 *2467:pad_gpio_ana_sel 0.00090274 +3 *1843:16 0.00190706 +4 *1843:15 0.00239156 +5 *2474:mprj_io_analog_sel[20] *2474:mprj_io_holdover[20] 0.000158424 +6 *1843:15 *1914:15 0.00124649 +7 *1843:15 *2005:11 0 +8 *1843:16 *2059:14 0.00182853 +9 *1843:16 *2086:13 0.000153237 +10 *1843:16 *2113:16 0 +11 *1843:16 *2140:8 0.00283238 +12 *2467:mgmt_gpio_out *1843:15 0.000468828 +13 *474:48 *1843:16 0 +14 *494:8 *1843:16 0 +15 *1557:33 *1843:15 2.37478e-05 +16 *1789:15 *1843:15 4.97938e-05 +17 *1789:16 *1843:16 2.18442e-05 +18 *1816:15 *1843:15 0.00013145 +19 *1816:16 *1843:16 0.00220484 +*RES +1 *2467:pad_gpio_ana_sel *1843:15 43.6147 +2 *1843:15 *1843:16 91.1112 +3 *1843:16 *2474:mprj_io_analog_sel[20] 19.5164 +*END + +*D_NET *1844 0.0173843 +*CONN +*I *2474:mprj_io_analog_sel[21] I *D chip_io_alt +*I *2468:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_sel[21] 0.000570201 +2 *2468:pad_gpio_ana_sel 0.00136304 +3 *1844:18 0.000934297 +4 *1844:12 0.00139338 +5 *1844:10 0.00239233 +6 *2474:mprj_io_analog_sel[21] *2474:mprj_io_dm[65] 0 +7 *2474:mprj_io_analog_sel[21] *2474:mprj_io_holdover[21] 0.000171064 +8 *1844:10 *1917:10 7.85438e-05 +9 *1844:10 *1919:10 0.00172667 +10 *1844:12 *1919:10 2.01595e-05 +11 *1844:12 *1919:12 0.00290619 +12 *1844:18 *1919:12 0.00223842 +13 *1844:18 *1979:17 5.04829e-06 +14 *474:54 *1844:10 0.000270979 +15 *474:54 *1844:12 0.00045085 +16 *476:22 *1844:18 0.000342008 +17 *477:14 *1844:18 0.00224201 +18 *1817:7 *1844:10 0.000279125 +*RES +1 *2468:pad_gpio_ana_sel *1844:10 33.8841 +2 *1844:10 *1844:12 47.3021 +3 *1844:12 *1844:18 46.1607 +4 *1844:18 *2474:mprj_io_analog_sel[21] 23.0455 +*END + +*D_NET *1845 0.0179606 +*CONN +*I *2474:mprj_io_analog_sel[22] I *D chip_io_alt +*I *2469:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_sel[22] 0.000771547 +2 *2469:pad_gpio_ana_sel 0.000873423 +3 *1845:16 0.00120709 +4 *1845:15 0.0011231 +5 *1845:12 0.00156098 +6 *2474:mprj_io_analog_sel[22] *2474:mprj_io_dm[68] 0.0011915 +7 *2474:mprj_io_analog_sel[22] *2474:mprj_io_holdover[22] 6.30048e-06 +8 *2474:mprj_io_analog_sel[22] *2474:mprj_io_out[22] 0 +9 *2474:mprj_io_analog_sel[22] *1953:17 3.6583e-05 +10 *2474:mprj_io_analog_sel[22] *1980:16 0.00122908 +11 *2474:mprj_io_analog_sel[22] *2299:24 0 +12 *1845:12 *1920:7 1.41809e-05 +13 *1845:12 *1922:8 0 +14 *1845:16 *1953:8 0.00338952 +15 *1845:16 *1980:16 1.2366e-05 +16 *2469:mgmt_gpio_out *1845:12 0.00022036 +17 *476:22 *2474:mprj_io_analog_sel[22] 0.00019411 +18 *476:22 *1845:16 0.000522092 +19 *496:17 *1845:12 0.000207875 +20 *524:16 *2474:mprj_io_analog_sel[22] 1.23804e-05 +21 *524:16 *1845:16 0.00343217 +22 *1559:37 *1845:12 7.86825e-06 +23 *1791:12 *1845:12 0.00186867 +24 *1818:15 *1845:12 7.93742e-05 +*RES +1 *2469:pad_gpio_ana_sel *1845:12 43.4312 +2 *1845:12 *1845:15 19.0885 +3 *1845:15 *1845:16 54.7766 +4 *1845:16 *2474:mprj_io_analog_sel[22] 43.2585 +*END + +*D_NET *1846 0.0106864 +*CONN +*I *2474:mprj_io_analog_sel[23] I *D chip_io_alt +*I *2470:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_sel[23] 0.000406779 +2 *2470:pad_gpio_ana_sel 0.000336222 +3 *1846:8 0.00411357 +4 *1846:7 0.00404301 +5 *2474:mprj_io_analog_sel[23] *2474:mprj_io_dm[71] 0 +6 *2474:mprj_io_analog_sel[23] *1954:16 0 +7 *2474:mprj_io_analog_sel[23] *2318:9 0.000528062 +8 *1846:7 *1923:7 0.000138555 +9 *1846:8 *2474:mprj_io_dm[70] 0 +10 *1846:8 *1925:8 0 +11 *1846:8 *1954:16 0 +12 *1846:8 *2062:8 0 +13 *1846:8 *2300:18 0 +14 *1846:8 *2318:9 0 +15 *477:26 *1846:8 0.000981609 +16 *1819:7 *1846:7 0.000138555 +*RES +1 *2470:pad_gpio_ana_sel *1846:7 4.42635 +2 *1846:7 *1846:8 102.946 +3 *1846:8 *2474:mprj_io_analog_sel[23] 23.1864 +*END + +*D_NET *1847 0.0141714 +*CONN +*I *2474:mprj_io_analog_sel[24] I *D chip_io_alt +*I *2446:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_sel[24] 0.000571172 +2 *2446:pad_gpio_ana_sel 0.000934634 +3 *1847:16 0.00215634 +4 *1847:14 0.00164951 +5 *1847:10 0.00114917 +6 *1847:7 0.00201946 +7 *2474:mprj_io_analog_sel[24] *2474:mprj_io_holdover[24] 0.000171064 +8 *1847:7 *1928:7 0 +9 *1847:7 *1929:7 0 +10 *1847:10 *1929:8 0.00300408 +11 *1847:14 *1929:8 2.82741e-05 +12 *1847:14 *1929:19 4.61962e-05 +13 *1847:14 *1982:8 9.71323e-06 +14 *1847:16 *1929:19 0.00047253 +15 *1847:16 *1982:8 0.00104673 +16 *1847:16 *2117:12 0.000206886 +17 *2446:mgmt_gpio_out *1847:7 0 +18 *474:54 *1847:10 0.000329532 +19 *474:54 *1847:16 0.000337909 +20 *514:8 *1847:16 0 +21 *1820:13 *1847:7 3.81792e-05 +*RES +1 *2446:pad_gpio_ana_sel *1847:7 5.5737 +2 *1847:7 *1847:10 49.073 +3 *1847:10 *1847:14 2.56479 +4 *1847:14 *1847:16 60.5902 +5 *1847:16 *2474:mprj_io_analog_sel[24] 23.6001 +*END + +*D_NET *1848 0.019838 +*CONN +*I *2474:mprj_io_analog_sel[25] I *D chip_io_alt +*I *2447:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_sel[25] 0.000591812 +2 *2447:pad_gpio_ana_sel 0.000585783 +3 *1848:16 0.00132492 +4 *1848:15 0.00138377 +5 *1848:12 0.00123645 +6 *2474:mprj_io_analog_sel[25] *2474:mprj_io_inp_dis[25] 0.000241594 +7 *1848:15 *2118:21 5.04829e-06 +8 *1848:16 *1930:12 0.00412961 +9 *1848:16 *2172:14 0.000337806 +10 *2448:serial_load *2474:mprj_io_analog_sel[25] 0.000284916 +11 *2448:serial_load *1848:16 0.000516867 +12 *515:8 *2474:mprj_io_analog_sel[25] 0.001109 +13 *515:8 *1848:16 0 +14 *1572:16 *1848:15 0.000254007 +15 *1794:16 *1848:16 0.00384819 +16 *1821:12 *1848:12 0.0011938 +17 *1821:15 *1848:15 0.00279443 +*RES +1 *2447:pad_gpio_ana_sel *1848:12 29.6514 +2 *1848:12 *1848:15 35.7266 +3 *1848:15 *1848:16 72.8401 +4 *1848:16 *2474:mprj_io_analog_sel[25] 33.7359 +*END + +*D_NET *1849 0.0173804 +*CONN +*I *2474:mprj_io_analog_sel[26] I *D chip_io_alt +*I *2448:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_sel[26] 0.000522637 +2 *2448:pad_gpio_ana_sel 0.000289368 +3 *1849:8 0.0015014 +4 *1849:7 0.00126813 +5 *2474:mprj_io_analog_sel[26] *2474:mprj_io_dm[80] 0.00154439 +6 *2474:mprj_io_analog_sel[26] *2474:mprj_io_inp_dis[26] 0 +7 *2474:mprj_io_analog_sel[26] *2474:mprj_io_vtrip_sel[26] 0.000242864 +8 *1849:7 *1933:7 0.000292127 +9 *1849:8 *1933:8 0.000274013 +10 *1849:8 *1957:16 0.00581992 +11 *1849:8 *2065:16 0.00536393 +12 *2448:mgmt_gpio_out *1849:7 0 +13 *1822:7 *1849:7 0.000261617 +*RES +1 *2448:pad_gpio_ana_sel *1849:7 5.03827 +2 *1849:7 *1849:8 98.7934 +3 *1849:8 *2474:mprj_io_analog_sel[26] 31.7124 +*END + +*D_NET *1850 0.0129844 +*CONN +*I *2474:mprj_io_analog_sel[2] I *D chip_io_alt +*I *2455:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_sel[2] 0.000516328 +2 *2455:pad_gpio_ana_sel 0.000815203 +3 *1850:14 0.00213033 +4 *1850:13 0.00242921 +5 *1850:13 *1924:13 3.84497e-05 +6 *1850:14 *1924:14 0.000152316 +7 *1850:14 *1937:14 0.00420358 +8 *1850:14 *2066:14 0 +9 *2455:mgmt_gpio_out *1850:13 0.000105034 +10 *104:40 *1850:13 0 +11 *467:48 *1850:14 0.000682375 +12 *471:40 *2474:mprj_io_analog_sel[2] 0.000145018 +13 *1555:29 *1850:13 0 +14 *1796:8 *1850:13 4.3116e-06 +15 *1823:13 *1850:13 0.00176225 +*RES +1 *2455:pad_gpio_ana_sel *1850:13 38.4852 +2 *1850:13 *1850:14 72.4249 +3 *1850:14 *2474:mprj_io_analog_sel[2] 20.9664 +*END + +*D_NET *1851 0.0149269 +*CONN +*I *2474:mprj_io_analog_sel[3] I *D chip_io_alt +*I *2456:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_sel[3] 0.000310983 +2 *2456:pad_gpio_ana_sel 0.000243061 +3 *1851:22 0.000709998 +4 *1851:16 0.000791603 +5 *1851:15 0.000635648 +6 *2474:mprj_io_analog_sel[3] *1860:23 0 +7 *2474:mprj_io_analog_sel[3] *2013:7 2.2862e-06 +8 *2474:mprj_io_analog_sel[3] *2040:19 0.000185867 +9 *2474:mprj_io_analog_sel[3] *2040:20 0 +10 *1851:15 *1860:13 0.000614839 +11 *1851:15 *1938:13 1.67063e-05 +12 *1851:15 *1959:15 3.58044e-05 +13 *1851:15 *1986:13 0.000584129 +14 *1851:16 *1959:18 0.00290903 +15 *1851:16 *2013:13 0.00290543 +16 *1851:22 *2474:mprj_io_holdover[3] 0.000102664 +17 *1851:22 *1860:23 0.0020546 +18 *1851:22 *1959:18 4.61962e-05 +19 *1851:22 *2148:26 8.88511e-05 +20 *2455:resetn_out *1851:16 0.000404814 +21 *2455:resetn_out *1851:22 0.000266116 +22 *472:38 *1851:22 0.00197138 +23 *1824:13 *1851:15 4.69062e-05 +*RES +1 *2456:pad_gpio_ana_sel *1851:15 20.9833 +2 *1851:15 *1851:16 46.6792 +3 *1851:16 *1851:22 46.1607 +4 *1851:22 *2474:mprj_io_analog_sel[3] 16.8055 +*END + +*D_NET *1852 0.0138556 +*CONN +*I *2474:mprj_io_analog_sel[4] I *D chip_io_alt +*I *2457:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_sel[4] 0.000291616 +2 *2457:pad_gpio_ana_sel 0.00035628 +3 *1852:14 0.000972169 +4 *1852:13 0.00103683 +5 *2474:mprj_io_analog_sel[4] *2474:mprj_io_dm[14] 0 +6 *1852:13 *1861:15 0.000460415 +7 *1852:13 *2014:31 0 +8 *2474:mprj_io_analog_pol[4] *2474:mprj_io_analog_sel[4] 0 +9 *108:47 *1852:14 0.00072038 +10 *110:98 *1852:14 0.00491881 +11 *495:62 *2474:mprj_io_analog_sel[4] 6.73609e-05 +12 *495:64 *1852:14 0.00491521 +13 *1798:13 *1852:13 0 +14 *1825:15 *1852:13 0.000116529 +*RES +1 *2457:pad_gpio_ana_sel *1852:13 23.249 +2 *1852:13 *1852:14 79.0689 +3 *1852:14 *2474:mprj_io_analog_sel[4] 17.2875 +*END + +*D_NET *1853 0.0136097 +*CONN +*I *2474:mprj_io_analog_sel[5] I *D chip_io_alt +*I *2458:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_sel[5] 0.000555322 +2 *2458:pad_gpio_ana_sel 0.000561133 +3 *1853:17 0.000944404 +4 *1853:13 0.000950215 +5 *2474:mprj_io_analog_sel[5] *2474:mprj_io_dm[17] 0.000202472 +6 *2474:mprj_io_analog_sel[5] *2474:mprj_io_oeb[5] 4.80635e-06 +7 *2474:mprj_io_analog_sel[5] *1961:14 0.00137549 +8 *2474:mprj_io_analog_sel[5] *2042:11 0.00187288 +9 *1853:13 *1864:13 3.84497e-05 +10 *1853:13 *2150:8 0 +11 *1853:17 *1961:14 9.2346e-06 +12 *1853:17 *2042:11 5.04829e-06 +13 *1853:17 *2096:14 0.00265365 +14 *2474:mprj_io_analog_pol[5] *2474:mprj_io_analog_sel[5] 7.08723e-06 +15 *108:49 *2474:mprj_io_analog_sel[5] 0.000211107 +16 *108:49 *1853:17 0.000428321 +17 *495:64 *1853:17 0.00267971 +18 *1566:29 *1853:13 0 +19 *1826:13 *1853:13 0.00111034 +*RES +1 *2458:pad_gpio_ana_sel *1853:13 30.8601 +2 *1853:13 *1853:17 48.1654 +3 *1853:17 *2474:mprj_io_analog_sel[5] 41.8028 +*END + +*D_NET *1854 0.0141078 +*CONN +*I *2474:mprj_io_analog_sel[6] I *D chip_io_alt +*I *2459:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_sel[6] 0.000184097 +2 *2459:pad_gpio_ana_sel 0.000175312 +3 *1854:14 0.000935515 +4 *1854:13 0.00092673 +5 *2474:mprj_io_analog_sel[6] *2016:18 5.04829e-06 +6 *1854:13 *1867:13 4.2387e-05 +7 *1854:13 *1962:15 0.000470666 +8 *1854:13 *1989:13 0.000460471 +9 *1854:13 *2097:8 1.48603e-05 +10 *1854:14 *1962:16 0.00468588 +11 *1854:14 *1989:14 0.00348054 +12 *1854:14 *2151:22 0.0013897 +13 *2459:serial_clock *1854:14 0.000747342 +14 *495:64 *1854:14 0.000210876 +15 *507:18 *2474:mprj_io_analog_sel[6] 0.000223156 +16 *507:18 *1854:14 0.000116798 +17 *1827:13 *1854:13 3.84497e-05 +*RES +1 *2459:pad_gpio_ana_sel *1854:13 18.6155 +2 *1854:13 *1854:14 82.3909 +3 *1854:14 *2474:mprj_io_analog_sel[6] 14.4477 +*END + +*D_NET *1855 0.061636 +*CONN +*I *2474:mprj_io_analog_sel[7] I *D chip_io_alt +*I *2460:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_sel[7] 0.00143766 +2 *2460:pad_gpio_ana_sel 0.000715054 +3 *1855:14 0.00672939 +4 *1855:13 0.00600679 +5 *2474:mprj_io_analog_sel[7] *2474:mprj_io_inp_dis[7] 9.29408e-05 +6 *2474:mprj_io_analog_sel[7] *1990:8 0.000274373 +7 *2474:mprj_io_analog_sel[7] *2153:16 0.00115167 +8 *1855:13 *1871:13 4.31122e-05 +9 *1855:14 *1876:14 0.00944397 +10 *1855:14 *1991:16 0.000677528 +11 *1855:14 *2098:14 0.00196678 +12 *1855:14 *2099:16 0.000490766 +13 *1855:14 *2152:14 0.0215134 +14 *2450:serial_load *2474:mprj_io_analog_sel[7] 8.99001e-06 +15 *478:32 *1855:14 0.000245504 +16 *496:44 *1855:14 0 +17 *510:14 *1855:14 3.67391e-05 +18 *1801:13 *1855:13 0 +19 *1828:13 *1855:13 3.84497e-05 +20 *1828:14 *1855:14 0.00862865 +21 *1828:28 *1855:14 0.00213423 +*RES +1 *2460:pad_gpio_ana_sel *1855:13 29.7677 +2 *1855:13 *1855:14 435.148 +3 *1855:14 *2474:mprj_io_analog_sel[7] 28.4888 +*END + +*D_NET *1856 0.0728627 +*CONN +*I *2474:mprj_io_analog_sel[8] I *D chip_io_alt +*I *2449:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_sel[8] 0.000236415 +2 *2449:pad_gpio_ana_sel 8.61076e-05 +3 *1856:19 0.00426861 +4 *1856:15 0.00512522 +5 *1856:10 0.00320831 +6 *1856:9 0.00220139 +7 *2474:mprj_io_analog_sel[8] *2019:11 0.000500922 +8 *1856:9 *1874:9 9.94897e-06 +9 *1856:10 *1874:10 0.00714383 +10 *1856:19 *1872:14 0.000311509 +11 *1856:19 *1877:14 0.00134382 +12 *1856:19 *1963:20 0.000341996 +13 *1856:19 *1964:16 0.0233698 +14 *1856:19 *1992:8 0.000471203 +15 *1856:19 *2072:24 0.000679262 +16 *1856:19 *2098:14 0.000292074 +17 *1856:19 *2099:16 0.000473012 +18 *1856:19 *2179:14 0.0062272 +19 *1856:19 *2181:8 0.0107257 +20 *509:16 *1856:10 0.00233223 +21 *1802:14 *1856:19 0.00185323 +22 *1829:13 *1856:10 0.00151839 +23 *1829:14 *1856:19 0.000142472 +*RES +1 *2449:pad_gpio_ana_sel *1856:9 3.65147 +2 *1856:9 *1856:10 117.272 +3 *1856:10 *1856:15 6.35773 +4 *1856:15 *1856:19 47.6488 +5 *1856:19 *2474:mprj_io_analog_sel[8] 12.6387 +*END + +*D_NET *1857 0.0581293 +*CONN +*I *2474:mprj_io_analog_sel[9] I *D chip_io_alt +*I *2450:pad_gpio_ana_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_analog_sel[9] 0.000635865 +2 *2450:pad_gpio_ana_sel 0.000719895 +3 *1857:20 0.0011677 +4 *1857:19 0.000664546 +5 *1857:14 0.00898836 +6 *1857:13 0.00957555 +7 *2474:mprj_io_analog_sel[9] *2321:13 5.66111e-05 +8 *1857:13 *1877:13 2.89493e-05 +9 *1857:13 *2018:13 0 +10 *1857:14 *1876:14 0.000812353 +11 *1857:14 *1877:14 0 +12 *1857:14 *1879:26 0 +13 *1857:14 *1882:14 0 +14 *1857:14 *2048:14 0 +15 *1857:14 *2072:24 0 +16 *1857:14 *2099:16 0.00202293 +17 *1857:14 *2100:8 0.00172017 +18 *1857:14 *2127:14 0.0229467 +19 *1857:20 *1883:14 0.00405147 +20 *1857:20 *2075:8 0.000654168 +21 *1778:16 *1857:20 0.00405865 +22 *1829:13 *1857:13 2.02814e-05 +23 *1829:14 *1857:14 0 +24 *1830:19 *1857:19 5.04829e-06 +*RES +1 *2450:pad_gpio_ana_sel *1857:13 28.1039 +2 *1857:13 *1857:14 395.491 +3 *1857:14 *1857:19 11.3872 +4 *1857:19 *1857:20 64.9503 +5 *1857:20 *2474:mprj_io_analog_sel[9] 23.6001 +*END + +*D_NET *1858 0.0165964 +*CONN +*I *2474:mprj_io_dm[0] I *D chip_io_alt +*I *2444:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[0] 0.00118633 +2 *2444:pad_gpio_dm[0] 0.000648196 +3 *1858:14 0.00189134 +4 *1858:13 0.0013532 +5 *2474:mprj_io_dm[0] *2474:mprj_io_inp_dis[0] 7.44489e-05 +6 *2474:mprj_io_dm[0] *1993:17 0 +7 *1858:13 *1869:13 4.80459e-05 +8 *1858:13 *1966:13 0.000119662 +9 *1858:13 *2074:8 0 +10 *1858:14 *1869:14 0.00552231 +11 *1858:14 *2047:14 0.00551513 +12 *2474:mprj_io_analog_pol[0] *2474:mprj_io_dm[0] 5.65975e-05 +13 *1831:19 *1858:13 0.000181113 +*RES +1 *2444:pad_gpio_dm[0] *1858:13 28.1803 +2 *1858:13 *1858:14 88.2045 +3 *1858:14 *2474:mprj_io_dm[0] 41.2305 +*END + +*D_NET *1859 0.0176671 +*CONN +*I *2474:mprj_io_dm[10] I *D chip_io_alt +*I *2456:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[10] 0.000643432 +2 *2456:pad_gpio_dm[1] 0.000736461 +3 *1859:18 0.0020433 +4 *1859:16 0.00183748 +5 *1859:13 0.00117408 +6 *2474:mprj_io_dm[10] *2013:7 0.00041084 +7 *1859:13 *1860:13 4.2387e-05 +8 *1859:13 *1938:13 7.11756e-05 +9 *1859:16 *1938:16 0.00273444 +10 *1859:16 *2067:16 0.0028707 +11 *1859:16 *2148:25 1.67037e-05 +12 *1859:16 *2175:14 8.53782e-05 +13 *1859:18 *1938:16 9.71323e-06 +14 *1859:18 *1938:18 0.000266553 +15 *1859:18 *2067:22 0.00341258 +16 *1859:18 *2148:25 0.00119223 +17 *105:32 *1859:13 0.000119662 +18 *472:32 *1859:13 0 +19 *1565:20 *1859:18 0 +*RES +1 *2456:pad_gpio_dm[1] *1859:13 27.3006 +2 *1859:13 *1859:16 47.7662 +3 *1859:16 *1859:18 70.5562 +4 *1859:18 *2474:mprj_io_dm[10] 27.5156 +*END + +*D_NET *1860 0.0150112 +*CONN +*I *2474:mprj_io_dm[11] I *D chip_io_alt +*I *2456:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[11] 5.07885e-05 +2 *2456:pad_gpio_dm[2] 0.000315517 +3 *1860:23 0.000610982 +4 *1860:16 0.0010355 +5 *1860:13 0.000790826 +6 *2474:mprj_io_dm[11] *2040:19 0.00013008 +7 *1860:13 *1938:13 1.65872e-05 +8 *1860:13 *1959:15 0.000704799 +9 *1860:13 *1986:13 0.000489728 +10 *1860:13 *2094:8 0.000494416 +11 *1860:16 *1959:18 0.00286995 +12 *1860:16 *2121:14 0.000535662 +13 *1860:23 *1959:18 2.6506e-05 +14 *1860:23 *2013:12 0 +15 *1860:23 *2121:14 0.000931774 +16 *1860:23 *2148:26 0.00115315 +17 *2455:resetn_out *1860:16 0.000386267 +18 *2474:mprj_io_analog_sel[3] *1860:23 0 +19 *1797:19 *1860:16 0.00174863 +20 *1797:22 *1860:23 8.21849e-06 +21 *1851:15 *1860:13 0.000614839 +22 *1851:22 *1860:23 0.0020546 +23 *1859:13 *1860:13 4.2387e-05 +*RES +1 *2456:pad_gpio_dm[2] *1860:13 28.8631 +2 *1860:13 *1860:16 46.7892 +3 *1860:16 *1860:23 48.1743 +4 *1860:23 *2474:mprj_io_dm[11] 2.13122 +*END + +*D_NET *1861 0.0150675 +*CONN +*I *2474:mprj_io_dm[12] I *D chip_io_alt +*I *2457:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[12] 0.000530515 +2 *2457:pad_gpio_dm[0] 0.000741769 +3 *1861:16 0.00279926 +4 *1861:15 0.00301051 +5 *2474:mprj_io_dm[12] *2474:mprj_io_slow_sel[4] 7.08723e-06 +6 *1861:15 *1862:15 2.81691e-05 +7 *1861:15 *1863:13 7.22572e-05 +8 *1861:15 *2014:31 0 +9 *1861:16 *1862:16 0.000114237 +10 *1861:16 *2014:21 0 +11 *1861:16 *2122:14 0 +12 *2474:mprj_io_analog_pol[4] *2474:mprj_io_dm[12] 0 +13 *477:32 *1861:16 0.000963396 +14 *495:56 *2474:mprj_io_dm[12] 9.69513e-05 +15 *1798:13 *1861:15 0 +16 *1798:14 *1861:16 0.00624292 +17 *1825:15 *1861:15 0 +18 *1825:16 *1861:16 0 +19 *1852:13 *1861:15 0.000460415 +*RES +1 *2457:pad_gpio_dm[0] *1861:15 30.8212 +2 *1861:15 *1861:16 103.569 +3 *1861:16 *2474:mprj_io_dm[12] 24.1635 +*END + +*D_NET *1862 0.0211098 +*CONN +*I *2474:mprj_io_dm[13] I *D chip_io_alt +*I *2457:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[13] 0.000420935 +2 *2457:pad_gpio_dm[1] 0.000761551 +3 *1862:16 0.00144306 +4 *1862:15 0.00178367 +5 *2474:mprj_io_dm[13] *2474:mprj_io_slow_sel[4] 0.00146502 +6 *2474:mprj_io_dm[13] *2014:18 0.000517809 +7 *1862:15 *1863:13 0.000228916 +8 *1862:16 *2068:16 0.00630011 +9 *1862:16 *2122:14 0 +10 *1862:16 *2149:14 0.000339253 +11 *2474:mprj_io_analog_en[4] *2474:mprj_io_dm[13] 0.00020879 +12 *478:32 *1862:16 0.000991102 +13 *505:14 *1862:16 8.92568e-06 +14 *1798:14 *1862:16 0.0064983 +15 *1861:15 *1862:15 2.81691e-05 +16 *1861:16 *1862:16 0.000114237 +*RES +1 *2457:pad_gpio_dm[1] *1862:15 29.8541 +2 *1862:15 *1862:16 112.289 +3 *1862:16 *2474:mprj_io_dm[13] 31.4943 +*END + +*D_NET *1863 0.0125632 +*CONN +*I *2474:mprj_io_dm[14] I *D chip_io_alt +*I *2457:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[14] 0.000100985 +2 *2457:pad_gpio_dm[2] 0.00050585 +3 *1863:14 0.00106746 +4 *1863:13 0.00147233 +5 *2474:mprj_io_dm[14] *2474:mprj_io_holdover[4] 0 +6 *1863:13 *1960:13 3.84497e-05 +7 *1863:13 *2014:31 0 +8 *1863:14 *1960:14 0.00157622 +9 *1863:14 *2014:30 0.00165376 +10 *2474:mprj_io_analog_sel[4] *2474:mprj_io_dm[14] 0 +11 *108:47 *1863:14 0.00066488 +12 *495:64 *1863:14 0.00518213 +13 *1861:15 *1863:13 7.22572e-05 +14 *1862:15 *1863:13 0.000228916 +*RES +1 *2457:pad_gpio_dm[2] *1863:13 25.877 +2 *1863:13 *1863:14 83.4291 +3 *1863:14 *2474:mprj_io_dm[14] 3.6762 +*END + +*D_NET *1864 0.0132292 +*CONN +*I *2474:mprj_io_dm[15] I *D chip_io_alt +*I *2458:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[15] 0.000488958 +2 *2458:pad_gpio_dm[0] 0.000621244 +3 *1864:20 0.00180367 +4 *1864:19 0.0014353 +5 *1864:14 0.00138632 +6 *1864:13 0.00188697 +7 *1864:13 *1865:13 3.84497e-05 +8 *1864:13 *2150:8 0 +9 *1864:14 *1866:14 0.000250987 +10 *1864:14 *2069:14 0 +11 *1864:20 *2069:14 0.00245546 +12 *1864:20 *2150:14 0 +13 *2474:mprj_io_analog_en[5] *2474:mprj_io_dm[15] 0 +14 *2474:mprj_io_analog_pol[5] *2474:mprj_io_dm[15] 0 +15 *475:37 *1864:14 0.000495239 +16 *477:32 *1864:20 0.000539902 +17 *495:64 *2474:mprj_io_dm[15] 0.000103111 +18 *1799:14 *1864:20 0.000553587 +19 *1826:19 *1864:14 0.00112654 +20 *1826:19 *1864:19 5.04829e-06 +21 *1826:19 *1864:20 0 +22 *1826:23 *1864:20 0 +23 *1853:13 *1864:13 3.84497e-05 +*RES +1 *2458:pad_gpio_dm[0] *1864:13 25.3308 +2 *1864:13 *1864:14 49.1707 +3 *1864:14 *1864:19 11.9418 +4 *1864:19 *1864:20 57.4758 +5 *1864:20 *2474:mprj_io_dm[15] 22.1911 +*END + +*D_NET *1865 0.0220035 +*CONN +*I *2474:mprj_io_dm[16] I *D chip_io_alt +*I *2458:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[16] 0.000668563 +2 *2458:pad_gpio_dm[1] 0.000496548 +3 *1865:14 0.00161864 +4 *1865:13 0.00144663 +5 *1865:13 *2458:pad_gpio_in 0.000528767 +6 *1865:13 *1866:13 0.000791854 +7 *1865:13 *1961:13 5.94319e-06 +8 *1865:13 *1988:7 0 +9 *1865:13 *2150:8 0 +10 *1865:14 *2015:11 0.00749462 +11 *2474:mprj_io_analog_en[5] *2474:mprj_io_dm[16] 0 +12 *478:32 *1865:14 0.00120624 +13 *495:64 *2474:mprj_io_dm[16] 0.000205402 +14 *506:14 *1865:14 0.0075018 +15 *1864:13 *1865:13 3.84497e-05 +*RES +1 *2458:pad_gpio_dm[1] *1865:13 28.5355 +2 *1865:13 *1865:14 119.764 +3 *1865:14 *2474:mprj_io_dm[16] 27.7559 +*END + +*D_NET *1866 0.0145048 +*CONN +*I *2474:mprj_io_dm[17] I *D chip_io_alt +*I *2458:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[17] 0.000723113 +2 *2458:pad_gpio_dm[2] 0.000297858 +3 *1866:14 0.00131858 +4 *1866:13 0.000893328 +5 *2474:mprj_io_dm[17] *2474:mprj_io_holdover[5] 0 +6 *2474:mprj_io_dm[17] *2150:14 0.00180431 +7 *2474:mprj_io_dm[17] *2177:14 2.6506e-05 +8 *1866:13 *2458:pad_gpio_in 4.62112e-05 +9 *1866:13 *1961:13 0.000575575 +10 *1866:13 *2150:8 0 +11 *1866:14 *2069:14 0 +12 *1866:14 *2177:14 0.00346392 +13 *2474:mprj_io_analog_sel[5] *2474:mprj_io_dm[17] 0.000202472 +14 *493:51 *2474:mprj_io_dm[17] 0.000290304 +15 *493:51 *1866:14 0.000530008 +16 *1826:19 *1866:14 0.00236865 +17 *1826:23 *2474:mprj_io_dm[17] 0.000912777 +18 *1826:23 *1866:14 8.35615e-06 +19 *1864:14 *1866:14 0.000250987 +20 *1865:13 *1866:13 0.000791854 +*RES +1 *2458:pad_gpio_dm[2] *1866:13 23.1889 +2 *1866:13 *1866:14 55.6072 +3 *1866:14 *2474:mprj_io_dm[17] 48.6944 +*END + +*D_NET *1867 0.0195308 +*CONN +*I *2474:mprj_io_dm[18] I *D chip_io_alt +*I *2459:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[18] 0.000553395 +2 *2459:pad_gpio_dm[0] 0.000602405 +3 *1867:14 0.00146534 +4 *1867:13 0.00151435 +5 *1867:13 *1868:13 5.19832e-05 +6 *1867:13 *1870:13 0.000685728 +7 *1867:13 *1962:15 0.000472707 +8 *1867:13 *1989:13 7.77309e-06 +9 *1867:13 *2097:8 0.000145299 +10 *1867:14 *1868:14 0.0066893 +11 *1867:14 *2070:28 0.00227313 +12 *1867:14 *2151:16 0.00013615 +13 *2474:mprj_io_analog_en[6] *2474:mprj_io_dm[18] 0 +14 *478:32 *1867:14 0.000942381 +15 *507:18 *2474:mprj_io_dm[18] 0.000145018 +16 *1800:14 *1867:14 9.4939e-05 +17 *1827:14 *1867:14 0.00358188 +18 *1827:20 *1867:14 0.000126618 +19 *1854:13 *1867:13 4.2387e-05 +*RES +1 *2459:pad_gpio_dm[0] *1867:13 29.2267 +2 *1867:13 *1867:14 106.891 +3 *1867:14 *2474:mprj_io_dm[18] 22.6302 +*END + +*D_NET *1868 0.0169035 +*CONN +*I *2474:mprj_io_dm[19] I *D chip_io_alt +*I *2459:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[19] 0.000584591 +2 *2459:pad_gpio_dm[1] 0.000787155 +3 *1868:14 0.00323511 +4 *1868:13 0.00343767 +5 *1868:13 *1870:13 0.000162049 +6 *1868:13 *2097:8 0.000124349 +7 *1868:14 *2070:25 0.000498418 +8 *1868:14 *2151:16 5.92227e-05 +9 *478:32 *1868:14 0.00107658 +10 *496:44 *1868:14 0 +11 *507:18 *2474:mprj_io_dm[19] 0.00019711 +12 *1567:20 *1868:14 0 +13 *1800:14 *1868:14 0 +14 *1867:13 *1868:13 5.19832e-05 +15 *1867:14 *1868:14 0.0066893 +*RES +1 *2459:pad_gpio_dm[1] *1868:13 29.366 +2 *1868:13 *1868:14 120.179 +3 *1868:14 *2474:mprj_io_dm[19] 24.0154 +*END + +*D_NET *1869 0.0188943 +*CONN +*I *2474:mprj_io_dm[1] I *D chip_io_alt +*I *2444:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[1] 0.000710446 +2 *2444:pad_gpio_dm[1] 0.000716308 +3 *1869:14 0.00182001 +4 *1869:13 0.00182587 +5 *2474:mprj_io_dm[1] *2474:mprj_io_slow_sel[0] 8.10016e-06 +6 *2474:mprj_io_dm[1] *1993:17 0 +7 *1869:13 *1880:10 4.80459e-05 +8 *1869:13 *1966:13 0.000119662 +9 *1869:13 *2074:8 0 +10 *1869:14 *2047:14 0.000415397 +11 *1869:14 *2128:14 0.00711111 +12 *2474:mprj_io_analog_en[0] *2474:mprj_io_dm[1] 0.000548997 +13 *1777:14 *1869:14 0 +14 *1858:13 *1869:13 4.80459e-05 +15 *1858:14 *1869:14 0.00552231 +*RES +1 *2444:pad_gpio_dm[1] *1869:13 28.7349 +2 *1869:13 *1869:14 113.535 +3 *1869:14 *2474:mprj_io_dm[1] 32.332 +*END + +*D_NET *1870 0.0149915 +*CONN +*I *2474:mprj_io_dm[20] I *D chip_io_alt +*I *2459:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[20] 0.000259928 +2 *2459:pad_gpio_dm[2] 0.000375949 +3 *1870:14 0.000944936 +4 *1870:13 0.00106096 +5 *2474:mprj_io_dm[20] *2474:mprj_io_holdover[6] 0 +6 *1870:13 *1962:15 6.02815e-05 +7 *1870:13 *1989:13 0.000416687 +8 *1870:13 *2097:8 8.85241e-05 +9 *2459:resetn *1870:14 0.000804732 +10 *110:98 *1870:14 0.00497089 +11 *495:64 *1870:14 0.00496369 +12 *507:18 *2474:mprj_io_dm[20] 0.00019711 +13 *1867:13 *1870:13 0.000685728 +14 *1868:13 *1870:13 0.000162049 +*RES +1 *2459:pad_gpio_dm[2] *1870:13 26.5081 +2 *1870:13 *1870:14 79.8994 +3 *1870:14 *2474:mprj_io_dm[20] 15.6963 +*END + +*D_NET *1871 0.0578335 +*CONN +*I *2474:mprj_io_dm[21] I *D chip_io_alt +*I *2460:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[21] 0.00028567 +2 *2460:pad_gpio_dm[0] 0.000572873 +3 *1871:18 0.000746016 +4 *1871:16 0.000487242 +5 *1871:14 0.00416351 +6 *1871:13 0.00470949 +7 *2474:mprj_io_dm[21] *2293:9 9.29054e-05 +8 *2474:mprj_io_dm[21] *2311:13 5.481e-05 +9 *1871:13 *2460:pad_gpio_in 3.99086e-06 +10 *1871:13 *1872:13 0.000616236 +11 *1871:13 *1873:13 0.00020489 +12 *1871:14 *1963:8 0 +13 *1871:14 *1990:8 0.00264862 +14 *1871:14 *2017:13 0.00852792 +15 *1871:14 *2071:8 0.0223522 +16 *1871:14 *2072:18 0.0045506 +17 *1871:14 *2126:16 0.000518598 +18 *1871:14 *2153:16 1.23804e-05 +19 *1871:18 *2072:18 3.7752e-05 +20 *1871:18 *2125:20 0.00312553 +21 *1871:18 *2126:16 0.000394625 +22 *1871:18 *2153:16 0.00321668 +23 *2474:mprj_io_analog_en[7] *2474:mprj_io_dm[21] 3.31733e-05 +24 *495:64 *1871:14 0.000434634 +25 *1855:13 *1871:13 4.31122e-05 +*RES +1 *2460:pad_gpio_dm[0] *1871:13 30.8601 +2 *1871:13 *1871:14 362.894 +3 *1871:14 *1871:16 0.732798 +4 *1871:16 *1871:18 51.8699 +5 *1871:18 *2474:mprj_io_dm[21] 17.2154 +*END + +*D_NET *1872 0.0493843 +*CONN +*I *2474:mprj_io_dm[22] I *D chip_io_alt +*I *2460:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[22] 0.000351844 +2 *2460:pad_gpio_dm[1] 0.000374806 +3 *1872:14 0.0059102 +4 *1872:13 0.00593317 +5 *2474:mprj_io_dm[22] *1963:20 5.04829e-06 +6 *2474:mprj_io_dm[22] *2293:9 0.000197125 +7 *1872:13 *2460:pad_gpio_in 0.000671753 +8 *1872:13 *1873:13 0.00123707 +9 *1872:14 *1875:14 0.00835879 +10 *1872:14 *1964:16 0.000173309 +11 *1872:14 *2017:13 0.000591336 +12 *1872:14 *2125:14 0.00680143 +13 *1872:14 *2179:14 0.00250173 +14 *110:98 *1872:14 0.000534875 +15 *1801:14 *1872:14 0.00539242 +16 *1802:14 *1872:14 0.00942161 +17 *1856:19 *1872:14 0.000311509 +18 *1871:13 *1872:13 0.000616236 +*RES +1 *2460:pad_gpio_dm[1] *1872:13 31.9092 +2 *1872:13 *1872:14 404.627 +3 *1872:14 *2474:mprj_io_dm[22] 17.3601 +*END + +*D_NET *1873 0.0529102 +*CONN +*I *2474:mprj_io_dm[23] I *D chip_io_alt +*I *2460:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[23] 0.000359766 +2 *2460:pad_gpio_dm[2] 0.000568975 +3 *1873:20 0.00245766 +4 *1873:19 0.00218422 +5 *1873:14 0.00803375 +6 *1873:13 0.00851639 +7 *2474:mprj_io_dm[23] *2474:mprj_io_holdover[7] 0.000228269 +8 *2474:mprj_io_dm[23] *2311:14 0 +9 *1873:13 *1963:7 6.23168e-05 +10 *1873:13 *1963:8 9.55228e-05 +11 *1873:14 *1876:14 1.87269e-05 +12 *1873:14 *2099:16 0.000490766 +13 *1873:19 *1963:13 0.000114639 +14 *1873:20 *1874:22 0 +15 *1873:20 *1963:16 0 +16 *1873:20 *2179:14 0.000800538 +17 *477:32 *1873:14 0.000303582 +18 *478:32 *1873:14 0.0021823 +19 *495:64 *1873:13 0 +20 *1801:14 *1873:14 0 +21 *1801:19 *1873:19 0 +22 *1801:20 *1873:14 0.00021551 +23 *1801:20 *1873:20 0 +24 *1802:14 *1873:14 0 +25 *1802:14 *1873:20 0.00239578 +26 *1828:14 *1873:14 0.0224395 +27 *1871:13 *1873:13 0.00020489 +28 *1872:13 *1873:13 0.00123707 +*RES +1 *2460:pad_gpio_dm[2] *1873:13 32.9612 +2 *1873:13 *1873:14 363.517 +3 *1873:14 *1873:19 11.9418 +4 *1873:19 *1873:20 80.3147 +5 *1873:20 *2474:mprj_io_dm[23] 18.1934 +*END + +*D_NET *1874 0.0569596 +*CONN +*I *2474:mprj_io_dm[24] I *D chip_io_alt +*I *2449:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[24] 0.000211934 +2 *2449:pad_gpio_dm[0] 0.000107152 +3 *1874:34 0.000921109 +4 *1874:33 0.000831019 +5 *1874:28 0.00184598 +6 *1874:27 0.00211055 +7 *1874:22 0.00227121 +8 *1874:21 0.00242625 +9 *1874:15 0.00170411 +10 *1874:10 0.00394193 +11 *1874:9 0.00288643 +12 *2474:mprj_io_dm[24] *2302:15 0.000197125 +13 *2474:mprj_io_dm[24] *2320:15 0.000111722 +14 *1874:15 *2018:33 4.66705e-05 +15 *1874:21 *1963:20 5.04829e-06 +16 *1874:21 *1991:16 0.000169255 +17 *1874:21 *2018:27 0.00162199 +18 *1874:22 *1876:14 0.00195728 +19 *1874:22 *2099:16 0.000706122 +20 *1874:28 *1965:8 0.000742919 +21 *1874:28 *2018:13 0.00778558 +22 *1874:28 *2019:11 0.00104861 +23 *1874:28 *2044:7 0.0016133 +24 *1874:28 *2126:16 0.000475757 +25 *1874:28 *2153:20 0.00175222 +26 *1874:28 *2153:24 0.00363083 +27 *1874:33 *2072:23 5.04829e-06 +28 *1874:34 *1878:14 0.00177041 +29 *1874:34 *2154:14 0.00365062 +30 *1874:34 *2180:14 0.000559692 +31 *2474:mprj_io_analog_en[8] *2474:mprj_io_dm[24] 0 +32 *510:14 *1874:21 0.000593099 +33 *1570:20 *1874:21 0 +34 *1801:20 *1874:22 0.000628648 +35 *1802:14 *1874:22 0 +36 *1828:23 *1874:21 0.00052298 +37 *1829:13 *1874:10 0.000953202 +38 *1856:9 *1874:9 9.94897e-06 +39 *1856:10 *1874:10 0.00714383 +40 *1873:20 *1874:22 0 +*RES +1 *2449:pad_gpio_dm[0] *1874:9 3.65147 +2 *1874:9 *1874:10 121.632 +3 *1874:10 *1874:15 35.7898 +4 *1874:15 *1874:21 41.0439 +5 *1874:21 *1874:22 74.9164 +6 *1874:22 *1874:27 18.0424 +7 *1874:27 *1874:28 152.569 +8 *1874:28 *1874:33 11.3872 +9 *1874:33 *1874:34 58.7215 +10 *1874:34 *2474:mprj_io_dm[24] 15.1417 +*END + +*D_NET *1875 0.0611211 +*CONN +*I *2474:mprj_io_dm[25] I *D chip_io_alt +*I *2449:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[25] 0.000528377 +2 *2449:pad_gpio_dm[1] 0.000478977 +3 *1875:20 0.00118689 +4 *1875:19 0.000921161 +5 *1875:14 0.00406498 +6 *1875:13 0.0042813 +7 *2474:mprj_io_dm[25] *2073:31 0.000341824 +8 *2474:mprj_io_dm[25] *2302:15 0.000197125 +9 *1875:13 *1876:13 0.000647616 +10 *1875:14 *1877:14 0.00407582 +11 *1875:14 *1878:14 0.0037408 +12 *1875:14 *1963:20 0.0022675 +13 *1875:14 *1964:16 0.00194598 +14 *1875:14 *2071:16 2.2599e-05 +15 *1875:14 *2071:18 0.00377433 +16 *1875:14 *2072:18 0.00235155 +17 *1875:14 *2125:14 0.00577452 +18 *1875:14 *2179:14 0.000117376 +19 *1875:14 *2180:14 0.000490766 +20 *1875:19 *2153:29 5.04829e-06 +21 *1875:20 *1876:14 0.00518161 +22 *1875:20 *1991:16 0.000834009 +23 *110:123 *1875:13 0.000725336 +24 *495:87 *1875:13 0.0007339 +25 *511:14 *1875:20 1.78514e-05 +26 *1802:14 *1875:14 0.00271408 +27 *1802:19 *1875:19 0.000202749 +28 *1802:20 *1875:20 0.0051382 +29 *1872:14 *1875:14 0.00835879 +*RES +1 *2449:pad_gpio_dm[1] *1875:13 33.0785 +2 *1875:13 *1875:14 328.22 +3 *1875:14 *1875:19 15.824 +4 *1875:19 *1875:20 82.8062 +5 *1875:20 *2474:mprj_io_dm[25] 23.4607 +*END + +*D_NET *1876 0.0619613 +*CONN +*I *2474:mprj_io_dm[26] I *D chip_io_alt +*I *2449:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[26] 0.000586915 +2 *2449:pad_gpio_dm[2] 0.000750718 +3 *1876:14 0.00662866 +4 *1876:13 0.00679246 +5 *2474:mprj_io_dm[26] *2019:11 0 +6 *1876:13 *1963:8 0.000274653 +7 *1876:14 *1991:16 0.00332707 +8 *1876:14 *2018:19 0.0026061 +9 *1876:14 *2073:37 0.00119658 +10 *1876:14 *2099:16 0.000518598 +11 *1876:14 *2127:14 0.0101619 +12 *477:46 *1876:13 0.000558552 +13 *478:32 *1876:14 0.00013735 +14 *510:14 *1876:14 0.00125535 +15 *511:14 *1876:14 0 +16 *1801:20 *1876:14 0.00353265 +17 *1802:14 *1876:14 0 +18 *1802:20 *1876:14 2.01595e-05 +19 *1828:14 *1876:14 0.00555205 +20 *1829:14 *1876:14 0 +21 *1855:14 *1876:14 0.00944397 +22 *1857:14 *1876:14 0.000812353 +23 *1873:14 *1876:14 1.87269e-05 +24 *1874:22 *1876:14 0.00195728 +25 *1875:13 *1876:13 0.000647616 +26 *1875:20 *1876:14 0.00518161 +*RES +1 *2449:pad_gpio_dm[2] *1876:13 34.838 +2 *1876:13 *1876:14 449.889 +3 *1876:14 *2474:mprj_io_dm[26] 22.215 +*END + +*D_NET *1877 0.0625968 +*CONN +*I *2474:mprj_io_dm[27] I *D chip_io_alt +*I *2450:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[27] 0.000554518 +2 *2450:pad_gpio_dm[0] 0.000539028 +3 *1877:22 0.000848074 +4 *1877:19 0.000526475 +5 *1877:14 0.00500725 +6 *1877:13 0.00531335 +7 *2474:mprj_io_dm[27] *2127:23 5.04829e-06 +8 *2474:mprj_io_dm[27] *2303:13 6.35532e-05 +9 *2474:mprj_io_dm[27] *2321:13 0 +10 *1877:13 *1878:13 2.72062e-05 +11 *1877:13 *2018:13 0 +12 *1877:14 *2474:mprj_io_dm[28] 0.00028061 +13 *1877:14 *1878:14 0.00190112 +14 *1877:14 *1879:25 0.00170584 +15 *1877:14 *1879:26 0.000503515 +16 *1877:14 *1882:14 0.00752764 +17 *1877:14 *2072:24 0.00419759 +18 *1877:14 *2073:43 0.00177769 +19 *1877:14 *2102:10 0.000940813 +20 *1877:14 *2153:30 0.00443346 +21 *1877:14 *2181:8 0.000851878 +22 *1877:19 *2127:19 5.04829e-06 +23 *1877:22 *1881:14 0.00232882 +24 *1877:22 *2100:8 0.000353882 +25 *2474:mprj_io_analog_pol[9] *2474:mprj_io_dm[27] 0 +26 *101:15 *1877:19 5.04829e-06 +27 *1802:14 *1877:14 0.00412641 +28 *1829:14 *1877:14 0.000717961 +29 *1830:14 *1877:14 0.0102848 +30 *1830:22 *1877:22 0.00232164 +31 *1856:19 *1877:14 0.00134382 +32 *1857:13 *1877:13 2.89493e-05 +33 *1857:14 *1877:14 0 +34 *1875:14 *1877:14 0.00407582 +*RES +1 *2450:pad_gpio_dm[0] *1877:13 23.667 +2 *1877:13 *1877:14 398.813 +3 *1877:14 *1877:19 14.1602 +4 *1877:19 *1877:22 41.6284 +5 *1877:22 *2474:mprj_io_dm[27] 16.8439 +*END + +*D_NET *1878 0.0524176 +*CONN +*I *2474:mprj_io_dm[28] I *D chip_io_alt +*I *2450:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[28] 0.000576925 +2 *2450:pad_gpio_dm[1] 0.000616562 +3 *1878:14 0.00589057 +4 *1878:13 0.00593021 +5 *2474:mprj_io_dm[28] *1882:14 1.87269e-05 +6 *2474:mprj_io_dm[28] *1940:10 0.000229279 +7 *2474:mprj_io_dm[28] *1994:20 9.43616e-05 +8 *2474:mprj_io_dm[28] *2073:52 0.00163939 +9 *2474:mprj_io_dm[28] *2129:14 5.43416e-05 +10 *2474:mprj_io_dm[28] *2303:13 0.000210531 +11 *1878:13 *1879:7 2.89493e-05 +12 *1878:13 *1879:8 0 +13 *1878:13 *2018:13 0 +14 *1878:14 *1879:25 0.00170225 +15 *1878:14 *1940:10 0.000892259 +16 *1878:14 *1964:16 0.00129469 +17 *1878:14 *2072:18 0.00283158 +18 *1878:14 *2129:14 0.00251945 +19 *1878:14 *2153:30 0.00442628 +20 *1878:14 *2154:14 0.00310705 +21 *1878:14 *2180:14 0.000323246 +22 *1878:14 *2181:8 0.000550239 +23 *101:16 *2474:mprj_io_dm[28] 0.00148821 +24 *1830:14 *1878:14 0.0102724 +25 *1874:34 *1878:14 0.00177041 +26 *1875:14 *1878:14 0.0037408 +27 *1877:13 *1878:13 2.72062e-05 +28 *1877:14 *2474:mprj_io_dm[28] 0.00028061 +29 *1877:14 *1878:14 0.00190112 +*RES +1 *2450:pad_gpio_dm[1] *1878:13 25.4646 +2 *1878:13 *1878:14 389.055 +3 *1878:14 *2474:mprj_io_dm[28] 46.7831 +*END + +*D_NET *1879 0.0499538 +*CONN +*I *2474:mprj_io_dm[29] I *D chip_io_alt +*I *2450:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[29] 0.000106013 +2 *2450:pad_gpio_dm[2] 0.000158109 +3 *1879:44 0.00061762 +4 *1879:42 0.000849119 +5 *1879:32 0.00410073 +6 *1879:31 0.00411836 +7 *1879:26 0.0018325 +8 *1879:25 0.00182431 +9 *1879:19 0.00072634 +10 *1879:8 0.00360443 +11 *1879:7 0.00338315 +12 *1879:7 *1965:7 9.71042e-05 +13 *1879:8 *2450:pad_gpio_in 0 +14 *1879:8 *2450:user_gpio_oeb 0 +15 *1879:8 *2450:user_gpio_out 0 +16 *1879:8 *1965:8 0.000628619 +17 *1879:8 *2018:11 2.1558e-06 +18 *1879:8 *2018:13 0.00362062 +19 *1879:8 *2127:13 0 +20 *1879:19 *2474:mprj_io_slow_sel[8] 0.000110949 +21 *1879:19 *1965:8 0.000118828 +22 *1879:19 *2018:11 0.000112116 +23 *1879:19 *2019:11 0.000861563 +24 *1879:19 *2073:21 9.09602e-06 +25 *1879:19 *2073:31 0.000731333 +26 *1879:25 *2474:mprj_io_inp_dis[8] 3.24705e-06 +27 *1879:25 *1964:16 0.000277757 +28 *1879:25 *2073:37 0 +29 *1879:26 *2072:24 6.52332e-05 +30 *1879:26 *2073:43 0.000862855 +31 *1879:26 *2181:8 0.000421174 +32 *1879:32 *1881:13 0 +33 *1879:32 *1882:13 0 +34 *1879:32 *1883:13 0 +35 *1879:32 *1965:8 0.00104233 +36 *1879:32 *1994:50 0.000252395 +37 *1879:32 *2045:7 0.00147263 +38 *1879:32 *2048:13 0 +39 *1879:32 *2073:44 0 +40 *1879:42 *1994:44 7.52188e-05 +41 *1879:42 *2019:10 3.88655e-06 +42 *1879:42 *2129:14 1.3813e-05 +43 *1879:42 *2154:14 0.000654967 +44 *1879:44 *1994:44 0.000549011 +45 *1879:44 *2129:14 0.00319977 +46 *1879:44 *2156:17 0.000315398 +47 *1879:44 *2303:13 1.41761e-05 +48 *1879:44 *2321:13 0.00193517 +49 *2450:serial_clock_out *1879:8 0 +50 *2450:serial_data_in *1879:8 0 +51 *2450:serial_load_out *1879:8 0 +52 *2451:serial_clock *1879:32 0 +53 *2474:mprj_io_analog_pol[8] *1879:25 7.77744e-05 +54 *101:10 *1879:32 0.00257857 +55 *101:33 *1879:32 0 +56 *497:49 *1879:32 0 +57 *511:13 *1879:8 0 +58 *1778:15 *1879:32 0 +59 *1803:14 *1879:32 0.00352789 +60 *1803:27 *1879:32 0 +61 *1803:27 *1879:42 0.000765261 +62 *1803:27 *1879:44 0.000291627 +63 *1857:14 *1879:26 0 +64 *1877:14 *1879:25 0.00170584 +65 *1877:14 *1879:26 0.000503515 +66 *1878:13 *1879:7 2.89493e-05 +67 *1878:13 *1879:8 0 +68 *1878:14 *1879:25 0.00170225 +*RES +1 *2450:pad_gpio_dm[2] *1879:7 3.9674 +2 *1879:7 *1879:8 121.632 +3 *1879:8 *1879:19 37.7579 +4 *1879:19 *1879:25 38.9648 +5 *1879:25 *1879:26 55.3995 +6 *1879:26 *1879:31 16.9332 +7 *1879:31 *1879:32 178.522 +8 *1879:32 *1879:42 17.5139 +9 *1879:42 *1879:44 51.6623 +10 *1879:44 *2474:mprj_io_dm[29] 2.33274 +*END + +*D_NET *1880 0.0117492 +*CONN +*I *2474:mprj_io_dm[2] I *D chip_io_alt +*I *2444:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[2] 8.7495e-05 +2 *2444:pad_gpio_dm[2] 0.000687593 +3 *1880:12 0.000828034 +4 *1880:10 0.00142813 +5 *2474:mprj_io_dm[2] *2474:mprj_io_holdover[0] 0 +6 *1880:10 *1939:7 0.000149197 +7 *1880:10 *1939:10 0.00156229 +8 *1880:10 *1966:14 0.000497776 +9 *1880:10 *2101:14 0 +10 *1880:12 *2474:mprj_io_holdover[0] 0.00264709 +11 *1880:12 *1939:10 0.000564466 +12 *1880:12 *1966:14 0.00245989 +13 *2474:mprj_io_analog_sel[0] *2474:mprj_io_dm[2] 0 +14 *1831:19 *1880:10 5.66868e-06 +15 *1831:20 *1880:12 0.000783503 +16 *1869:13 *1880:10 4.80459e-05 +*RES +1 *2444:pad_gpio_dm[2] *1880:10 30.5564 +2 *1880:10 *1880:12 65.3167 +3 *1880:12 *2474:mprj_io_dm[2] 3.9449 +*END + +*D_NET *1881 0.0622782 +*CONN +*I *2474:mprj_io_dm[30] I *D chip_io_alt +*I *2451:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[30] 0.00061695 +2 *2451:pad_gpio_dm[0] 0.000659283 +3 *1881:14 0.00586491 +4 *1881:13 0.00590724 +5 *2474:mprj_io_dm[30] *2304:19 9.43525e-05 +6 *1881:13 *1882:13 0.000395612 +7 *1881:14 *1883:14 0.0258006 +8 *1881:14 *1884:14 0.00317982 +9 *1881:14 *2048:14 0 +10 *1881:14 *2048:20 0.0033569 +11 *1881:14 *2075:8 0.00394811 +12 *1881:14 *2100:8 0.000161557 +13 *1881:14 *2127:14 0.00754048 +14 *1881:14 *2127:28 0.00202348 +15 *2474:mprj_io_analog_en[10] *2474:mprj_io_dm[30] 0 +16 *501:14 *1881:14 0 +17 *1778:15 *1881:13 0 +18 *1778:16 *1881:14 0.000362696 +19 *1830:22 *1881:14 3.74394e-05 +20 *1877:22 *1881:14 0.00232882 +21 *1879:32 *1881:13 0 +*RES +1 *2451:pad_gpio_dm[0] *1881:13 29.2131 +2 *1881:13 *1881:14 434.11 +3 *1881:14 *2474:mprj_io_dm[30] 23.4607 +*END + +*D_NET *1882 0.0509701 +*CONN +*I *2474:mprj_io_dm[31] I *D chip_io_alt +*I *2451:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[31] 0.000363669 +2 *2451:pad_gpio_dm[1] 0.000384552 +3 *1882:14 0.00724841 +4 *1882:13 0.00726929 +5 *2474:mprj_io_dm[31] *1883:19 0 +6 *2474:mprj_io_dm[31] *2304:19 9.43525e-05 +7 *1882:13 *1883:13 3.84497e-05 +8 *1882:14 *2474:mprj_io_out[10] 0.000617419 +9 *1882:14 *1884:14 0 +10 *1882:14 *1885:14 0.000294807 +11 *1882:14 *1886:8 0.00120743 +12 *1882:14 *1968:25 0.000591672 +13 *1882:14 *1994:20 1.2693e-05 +14 *1882:14 *2048:14 0.0108848 +15 *1882:14 *2049:14 0.00811381 +16 *1882:14 *2102:10 0.00170271 +17 *1882:14 *2129:14 0 +18 *1882:14 *2181:8 0.00012951 +19 *2474:mprj_io_dm[28] *1882:14 1.87269e-05 +20 *101:16 *1882:14 0.00407454 +21 *1857:14 *1882:14 0 +22 *1877:14 *1882:14 0.00752764 +23 *1879:32 *1882:13 0 +24 *1881:13 *1882:13 0.000395612 +*RES +1 *2451:pad_gpio_dm[1] *1882:13 22.5606 +2 *1882:13 *1882:14 422.067 +3 *1882:14 *2474:mprj_io_dm[31] 17.9147 +*END + +*D_NET *1883 0.0615254 +*CONN +*I *2474:mprj_io_dm[32] I *D chip_io_alt +*I *2451:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[32] 0.000632696 +2 *2451:pad_gpio_dm[2] 0.0010904 +3 *1883:19 0.00107598 +4 *1883:14 0.00511029 +5 *1883:13 0.00575741 +6 *2474:mprj_io_dm[32] *2474:mprj_io_holdover[10] 0 +7 *2474:mprj_io_dm[32] *2474:mprj_io_vtrip_sel[10] 0.000243061 +8 *2474:mprj_io_dm[32] *1940:18 0 +9 *2474:mprj_io_dm[32] *2130:20 0.00112596 +10 *2474:mprj_io_dm[32] *2304:19 0.000315402 +11 *2474:mprj_io_dm[32] *2322:13 0.00158069 +12 *1883:13 *1940:10 4.31122e-05 +13 *1883:14 *2075:8 0.00410583 +14 *2474:mprj_io_analog_sel[10] *2474:mprj_io_dm[32] 0 +15 *2474:mprj_io_dm[31] *1883:19 0 +16 *1778:16 *1883:14 0.0105541 +17 *1857:20 *1883:14 0.00405147 +18 *1879:32 *1883:13 0 +19 *1881:14 *1883:14 0.0258006 +20 *1882:13 *1883:13 3.84497e-05 +*RES +1 *2451:pad_gpio_dm[2] *1883:13 37.2422 +2 *1883:13 *1883:14 413.762 +3 *1883:14 *1883:19 18.5971 +4 *1883:19 *2474:mprj_io_dm[32] 39.5222 +*END + +*D_NET *1884 0.0459857 +*CONN +*I *2474:mprj_io_dm[33] I *D chip_io_alt +*I *2452:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[33] 0.000492565 +2 *2452:pad_gpio_dm[0] 0.000703282 +3 *1884:14 0.0120334 +4 *1884:13 0.0122441 +5 *2474:mprj_io_dm[33] *1889:18 0.000197125 +6 *1884:13 *1885:13 2.72062e-05 +7 *1884:14 *1887:14 0 +8 *1884:14 *1941:8 0.00397172 +9 *1884:14 *1968:25 0 +10 *1884:14 *2048:14 0 +11 *1884:14 *2048:20 0.00373384 +12 *1884:14 *2049:14 0 +13 *1884:14 *2104:14 0.00859136 +14 *2474:mprj_io_analog_en[11] *2474:mprj_io_dm[33] 0.000108501 +15 *2474:mprj_io_analog_pol[11] *2474:mprj_io_dm[33] 0 +16 *101:22 *1884:13 0 +17 *501:14 *1884:14 0 +18 *1833:20 *1884:13 2.89493e-05 +19 *1834:14 *1884:14 0.00067385 +20 *1881:14 *1884:14 0.00317982 +21 *1882:14 *1884:14 0 +*RES +1 *2452:pad_gpio_dm[0] *1884:13 28.1039 +2 *1884:13 *1884:14 434.11 +3 *1884:14 *2474:mprj_io_dm[33] 21.7969 +*END + +*D_NET *1885 0.0636663 +*CONN +*I *2474:mprj_io_dm[34] I *D chip_io_alt +*I *2452:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[34] 0.000647474 +2 *2452:pad_gpio_dm[1] 0.000694406 +3 *1885:20 0.00162193 +4 *1885:19 0.00124679 +5 *1885:14 0.00324957 +6 *1885:13 0.00367165 +7 *2474:mprj_io_dm[34] *1889:12 0.000197125 +8 *2474:mprj_io_dm[34] *2305:13 3.6695e-05 +9 *1885:13 *1886:7 2.89493e-05 +10 *1885:14 *2474:mprj_io_out[10] 0.00170512 +11 *1885:14 *1886:8 0.000809598 +12 *1885:14 *1995:15 0.0203174 +13 *1885:14 *2049:14 0.020006 +14 *1885:14 *2129:14 9.4939e-05 +15 *1885:14 *2158:10 0.00040864 +16 *1885:20 *1942:22 0.000826814 +17 *1885:20 *1969:13 0.000736708 +18 *101:22 *1885:13 0 +19 *502:16 *1885:20 0.000636594 +20 *502:18 *1885:20 0.000986812 +21 *1779:19 *1885:19 0.000240073 +22 *1779:20 *1885:20 0.00515915 +23 *1834:14 *1885:20 2.19276e-05 +24 *1882:14 *1885:14 0.000294807 +25 *1884:13 *1885:13 2.72062e-05 +*RES +1 *2452:pad_gpio_dm[1] *1885:13 28.0954 +2 *1885:13 *1885:14 332.373 +3 *1885:14 *1885:19 16.3786 +4 *1885:19 *1885:20 83.2214 +5 *1885:20 *2474:mprj_io_dm[34] 24.0154 +*END + +*D_NET *1886 0.0765968 +*CONN +*I *2474:mprj_io_dm[35] I *D chip_io_alt +*I *2452:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[35] 0.000460513 +2 *2452:pad_gpio_dm[2] 0.000539154 +3 *1886:8 0.00584502 +4 *1886:7 0.00592366 +5 *2474:mprj_io_dm[35] *2474:mprj_io_holdover[11] 8.36001e-05 +6 *2474:mprj_io_dm[35] *1889:18 0.000130916 +7 *1886:7 *1941:7 0.00020453 +8 *1886:8 *2474:mprj_io_out[10] 0.0131539 +9 *1886:8 *2474:mprj_io_vtrip_sel[10] 0.00276321 +10 *1886:8 *2474:mprj_io_out[11] 0.00024345 +11 *1886:8 *1888:14 0.00168596 +12 *1886:8 *1941:8 0.000415645 +13 *1886:8 *1969:17 0.00334896 +14 *1886:8 *1995:15 0.00213143 +15 *1886:8 *2048:14 0.00057784 +16 *1886:8 *2049:14 0.00348021 +17 *1886:8 *2075:8 0 +18 *1886:8 *2077:10 0.000163684 +19 *1886:8 *2103:18 0.000566432 +20 *1886:8 *2131:16 0.000387163 +21 *1886:8 *2157:18 0.000124478 +22 *1886:8 *2157:20 0.024397 +23 *1886:8 *2158:10 0.00791016 +24 *1886:8 *2158:15 1.18005e-05 +25 *2474:mprj_io_analog_sel[11] *2474:mprj_io_dm[35] 2.07556e-06 +26 *1833:20 *1886:7 0 +27 *1882:14 *1886:8 0.00120743 +28 *1885:13 *1886:7 2.89493e-05 +29 *1885:14 *1886:8 0.000809598 +*RES +1 *2452:pad_gpio_dm[2] *1886:7 1.93138 +2 *1886:7 *1886:8 63.1462 +3 *1886:8 *2474:mprj_io_dm[35] 6.76021 +*END + +*D_NET *1887 0.0410367 +*CONN +*I *2474:mprj_io_dm[36] I *D chip_io_alt +*I *2453:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[36] 0.000408852 +2 *2453:pad_gpio_dm[0] 0.000595749 +3 *1887:14 0.0115037 +4 *1887:13 0.0116906 +5 *2474:mprj_io_dm[36] *2474:mprj_io_inp_dis[12] 4.79594e-06 +6 *2474:mprj_io_dm[36] *1889:30 1.7607e-05 +7 *2474:mprj_io_dm[36] *2324:13 0.000185867 +8 *1887:13 *1888:13 4.31122e-05 +9 *1887:14 *1888:14 0.0126174 +10 *1887:14 *1969:17 0.00169149 +11 *1887:14 *2049:14 7.70877e-05 +12 *1887:14 *2104:14 0 +13 *1887:14 *2157:20 0.00194894 +14 *2474:mprj_io_analog_en[12] *2474:mprj_io_dm[36] 0 +15 *1779:14 *1887:13 0 +16 *1780:18 *1887:14 0 +17 *1807:20 *1887:14 0.00022421 +18 *1834:13 *1887:13 2.72062e-05 +19 *1884:14 *1887:14 0 +*RES +1 *2453:pad_gpio_dm[0] *1887:13 25.3308 +2 *1887:13 *1887:14 432.864 +3 *1887:14 *2474:mprj_io_dm[36] 19.6246 +*END + +*D_NET *1888 0.0533531 +*CONN +*I *2474:mprj_io_dm[37] I *D chip_io_alt +*I *2453:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[37] 0.000383231 +2 *2453:pad_gpio_dm[1] 0.000594492 +3 *1888:14 0.0056685 +4 *1888:13 0.00587976 +5 *2474:mprj_io_dm[37] *1889:30 0 +6 *1888:13 *1889:7 3.84497e-05 +7 *1888:13 *1889:8 0 +8 *1888:14 *1969:17 0.00210186 +9 *1888:14 *1995:11 1.87269e-05 +10 *1888:14 *1996:19 0.00100336 +11 *1888:14 *1996:32 0.000295225 +12 *1888:14 *2049:14 0.00723843 +13 *1888:14 *2131:16 0.00348866 +14 *1779:14 *1888:13 0 +15 *1807:20 *1888:14 0.0122959 +16 *1886:8 *1888:14 0.00168596 +17 *1887:13 *1888:13 4.31122e-05 +18 *1887:14 *1888:14 0.0126174 +*RES +1 *2453:pad_gpio_dm[1] *1888:13 25.4674 +2 *1888:13 *1888:14 418.33 +3 *1888:14 *2474:mprj_io_dm[37] 17.9147 +*END + +*D_NET *1889 0.0566894 +*CONN +*I *2474:mprj_io_dm[38] I *D chip_io_alt +*I *2453:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[38] 0.000709386 +2 *2453:pad_gpio_dm[2] 0.000144678 +3 *1889:30 0.00125736 +4 *1889:25 0.00157076 +5 *1889:18 0.00489238 +6 *1889:17 0.00395565 +7 *1889:12 0.00190044 +8 *1889:10 0.00192565 +9 *1889:8 0.000995335 +10 *1889:7 0.00102875 +11 *2474:mprj_io_dm[38] *2474:mprj_io_holdover[12] 0 +12 *2474:mprj_io_dm[38] *2474:mprj_io_inp_dis[12] 0.000138756 +13 *2474:mprj_io_dm[38] *1942:40 0.000689779 +14 *2474:mprj_io_dm[38] *2158:15 0.000142135 +15 *1889:7 *1942:11 7.68331e-05 +16 *1889:8 *2453:user_gpio_oeb 2.04806e-05 +17 *1889:8 *2453:user_gpio_out 0.000530922 +18 *1889:8 *1942:11 0.00213893 +19 *1889:8 *1942:14 0 +20 *1889:8 *2131:10 0 +21 *1889:12 *2474:mprj_io_out[11] 0.000474719 +22 *1889:12 *2474:mprj_io_slow_sel[11] 0.000197125 +23 *1889:12 *1942:14 0.00342862 +24 *1889:12 *1995:10 0.000195687 +25 *1889:12 *1996:35 0.00201497 +26 *1889:12 *2050:18 0 +27 *1889:12 *2131:10 0 +28 *1889:12 *2305:13 0.000171064 +29 *1889:12 *2323:11 6.51149e-06 +30 *1889:18 *2474:mprj_io_holdover[11] 0.000209054 +31 *1889:18 *2474:mprj_io_ib_mode_sel[11] 7.88241e-05 +32 *1889:18 *2474:mprj_io_inp_dis[11] 9.29408e-05 +33 *1889:18 *2474:mprj_io_oeb[11] 0.000156962 +34 *1889:18 *2474:mprj_io_out[11] 0.000156962 +35 *1889:18 *2474:mprj_io_vtrip_sel[11] 6.11904e-05 +36 *1889:18 *1942:34 0 +37 *1889:18 *2022:7 0.00155693 +38 *1889:18 *2050:18 0 +39 *1889:18 *2077:15 0.00126517 +40 *1889:18 *2131:22 0.0114219 +41 *1889:18 *2323:11 0.0012032 +42 *1889:25 *1942:34 0.00232445 +43 *1889:25 *1942:39 0.00036939 +44 *1889:25 *1996:14 0 +45 *1889:25 *2131:22 0 +46 *1889:30 *2050:18 0.00240759 +47 *1889:30 *2077:15 0.000129653 +48 *1889:30 *2131:22 0.000388578 +49 *1889:30 *2306:9 0.000436901 +50 *1889:30 *2324:13 0.000426723 +51 *2474:mprj_io_analog_en[11] *1889:18 5.5787e-05 +52 *2474:mprj_io_analog_pol[11] *1889:18 0.000210531 +53 *2474:mprj_io_analog_sel[11] *1889:18 0.000130916 +54 *2474:mprj_io_dm[33] *1889:18 0.000197125 +55 *2474:mprj_io_dm[34] *1889:12 0.000197125 +56 *2474:mprj_io_dm[35] *1889:18 0.000130916 +57 *2474:mprj_io_analog_sel[12] *2474:mprj_io_dm[38] 0.000317293 +58 *2474:mprj_io_dm[36] *1889:30 1.7607e-05 +59 *2474:mprj_io_dm[37] *1889:30 0 +60 *1779:14 *1889:8 0.00377537 +61 *1833:20 *1889:8 0.000324993 +62 *1888:13 *1889:7 3.84497e-05 +63 *1888:13 *1889:8 0 +*RES +1 *2453:pad_gpio_dm[2] *1889:7 3.89091 +2 *1889:7 *1889:8 62.4588 +3 *1889:8 *1889:10 2.41823 +4 *1889:10 *1889:12 88.8273 +5 *1889:12 *1889:17 11.2184 +6 *1889:17 *1889:18 204.487 +7 *1889:18 *1889:25 48.5007 +8 *1889:25 *1889:30 47.7894 +9 *1889:30 *2474:mprj_io_dm[38] 36.7387 +*END + +*D_NET *1890 0.0143033 +*CONN +*I *2474:mprj_io_dm[39] I *D chip_io_alt +*I *2454:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[39] 0.000704172 +2 *2454:pad_gpio_dm[0] 0.000764431 +3 *1890:14 0.0030276 +4 *1890:13 0.00308786 +5 *2474:mprj_io_dm[39] *2474:mprj_io_inp_dis[13] 5.04829e-06 +6 *2474:mprj_io_dm[39] *1997:13 0.000371778 +7 *2474:mprj_io_dm[39] *2325:9 0.00021358 +8 *2474:mprj_io_dm[39] *2325:10 0.000213674 +9 *1890:13 *1892:13 3.84497e-05 +10 *1890:14 *2132:14 0 +11 *2474:mprj_io_analog_en[13] *2474:mprj_io_dm[39] 0 +12 *2474:mprj_io_analog_pol[13] *2474:mprj_io_dm[39] 0 +13 *502:22 *1890:14 0 +14 *1781:14 *1890:14 0.00475978 +15 *1781:22 *2474:mprj_io_dm[39] 0.00111694 +16 *1808:13 *1890:13 0 +*RES +1 *2454:pad_gpio_dm[0] *1890:13 30.5954 +2 *1890:13 *1890:14 94.8485 +3 *1890:14 *2474:mprj_io_dm[39] 49.3551 +*END + +*D_NET *1891 0.0180475 +*CONN +*I *2474:mprj_io_dm[3] I *D chip_io_alt +*I *2445:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[3] 0.000536944 +2 *2445:pad_gpio_dm[0] 0.000783126 +3 *1891:14 0.00137379 +4 *1891:13 0.00161997 +5 *1891:13 *1902:13 4.80459e-05 +6 *1891:13 *1913:13 0.000119662 +7 *1891:14 *1902:19 0.00166541 +8 *1891:14 *2139:14 0.00650697 +9 *2474:mprj_io_analog_en[1] *2474:mprj_io_dm[3] 0 +10 *2474:mprj_io_analog_pol[1] *2474:mprj_io_dm[3] 0 +11 *467:55 *2474:mprj_io_dm[3] 0.000215112 +12 *485:53 *1891:13 0.000130139 +13 *1788:13 *1891:13 0 +14 *1788:16 *1891:14 1.2366e-05 +15 *1788:18 *1891:14 0.00480754 +16 *1842:13 *1891:13 0.000228387 +*RES +1 *2445:pad_gpio_dm[0] *1891:13 31.2265 +2 *1891:13 *1891:14 103.984 +3 *1891:14 *2474:mprj_io_dm[3] 23.2859 +*END + +*D_NET *1892 0.017962 +*CONN +*I *2474:mprj_io_dm[40] I *D chip_io_alt +*I *2454:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[40] 0.000293275 +2 *2454:pad_gpio_dm[1] 0.000369494 +3 *1892:14 0.00181121 +4 *1892:13 0.00188743 +5 *2474:mprj_io_dm[40] *1997:13 0.000215112 +6 *2474:mprj_io_dm[40] *2325:9 0 +7 *1892:13 *2454:pad_gpio_in 0.000610609 +8 *1892:14 *1893:17 0.00248509 +9 *1892:14 *1997:13 0 +10 *1892:14 *2051:14 0.00159002 +11 *1892:14 *2132:14 0.00066915 +12 *1781:22 *1892:14 0.00186719 +13 *1808:14 *1892:14 0.00612497 +14 *1890:13 *1892:13 3.84497e-05 +*RES +1 *2454:pad_gpio_dm[1] *1892:13 22.3584 +2 *1892:13 *1892:14 129.73 +3 *1892:14 *2474:mprj_io_dm[40] 17.7542 +*END + +*D_NET *1893 0.0165826 +*CONN +*I *2474:mprj_io_dm[41] I *D chip_io_alt +*I *2454:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[41] 0.000143856 +2 *2454:pad_gpio_dm[2] 2.68958e-05 +3 *1893:17 0.000609216 +4 *1893:13 0.00122488 +5 *1893:8 0.00163481 +6 *1893:7 0.000902185 +7 *2474:mprj_io_dm[41] *2474:mprj_io_holdover[13] 8.10016e-06 +8 *2474:mprj_io_dm[41] *1997:19 0.000228518 +9 *1893:8 *1943:8 0.000510588 +10 *1893:8 *2078:5 0.00240355 +11 *1893:8 *2159:8 0.000837412 +12 *1893:13 *1943:13 0.00237598 +13 *1893:17 *2051:14 0.00247791 +14 *2474:mprj_io_analog_sel[13] *2474:mprj_io_dm[41] 0 +15 *1537:32 *1893:8 0.000692673 +16 *1781:22 *1893:17 5.04829e-06 +17 *1835:13 *1893:13 1.58551e-05 +18 *1835:18 *2474:mprj_io_dm[41] 0 +19 *1892:14 *1893:17 0.00248509 +*RES +1 *2454:pad_gpio_dm[2] *1893:7 3.43197 +2 *1893:7 *1893:8 56.0224 +3 *1893:8 *1893:13 38.0082 +4 *1893:13 *1893:17 47.0618 +5 *1893:17 *2474:mprj_io_dm[41] 9.97156 +*END + +*D_NET *1894 0.0120645 +*CONN +*I *2474:mprj_io_dm[42] I *D chip_io_alt +*I *2461:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[42] 0.000367804 +2 *2461:pad_gpio_dm[0] 0.00102948 +3 *1894:14 0.00107795 +4 *1894:13 0.000710147 +5 *1894:11 0.00102948 +6 *2474:mprj_io_dm[42] *2474:mprj_io_inp_dis[14] 0.000145018 +7 *2474:mprj_io_dm[42] *2106:17 0 +8 *1894:11 *1895:7 8.42027e-05 +9 *1894:11 *1998:7 0.000612939 +10 *1894:14 *2079:8 0.00185926 +11 *2474:mprj_io_analog_en[14] *1894:11 0.000113603 +12 *2474:mprj_io_analog_pol[14] *2474:mprj_io_dm[42] 0 +13 *485:14 *1894:11 7.56549e-05 +14 *1836:13 *1894:11 0.0011317 +15 *1836:14 *1894:14 0.00382722 +*RES +1 *2461:pad_gpio_dm[0] *1894:11 46.1092 +2 *1894:11 *1894:13 4.5 +3 *1894:13 *1894:14 61.2131 +4 *1894:14 *2474:mprj_io_dm[42] 18.1934 +*END + +*D_NET *1895 0.00973378 +*CONN +*I *2474:mprj_io_dm[43] I *D chip_io_alt +*I *2461:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[43] 0.000365821 +2 *2461:pad_gpio_dm[1] 0.000192222 +3 *1895:8 0.00188911 +4 *1895:7 0.00171551 +5 *2474:mprj_io_dm[43] *2106:17 0 +6 *2474:mprj_io_dm[43] *2326:13 0 +7 *1895:7 *1896:7 0.000185342 +8 *1895:8 *1998:7 0 +9 *1895:8 *2106:8 1.2366e-05 +10 *1895:8 *2106:17 0.000905728 +11 *1895:8 *2133:8 0.00389187 +12 *1895:8 *2308:9 0.00045549 +13 *1550:40 *1895:8 3.61259e-05 +14 *1894:11 *1895:7 8.42027e-05 +*RES +1 *2461:pad_gpio_dm[1] *1895:7 4.34986 +2 *1895:7 *1895:8 77.6155 +3 *1895:8 *2474:mprj_io_dm[43] 18.8873 +*END + +*D_NET *1896 0.0156519 +*CONN +*I *2474:mprj_io_dm[44] I *D chip_io_alt +*I *2461:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[44] 0.000562168 +2 *2461:pad_gpio_dm[2] 0.000480827 +3 *1896:8 0.00318952 +4 *1896:7 0.00310818 +5 *2474:mprj_io_dm[44] *2474:mprj_io_oeb[14] 0.00019711 +6 *1896:7 *1944:7 0.000344082 +7 *1896:8 *1944:8 0.00758469 +8 *2474:mprj_io_analog_sel[14] *2474:mprj_io_dm[44] 0 +9 *1895:7 *1896:7 0.000185342 +*RES +1 *2461:pad_gpio_dm[2] *1896:7 5.42072 +2 *1896:7 *1896:8 121.217 +3 *1896:8 *2474:mprj_io_dm[44] 22.9061 +*END + +*D_NET *1897 0.0128414 +*CONN +*I *2474:mprj_io_dm[45] I *D chip_io_alt +*I *2462:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[45] 0.000769894 +2 *2462:pad_gpio_dm[0] 0.000317437 +3 *1897:8 0.00155803 +4 *1897:7 0.00110557 +5 *2474:mprj_io_dm[45] *2474:mprj_io_dm[46] 0.000340658 +6 *2474:mprj_io_dm[45] *2474:mprj_io_inp_dis[15] 0.00034475 +7 *2474:mprj_io_dm[45] *2053:14 9.71323e-06 +8 *2474:mprj_io_dm[45] *2107:14 0 +9 *2474:mprj_io_dm[45] *2309:13 0.000353381 +10 *1897:7 *1898:7 0.000265567 +11 *1897:8 *1898:8 0.00444243 +12 *1897:8 *2053:14 0.00193231 +13 *2474:mprj_io_analog_pol[15] *2474:mprj_io_dm[45] 0 +14 *471:16 *1897:8 0.00124681 +15 *489:14 *2474:mprj_io_dm[45] 0 +16 *1783:18 *2474:mprj_io_dm[45] 0 +17 *1783:18 *1897:8 0 +18 *1837:7 *1897:7 0.000154819 +*RES +1 *2462:pad_gpio_dm[0] *1897:7 4.88529 +2 *1897:7 *1897:8 72.4249 +3 *1897:8 *2474:mprj_io_dm[45] 36.6133 +*END + +*D_NET *1898 0.0131662 +*CONN +*I *2474:mprj_io_dm[46] I *D chip_io_alt +*I *2462:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[46] 0.000468645 +2 *2462:pad_gpio_dm[1] 0.000241309 +3 *1898:8 0.00113123 +4 *1898:7 0.000903894 +5 *2474:mprj_io_dm[46] *2053:14 0.000112805 +6 *2474:mprj_io_dm[46] *2309:10 8.17577e-05 +7 *2474:mprj_io_dm[46] *2309:13 0.000107729 +8 *2474:mprj_io_dm[46] *2327:11 0.00019711 +9 *1898:7 *1899:7 0.000265567 +10 *1898:8 *2107:8 0.00456132 +11 *2474:mprj_io_dm[45] *2474:mprj_io_dm[46] 0.000340658 +12 *471:16 *1898:8 4.61488e-05 +13 *1783:18 *1898:8 0 +14 *1897:7 *1898:7 0.000265567 +15 *1897:8 *1898:8 0.00444243 +*RES +1 *2462:pad_gpio_dm[1] *1898:7 4.8088 +2 *1898:7 *1898:8 73.8783 +3 *1898:8 *2474:mprj_io_dm[46] 34.8471 +*END + +*D_NET *1899 0.0193394 +*CONN +*I *2474:mprj_io_dm[47] I *D chip_io_alt +*I *2462:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[47] 0.00083048 +2 *2462:pad_gpio_dm[2] 0.000398552 +3 *1899:8 0.00171084 +4 *1899:7 0.00127891 +5 *2474:mprj_io_dm[47] *2474:mprj_io_holdover[15] 0 +6 *2474:mprj_io_dm[47] *2107:14 0.00114845 +7 *1899:7 *1945:7 0.0003554 +8 *1899:8 *1945:8 0.003426 +9 *1899:8 *2080:14 0.00313478 +10 *2474:mprj_io_analog_sel[15] *2474:mprj_io_dm[47] 0.000171064 +11 *489:8 *1899:8 1.87269e-05 +12 *517:20 *1899:8 0.00660067 +13 *1898:7 *1899:7 0.000265567 +*RES +1 *2462:pad_gpio_dm[2] *1899:7 5.34423 +2 *1899:7 *1899:8 105.853 +3 *1899:8 *2474:mprj_io_dm[47] 37.6925 +*END + +*D_NET *1900 0.00866916 +*CONN +*I *2474:mprj_io_dm[48] I *D chip_io_alt +*I *2463:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[48] 0.000397619 +2 *2463:pad_gpio_dm[0] 0.000201778 +3 *1900:8 0.00292714 +4 *1900:7 0.0027313 +5 *2474:mprj_io_dm[48] *2474:mprj_io_dm[49] 6.06421e-05 +6 *2474:mprj_io_dm[48] *1901:8 0.000180024 +7 *2474:mprj_io_dm[48] *2328:19 0.000188784 +8 *1900:7 *1901:7 0.000124321 +9 *1900:7 *1946:7 0 +10 *1900:8 *1901:8 0 +11 *1900:8 *2162:8 0 +12 *1900:8 *2310:13 0.000510264 +13 *2474:mprj_io_analog_en[16] *2474:mprj_io_dm[48] 8.1645e-05 +14 *2474:mprj_io_analog_pol[16] *2474:mprj_io_dm[48] 0.00105183 +15 *2474:mprj_io_analog_pol[16] *1900:8 1.38204e-05 +16 *1811:8 *1900:8 0 +17 *1838:7 *1900:7 0.00019495 +18 *1838:8 *1900:8 0 +19 *1838:14 *2474:mprj_io_dm[48] 5.04829e-06 +*RES +1 *2463:pad_gpio_dm[0] *1900:7 4.42635 +2 *1900:7 *1900:8 76.3698 +3 *1900:8 *2474:mprj_io_dm[48] 29.585 +*END + +*D_NET *1901 0.00938881 +*CONN +*I *2474:mprj_io_dm[49] I *D chip_io_alt +*I *2463:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[49] 0.000123716 +2 *2463:pad_gpio_dm[1] 0.000153714 +3 *1901:8 0.00197301 +4 *1901:7 0.00200301 +5 *2474:mprj_io_dm[49] *2328:19 0 +6 *1901:7 *1903:12 1.9775e-05 +7 *1901:7 *1946:7 0 +8 *1901:8 *2474:mprj_io_slow_sel[16] 0.00019711 +9 *1901:8 *2000:10 0 +10 *1901:8 *2000:11 0 +11 *1901:8 *2162:8 0 +12 *1901:8 *2310:13 0.00013391 +13 *2474:mprj_io_analog_pol[16] *1901:8 1.3813e-05 +14 *2474:mprj_io_dm[48] *2474:mprj_io_dm[49] 6.06421e-05 +15 *2474:mprj_io_dm[48] *1901:8 0.000180024 +16 *1811:8 *1901:8 0.00440576 +17 *1900:7 *1901:7 0.000124321 +18 *1900:8 *1901:8 0 +*RES +1 *2463:pad_gpio_dm[1] *1901:7 4.04389 +2 *1901:7 *1901:8 81.5604 +3 *1901:8 *2474:mprj_io_dm[49] 4.28687 +*END + +*D_NET *1902 0.0198726 +*CONN +*I *2474:mprj_io_dm[4] I *D chip_io_alt +*I *2445:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[4] 0.000550231 +2 *2445:pad_gpio_dm[1] 0.000714837 +3 *1902:20 0.00125105 +4 *1902:19 0.00121422 +5 *1902:13 0.00122824 +6 *1902:13 *1913:13 0.000158111 +7 *1902:19 *2058:14 0 +8 *1902:19 *2139:14 0.000152316 +9 *1902:20 *2139:14 0.00554626 +10 *100:95 *2474:mprj_io_dm[4] 0.000111708 +11 *100:96 *2474:mprj_io_dm[4] 0.000211282 +12 *485:53 *1902:13 5.88867e-05 +13 *499:16 *1902:20 0.00555344 +14 *1788:16 *1902:19 0.00140854 +15 *1891:13 *1902:13 4.80459e-05 +16 *1891:14 *1902:19 0.00166541 +*RES +1 *2445:pad_gpio_dm[1] *1902:13 28.1803 +2 *1902:13 *1902:19 42.5628 +3 *1902:19 *1902:20 88.6197 +4 *1902:20 *2474:mprj_io_dm[4] 24.2413 +*END + +*D_NET *1903 0.0168017 +*CONN +*I *2474:mprj_io_dm[50] I *D chip_io_alt +*I *2463:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[50] 0.000733303 +2 *2463:pad_gpio_dm[2] 0.00111028 +3 *1903:16 0.00139426 +4 *1903:15 0.00107721 +5 *1903:12 0.00152654 +6 *2474:mprj_io_dm[50] *2474:mprj_io_holdover[16] 0.00106051 +7 *2474:mprj_io_dm[50] *1946:17 8.99726e-05 +8 *2474:mprj_io_dm[50] *2054:20 0 +9 *1903:12 *1946:7 2.44479e-06 +10 *1903:12 *2000:11 0 +11 *1903:16 *1946:8 0.00287504 +12 *1903:16 *1946:17 9.71323e-06 +13 *1903:16 *2054:20 0.0011864 +14 *1903:16 *2108:16 9.66387e-05 +15 *2463:mgmt_gpio_out *1903:12 0.000468828 +16 *2474:mprj_io_analog_sel[16] *2474:mprj_io_dm[50] 6.8952e-05 +17 *518:8 *1903:16 0.00043935 +18 *1552:30 *1903:15 0.000473242 +19 *1552:33 *1903:12 0.000779081 +20 *1784:8 *1903:16 0.00339015 +21 *1838:14 *2474:mprj_io_dm[50] 0 +22 *1901:7 *1903:12 1.9775e-05 +*RES +1 *2463:pad_gpio_dm[2] *1903:12 43.8831 +2 *1903:12 *1903:15 17.4247 +3 *1903:15 *1903:16 69.1029 +4 *1903:16 *2474:mprj_io_dm[50] 36.2303 +*END + +*D_NET *1904 0.0135467 +*CONN +*I *2474:mprj_io_dm[51] I *D chip_io_alt +*I *2464:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[51] 0.000460996 +2 *2464:pad_gpio_dm[0] 0.000247203 +3 *1904:16 0.000826694 +4 *1904:13 0.000497298 +5 *1904:8 0.000906869 +6 *1904:7 0.00102247 +7 *2474:mprj_io_dm[51] *2312:9 0.000132378 +8 *1904:7 *1905:7 0.00013222 +9 *1904:8 *1974:8 0.00293058 +10 *1904:8 *2136:8 0.000185169 +11 *1904:8 *2294:13 3.04407e-05 +12 *1904:13 *2294:14 0.00060197 +13 *1904:16 *1905:8 0.00153009 +14 *1904:16 *2163:14 0.00230639 +15 *2474:mprj_io_analog_en[17] *2474:mprj_io_dm[51] 0 +16 *2474:mprj_io_analog_pol[17] *2474:mprj_io_dm[51] 0 +17 *473:8 *1904:8 0.00111559 +18 *491:25 *1904:13 0.000244445 +19 *491:28 *1904:16 0.000375885 +20 *1812:13 *1904:7 0 +*RES +1 *2464:pad_gpio_dm[0] *1904:7 4.19688 +2 *1904:7 *1904:8 53.9461 +3 *1904:8 *1904:13 15.824 +4 *1904:13 *1904:16 41.6284 +5 *1904:16 *2474:mprj_io_dm[51] 15.1557 +*END + +*D_NET *1905 0.0127988 +*CONN +*I *2474:mprj_io_dm[52] I *D chip_io_alt +*I *2464:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[52] 0.000508283 +2 *2464:pad_gpio_dm[1] 0.000526017 +3 *1905:8 0.00137849 +4 *1905:7 0.00139622 +5 *2474:mprj_io_dm[52] *1974:22 0.000208984 +6 *1905:7 *1906:7 8.81646e-05 +7 *1905:7 *1947:7 0 +8 *1905:8 *1947:8 0.00353321 +9 *1905:8 *2109:8 0.00135784 +10 *1905:8 *2163:14 1.3807e-05 +11 *106:14 *1905:8 1.5254e-05 +12 *491:28 *1905:8 0.00146064 +13 *519:21 *1905:8 0.000649613 +14 *1904:7 *1905:7 0.00013222 +15 *1904:16 *1905:8 0.00153009 +*RES +1 *2464:pad_gpio_dm[1] *1905:7 5.19125 +2 *1905:7 *1905:8 80.9375 +3 *1905:8 *2474:mprj_io_dm[52] 21.4316 +*END + +*D_NET *1906 0.0156438 +*CONN +*I *2474:mprj_io_dm[53] I *D chip_io_alt +*I *2464:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[53] 0.000366408 +2 *2464:pad_gpio_dm[2] 0.000109575 +3 *1906:22 0.00280216 +4 *1906:8 0.0033442 +5 *1906:7 0.00101802 +6 *2474:mprj_io_dm[53] *2312:9 0.00019711 +7 *1906:7 *1947:7 8.81646e-05 +8 *1906:8 *2001:13 0.000227605 +9 *1906:8 *2055:8 0.00141539 +10 *1906:8 *2136:8 0.0009813 +11 *1906:22 *1974:17 0.000146784 +12 *1906:22 *2055:14 0.000425511 +13 *1906:22 *2136:8 0.000141177 +14 *1906:22 *2163:23 6.68049e-05 +15 *2474:mprj_io_analog_pol[17] *1906:22 0.000479286 +16 *2474:mprj_io_analog_sel[17] *2474:mprj_io_dm[53] 0 +17 *473:8 *1906:22 0.000322335 +18 *491:25 *1906:8 0.0015941 +19 *1785:20 *1906:22 0.000282607 +20 *1839:36 *1906:22 0.00154711 +21 *1905:7 *1906:7 8.81646e-05 +*RES +1 *2464:pad_gpio_dm[2] *1906:7 3.89091 +2 *1906:7 *1906:8 59.1368 +3 *1906:8 *1906:22 46.0224 +4 *1906:22 *2474:mprj_io_dm[53] 14.5239 +*END + +*D_NET *1907 0.0150087 +*CONN +*I *2474:mprj_io_dm[54] I *D chip_io_alt +*I *2465:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[54] 0.000499419 +2 *2465:pad_gpio_dm[0] 0.000379381 +3 *1907:10 0.00130621 +4 *1907:9 0.00118617 +5 *2474:mprj_io_dm[54] *2295:13 0 +6 *1907:9 *1908:9 0.000274478 +7 *1907:10 *2474:mprj_io_inp_dis[18] 0.000292752 +8 *1907:10 *1908:10 0.000154814 +9 *1907:10 *1909:16 0.0019181 +10 *2474:mprj_io_analog_en[18] *2474:mprj_io_dm[54] 0 +11 *474:14 *1907:10 0.00547833 +12 *1813:10 *1907:10 0.00321974 +13 *1840:13 *1907:9 0.000299342 +*RES +1 *2465:pad_gpio_dm[0] *1907:9 5.25776 +2 *1907:9 *1907:10 88.4121 +3 *1907:10 *2474:mprj_io_dm[54] 20.7338 +*END + +*D_NET *1908 0.0135299 +*CONN +*I *2474:mprj_io_dm[55] I *D chip_io_alt +*I *2465:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[55] 0.000348309 +2 *2465:pad_gpio_dm[1] 0.000362423 +3 *1908:10 0.00110865 +4 *1908:9 0.00112276 +5 *2474:mprj_io_dm[55] *2295:13 0.00019711 +6 *1908:9 *1909:9 0.000199912 +7 *1908:10 *2474:mprj_io_inp_dis[18] 0.000183155 +8 *1908:10 *1909:16 0.00124867 +9 *1908:10 *1948:22 0.00277522 +10 *1908:10 *2110:10 0.00214383 +11 *1908:10 *2164:10 1.3807e-05 +12 *107:51 *2474:mprj_io_dm[55] 0.000127271 +13 *474:14 *1908:10 4.61488e-05 +14 *1813:10 *1908:10 0.00322332 +15 *1907:9 *1908:9 0.000274478 +16 *1907:10 *1908:10 0.000154814 +*RES +1 *2465:pad_gpio_dm[1] *1908:9 5.10478 +2 *1908:9 *1908:10 80.107 +3 *1908:10 *2474:mprj_io_dm[55] 19.0239 +*END + +*D_NET *1909 0.0143241 +*CONN +*I *2474:mprj_io_dm[56] I *D chip_io_alt +*I *2465:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[56] 0.00043808 +2 *2465:pad_gpio_dm[2] 0.00030034 +3 *1909:16 0.0011071 +4 *1909:15 0.000813269 +5 *1909:10 0.00224338 +6 *1909:9 0.00239947 +7 *2474:mprj_io_dm[56] *2474:mprj_io_holdover[18] 0 +8 *1909:9 *2465:pad_gpio_in 0 +9 *1909:10 *1948:22 0 +10 *1909:10 *2137:10 0 +11 *1909:10 *2164:10 0 +12 *1909:15 *2313:16 0.000143859 +13 *1909:16 *2474:mprj_io_inp_dis[18] 0.000264014 +14 *1909:16 *1948:22 1.72799e-05 +15 *107:57 *1909:16 0.00198608 +16 *107:58 *1909:16 0 +17 *474:14 *1909:16 0.000797637 +18 *1786:18 *1909:10 0 +19 *1813:16 *1909:15 5.04829e-06 +20 *1840:27 *1909:10 0.000441815 +21 *1907:10 *1909:16 0.0019181 +22 *1908:9 *1909:9 0.000199912 +23 *1908:10 *1909:16 0.00124867 +*RES +1 *2465:pad_gpio_dm[2] *1909:9 4.64584 +2 *1909:9 *1909:10 62.6664 +3 *1909:10 *1909:15 13.051 +4 *1909:15 *1909:16 57.4758 +5 *1909:16 *2474:mprj_io_dm[56] 20.1331 +*END + +*D_NET *1910 0.0156812 +*CONN +*I *2474:mprj_io_dm[57] I *D chip_io_alt +*I *2466:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[57] 0.00052819 +2 *2466:pad_gpio_dm[0] 0.000562682 +3 *1910:8 0.00130586 +4 *1910:7 0.00134035 +5 *1910:7 *1912:7 0 +6 *1910:8 *2057:29 0.000205067 +7 *1910:8 *2084:8 0.00559031 +8 *2474:mprj_io_analog_en[19] *2474:mprj_io_dm[57] 3.27332e-05 +9 *2474:mprj_io_analog_pol[19] *2474:mprj_io_dm[57] 0.00019711 +10 *521:14 *1910:8 0.00559807 +11 *1841:7 *1910:7 0.000320815 +*RES +1 *2466:pad_gpio_dm[0] *1910:7 5.34423 +2 *1910:7 *1910:8 90.0731 +3 *1910:8 *2474:mprj_io_dm[57] 22.3515 +*END + +*D_NET *1911 0.0100473 +*CONN +*I *2474:mprj_io_dm[58] I *D chip_io_alt +*I *2466:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[58] 7.88462e-05 +2 *2466:pad_gpio_dm[1] 0.001291 +3 *1911:18 0.00102327 +4 *1911:15 0.001799 +5 *1911:12 0.00214558 +6 *2474:mprj_io_dm[58] *2314:19 0.000138011 +7 *1911:18 *1976:8 0.00138248 +8 *1911:18 *2003:9 0.000297231 +9 *1911:18 *2003:11 0 +10 *1911:18 *2314:19 0.000856481 +11 *474:42 *1911:18 0.00038975 +12 *475:16 *2474:mprj_io_dm[58] 0 +13 *475:16 *1911:18 0 +14 *493:8 *1911:18 0.000645639 +*RES +1 *2466:pad_gpio_dm[1] *1911:12 41.8068 +2 *1911:12 *1911:15 27.4075 +3 *1911:15 *1911:18 47.7662 +4 *1911:18 *2474:mprj_io_dm[58] 2.99226 +*END + +*D_NET *1912 0.0199665 +*CONN +*I *2474:mprj_io_dm[59] I *D chip_io_alt +*I *2466:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[59] 0.000333815 +2 *2466:pad_gpio_dm[2] 0.000486296 +3 *1912:14 0.000874501 +4 *1912:13 0.000627036 +5 *1912:8 0.000721891 +6 *1912:7 0.00112184 +7 *2474:mprj_io_dm[59] *2474:mprj_io_holdover[19] 4.35659e-05 +8 *2474:mprj_io_dm[59] *2030:16 8.35282e-05 +9 *1912:7 *1949:7 0.000282418 +10 *1912:8 *1949:8 0.00385448 +11 *1912:8 *2084:8 0.000275383 +12 *1912:14 *1949:8 0.00124608 +13 *1912:14 *1949:17 1.3813e-05 +14 *1912:14 *2057:29 0.000134073 +15 *1912:14 *2111:14 0.00250819 +16 *1912:14 *2138:12 0.000640187 +17 *1912:14 *2165:28 0.00310365 +18 *474:42 *1912:14 0.000339251 +19 *1787:8 *1912:14 1.87125e-05 +20 *1814:8 *1912:8 0.00325775 +21 *1910:7 *1912:7 0 +*RES +1 *2466:pad_gpio_dm[2] *1912:7 5.11476 +2 *1912:7 *1912:8 62.4588 +3 *1912:8 *1912:13 6.94044 +4 *1912:13 *1912:14 61.0054 +5 *1912:14 *2474:mprj_io_dm[59] 17.9175 +*END + +*D_NET *1913 0.0133806 +*CONN +*I *2474:mprj_io_dm[5] I *D chip_io_alt +*I *2445:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[5] 0.000272285 +2 *2445:pad_gpio_dm[2] 0.000558818 +3 *1913:23 0.000817819 +4 *1913:19 0.000966099 +5 *1913:13 0.000979382 +6 *2474:mprj_io_dm[5] *2474:mprj_io_holdover[1] 0.000104034 +7 *2474:mprj_io_dm[5] *2474:mprj_io_out[1] 9.4338e-05 +8 *1913:13 *1950:13 3.84497e-05 +9 *1913:19 *1950:17 5.04829e-06 +10 *1913:19 *2112:14 0.00207048 +11 *1913:23 *2474:mprj_io_out[1] 7.47435e-06 +12 *1913:23 *2004:11 0.00234978 +13 *1913:23 *2112:14 0.000237709 +14 *1913:23 *2166:14 0.00185131 +15 *467:55 *2474:mprj_io_dm[5] 0.000791367 +16 *467:55 *1913:19 8.13993e-05 +17 *485:53 *1913:13 6.19102e-05 +18 *1842:16 *1913:19 0.0018151 +19 *1891:13 *1913:13 0.000119662 +20 *1902:13 *1913:13 0.000158111 +*RES +1 *2445:pad_gpio_dm[2] *1913:13 26.4316 +2 *1913:13 *1913:19 44.2238 +3 *1913:19 *1913:23 46.0947 +4 *1913:23 *2474:mprj_io_dm[5] 17.9835 +*END + +*D_NET *1914 0.0128483 +*CONN +*I *2474:mprj_io_dm[60] I *D chip_io_alt +*I *2467:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[60] 0.00049557 +2 *2467:pad_gpio_dm[0] 0.000860122 +3 *1914:16 0.00201232 +4 *1914:15 0.00237687 +5 *2474:mprj_io_dm[60] *1916:18 5.6259e-05 +6 *1914:15 *2474:mprj_io_holdover[20] 0.000192761 +7 *1914:15 *1915:7 7.42816e-06 +8 *1914:15 *2005:11 0.000610456 +9 *2467:mgmt_gpio_out *1914:15 0.000469124 +10 *2474:mprj_io_analog_en[20] *2474:mprj_io_dm[60] 0 +11 *2474:mprj_io_analog_pol[20] *2474:mprj_io_dm[60] 0 +12 *494:8 *1914:16 0 +13 *1557:33 *1914:15 1.66771e-05 +14 *1789:15 *1914:15 4.15236e-05 +15 *1816:15 *1914:15 0.000119662 +16 *1816:16 *1914:16 0.00434304 +17 *1843:15 *1914:15 0.00124649 +*RES +1 *2467:pad_gpio_dm[0] *1914:15 46.3221 +2 *1914:15 *1914:16 69.5181 +3 *1914:16 *2474:mprj_io_dm[60] 21.1058 +*END + +*D_NET *1915 0.0121647 +*CONN +*I *2474:mprj_io_dm[61] I *D chip_io_alt +*I *2467:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[61] 0.000370077 +2 *2467:pad_gpio_dm[1] 0.000627727 +3 *1915:8 0.00198545 +4 *1915:7 0.0022431 +5 *2474:mprj_io_dm[61] *2315:13 9.4338e-05 +6 *1915:7 *1916:18 3.02784e-05 +7 *1915:8 *2086:13 0.00104796 +8 *1915:8 *2140:8 0.00033782 +9 *1915:8 *2315:13 0.00110558 +10 *474:48 *1915:8 0 +11 *1789:16 *1915:8 0.00431494 +12 *1914:15 *1915:7 7.42816e-06 +*RES +1 *2467:pad_gpio_dm[1] *1915:7 4.8088 +2 *1915:7 *1915:8 80.9375 +3 *1915:8 *2474:mprj_io_dm[61] 19.0239 +*END + +*D_NET *1916 0.0187768 +*CONN +*I *2474:mprj_io_dm[62] I *D chip_io_alt +*I *2467:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[62] 0.00012308 +2 *2467:pad_gpio_dm[2] 0.00171633 +3 *1916:18 0.00183941 +4 *2474:mprj_io_dm[62] *2474:mprj_io_holdover[20] 8.1662e-05 +5 *1916:18 *2474:mprj_io_holdover[20] 0.000777418 +6 *1916:18 *2474:mprj_io_ib_mode_sel[20] 0.00645122 +7 *1916:18 *2474:mprj_io_inp_dis[20] 0.000171064 +8 *1916:18 *2474:mprj_io_vtrip_sel[20] 0.000796463 +9 *1916:18 *2113:16 0.000158917 +10 *1916:18 *2167:19 0.00633676 +11 *2474:mprj_io_analog_en[20] *1916:18 9.29258e-05 +12 *2474:mprj_io_analog_pol[20] *1916:18 0.000145018 +13 *2474:mprj_io_dm[60] *1916:18 5.6259e-05 +14 *474:48 *1916:18 0 +15 *1915:7 *1916:18 3.02784e-05 +*RES +1 *2467:pad_gpio_dm[2] *1916:18 49.482 +2 *1916:18 *2474:mprj_io_dm[62] 12.2321 +*END + +*D_NET *1917 0.0142563 +*CONN +*I *2474:mprj_io_dm[63] I *D chip_io_alt +*I *2468:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[63] 0.00035368 +2 *2468:pad_gpio_dm[0] 0.000581911 +3 *1917:14 0.000869794 +4 *1917:13 0.000719784 +5 *1917:10 0.000785581 +6 *2474:mprj_io_dm[63] *2298:21 0.00019711 +7 *2474:mprj_io_dm[63] *2316:13 9.19632e-06 +8 *1917:10 *1918:10 0.00222145 +9 *1917:10 *2006:9 0.000714438 +10 *1917:13 *1918:16 0.000629893 +11 *1917:14 *1918:16 0.0020561 +12 *1917:14 *1952:14 0.00122262 +13 *1917:14 *2087:8 0 +14 *2474:mprj_io_analog_en[21] *2474:mprj_io_dm[63] 0 +15 *494:20 *1917:14 0.000443731 +16 *1790:8 *1917:14 0.00330122 +17 *1817:8 *1917:14 7.12527e-05 +18 *1844:10 *1917:10 7.85438e-05 +*RES +1 *2468:pad_gpio_dm[0] *1917:10 43.159 +2 *1917:10 *1917:13 11.8786 +3 *1917:13 *1917:14 55.3995 +4 *1917:14 *2474:mprj_io_dm[63] 18.4693 +*END + +*D_NET *1918 0.0107753 +*CONN +*I *2474:mprj_io_dm[64] I *D chip_io_alt +*I *2468:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[64] 0.000652201 +2 *2468:pad_gpio_dm[1] 0.000867782 +3 *1918:16 0.00158096 +4 *1918:10 0.00179655 +5 *2474:mprj_io_dm[64] *2474:mprj_io_slow_sel[21] 8.86525e-05 +6 *2474:mprj_io_dm[64] *2087:8 0 +7 *2474:mprj_io_dm[64] *2298:21 0.000327004 +8 *1918:10 *1919:10 6.89476e-05 +9 *1918:10 *2006:9 0 +10 *1918:16 *2087:8 0 +11 *2468:mgmt_gpio_out *1918:10 0.000469124 +12 *1558:35 *1918:10 1.66771e-05 +13 *1917:10 *1918:10 0.00222145 +14 *1917:13 *1918:16 0.000629893 +15 *1917:14 *1918:16 0.0020561 +*RES +1 *2468:pad_gpio_dm[1] *1918:10 45.9892 +2 *1918:10 *1918:16 49.3545 +3 *1918:16 *2474:mprj_io_dm[64] 24.5677 +*END + +*D_NET *1919 0.0196635 +*CONN +*I *2474:mprj_io_dm[65] I *D chip_io_alt +*I *2468:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[65] 0.000603587 +2 *2468:pad_gpio_dm[2] 0.00108007 +3 *1919:12 0.00185259 +4 *1919:10 0.00232907 +5 *2474:mprj_io_dm[65] *2474:mprj_io_holdover[21] 0.000208984 +6 *2474:mprj_io_dm[65] *2316:14 0 +7 *1919:10 *1952:7 0.000332207 +8 *1919:10 *1979:10 0.00223982 +9 *1919:12 *1979:10 2.01595e-05 +10 *1919:12 *1979:14 0.002802 +11 *2474:mprj_io_analog_sel[21] *2474:mprj_io_dm[65] 0 +12 *474:54 *1919:12 0.000861194 +13 *476:22 *1919:10 0.000258066 +14 *477:14 *1919:12 0.000115351 +15 *1844:10 *1919:10 0.00172667 +16 *1844:12 *1919:10 2.01595e-05 +17 *1844:12 *1919:12 0.00290619 +18 *1844:18 *1919:12 0.00223842 +19 *1918:10 *1919:10 6.89476e-05 +*RES +1 *2468:pad_gpio_dm[2] *1919:10 42.1127 +2 *1919:10 *1919:12 85.5053 +3 *1919:12 *2474:mprj_io_dm[65] 24.2047 +*END + +*D_NET *1920 0.0159501 +*CONN +*I *2474:mprj_io_dm[66] I *D chip_io_alt +*I *2469:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[66] 0.000359132 +2 *2469:pad_gpio_dm[0] 0.000525502 +3 *1920:8 0.0010976 +4 *1920:7 0.00126397 +5 *2474:mprj_io_dm[66] *1922:33 3.16065e-06 +6 *2474:mprj_io_dm[66] *1953:17 5.04829e-06 +7 *2474:mprj_io_dm[66] *2299:21 0 +8 *2474:mprj_io_dm[66] *2317:12 7.22422e-05 +9 *1920:7 *1921:7 0.000222549 +10 *1920:7 *1953:7 0 +11 *1920:8 *2061:16 0.00573543 +12 *1920:8 *2169:16 0.00573543 +13 *2474:mprj_io_analog_pol[22] *2474:mprj_io_dm[66] 8.47119e-05 +14 *494:20 *1920:8 0.000831196 +15 *1791:19 *2474:mprj_io_dm[66] 0 +16 *1845:12 *1920:7 1.41809e-05 +*RES +1 *2469:pad_gpio_dm[0] *1920:7 5.03827 +2 *1920:7 *1920:8 91.7341 +3 *1920:8 *2474:mprj_io_dm[66] 18.2351 +*END + +*D_NET *1921 0.0136092 +*CONN +*I *2474:mprj_io_dm[67] I *D chip_io_alt +*I *2469:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[67] 0.000298025 +2 *2469:pad_gpio_dm[1] 0.000405137 +3 *1921:8 0.00106235 +4 *1921:7 0.00116946 +5 *2474:mprj_io_dm[67] *1922:25 0.000196126 +6 *2474:mprj_io_dm[67] *2299:21 0.00019711 +7 *1921:7 *1922:7 6.86894e-05 +8 *1921:7 *1953:7 0 +9 *1921:8 *2061:14 7.59805e-05 +10 *1921:8 *2061:16 0 +11 *1921:8 *2115:8 0.00504539 +12 *1921:8 *2169:16 0.000721742 +13 *478:10 *1921:8 0.000710132 +14 *1818:16 *1921:8 0.00343653 +15 *1920:7 *1921:7 0.000222549 +*RES +1 *2469:pad_gpio_dm[1] *1921:7 4.8088 +2 *1921:7 *1921:8 80.9375 +3 *1921:8 *2474:mprj_io_dm[67] 18.4693 +*END + +*D_NET *1922 0.015863 +*CONN +*I *2474:mprj_io_dm[68] I *D chip_io_alt +*I *2469:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[68] 0.00076281 +2 *2469:pad_gpio_dm[2] 0.000104325 +3 *1922:33 0.00114675 +4 *1922:25 0.00134441 +5 *1922:8 0.00277408 +6 *1922:7 0.00191793 +7 *2474:mprj_io_dm[68] *2474:mprj_io_holdover[22] 6.8952e-05 +8 *2474:mprj_io_dm[68] *1953:17 3.8034e-05 +9 *2474:mprj_io_dm[68] *1980:16 0.000122025 +10 *1922:7 *1953:7 6.86894e-05 +11 *1922:8 *2007:9 0.000656029 +12 *1922:8 *2142:8 0 +13 *1922:25 *2474:mprj_io_slow_sel[22] 0.00019711 +14 *1922:25 *2142:14 0.00047597 +15 *1922:25 *2299:21 0.000317812 +16 *1922:33 *2474:mprj_io_inp_dis[22] 0 +17 *1922:33 *1953:17 4.20506e-05 +18 *1922:33 *2115:8 0.000908573 +19 *1922:33 *2169:16 7.77309e-06 +20 *2469:mgmt_gpio_out *1922:8 0.000223181 +21 *2474:mprj_io_analog_pol[22] *1922:33 6.50727e-05 +22 *2474:mprj_io_analog_sel[22] *2474:mprj_io_dm[68] 0.0011915 +23 *2474:mprj_io_dm[66] *1922:33 3.16065e-06 +24 *2474:mprj_io_dm[67] *1922:25 0.000196126 +25 *476:22 *2474:mprj_io_dm[68] 0.000223271 +26 *478:10 *1922:33 0.000130189 +27 *496:17 *1922:8 0.000467083 +28 *524:16 *2474:mprj_io_dm[68] 0.00145196 +29 *1559:37 *1922:8 5.39635e-06 +30 *1791:12 *1922:8 0 +31 *1791:19 *1922:25 0 +32 *1818:16 *1922:33 0.000884026 +33 *1845:12 *1922:8 0 +34 *1921:7 *1922:7 6.86894e-05 +*RES +1 *2469:pad_gpio_dm[2] *1922:7 3.81442 +2 *1922:7 *1922:8 59.3444 +3 *1922:8 *1922:25 46.7511 +4 *1922:25 *1922:33 33.365 +5 *1922:33 *2474:mprj_io_dm[68] 46.0554 +*END + +*D_NET *1923 0.0156294 +*CONN +*I *2474:mprj_io_dm[69] I *D chip_io_alt +*I *2470:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[69] 0.000347835 +2 *2470:pad_gpio_dm[0] 0.00050832 +3 *1923:8 0.0010825 +4 *1923:7 0.00124298 +5 *2474:mprj_io_dm[69] *2300:18 0.000126443 +6 *2474:mprj_io_dm[69] *2318:9 0.000417632 +7 *1923:7 *1925:7 8.66526e-05 +8 *1923:8 *2062:8 0.00539719 +9 *2474:mprj_io_analog_en[23] *2474:mprj_io_dm[69] 0.000223427 +10 *477:26 *1923:8 0.00066067 +11 *513:14 *1923:8 0.00539719 +12 *1819:7 *1923:7 0 +13 *1846:7 *1923:7 0.000138555 +*RES +1 *2470:pad_gpio_dm[0] *1923:7 4.88529 +2 *1923:7 *1923:8 86.7511 +3 *1923:8 *2474:mprj_io_dm[69] 22.7134 +*END + +*D_NET *1924 0.0181271 +*CONN +*I *2474:mprj_io_dm[6] I *D chip_io_alt +*I *2455:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[6] 0.000562889 +2 *2455:pad_gpio_dm[0] 0.00081509 +3 *1924:14 0.00175916 +4 *1924:13 0.00201136 +5 *1924:13 *1935:13 3.84497e-05 +6 *1924:13 *1985:13 0 +7 *1924:14 *1935:14 0.0028526 +8 *1924:14 *1935:23 9.71323e-06 +9 *1924:14 *1935:24 0 +10 *1924:14 *1937:14 0.00500591 +11 *1924:14 *2012:11 0.00379191 +12 *1924:14 *2066:14 0 +13 *2474:mprj_io_analog_pol[2] *2474:mprj_io_dm[6] 0 +14 *104:40 *1924:13 0 +15 *471:40 *2474:mprj_io_dm[6] 0.00019711 +16 *485:50 *1924:14 0.000892143 +17 *1850:13 *1924:13 3.84497e-05 +18 *1850:14 *1924:14 0.000152316 +*RES +1 *2455:pad_gpio_dm[0] *1924:13 29.2131 +2 *1924:13 *1924:14 106.891 +3 *1924:14 *2474:mprj_io_dm[6] 22.9061 +*END + +*D_NET *1925 0.00899989 +*CONN +*I *2474:mprj_io_dm[70] I *D chip_io_alt +*I *2470:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[70] 0.000159542 +2 *2470:pad_gpio_dm[1] 0.000296138 +3 *1925:8 0.00258179 +4 *1925:7 0.00271838 +5 *2474:mprj_io_dm[70] *2300:18 8.6956e-05 +6 *1925:7 *1926:12 1.58718e-05 +7 *1925:7 *1954:10 1.60578e-06 +8 *1925:8 *2474:mprj_io_out[23] 0.000261286 +9 *1925:8 *2474:mprj_io_slow_sel[23] 0.00216912 +10 *1925:8 *2474:mprj_io_vtrip_sel[23] 0.000390434 +11 *1925:8 *2008:11 0 +12 *1925:8 *2062:8 0 +13 *1925:8 *2300:18 0.000232116 +14 *1846:8 *2474:mprj_io_dm[70] 0 +15 *1846:8 *1925:8 0 +16 *1923:7 *1925:7 8.66526e-05 +*RES +1 *2470:pad_gpio_dm[1] *1925:7 4.12039 +2 *1925:7 *1925:8 81.5604 +3 *1925:8 *2474:mprj_io_dm[70] 5.19065 +*END + +*D_NET *1926 0.0185865 +*CONN +*I *2474:mprj_io_dm[71] I *D chip_io_alt +*I *2470:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[71] 0.000352335 +2 *2470:pad_gpio_dm[2] 0.000873857 +3 *1926:16 0.0013783 +4 *1926:15 0.0015974 +5 *1926:12 0.00144529 +6 *2474:mprj_io_dm[71] *2474:mprj_io_holdover[23] 0.000555807 +7 *2474:mprj_io_dm[71] *2318:10 0 +8 *1926:12 *1954:10 0.00205058 +9 *1926:16 *2300:21 0.000315878 +10 *2474:mprj_io_analog_sel[23] *2474:mprj_io_dm[71] 0 +11 *494:20 *1926:16 0.000737797 +12 *495:44 *1926:12 0.000615079 +13 *513:14 *1926:16 0.000873795 +14 *1792:8 *1926:16 0.00366586 +15 *1819:8 *1926:16 0.00410865 +16 *1925:7 *1926:12 1.58718e-05 +*RES +1 *2470:pad_gpio_dm[2] *1926:12 40.9763 +2 *1926:12 *1926:15 17.4247 +3 *1926:15 *1926:16 87.7892 +4 *1926:16 *2474:mprj_io_dm[71] 20.4579 +*END + +*D_NET *1927 0.0186285 +*CONN +*I *2474:mprj_io_dm[72] I *D chip_io_alt +*I *2446:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[72] 6.87872e-05 +2 *2446:pad_gpio_dm[0] 0.000882926 +3 *1927:26 2.68684e-05 +4 *1927:15 0.00135082 +5 *1927:14 0.00128203 +6 *1927:12 0.00377319 +7 *1927:10 0.00443223 +8 *1927:7 0.0015151 +9 *1927:15 *2474:mprj_io_dm[73] 0.00019711 +10 *1927:15 *2474:mprj_io_slow_sel[24] 0.000223156 +11 *1927:15 *2009:10 0 +12 *1927:15 *2009:11 0 +13 *1927:15 *2301:10 0.00019711 +14 *1927:15 *2301:11 0.00281143 +15 *1927:15 *2319:10 0.00019711 +16 *2474:mprj_io_analog_en[24] *1927:15 8.1645e-05 +17 *2474:mprj_io_analog_pol[24] *2474:mprj_io_dm[72] 4.81588e-05 +18 *2474:mprj_io_analog_pol[24] *1927:15 0.0010243 +19 *477:26 *1927:15 0.000140228 +20 *486:44 *1927:15 0.000376332 +21 *1793:14 *1927:15 0 +*RES +1 *2446:pad_gpio_dm[0] *1927:7 31.9168 +2 *1927:7 *1927:10 34.1322 +3 *1927:10 *1927:12 105.266 +4 *1927:12 *1927:14 4.5 +5 *1927:14 *1927:15 65.158 +6 *1927:15 *2474:mprj_io_dm[72] 2.4793 +7 *2446:pad_gpio_dm[0] *1927:26 0.0631875 +*END + +*D_NET *1928 0.0140516 +*CONN +*I *2474:mprj_io_dm[73] I *D chip_io_alt +*I *2446:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[73] 0.000356304 +2 *2446:pad_gpio_dm[1] 0.000441046 +3 *1928:8 0.00108068 +4 *1928:7 0.00116542 +5 *2474:mprj_io_dm[73] *2319:10 0 +6 *1928:7 *1929:7 0.000213351 +7 *1928:8 *1955:14 0.0021552 +8 *1928:8 *2090:8 0.00504306 +9 *2446:serial_load_out *1928:8 0.000555017 +10 *2474:mprj_io_analog_pol[24] *2474:mprj_io_dm[73] 0 +11 *514:14 *1928:8 0.0028444 +12 *1793:14 *1928:8 0 +13 *1847:7 *1928:7 0 +14 *1927:15 *2474:mprj_io_dm[73] 0.00019711 +*RES +1 *2446:pad_gpio_dm[1] *1928:7 4.8088 +2 *1928:7 *1928:8 81.3528 +3 *1928:8 *2474:mprj_io_dm[73] 18.4693 +*END + +*D_NET *1929 0.0197507 +*CONN +*I *2474:mprj_io_dm[74] I *D chip_io_alt +*I *2446:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[74] 0.000458863 +2 *2446:pad_gpio_dm[2] 0.000731532 +3 *1929:20 0.00114864 +4 *1929:19 0.00140298 +5 *1929:8 0.00131691 +6 *1929:7 0.00133523 +7 *2474:mprj_io_dm[74] *2474:mprj_io_holdover[24] 5.6259e-05 +8 *1929:7 *1955:7 0.000133659 +9 *1929:7 *1982:7 0 +10 *1929:8 *1982:8 0.00352518 +11 *1929:19 *2474:mprj_io_slow_sel[24] 5.04829e-06 +12 *1929:20 *2063:8 0.00191662 +13 *1929:20 *2090:8 0 +14 *2446:serial_load_out *1929:20 0.000237742 +15 *474:54 *1929:19 0.000149105 +16 *476:22 *1929:8 0.000313476 +17 *494:20 *1929:20 0.000182793 +18 *514:8 *1929:20 0.00307228 +19 *1847:7 *1929:7 0 +20 *1847:10 *1929:8 0.00300408 +21 *1847:14 *1929:8 2.82741e-05 +22 *1847:14 *1929:19 4.61962e-05 +23 *1847:16 *1929:19 0.00047253 +24 *1928:7 *1929:7 0.000213351 +*RES +1 *2446:pad_gpio_dm[2] *1929:7 5.49721 +2 *1929:7 *1929:8 56.8529 +3 *1929:8 *1929:19 40.2494 +4 *1929:19 *1929:20 49.586 +5 *1929:20 *2474:mprj_io_dm[74] 20.5512 +*END + +*D_NET *1930 0.0136294 +*CONN +*I *2474:mprj_io_dm[75] I *D chip_io_alt +*I *2447:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[75] 0.000309724 +2 *2447:pad_gpio_dm[0] 0.00108372 +3 *1930:12 0.00100311 +4 *1930:10 0.0017771 +5 *1930:10 *1931:7 0.000163911 +6 *1930:10 *1931:8 0 +7 *1930:10 *1932:7 0 +8 *1930:10 *2118:8 0.000710539 +9 *1930:12 *1931:8 0 +10 *2448:serial_load *1930:10 0.000197477 +11 *2448:serial_load *1930:12 0.000555176 +12 *2474:mprj_io_analog_pol[25] *2474:mprj_io_dm[75] 0.000118972 +13 *515:8 *1930:12 0 +14 *1794:16 *1930:10 7.8756e-07 +15 *1794:16 *1930:12 1.7607e-05 +16 *1821:16 *1930:12 0.00356168 +17 *1848:16 *1930:12 0.00412961 +*RES +1 *2447:pad_gpio_dm[0] *1930:10 29.7207 +2 *1930:10 *1930:12 66.819 +3 *1930:12 *2474:mprj_io_dm[75] 17.2236 +*END + +*D_NET *1931 0.00883908 +*CONN +*I *2474:mprj_io_dm[76] I *D chip_io_alt +*I *2447:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[76] 0.000595594 +2 *2447:pad_gpio_dm[1] 0.000247746 +3 *1931:8 0.00285106 +4 *1931:7 0.00250321 +5 *2474:mprj_io_dm[76] *2474:mprj_io_slow_sel[25] 0.00019711 +6 *1931:7 *1932:7 0.000163911 +7 *1931:8 *2118:8 0 +8 *1931:8 *2172:8 0 +9 *2448:serial_load *2474:mprj_io_dm[76] 0.000190544 +10 *2448:serial_load *1931:8 0.000556537 +11 *515:8 *2474:mprj_io_dm[76] 0.00136946 +12 *515:8 *1931:8 0 +13 *515:12 *1931:8 0 +14 *1821:16 *1931:8 0 +15 *1930:10 *1931:7 0.000163911 +16 *1930:10 *1931:8 0 +17 *1930:12 *1931:8 0 +*RES +1 *2447:pad_gpio_dm[1] *1931:7 4.42635 +2 *1931:7 *1931:8 62.2512 +3 *1931:8 *2474:mprj_io_dm[76] 34.5608 +*END + +*D_NET *1932 0.0161829 +*CONN +*I *2474:mprj_io_dm[77] I *D chip_io_alt +*I *2447:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[77] 0.000457476 +2 *2447:pad_gpio_dm[2] 0.000549435 +3 *1932:8 0.00199689 +4 *1932:7 0.00208885 +5 *2474:mprj_io_dm[77] *2474:mprj_io_holdover[25] 0 +6 *2474:mprj_io_dm[77] *2474:mprj_io_out[25] 0.000351956 +7 *1932:7 *1956:11 0.000314753 +8 *1932:8 *1956:14 0.00555134 +9 *1932:8 *2145:8 0.00100072 +10 *102:44 *1932:8 0.00370754 +11 *1930:10 *1932:7 0 +12 *1931:7 *1932:7 0.000163911 +*RES +1 *2447:pad_gpio_dm[2] *1932:7 5.49721 +2 *1932:7 *1932:8 122.048 +3 *1932:8 *2474:mprj_io_dm[77] 22.6302 +*END + +*D_NET *1933 0.0127151 +*CONN +*I *2474:mprj_io_dm[78] I *D chip_io_alt +*I *2448:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[78] 0.000307373 +2 *2448:pad_gpio_dm[0] 0.00024976 +3 *1933:8 0.00146456 +4 *1933:7 0.00140695 +5 *1933:7 *1934:7 0.000206243 +6 *1933:8 *1934:8 0 +7 *1933:8 *2065:16 0.0049472 +8 *1933:8 *2146:21 0.00167049 +9 *2474:mprj_io_analog_pol[26] *2474:mprj_io_dm[78] 0.000152048 +10 *2474:mprj_io_analog_pol[26] *1933:8 0 +11 *1822:8 *1933:8 0.00174438 +12 *1849:7 *1933:7 0.000292127 +13 *1849:8 *1933:8 0.000274013 +*RES +1 *2448:pad_gpio_dm[0] *1933:7 4.88529 +2 *1933:7 *1933:8 91.3188 +3 *1933:8 *2474:mprj_io_dm[78] 18.3328 +*END + +*D_NET *1934 0.00712937 +*CONN +*I *2474:mprj_io_dm[79] I *D chip_io_alt +*I *2448:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[79] 0.000260813 +2 *2448:pad_gpio_dm[1] 0.000216748 +3 *1934:8 0.00321205 +4 *1934:7 0.00316799 +5 *1795:8 *2474:mprj_io_dm[79] 6.55232e-05 +6 *1795:8 *1934:8 0 +7 *1822:8 *1934:8 0 +8 *1933:7 *1934:7 0.000206243 +9 *1933:8 *1934:8 0 +*RES +1 *2448:pad_gpio_dm[1] *1934:7 4.42635 +2 *1934:7 *1934:8 81.3528 +3 *1934:8 *2474:mprj_io_dm[79] 16.2509 +*END + +*D_NET *1935 0.0185692 +*CONN +*I *2474:mprj_io_dm[7] I *D chip_io_alt +*I *2455:pad_gpio_dm[1] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[7] 0.000361343 +2 *2455:pad_gpio_dm[1] 0.000576536 +3 *1935:24 0.00146837 +4 *1935:23 0.00165075 +5 *1935:14 0.00107152 +6 *1935:13 0.00110434 +7 *1935:13 *2455:pad_gpio_in 1.75554e-05 +8 *1935:13 *1937:13 0.0012027 +9 *1935:13 *1958:13 5.94319e-06 +10 *1935:13 *1985:13 1.27831e-06 +11 *1935:14 *1937:14 0.000152316 +12 *1935:14 *2066:14 0 +13 *1935:23 *2474:mprj_io_vtrip_sel[2] 5.04829e-06 +14 *1935:23 *2012:11 1.2693e-05 +15 *1935:24 *1958:14 0.000918736 +16 *1935:24 *2012:11 0 +17 *1935:24 *2066:14 0.00108008 +18 *104:40 *1935:13 6.09999e-05 +19 *104:50 *1935:24 0.000691052 +20 *471:40 *2474:mprj_io_dm[7] 0.00019711 +21 *480:14 *1935:14 0.000393517 +22 *480:14 *1935:23 0.00113596 +23 *485:50 *1935:14 0.000459689 +24 *489:45 *1935:24 0 +25 *1823:19 *1935:14 0.00203363 +26 *1823:20 *1935:23 0.00106726 +27 *1924:13 *1935:13 3.84497e-05 +28 *1924:14 *1935:14 0.0028526 +29 *1924:14 *1935:23 9.71323e-06 +30 *1924:14 *1935:24 0 +*RES +1 *2455:pad_gpio_dm[1] *1935:13 27.5028 +2 *1935:13 *1935:14 51.0394 +3 *1935:14 *1935:23 35.3531 +4 *1935:23 *1935:24 54.569 +5 *1935:24 *2474:mprj_io_dm[7] 17.9147 +*END + +*D_NET *1936 0.0191221 +*CONN +*I *2474:mprj_io_dm[80] I *D chip_io_alt +*I *2448:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[80] 0.000532378 +2 *2448:pad_gpio_dm[2] 0.000461169 +3 *1936:16 0.00207946 +4 *1936:15 0.00293486 +5 *1936:12 0.00184895 +6 *2474:mprj_io_dm[80] *2474:mprj_io_holdover[26] 0 +7 *2474:mprj_io_dm[80] *2474:mprj_io_out[26] 0.000909311 +8 *2474:mprj_io_dm[80] *2474:mprj_io_vtrip_sel[26] 0.000121586 +9 *1936:12 *2146:10 0.00209916 +10 *1936:12 *2173:10 0.00210743 +11 *1936:15 *2146:15 0 +12 *1936:16 *2119:16 0.00448345 +13 *2474:mprj_io_analog_sel[26] *2474:mprj_io_dm[80] 0.00154439 +*RES +1 *2448:pad_gpio_dm[2] *1936:12 41.8068 +2 *1936:12 *1936:15 39.6088 +3 *1936:15 *1936:16 71.5944 +4 *1936:16 *2474:mprj_io_dm[80] 36.9314 +*END + +*D_NET *1937 0.0163621 +*CONN +*I *2474:mprj_io_dm[8] I *D chip_io_alt +*I *2455:pad_gpio_dm[2] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[8] 0.000557226 +2 *2455:pad_gpio_dm[2] 0.000383523 +3 *1937:14 0.00153217 +4 *1937:13 0.00135847 +5 *2474:mprj_io_dm[8] *2474:mprj_io_holdover[2] 1.67745e-05 +6 *1937:13 *1958:13 0.000858636 +7 *1937:14 *2066:14 0 +8 *104:40 *1937:13 4.2993e-05 +9 *467:48 *1937:14 0.000850726 +10 *471:40 *2474:mprj_io_dm[8] 0.00019711 +11 *1850:14 *1937:14 0.00420358 +12 *1924:14 *1937:14 0.00500591 +13 *1935:13 *1937:13 0.0012027 +14 *1935:14 *1937:14 0.000152316 +*RES +1 *2455:pad_gpio_dm[2] *1937:13 27.7022 +2 *1937:13 *1937:14 85.2977 +3 *1937:14 *2474:mprj_io_dm[8] 22.3515 +*END + +*D_NET *1938 0.0179777 +*CONN +*I *2474:mprj_io_dm[9] I *D chip_io_alt +*I *2456:pad_gpio_dm[0] O *D gpio_control_block +*CAP +1 *2474:mprj_io_dm[9] 0.000512478 +2 *2456:pad_gpio_dm[0] 0.000638006 +3 *1938:18 0.00112205 +4 *1938:16 0.000990358 +5 *1938:13 0.00101879 +6 *1938:13 *1959:15 0.000521541 +7 *1938:16 *2067:22 6.34651e-06 +8 *1938:16 *2175:14 0.00277594 +9 *1938:18 *2067:22 0.00339598 +10 *1938:18 *2148:26 0 +11 *1938:18 *2175:14 2.6506e-05 +12 *2474:mprj_io_analog_en[3] *2474:mprj_io_dm[9] 0.000118972 +13 *105:32 *1938:13 0.000145708 +14 *472:32 *1938:13 0 +15 *1824:13 *1938:13 0 +16 *1824:20 *1938:18 0.00358983 +17 *1851:15 *1938:13 1.67063e-05 +18 *1859:13 *1938:13 7.11756e-05 +19 *1859:16 *1938:16 0.00273444 +20 *1859:18 *1938:16 9.71323e-06 +21 *1859:18 *1938:18 0.000266553 +22 *1860:13 *1938:13 1.65872e-05 +*RES +1 *2456:pad_gpio_dm[0] *1938:13 27.1613 +2 *1938:13 *1938:16 45.1281 +3 *1938:16 *1938:18 63.0817 +4 *1938:18 *2474:mprj_io_dm[9] 21.6604 +*END + +*D_NET *1939 0.0111597 +*CONN +*I *2474:mprj_io_holdover[0] I *D chip_io_alt +*I *2444:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2474:mprj_io_holdover[0] 0.000478212 +2 *2444:pad_gpio_holdover 0.000208113 +3 *1939:10 0.00126155 +4 *1939:7 0.000991449 +5 *2474:mprj_io_holdover[0] *2474:mprj_io_ib_mode_sel[0] 0.000215112 +6 *2474:mprj_io_holdover[0] *2474:mprj_io_oeb[0] 0.00120376 +7 *2474:mprj_io_holdover[0] *2474:mprj_io_out[0] 0.0006713 +8 *2474:mprj_io_holdover[0] *2474:mprj_io_vtrip_sel[0] 0.000202472 +9 *2474:mprj_io_holdover[0] *2074:8 0 +10 *1939:7 *1966:13 3.84497e-05 +11 *1939:7 *2047:13 0 +12 *1939:10 *2474:mprj_io_oeb[0] 6.99044e-06 +13 *1939:10 *2074:8 0.00095923 +14 *1939:10 *2101:14 0 +15 *2474:mprj_io_dm[2] *2474:mprj_io_holdover[0] 0 +16 *1880:10 *1939:7 0.000149197 +17 *1880:10 *1939:10 0.00156229 +18 *1880:12 *2474:mprj_io_holdover[0] 0.00264709 +19 *1880:12 *1939:10 0.000564466 +*RES +1 *2444:pad_gpio_holdover *1939:7 4.19688 +2 *1939:7 *1939:10 47.412 +3 *1939:10 *2474:mprj_io_holdover[0] 43.7358 +*END + +*D_NET *1940 0.0745467 +*CONN +*I *2474:mprj_io_holdover[10] I *D chip_io_alt +*I *2451:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2474:mprj_io_holdover[10] 6.57896e-05 +2 *2451:pad_gpio_holdover 0.00201333 +3 *1940:18 0.00307069 +4 *1940:10 0.00501823 +5 *2474:mprj_io_holdover[10] *2474:mprj_io_out[10] 3.5534e-06 +6 *1940:10 *1965:8 4.73471e-05 +7 *1940:10 *1967:13 0.00064178 +8 *1940:10 *2073:52 0.000216974 +9 *1940:10 *2102:10 0.00887763 +10 *1940:10 *2156:17 0.00624268 +11 *1940:10 *2181:8 0.000327914 +12 *1940:18 *2474:mprj_io_out[10] 0.0184682 +13 *1940:18 *2474:mprj_io_vtrip_sel[10] 0.00604591 +14 *1940:18 *1994:11 0.000796897 +15 *1940:18 *1994:20 0.000393461 +16 *1940:18 *2073:52 0.000220926 +17 *1940:18 *2076:14 0.000163367 +18 *1940:18 *2102:10 0.00195299 +19 *1940:18 *2103:18 0.00200001 +20 *1940:18 *2129:14 0.00210671 +21 *1940:18 *2156:17 0.0137134 +22 *2474:mprj_io_dm[32] *2474:mprj_io_holdover[10] 0 +23 *2474:mprj_io_dm[32] *1940:18 0 +24 *2474:mprj_io_dm[28] *1940:10 0.000229279 +25 *1830:14 *1940:10 0.000994168 +26 *1878:14 *1940:10 0.000892259 +27 *1883:13 *1940:10 4.31122e-05 +*RES +1 *2451:pad_gpio_holdover *1940:10 21.0084 +2 *1940:10 *1940:18 49.9866 +3 *1940:18 *2474:mprj_io_holdover[10] 2.65029 +*END + +*D_NET *1941 0.071898 +*CONN +*I *2474:mprj_io_holdover[11] I *D chip_io_alt +*I *2452:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2474:mprj_io_holdover[11] 0.00076212 +2 *2452:pad_gpio_holdover 0.000827887 +3 *1941:8 0.00684389 +4 *1941:7 0.00690965 +5 *2474:mprj_io_holdover[11] *2474:mprj_io_out[11] 9.56772e-06 +6 *1941:7 *1968:13 2.72062e-05 +7 *1941:8 *2474:mprj_io_vtrip_sel[10] 0.000638173 +8 *1941:8 *1968:29 0.0219919 +9 *1941:8 *1969:13 0.00899269 +10 *1941:8 *2048:20 0.00060398 +11 *1941:8 *2075:8 0.014545 +12 *1941:8 *2076:29 0.000273717 +13 *1941:8 *2104:14 0.00138607 +14 *1941:8 *2157:18 0.000450023 +15 *1941:8 *2157:20 0.000630129 +16 *2474:mprj_io_dm[35] *2474:mprj_io_holdover[11] 8.36001e-05 +17 *501:14 *1941:8 0.0014791 +18 *502:18 *1941:8 0.000424884 +19 *1834:14 *1941:8 0.00021747 +20 *1884:14 *1941:8 0.00397172 +21 *1886:7 *1941:7 0.00020453 +22 *1886:8 *1941:8 0.000415645 +23 *1889:18 *2474:mprj_io_holdover[11] 0.000209054 +*RES +1 *2452:pad_gpio_holdover *1941:7 2.5433 +2 *1941:7 *1941:8 63.1462 +3 *1941:8 *2474:mprj_io_holdover[11] 8.61788 +*END + +*D_NET *1942 0.0523181 +*CONN +*I *2474:mprj_io_holdover[12] I *D chip_io_alt +*I *2453:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2474:mprj_io_holdover[12] 0.000144466 +2 *2453:pad_gpio_holdover 0.000760007 +3 *1942:40 0.00124948 +4 *1942:39 0.00132589 +5 *1942:34 0.00601519 +6 *1942:33 0.00670708 +7 *1942:26 0.00163504 +8 *1942:22 0.00159643 +9 *1942:14 0.00188408 +10 *1942:13 0.00100993 +11 *1942:11 0.000760007 +12 *2474:mprj_io_holdover[12] *2474:mprj_io_out[12] 0 +13 *1942:11 *1969:13 8.24797e-05 +14 *1942:11 *2050:13 0.000304291 +15 *1942:11 *2131:10 0 +16 *1942:11 *2359:13 0.000313481 +17 *1942:14 *2474:mprj_io_out[11] 0.000220988 +18 *1942:14 *2050:18 4.3116e-06 +19 *1942:22 *1969:13 0.000163237 +20 *1942:22 *1996:38 5.04829e-06 +21 *1942:22 *2049:27 6.08467e-05 +22 *1942:22 *2131:15 6.46773e-05 +23 *1942:26 *1968:29 0.000295104 +24 *1942:34 *2022:7 0 +25 *1942:40 *2474:mprj_io_inp_dis[12] 0 +26 *1942:40 *1969:17 0.000575174 +27 *1942:40 *2050:18 0.00120212 +28 *1942:40 *2324:13 0.00163428 +29 *2453:serial_data_in *1942:11 4.89469e-06 +30 *2453:serial_load *1942:11 0.00032161 +31 *2474:mprj_io_analog_sel[11] *1942:14 0.000538784 +32 *2474:mprj_io_dm[38] *2474:mprj_io_holdover[12] 0 +33 *2474:mprj_io_dm[38] *1942:40 0.000689779 +34 *102:60 *1942:11 0.00039558 +35 *103:15 *1942:11 5.35541e-05 +36 *502:13 *1942:11 4.88112e-06 +37 *502:18 *1942:22 0.00174278 +38 *502:18 *1942:26 0.000939007 +39 *502:22 *1942:26 1.07248e-05 +40 *502:22 *1942:33 0.000835872 +41 *1779:14 *1942:14 0 +42 *1779:20 *1942:22 9.71323e-06 +43 *1779:20 *1942:26 0.000570713 +44 *1806:18 *1942:14 0.0049269 +45 *1806:26 *1942:22 0.000158451 +46 *1807:20 *1942:40 0.00114814 +47 *1834:14 *1942:26 0.00106572 +48 *1834:14 *1942:33 0.00172233 +49 *1885:20 *1942:22 0.000826814 +50 *1889:7 *1942:11 7.68331e-05 +51 *1889:8 *1942:11 0.00213893 +52 *1889:8 *1942:14 0 +53 *1889:12 *1942:14 0.00342862 +54 *1889:18 *1942:34 0 +55 *1889:25 *1942:34 0.00232445 +56 *1889:25 *1942:39 0.00036939 +*RES +1 *2453:pad_gpio_holdover *1942:11 47.0706 +2 *1942:11 *1942:13 4.5 +3 *1942:13 *1942:14 79.4842 +4 *1942:14 *1942:22 49.8953 +5 *1942:22 *1942:26 45.1892 +6 *1942:26 *1942:33 49.9958 +7 *1942:33 *1942:34 178.314 +8 *1942:34 *1942:39 15.2694 +9 *1942:39 *1942:40 69.3105 +10 *1942:40 *2474:mprj_io_holdover[12] 4.01818 +*END + +*D_NET *1943 0.018437 +*CONN +*I *2474:mprj_io_holdover[13] I *D chip_io_alt +*I *2454:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2474:mprj_io_holdover[13] 0.00050888 +2 *2454:pad_gpio_holdover 2.68958e-05 +3 *1943:13 0.000701379 +4 *1943:8 0.000749 +5 *1943:7 0.000583396 +6 *2474:mprj_io_holdover[13] *2474:mprj_io_out[13] 0.00205535 +7 *2474:mprj_io_holdover[13] *1997:19 0.00247028 +8 *1943:8 *2078:5 0.00255514 +9 *1943:8 *2105:5 0.00359925 +10 *1943:13 *2105:10 0.00227622 +11 *2474:mprj_io_dm[41] *2474:mprj_io_holdover[13] 8.10016e-06 +12 *1835:13 *1943:13 1.65872e-05 +13 *1893:8 *1943:8 0.000510588 +14 *1893:13 *1943:13 0.00237598 +*RES +1 *2454:pad_gpio_holdover *1943:7 3.43197 +2 *1943:7 *1943:8 58.0987 +3 *1943:8 *1943:13 34.126 +4 *1943:13 *2474:mprj_io_holdover[13] 43.3572 +*END + +*D_NET *1944 0.0210705 +*CONN +*I *2474:mprj_io_holdover[14] I *D chip_io_alt +*I *2461:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2474:mprj_io_holdover[14] 0.00036066 +2 *2461:pad_gpio_holdover 0.000389738 +3 *1944:8 0.00152836 +4 *1944:7 0.00155744 +5 *2474:mprj_io_holdover[14] *2474:mprj_io_oeb[14] 0.000118972 +6 *2474:mprj_io_holdover[14] *2025:10 1.92336e-05 +7 *2474:mprj_io_holdover[14] *2106:21 0 +8 *2474:mprj_io_holdover[14] *2326:14 0.000776313 +9 *1944:7 *1971:7 0.000334486 +10 *1944:8 *1971:8 0.00805653 +11 *1896:7 *1944:7 0.000344082 +12 *1896:8 *1944:8 0.00758469 +*RES +1 *2461:pad_gpio_holdover *1944:7 5.34423 +2 *1944:7 *1944:8 129.107 +3 *1944:8 *2474:mprj_io_holdover[14] 21.1058 +*END + +*D_NET *1945 0.020881 +*CONN +*I *2474:mprj_io_holdover[15] I *D chip_io_alt +*I *2462:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2474:mprj_io_holdover[15] 9.83336e-05 +2 *2462:pad_gpio_holdover 0.00055349 +3 *1945:23 0.000802393 +4 *1945:16 0.0011612 +5 *1945:13 0.000558586 +6 *1945:8 0.00058313 +7 *1945:7 0.00103517 +8 *2474:mprj_io_holdover[15] *2474:mprj_io_out[15] 0 +9 *2474:mprj_io_holdover[15] *2107:14 0.000202472 +10 *1945:7 *2053:7 0 +11 *1945:13 *2080:13 5.04829e-06 +12 *1945:16 *1972:24 0.00236312 +13 *1945:16 *2080:14 1.72818e-05 +14 *1945:16 *2161:8 0.00254589 +15 *1945:23 *1972:24 1.41761e-05 +16 *1945:23 *1972:26 0.00173412 +17 *1945:23 *2080:14 0.00178621 +18 *2474:mprj_io_dm[47] *2474:mprj_io_holdover[15] 0 +19 *489:8 *1945:8 0.00357093 +20 *517:20 *1945:8 6.80434e-05 +21 *1899:7 *1945:7 0.0003554 +22 *1899:8 *1945:8 0.003426 +*RES +1 *2462:pad_gpio_holdover *1945:7 5.42072 +2 *1945:7 *1945:8 57.2682 +3 *1945:8 *1945:13 10.8326 +4 *1945:13 *1945:16 43.1984 +5 *1945:16 *1945:23 48.322 +6 *1945:23 *2474:mprj_io_holdover[15] 3.93268 +*END + +*D_NET *1946 0.0175228 +*CONN +*I *2474:mprj_io_holdover[16] I *D chip_io_alt +*I *2463:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2474:mprj_io_holdover[16] 0.000457123 +2 *2463:pad_gpio_holdover 0.000387705 +3 *1946:17 0.00126732 +4 *1946:8 0.00173704 +5 *1946:7 0.00131455 +6 *2474:mprj_io_holdover[16] *2474:mprj_io_out[16] 0 +7 *2474:mprj_io_holdover[16] *2027:9 0.000505268 +8 *1946:7 *1973:7 0.000311332 +9 *1946:8 *2135:8 0.00467566 +10 *1946:17 *2054:20 1.2693e-05 +11 *1946:17 *2108:16 0.00147144 +12 *2474:mprj_io_dm[50] *2474:mprj_io_holdover[16] 0.00106051 +13 *2474:mprj_io_dm[50] *1946:17 8.99726e-05 +14 *518:8 *1946:8 0.000313919 +15 *1784:8 *1946:8 0.000743997 +16 *1838:8 *1946:8 0.000287034 +17 *1838:14 *2474:mprj_io_holdover[16] 0 +18 *1838:14 *1946:8 0 +19 *1838:14 *1946:17 0 +20 *1900:7 *1946:7 0 +21 *1901:7 *1946:7 0 +22 *1903:12 *1946:7 2.44479e-06 +23 *1903:16 *1946:8 0.00287504 +24 *1903:16 *1946:17 9.71323e-06 +*RES +1 *2463:pad_gpio_holdover *1946:7 5.03827 +2 *1946:7 *1946:8 85.7129 +3 *1946:8 *1946:17 40.8879 +4 *1946:17 *2474:mprj_io_holdover[16] 23.2785 +*END + +*D_NET *1947 0.0193727 +*CONN +*I *2474:mprj_io_holdover[17] I *D chip_io_alt +*I *2464:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2474:mprj_io_holdover[17] 5.39416e-05 +2 *2464:pad_gpio_holdover 0.000567772 +3 *1947:23 0.000559455 +4 *1947:17 0.00161149 +5 *1947:13 0.0012607 +6 *1947:8 0.000719481 +7 *1947:7 0.00113253 +8 *2474:mprj_io_holdover[17] *1974:24 6.11344e-05 +9 *1947:7 *1974:7 0.000128271 +10 *1947:8 *2109:8 9.97028e-05 +11 *1947:13 *2294:14 0.000104572 +12 *1947:17 *2109:18 0.000591666 +13 *1947:23 *2474:mprj_io_out[17] 0.000130106 +14 *1947:23 *2163:14 0.00039759 +15 *1947:23 *2163:23 0.00110851 +16 *1947:23 *2312:10 0.0003295 +17 *106:14 *1947:8 0.00383441 +18 *491:25 *1947:13 1.61631e-05 +19 *491:28 *1947:23 0.00192077 +20 *1812:23 *1947:17 0.00112352 +21 *1905:7 *1947:7 0 +22 *1905:8 *1947:8 0.00353321 +23 *1906:7 *1947:7 8.81646e-05 +*RES +1 *2464:pad_gpio_holdover *1947:7 5.26774 +2 *1947:7 *1947:8 61.8359 +3 *1947:8 *1947:13 12.4964 +4 *1947:13 *1947:17 46.0947 +5 *1947:17 *1947:23 48.3875 +6 *1947:23 *2474:mprj_io_holdover[17] 6.17933 +*END + +*D_NET *1948 0.0417914 +*CONN +*I *2474:mprj_io_holdover[18] I *D chip_io_alt +*I *2465:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2474:mprj_io_holdover[18] 0.000621255 +2 *2465:pad_gpio_holdover 0.00194073 +3 *1948:22 0.00135421 +4 *1948:21 0.000732959 +5 *1948:19 0.000788359 +6 *1948:18 0.00121471 +7 *1948:15 0.00236708 +8 *2474:mprj_io_holdover[18] *2295:13 0.000125378 +9 *1948:15 *1975:12 0.00181004 +10 *1948:15 *1975:13 0.000897912 +11 *1948:19 *1975:19 0.0105905 +12 *1948:22 *2474:mprj_io_inp_dis[18] 0.000925994 +13 *1948:22 *2164:10 0.000524464 +14 *1948:22 *2295:13 0 +15 *2474:mprj_io_analog_sel[18] *2474:mprj_io_holdover[18] 0.000674897 +16 *2474:mprj_io_dm[56] *2474:mprj_io_holdover[18] 0 +17 *107:27 *1948:19 0.00993659 +18 *107:57 *2474:mprj_io_holdover[18] 0 +19 *107:57 *1948:22 0.00157442 +20 *107:58 *2474:mprj_io_holdover[18] 0.000253457 +21 *1786:18 *1948:22 0.00266595 +22 *1908:10 *1948:22 0.00277522 +23 *1909:10 *1948:22 0 +24 *1909:16 *1948:22 1.72799e-05 +*RES +1 *2465:pad_gpio_holdover *1948:15 44.114 +2 *1948:15 *1948:18 16.0904 +3 *1948:18 *1948:19 111.09 +4 *1948:19 *1948:21 4.5 +5 *1948:21 *1948:22 70.7639 +6 *1948:22 *2474:mprj_io_holdover[18] 34.0263 +*END + +*D_NET *1949 0.0194929 +*CONN +*I *2474:mprj_io_holdover[19] I *D chip_io_alt +*I *2466:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2474:mprj_io_holdover[19] 0.000449568 +2 *2466:pad_gpio_holdover 0.000401991 +3 *1949:17 0.00111751 +4 *1949:8 0.00149511 +5 *1949:7 0.00122916 +6 *2474:mprj_io_holdover[19] *2474:mprj_io_out[19] 0 +7 *2474:mprj_io_holdover[19] *2030:15 0.000435402 +8 *1949:7 *1976:7 0.000149185 +9 *1949:7 *2057:13 0 +10 *1949:8 *2057:13 0.000520871 +11 *1949:8 *2084:8 4.61488e-05 +12 *1949:8 *2138:10 1.2819e-05 +13 *1949:8 *2138:12 1.3813e-05 +14 *1949:8 *2165:14 0.00340576 +15 *1949:8 *2165:27 0.00065321 +16 *1949:17 *2084:8 0.000543776 +17 *1949:17 *2111:14 0.00168129 +18 *2474:mprj_io_analog_sel[19] *2474:mprj_io_holdover[19] 0.000674897 +19 *2474:mprj_io_dm[59] *2474:mprj_io_holdover[19] 4.35659e-05 +20 *474:42 *1949:8 0.000357167 +21 *475:16 *2474:mprj_io_holdover[19] 0 +22 *1814:8 *1949:8 0.000276812 +23 *1814:8 *1949:17 0.000588095 +24 *1912:7 *1949:7 0.000282418 +25 *1912:8 *1949:8 0.00385448 +26 *1912:14 *1949:8 0.00124608 +27 *1912:14 *1949:17 1.3813e-05 +*RES +1 *2466:pad_gpio_holdover *1949:7 5.03827 +2 *1949:7 *1949:8 84.8824 +3 *1949:8 *1949:17 44.8967 +4 *1949:17 *2474:mprj_io_holdover[19] 19.8588 +*END + +*D_NET *1950 0.0135376 +*CONN +*I *2474:mprj_io_holdover[1] I *D chip_io_alt +*I *2445:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2474:mprj_io_holdover[1] 0.000329486 +2 *2445:pad_gpio_holdover 0.000319206 +3 *1950:20 0.000855119 +4 *1950:17 0.000962702 +5 *1950:13 0.000756274 +6 *1950:13 *2445:pad_gpio_in 0.000710303 +7 *1950:13 *1977:7 3.84497e-05 +8 *1950:13 *2112:13 1.92172e-05 +9 *1950:17 *2004:11 0.00258632 +10 *1950:17 *2166:14 0.00258272 +11 *1950:20 *2004:11 0.00283747 +12 *2474:mprj_io_dm[5] *2474:mprj_io_holdover[1] 0.000104034 +13 *485:53 *1950:13 3.93117e-06 +14 *1815:19 *1950:17 5.04829e-06 +15 *1815:20 *1950:20 0.00138386 +16 *1913:13 *1950:13 3.84497e-05 +17 *1913:19 *1950:17 5.04829e-06 +*RES +1 *2445:pad_gpio_holdover *1950:13 21.4514 +2 *1950:13 *1950:17 47.4742 +3 *1950:17 *1950:20 49.9335 +4 *1950:20 *2474:mprj_io_holdover[1] 16.4308 +*END + +*D_NET *1951 0.0165626 +*CONN +*I *2474:mprj_io_holdover[20] I *D chip_io_alt +*I *2467:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2474:mprj_io_holdover[20] 0.00346868 +2 *2467:pad_gpio_holdover 0.00346868 +3 *2474:mprj_io_holdover[20] *2474:mprj_io_ib_mode_sel[20] 0.000304054 +4 *2474:mprj_io_holdover[20] *2474:mprj_io_vtrip_sel[20] 0.000144219 +5 *2474:mprj_io_holdover[20] *2167:19 0.00796673 +6 *2474:mprj_io_analog_sel[20] *2474:mprj_io_holdover[20] 0.000158424 +7 *2474:mprj_io_dm[62] *2474:mprj_io_holdover[20] 8.1662e-05 +8 *109:16 *2474:mprj_io_holdover[20] 0 +9 *1914:15 *2474:mprj_io_holdover[20] 0.000192761 +10 *1916:18 *2474:mprj_io_holdover[20] 0.000777418 +*RES +1 *2467:pad_gpio_holdover *2474:mprj_io_holdover[20] 42.2408 +*END + +*D_NET *1952 0.01978 +*CONN +*I *2474:mprj_io_holdover[21] I *D chip_io_alt +*I *2468:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2474:mprj_io_holdover[21] 0.000563067 +2 *2468:pad_gpio_holdover 0.000441727 +3 *1952:14 0.00169721 +4 *1952:8 0.00179556 +5 *1952:7 0.00110315 +6 *2474:mprj_io_holdover[21] *2474:mprj_io_oeb[21] 2.01595e-05 +7 *2474:mprj_io_holdover[21] *2474:mprj_io_out[21] 0.000258162 +8 *2474:mprj_io_holdover[21] *2087:13 9.09602e-06 +9 *2474:mprj_io_holdover[21] *2298:22 0.000199733 +10 *2474:mprj_io_holdover[21] *2316:13 0.000986711 +11 *1952:7 *1979:10 0.000332207 +12 *1952:8 *1979:10 0.00243375 +13 *1952:8 *1979:14 1.23804e-05 +14 *1952:14 *2087:8 0 +15 *1952:14 *2168:8 0 +16 *2474:mprj_io_analog_sel[21] *2474:mprj_io_holdover[21] 0.000171064 +17 *2474:mprj_io_dm[65] *2474:mprj_io_holdover[21] 0.000208984 +18 *477:14 *1952:8 0.00239469 +19 *494:20 *1952:8 0.000639653 +20 *523:8 *1952:8 0.0048553 +21 *1817:8 *1952:14 0.000102508 +22 *1917:14 *1952:14 0.00122262 +23 *1919:10 *1952:7 0.000332207 +*RES +1 *2468:pad_gpio_holdover *1952:7 5.34423 +2 *1952:7 *1952:8 78.0308 +3 *1952:8 *1952:14 46.1663 +4 *1952:14 *2474:mprj_io_holdover[21] 35.5335 +*END + +*D_NET *1953 0.0204426 +*CONN +*I *2474:mprj_io_holdover[22] I *D chip_io_alt +*I *2469:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2474:mprj_io_holdover[22] 0.000203923 +2 *2469:pad_gpio_holdover 0.000684724 +3 *1953:17 0.000882346 +4 *1953:8 0.0017259 +5 *1953:7 0.0017322 +6 *2474:mprj_io_holdover[22] *2474:mprj_io_out[22] 0.000509942 +7 *1953:7 *1980:7 0.000289454 +8 *1953:8 *1980:16 0.00274197 +9 *1953:17 *2061:16 0.000311015 +10 *1953:17 *2088:12 0.000513485 +11 *1953:17 *2169:16 0.00138822 +12 *2474:mprj_io_analog_sel[22] *2474:mprj_io_holdover[22] 6.30048e-06 +13 *2474:mprj_io_analog_sel[22] *1953:17 3.6583e-05 +14 *2474:mprj_io_dm[66] *1953:17 5.04829e-06 +15 *2474:mprj_io_dm[68] *2474:mprj_io_holdover[22] 6.8952e-05 +16 *2474:mprj_io_dm[68] *1953:17 3.8034e-05 +17 *111:12 *1953:8 0.00347587 +18 *111:16 *1953:8 1.3813e-05 +19 *476:22 *1953:8 0.000946932 +20 *494:20 *1953:17 0.000158897 +21 *524:16 *1953:8 0.00120872 +22 *1845:16 *1953:8 0.00338952 +23 *1920:7 *1953:7 0 +24 *1921:7 *1953:7 0 +25 *1922:7 *1953:7 6.86894e-05 +26 *1922:33 *1953:17 4.20506e-05 +*RES +1 *2469:pad_gpio_holdover *1953:7 5.42072 +2 *1953:7 *1953:8 100.039 +3 *1953:8 *1953:17 45.8605 +4 *1953:17 *2474:mprj_io_holdover[22] 13.9775 +*END + +*D_NET *1954 0.0158747 +*CONN +*I *2474:mprj_io_holdover[23] I *D chip_io_alt +*I *2470:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2474:mprj_io_holdover[23] 0.000403006 +2 *2470:pad_gpio_holdover 0.000835788 +3 *1954:16 0.00230793 +4 *1954:15 0.002245 +5 *1954:10 0.00117587 +6 *2474:mprj_io_holdover[23] *2318:10 0 +7 *1954:10 *2474:mprj_io_ib_mode_sel[23] 1.48814e-06 +8 *1954:10 *2008:11 0.000671123 +9 *1954:15 *2143:13 0.000181266 +10 *1954:16 *2062:8 0.00362966 +11 *2470:mgmt_gpio_out *1954:10 0.000461064 +12 *2474:mprj_io_analog_sel[23] *1954:16 0 +13 *2474:mprj_io_dm[71] *2474:mprj_io_holdover[23] 0.000555807 +14 *112:14 *1954:10 0.000166051 +15 *477:26 *1954:16 0.00070011 +16 *513:14 *2474:mprj_io_holdover[23] 0 +17 *513:14 *1954:16 0.000468185 +18 *1560:35 *1954:10 2.02035e-05 +19 *1846:8 *1954:16 0 +20 *1925:7 *1954:10 1.60578e-06 +21 *1926:12 *1954:10 0.00205058 +*RES +1 *2470:pad_gpio_holdover *1954:10 45.6118 +2 *1954:10 *1954:15 18.5971 +3 *1954:15 *1954:16 80.7299 +4 *1954:16 *2474:mprj_io_holdover[23] 22.0583 +*END + +*D_NET *1955 0.016648 +*CONN +*I *2474:mprj_io_holdover[24] I *D chip_io_alt +*I *2446:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2474:mprj_io_holdover[24] 0.000614091 +2 *2446:pad_gpio_holdover 0.000183525 +3 *1955:14 0.00190319 +4 *1955:13 0.00146004 +5 *1955:8 0.00112826 +6 *1955:7 0.00114084 +7 *2474:mprj_io_holdover[24] *2474:mprj_io_inp_dis[24] 0.000245826 +8 *2474:mprj_io_holdover[24] *2474:mprj_io_out[24] 0.00201554 +9 *1955:7 *1982:7 0.000133659 +10 *1955:8 *2301:11 0.00319213 +11 *1955:14 *1982:19 0 +12 *1955:14 *2090:8 0.000556128 +13 *2446:serial_load_out *1955:14 0.000435822 +14 *2474:mprj_io_analog_pol[24] *1955:14 0 +15 *2474:mprj_io_analog_sel[24] *2474:mprj_io_holdover[24] 0.000171064 +16 *2474:mprj_io_dm[74] *2474:mprj_io_holdover[24] 5.6259e-05 +17 *477:26 *1955:8 0.000229405 +18 *486:44 *2474:mprj_io_holdover[24] 0.000321121 +19 *514:13 *1955:13 5.04829e-06 +20 *514:14 *1955:8 0 +21 *1793:14 *1955:8 0.000567179 +22 *1793:14 *1955:14 0 +23 *1928:8 *1955:14 0.0021552 +24 *1929:7 *1955:7 0.000133659 +*RES +1 *2446:pad_gpio_holdover *1955:7 4.19688 +2 *1955:7 *1955:8 51.8699 +3 *1955:8 *1955:13 13.051 +4 *1955:13 *1955:14 53.7385 +5 *1955:14 *2474:mprj_io_holdover[24] 40.5165 +*END + +*D_NET *1956 0.0194028 +*CONN +*I *2474:mprj_io_holdover[25] I *D chip_io_alt +*I *2447:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2474:mprj_io_holdover[25] 0.000517571 +2 *2447:pad_gpio_holdover 0.000795655 +3 *1956:14 0.00146289 +4 *1956:13 0.000945319 +5 *1956:11 0.000795655 +6 *2474:mprj_io_holdover[25] *2474:mprj_io_out[25] 0.00019711 +7 *1956:11 *1983:7 0.000305156 +8 *1956:11 *1983:8 0.00223599 +9 *1956:11 *2118:21 5.04829e-06 +10 *1956:11 *2145:8 0.00223241 +11 *1956:14 *1983:8 8.00558e-05 +12 *1956:14 *2118:22 0.00148018 +13 *1956:14 *2145:8 0.00242582 +14 *2474:mprj_io_dm[77] *2474:mprj_io_holdover[25] 0 +15 *102:44 *1956:14 5.78792e-05 +16 *1932:7 *1956:11 0.000314753 +17 *1932:8 *1956:14 0.00555134 +*RES +1 *2447:pad_gpio_holdover *1956:11 47.136 +2 *1956:11 *1956:13 4.5 +3 *1956:13 *1956:14 91.5265 +4 *1956:14 *2474:mprj_io_holdover[25] 22.9061 +*END + +*D_NET *1957 0.0205421 +*CONN +*I *2474:mprj_io_holdover[26] I *D chip_io_alt +*I *2448:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2474:mprj_io_holdover[26] 0.000424114 +2 *2448:pad_gpio_holdover 0.000306531 +3 *1957:16 0.00186475 +4 *1957:15 0.00261547 +5 *1957:12 0.00148137 +6 *2474:mprj_io_holdover[26] *2474:mprj_io_out[26] 0.000215165 +7 *1957:12 *2448:pad_gpio_in 0.000874995 +8 *1957:12 *1984:21 0.000883265 +9 *1957:15 *1984:21 0.000202763 +10 *1957:15 *2119:15 0 +11 *1957:16 *2119:16 0.00585373 +12 *2474:mprj_io_dm[80] *2474:mprj_io_holdover[26] 0 +13 *1849:8 *1957:16 0.00581992 +*RES +1 *2448:pad_gpio_holdover *1957:12 22.2899 +2 *1957:12 *1957:15 35.172 +3 *1957:15 *1957:16 112.289 +4 *1957:16 *2474:mprj_io_holdover[26] 22.2166 +*END + +*D_NET *1958 0.0148403 +*CONN +*I *2474:mprj_io_holdover[2] I *D chip_io_alt +*I *2455:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2474:mprj_io_holdover[2] 0.000305473 +2 *2455:pad_gpio_holdover 0.000315514 +3 *1958:14 0.00103409 +4 *1958:13 0.00104413 +5 *1958:13 *2455:pad_gpio_in 0.000124349 +6 *1958:13 *1985:13 0.000934133 +7 *1958:14 *2066:14 1.28326e-05 +8 *1958:14 *2120:14 0.00481341 +9 *1958:14 *2174:14 0.0041934 +10 *2474:mprj_io_dm[8] *2474:mprj_io_holdover[2] 1.67745e-05 +11 *104:40 *1958:13 8.35615e-06 +12 *104:50 *1958:14 0.000161551 +13 *471:40 *2474:mprj_io_holdover[2] 9.29258e-05 +14 *1935:13 *1958:13 5.94319e-06 +15 *1935:24 *1958:14 0.000918736 +16 *1937:13 *1958:13 0.000858636 +*RES +1 *2455:pad_gpio_holdover *1958:13 26.0957 +2 *1958:13 *1958:14 82.8062 +3 *1958:14 *2474:mprj_io_holdover[2] 15.6991 +*END + +*D_NET *1959 0.0147563 +*CONN +*I *2474:mprj_io_holdover[3] I *D chip_io_alt +*I *2456:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2474:mprj_io_holdover[3] 0.000497567 +2 *2456:pad_gpio_holdover 0.000497056 +3 *1959:18 0.000946242 +4 *1959:15 0.00094573 +5 *2474:mprj_io_holdover[3] *2013:12 1.31872e-05 +6 *2474:mprj_io_holdover[3] *2013:13 0.00172394 +7 *2474:mprj_io_holdover[3] *2040:19 0.000151159 +8 *1959:15 *1986:13 0.000586594 +9 *1959:15 *2067:15 0 +10 *1959:18 *2013:13 2.93592e-05 +11 *1959:18 *2121:14 8.92568e-06 +12 *2455:resetn_out *2474:mprj_io_holdover[3] 0.000240961 +13 *2455:resetn_out *1959:18 0.000391491 +14 *472:38 *2474:mprj_io_holdover[3] 0.00150762 +15 *1851:15 *1959:15 3.58044e-05 +16 *1851:16 *1959:18 0.00290903 +17 *1851:22 *2474:mprj_io_holdover[3] 0.000102664 +18 *1851:22 *1959:18 4.61962e-05 +19 *1860:13 *1959:15 0.000704799 +20 *1860:16 *1959:18 0.00286995 +21 *1860:23 *1959:18 2.6506e-05 +22 *1938:13 *1959:15 0.000521541 +*RES +1 *2456:pad_gpio_holdover *1959:15 30.6734 +2 *1959:15 *1959:18 48.8654 +3 *1959:18 *2474:mprj_io_holdover[3] 43.0663 +*END + +*D_NET *1960 0.0119896 +*CONN +*I *2474:mprj_io_holdover[4] I *D chip_io_alt +*I *2457:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2474:mprj_io_holdover[4] 4.25268e-05 +2 *2457:pad_gpio_holdover 0.000330698 +3 *1960:14 0.00109417 +4 *1960:13 0.00138234 +5 *2474:mprj_io_holdover[4] *2474:mprj_io_out[4] 0 +6 *1960:13 *1987:13 3.84497e-05 +7 *1960:13 *2014:31 0 +8 *1960:13 *2068:15 2.64207e-05 +9 *1960:14 *2474:mprj_io_out[4] 0.0006713 +10 *1960:14 *2474:mprj_io_vtrip_sel[4] 0.00045785 +11 *1960:14 *2014:30 0.00165016 +12 *1960:14 *2095:8 0.0039855 +13 *2474:mprj_io_dm[14] *2474:mprj_io_holdover[4] 0 +14 *108:47 *1960:14 0.000539504 +15 *495:64 *1960:14 0.000156062 +16 *1863:13 *1960:13 3.84497e-05 +17 *1863:14 *1960:14 0.00157622 +*RES +1 *2457:pad_gpio_holdover *1960:13 19.7848 +2 *1960:13 *1960:14 86.7511 +3 *1960:14 *2474:mprj_io_holdover[4] 1.20912 +*END + +*D_NET *1961 0.0133351 +*CONN +*I *2474:mprj_io_holdover[5] I *D chip_io_alt +*I *2458:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2474:mprj_io_holdover[5] 4.25268e-05 +2 *2458:pad_gpio_holdover 0.0003361 +3 *1961:14 0.00100016 +4 *1961:13 0.00129373 +5 *1961:13 *2458:pad_gpio_in 1.2366e-05 +6 *1961:13 *1988:7 3.84497e-05 +7 *1961:13 *2069:13 0.000124349 +8 *1961:14 *2474:mprj_io_out[5] 6.55232e-05 +9 *1961:14 *2474:mprj_io_vtrip_sel[5] 3.87462e-05 +10 *1961:14 *1988:8 0.00406869 +11 *1961:14 *2042:11 3.31736e-05 +12 *1961:14 *2096:14 0.00370478 +13 *2474:mprj_io_analog_sel[5] *1961:14 0.00137549 +14 *2474:mprj_io_dm[17] *2474:mprj_io_holdover[5] 0 +15 *108:49 *1961:14 0.000597423 +16 *495:64 *1961:14 1.2819e-05 +17 *1853:17 *1961:14 9.2346e-06 +18 *1865:13 *1961:13 5.94319e-06 +19 *1866:13 *1961:13 0.000575575 +*RES +1 *2458:pad_gpio_holdover *1961:13 22.6916 +2 *1961:13 *1961:14 84.0214 +3 *1961:14 *2474:mprj_io_holdover[5] 1.20912 +*END + +*D_NET *1962 0.014549 +*CONN +*I *2474:mprj_io_holdover[6] I *D chip_io_alt +*I *2459:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2474:mprj_io_holdover[6] 0.000195827 +2 *2459:pad_gpio_holdover 0.000460382 +3 *1962:16 0.000844236 +4 *1962:15 0.00110879 +5 *1962:15 *1989:13 0.000599247 +6 *2459:serial_clock *1962:16 0.000758727 +7 *2474:mprj_io_dm[20] *2474:mprj_io_holdover[6] 0 +8 *495:64 *1962:16 0.00471551 +9 *507:18 *2474:mprj_io_holdover[6] 0.000171064 +10 *507:18 *1962:16 5.66868e-06 +11 *1854:13 *1962:15 0.000470666 +12 *1854:14 *1962:16 0.00468588 +13 *1867:13 *1962:15 0.000472707 +14 *1870:13 *1962:15 6.02815e-05 +*RES +1 *2459:pad_gpio_holdover *1962:15 29.0096 +2 *1962:15 *1962:16 75.7469 +3 *1962:16 *2474:mprj_io_holdover[6] 14.1718 +*END + +*D_NET *1963 0.0458167 +*CONN +*I *2474:mprj_io_holdover[7] I *D chip_io_alt +*I *2460:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2474:mprj_io_holdover[7] 0.000361012 +2 *2460:pad_gpio_holdover 0.000142333 +3 *1963:20 0.000894646 +4 *1963:16 0.00145494 +5 *1963:13 0.00139495 +6 *1963:8 0.0103403 +7 *1963:7 0.010009 +8 *2474:mprj_io_holdover[7] *2153:16 0.000457865 +9 *2474:mprj_io_holdover[7] *2311:14 0 +10 *1963:7 *1990:7 9.77607e-05 +11 *1963:8 *2449:user_gpio_oeb 0 +12 *1963:8 *2449:user_gpio_out 0 +13 *1963:8 *1990:8 0.00221107 +14 *1963:8 *2017:10 0.000189832 +15 *1963:8 *2018:33 0.00028839 +16 *1963:8 *2071:8 0 +17 *1963:8 *2098:13 0.00015794 +18 *1963:8 *2125:8 5.65317e-05 +19 *1963:8 *2152:10 0.00199571 +20 *1963:8 *2153:16 0 +21 *1963:8 *2179:13 0.00139699 +22 *1963:13 *2125:19 5.481e-05 +23 *1963:16 *2099:16 0.000397418 +24 *2474:mprj_io_dm[22] *1963:20 5.04829e-06 +25 *2474:mprj_io_dm[23] *2474:mprj_io_holdover[7] 0.000228269 +26 *477:44 *1963:8 0.000965381 +27 *477:46 *1963:8 0.00468359 +28 *478:49 *1963:8 0 +29 *495:64 *1963:8 0 +30 *1801:20 *1963:16 0.00260008 +31 *1802:14 *1963:20 0.0022711 +32 *1856:19 *1963:20 0.000341996 +33 *1871:14 *1963:8 0 +34 *1873:13 *1963:7 6.23168e-05 +35 *1873:13 *1963:8 9.55228e-05 +36 *1873:19 *1963:13 0.000114639 +37 *1873:20 *1963:16 0 +38 *1874:21 *1963:20 5.04829e-06 +39 *1875:14 *1963:20 0.0022675 +40 *1876:13 *1963:8 0.000274653 +*RES +1 *2460:pad_gpio_holdover *1963:7 3.9674 +2 *1963:7 *1963:8 369.538 +3 *1963:8 *1963:13 19.7063 +4 *1963:13 *1963:16 46.1962 +5 *1963:16 *1963:20 45.8188 +6 *1963:20 *2474:mprj_io_holdover[7] 21.6908 +*END + +*D_NET *1964 0.0760231 +*CONN +*I *2474:mprj_io_holdover[8] I *D chip_io_alt +*I *2449:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2474:mprj_io_holdover[8] 0.00033709 +2 *2449:pad_gpio_holdover 7.38657e-05 +3 *1964:16 0.00345475 +4 *1964:15 0.00401672 +5 *1964:10 0.00280512 +6 *1964:9 0.00197992 +7 *2474:mprj_io_holdover[8] *2474:mprj_io_out[8] 1.19195e-05 +8 *2474:mprj_io_holdover[8] *2474:mprj_io_vtrip_sel[8] 5.3432e-06 +9 *1964:10 *1991:10 0.00209893 +10 *1964:10 *2018:37 9.87197e-06 +11 *1964:10 *2072:15 7.12702e-05 +12 *1964:10 *2099:15 0.00109997 +13 *1964:10 *2126:15 0.000939016 +14 *1964:10 *2354:12 0.000364561 +15 *1964:15 *1991:15 0.00288071 +16 *1964:15 *2018:36 0.000580103 +17 *1964:16 *2071:18 0.000467019 +18 *1964:16 *2073:43 0.000148188 +19 *1964:16 *2153:30 0.000712721 +20 *1964:16 *2179:14 0.000573166 +21 *1964:16 *2180:14 0.0256478 +22 *1964:16 *2181:8 0.000519516 +23 *1802:14 *1964:16 0.000164008 +24 *1856:19 *1964:16 0.0233698 +25 *1872:14 *1964:16 0.000173309 +26 *1875:14 *1964:16 0.00194598 +27 *1878:14 *1964:16 0.00129469 +28 *1879:25 *1964:16 0.000277757 +*RES +1 *2449:pad_gpio_holdover *1964:9 3.65147 +2 *1964:9 *1964:10 89.8655 +3 *1964:10 *1964:15 7.58157 +4 *1964:15 *1964:16 51.1443 +5 *1964:16 *2474:mprj_io_holdover[8] 5.50161 +*END + +*D_NET *1965 0.0629088 +*CONN +*I *2474:mprj_io_holdover[9] I *D chip_io_alt +*I *2450:pad_gpio_holdover O *D gpio_control_block +*CAP +1 *2474:mprj_io_holdover[9] 0.000173116 +2 *2450:pad_gpio_holdover 0.000311762 +3 *1965:8 0.0131344 +4 *1965:7 0.013273 +5 *1965:7 *1992:7 0.000142487 +6 *1965:8 *1967:13 0.00520981 +7 *1965:8 *1967:20 0 +8 *1965:8 *2018:13 0.000920114 +9 *1965:8 *2019:11 0.00135541 +10 *1965:8 *2045:7 0.000134799 +11 *1965:8 *2073:44 0.00160563 +12 *1965:8 *2126:16 0.0131984 +13 *1965:8 *2153:24 0.000437916 +14 *1965:8 *2154:14 0.000736132 +15 *1965:8 *2156:17 0.00732577 +16 *1965:8 *2180:14 1.83572e-05 +17 *1965:8 *2181:8 0 +18 *1965:8 *2320:15 0.000173198 +19 *2450:serial_load *1965:8 0 +20 *2452:serial_data_in *1965:8 0 +21 *101:10 *1965:8 0.000309229 +22 *101:22 *2474:mprj_io_holdover[9] 0.00010487 +23 *1803:14 *1965:8 0.00142959 +24 *1803:27 *1965:8 0.000237656 +25 *1874:28 *1965:8 0.000742919 +26 *1879:7 *1965:7 9.71042e-05 +27 *1879:8 *1965:8 0.000628619 +28 *1879:19 *1965:8 0.000118828 +29 *1879:32 *1965:8 0.00104233 +30 *1940:10 *1965:8 4.73471e-05 +*RES +1 *2450:pad_gpio_holdover *1965:7 1.31946 +2 *1965:7 *1965:8 63.4891 +3 *1965:8 *2474:mprj_io_holdover[9] 5.73303 +*END + +*D_NET *1966 0.0104159 +*CONN +*I *2474:mprj_io_ib_mode_sel[0] I *D chip_io_alt +*I *2444:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_ib_mode_sel[0] 0.000202202 +2 *2444:pad_gpio_ib_mode_sel 0.000693847 +3 *1966:14 0.000757665 +4 *1966:13 0.00124931 +5 *2474:mprj_io_ib_mode_sel[0] *2474:mprj_io_oeb[0] 0 +6 *2474:mprj_io_ib_mode_sel[0] *2474:mprj_io_vtrip_sel[0] 0 +7 *2474:mprj_io_ib_mode_sel[0] *2155:23 0 +8 *1966:13 *2444:pad_gpio_in 3.84497e-05 +9 *1966:13 *2074:8 0 +10 *1966:14 *2101:14 4.55455e-05 +11 *2474:mprj_io_holdover[0] *2474:mprj_io_ib_mode_sel[0] 0.000215112 +12 *1831:19 *1966:13 0.000565216 +13 *1831:19 *1966:14 0.00106334 +14 *1831:20 *1966:14 0.00234978 +15 *1858:13 *1966:13 0.000119662 +16 *1869:13 *1966:13 0.000119662 +17 *1880:10 *1966:14 0.000497776 +18 *1880:12 *1966:14 0.00245989 +19 *1939:7 *1966:13 3.84497e-05 +*RES +1 *2444:pad_gpio_ib_mode_sel *1966:13 32.5211 +2 *1966:13 *1966:14 56.23 +3 *1966:14 *2474:mprj_io_ib_mode_sel[0] 14.9668 +*END + +*D_NET *1967 0.0478443 +*CONN +*I *2474:mprj_io_ib_mode_sel[10] I *D chip_io_alt +*I *2451:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_ib_mode_sel[10] 0.00285028 +2 *2451:pad_gpio_ib_mode_sel 0.00150982 +3 *1967:20 0.010306 +4 *1967:13 0.00896553 +5 *2474:mprj_io_ib_mode_sel[10] *2130:20 0 +6 *1967:13 *2019:11 0.000569924 +7 *1967:13 *2048:13 0 +8 *1967:13 *2102:10 0 +9 *1967:13 *2154:14 0.000602245 +10 *1967:13 *2156:17 0.00422128 +11 *1967:20 *2076:14 0 +12 *1967:20 *2156:17 0 +13 *2452:serial_data_in *1967:20 0.00483617 +14 *2474:mprj_io_analog_pol[10] *2474:mprj_io_ib_mode_sel[10] 0.00131349 +15 *101:10 *1967:20 0.000331297 +16 *1779:13 *2474:mprj_io_ib_mode_sel[10] 0.000424014 +17 *1779:13 *1967:20 0.000274287 +18 *1833:20 *2474:mprj_io_ib_mode_sel[10] 0.00332417 +19 *1833:20 *1967:20 0.0024642 +20 *1940:10 *1967:13 0.00064178 +21 *1965:8 *1967:13 0.00520981 +22 *1965:8 *1967:20 0 +*RES +1 *2451:pad_gpio_ib_mode_sel *1967:13 13.6456 +2 *1967:13 *1967:20 49.8821 +3 *1967:20 *2474:mprj_io_ib_mode_sel[10] 27.9705 +*END + +*D_NET *1968 0.0742888 +*CONN +*I *2474:mprj_io_ib_mode_sel[11] I *D chip_io_alt +*I *2452:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_ib_mode_sel[11] 3.4318e-05 +2 *2452:pad_gpio_ib_mode_sel 0.000298868 +3 *1968:29 0.00315062 +4 *1968:25 0.00405758 +5 *1968:14 0.00195868 +6 *1968:13 0.00131627 +7 *1968:13 *2452:pad_gpio_in 0.000639189 +8 *1968:14 *2474:mprj_io_vtrip_sel[10] 0.000199522 +9 *1968:14 *1994:11 0.00701036 +10 *1968:14 *2076:14 4.72992e-05 +11 *1968:14 *2103:18 0.000202954 +12 *1968:14 *2129:14 0.00711454 +13 *1968:14 *2156:17 0.000546645 +14 *1968:25 *2474:mprj_io_slow_sel[10] 5.04829e-06 +15 *1968:25 *2157:20 0.000111681 +16 *1968:29 *2474:mprj_io_vtrip_sel[11] 0 +17 *1968:29 *2076:29 0.023558 +18 *1968:29 *2104:14 0.000477845 +19 *101:22 *1968:13 0 +20 *487:13 *1968:13 0.000574696 +21 *1882:14 *1968:25 0.000591672 +22 *1884:14 *1968:25 0 +23 *1889:18 *2474:mprj_io_ib_mode_sel[11] 7.88241e-05 +24 *1941:7 *1968:13 2.72062e-05 +25 *1941:8 *1968:29 0.0219919 +26 *1942:26 *1968:29 0.000295104 +*RES +1 *2452:pad_gpio_ib_mode_sel *1968:13 23.3855 +2 *1968:13 *1968:14 114.781 +3 *1968:14 *1968:25 37.6406 +4 *1968:25 *1968:29 48.2607 +5 *1968:29 *2474:mprj_io_ib_mode_sel[11] 4.70004 +*END + +*D_NET *1969 0.0717128 +*CONN +*I *2474:mprj_io_ib_mode_sel[12] I *D chip_io_alt +*I *2453:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_ib_mode_sel[12] 0.00016888 +2 *2453:pad_gpio_ib_mode_sel 0.00432339 +3 *1969:17 0.00705231 +4 *1969:13 0.0112068 +5 *2474:mprj_io_ib_mode_sel[12] *2474:mprj_io_oeb[12] 0 +6 *2474:mprj_io_ib_mode_sel[12] *2474:mprj_io_vtrip_sel[12] 0 +7 *1969:13 *2050:13 0 +8 *1969:17 *1996:19 0.000407139 +9 *1969:17 *1996:32 0.000117573 +10 *1969:17 *2049:30 0.000241346 +11 *1969:17 *2076:29 0.000146522 +12 *1969:17 *2077:15 0.000100472 +13 *1969:17 *2157:20 0.000580672 +14 *1969:17 *2158:15 0.0236601 +15 *1969:17 *2324:13 0.00026521 +16 *2474:mprj_io_analog_pol[11] *1969:17 0.000159945 +17 *501:14 *1969:13 0.000500505 +18 *502:16 *1969:13 0.000585884 +19 *502:18 *1969:13 0.000710384 +20 *1779:20 *1969:13 0.000730668 +21 *1807:20 *1969:17 0.00194344 +22 *1834:14 *1969:13 0.00111891 +23 *1885:20 *1969:13 0.000736708 +24 *1886:8 *1969:17 0.00334896 +25 *1887:14 *1969:17 0.00169149 +26 *1888:14 *1969:17 0.00210186 +27 *1941:8 *1969:13 0.00899269 +28 *1942:11 *1969:13 8.24797e-05 +29 *1942:22 *1969:13 0.000163237 +30 *1942:40 *1969:17 0.000575174 +*RES +1 *2453:pad_gpio_ib_mode_sel *1969:13 22.2992 +2 *1969:13 *1969:17 48.3338 +3 *1969:17 *2474:mprj_io_ib_mode_sel[12] 8.01595 +*END + +*D_NET *1970 0.0134335 +*CONN +*I *2474:mprj_io_ib_mode_sel[13] I *D chip_io_alt +*I *2454:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_ib_mode_sel[13] 0.000555689 +2 *2454:pad_gpio_ib_mode_sel 0.00101604 +3 *1970:22 2.68684e-05 +4 *1970:10 0.000710125 +5 *1970:5 0.00114361 +6 *2474:mprj_io_ib_mode_sel[13] *2474:mprj_io_oeb[13] 0 +7 *2474:mprj_io_ib_mode_sel[13] *2474:mprj_io_out[13] 0.00114231 +8 *2474:mprj_io_ib_mode_sel[13] *2474:mprj_io_vtrip_sel[13] 0.000171064 +9 *2474:mprj_io_ib_mode_sel[13] *1997:19 0.000356752 +10 *2474:mprj_io_ib_mode_sel[13] *2024:7 0.00191674 +11 *1970:5 *2105:5 0.000375891 +12 *1970:10 *2078:10 0.00187072 +13 *1970:10 *2159:13 0.000105636 +14 *1537:23 *1970:10 0.00212559 +15 *1835:8 *1970:5 0.00191642 +*RES +1 *2454:pad_gpio_ib_mode_sel *1970:5 46.5184 +2 *1970:5 *1970:10 31.3529 +3 *1970:10 *2474:mprj_io_ib_mode_sel[13] 39.4001 +4 *2454:pad_gpio_ib_mode_sel *1970:22 0.0631875 +*END + +*D_NET *1971 0.0227969 +*CONN +*I *2474:mprj_io_ib_mode_sel[14] I *D chip_io_alt +*I *2461:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_ib_mode_sel[14] 0.000477343 +2 *2461:pad_gpio_ib_mode_sel 0.000389272 +3 *1971:8 0.00200072 +4 *1971:7 0.00191265 +5 *2474:mprj_io_ib_mode_sel[14] *2474:mprj_io_oeb[14] 5.6259e-05 +6 *1971:7 *2461:pad_gpio_in 0.000129968 +7 *2461:resetn *1971:7 9.37015e-05 +8 *516:8 *1971:8 0.00934598 +9 *1944:7 *1971:7 0.000334486 +10 *1944:8 *1971:8 0.00805653 +*RES +1 *2461:pad_gpio_ib_mode_sel *1971:7 5.26774 +2 *1971:7 *1971:8 149.869 +3 *1971:8 *2474:mprj_io_ib_mode_sel[14] 21.1058 +*END + +*D_NET *1972 0.0193371 +*CONN +*I *2474:mprj_io_ib_mode_sel[15] I *D chip_io_alt +*I *2462:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_ib_mode_sel[15] 0.000481425 +2 *2462:pad_gpio_ib_mode_sel 0.00107071 +3 *1972:26 0.00160469 +4 *1972:24 0.00199432 +5 *1972:19 0.00217361 +6 *1972:15 0.00219797 +7 *1972:12 0.00196613 +8 *2474:mprj_io_ib_mode_sel[15] *2474:mprj_io_oeb[15] 0.000284651 +9 *1972:12 *2462:pad_gpio_in 0.00111299 +10 *1972:19 *1999:9 0.00179055 +11 *1972:26 *2080:14 0.000548682 +12 *517:16 *1972:26 0 +13 *1783:12 *1972:12 0 +14 *1810:12 *1972:19 0 +15 *1837:13 *1972:19 0 +16 *1945:16 *1972:24 0.00236312 +17 *1945:23 *1972:24 1.41761e-05 +18 *1945:23 *1972:26 0.00173412 +*RES +1 *2462:pad_gpio_ib_mode_sel *1972:12 42.9395 +2 *1972:12 *1972:15 28.5167 +3 *1972:15 *1972:19 46.2481 +4 *1972:19 *1972:24 43.9612 +5 *1972:24 *1972:26 47.3021 +6 *1972:26 *2474:mprj_io_ib_mode_sel[15] 23.1848 +*END + +*D_NET *1973 0.0227569 +*CONN +*I *2474:mprj_io_ib_mode_sel[16] I *D chip_io_alt +*I *2463:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_ib_mode_sel[16] 0.000477837 +2 *2463:pad_gpio_ib_mode_sel 0.000554185 +3 *1973:16 0.00110441 +4 *1973:8 0.00137318 +5 *1973:7 0.00130078 +6 *2474:mprj_io_ib_mode_sel[16] *2474:mprj_io_oeb[16] 0 +7 *2474:mprj_io_ib_mode_sel[16] *2474:mprj_io_out[16] 0 +8 *2474:mprj_io_ib_mode_sel[16] *2474:mprj_io_vtrip_sel[16] 0.00100478 +9 *2474:mprj_io_ib_mode_sel[16] *2027:9 0.000926678 +10 *1973:7 *2463:pad_gpio_in 5.36925e-05 +11 *1973:7 *2054:7 0 +12 *1973:8 *2108:8 0.00556391 +13 *1973:8 *2328:19 8.35615e-06 +14 *1973:16 *2081:20 0.0014944 +15 *1973:16 *2328:19 0.000124349 +16 *472:8 *1973:8 0.00560656 +17 *472:8 *1973:16 9.71323e-06 +18 *518:8 *1973:16 0.00284277 +19 *1946:7 *1973:7 0.000311332 +*RES +1 *2463:pad_gpio_ib_mode_sel *1973:7 5.5737 +2 *1973:7 *1973:8 89.8655 +3 *1973:8 *1973:16 49.9158 +4 *1973:16 *2474:mprj_io_ib_mode_sel[16] 34.164 +*END + +*D_NET *1974 0.020475 +*CONN +*I *2474:mprj_io_ib_mode_sel[17] I *D chip_io_alt +*I *2464:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_ib_mode_sel[17] 5.36865e-05 +2 *2464:pad_gpio_ib_mode_sel 0.000179716 +3 *1974:24 0.00136915 +4 *1974:22 0.0016586 +5 *1974:17 0.00104229 +6 *1974:8 0.00135736 +7 *1974:7 0.000837924 +8 *1974:7 *2464:pad_gpio_in 6.67357e-05 +9 *1974:7 *2055:7 0 +10 *1974:7 *2109:7 0 +11 *1974:8 *2136:8 0.00353598 +12 *1974:17 *2055:13 0 +13 *1974:17 *2055:14 0.00149895 +14 *1974:17 *2294:13 2.16355e-05 +15 *1974:22 *2294:13 0.000200654 +16 *1974:22 *2312:9 0 +17 *1974:24 *2474:mprj_io_out[17] 8.1645e-05 +18 *1974:24 *2474:mprj_io_vtrip_sel[17] 8.1645e-05 +19 *1974:24 *2028:9 0.00127967 +20 *1974:24 *2312:9 0.00222963 +21 *2474:mprj_io_dm[52] *1974:22 0.000208984 +22 *2474:mprj_io_holdover[17] *1974:24 6.11344e-05 +23 *473:8 *1974:8 0 +24 *473:8 *1974:22 0 +25 *473:8 *1974:24 0 +26 *1785:20 *1974:17 0.00149895 +27 *1812:23 *1974:17 5.04829e-06 +28 *1904:8 *1974:8 0.00293058 +29 *1906:22 *1974:17 0.000146784 +30 *1947:7 *1974:7 0.000128271 +*RES +1 *2464:pad_gpio_ib_mode_sel *1974:7 4.12039 +2 *1974:7 *1974:8 57.2682 +3 *1974:8 *1974:17 48.6307 +4 *1974:17 *1974:22 16.408 +5 *1974:22 *1974:24 59.5521 +6 *1974:24 *2474:mprj_io_ib_mode_sel[17] 1.20912 +*END + +*D_NET *1975 0.0432914 +*CONN +*I *2474:mprj_io_ib_mode_sel[18] I *D chip_io_alt +*I *2465:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_ib_mode_sel[18] 0.000482264 +2 *2465:pad_gpio_ib_mode_sel 0.000810667 +3 *1975:22 0.00135472 +4 *1975:21 0.000872458 +5 *1975:19 0.00298954 +6 *1975:18 0.00339952 +7 *1975:13 0.00251026 +8 *1975:12 0.00291095 +9 *2474:mprj_io_ib_mode_sel[18] *2474:mprj_io_oeb[18] 0.00188275 +10 *2474:mprj_io_ib_mode_sel[18] *2474:mprj_io_out[18] 0.000459083 +11 *2474:mprj_io_ib_mode_sel[18] *2474:mprj_io_vtrip_sel[18] 0.000171064 +12 *1975:19 *2110:15 0.000298304 +13 *1975:22 *2474:mprj_io_inp_dis[18] 0.00027027 +14 *1975:22 *2056:10 0.00144292 +15 *1975:22 *2110:16 0.00565346 +16 *107:27 *1975:19 0.00108667 +17 *474:14 *1975:22 0.00090832 +18 *1813:16 *1975:22 0.00231656 +19 *1840:13 *1975:19 0.000173182 +20 *1948:15 *1975:12 0.00181004 +21 *1948:15 *1975:13 0.000897912 +22 *1948:19 *1975:19 0.0105905 +*RES +1 *2465:pad_gpio_ib_mode_sel *1975:12 39.674 +2 *1975:12 *1975:13 57.8476 +3 *1975:13 *1975:18 20.3828 +4 *1975:18 *1975:19 139.374 +5 *1975:19 *1975:21 4.5 +6 *1975:21 *1975:22 90.696 +7 *1975:22 *2474:mprj_io_ib_mode_sel[18] 36.3074 +*END + +*D_NET *1976 0.0172311 +*CONN +*I *2474:mprj_io_ib_mode_sel[19] I *D chip_io_alt +*I *2466:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_ib_mode_sel[19] 0.000295278 +2 *2466:pad_gpio_ib_mode_sel 0.00018158 +3 *1976:21 0.00154275 +4 *1976:16 0.00234056 +5 *1976:13 0.00154713 +6 *1976:8 0.00159228 +7 *1976:7 0.00131981 +8 *2474:mprj_io_ib_mode_sel[19] *2474:mprj_io_oeb[19] 0.000669816 +9 *2474:mprj_io_ib_mode_sel[19] *2474:mprj_io_vtrip_sel[19] 6.8952e-05 +10 *2474:mprj_io_ib_mode_sel[19] *2030:15 5.99922e-05 +11 *1976:7 *2466:pad_gpio_in 5.36925e-05 +12 *1976:7 *2057:13 0 +13 *1976:13 *2165:27 3.24705e-06 +14 *1976:21 *2474:mprj_io_oeb[19] 4.57934e-05 +15 *474:42 *1976:8 0.000405863 +16 *475:16 *1976:8 0.00133027 +17 *493:8 *1976:8 0.000860035 +18 *493:16 *1976:13 0.000356844 +19 *493:16 *1976:16 0.00152574 +20 *493:20 *1976:16 9.71323e-06 +21 *521:8 *1976:16 0 +22 *521:8 *1976:21 0.000929799 +23 *1841:19 *1976:16 0.000560261 +24 *1911:18 *1976:8 0.00138248 +25 *1949:7 *1976:7 0.000149185 +*RES +1 *2466:pad_gpio_ib_mode_sel *1976:7 4.19688 +2 *1976:7 *1976:8 64.7427 +3 *1976:8 *1976:13 20.2609 +4 *1976:13 *1976:16 45.69 +5 *1976:16 *1976:21 46.4529 +6 *1976:21 *2474:mprj_io_ib_mode_sel[19] 17.9835 +*END + +*D_NET *1977 0.0112935 +*CONN +*I *2474:mprj_io_ib_mode_sel[1] I *D chip_io_alt +*I *2445:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_ib_mode_sel[1] 0.000113227 +2 *2445:pad_gpio_ib_mode_sel 0.000169222 +3 *1977:19 0.000584964 +4 *1977:8 0.00094612 +5 *1977:7 0.000643605 +6 *2474:mprj_io_ib_mode_sel[1] *2474:mprj_io_oeb[1] 0 +7 *1977:7 *2445:pad_gpio_in 4.80459e-05 +8 *1977:8 *2474:mprj_io_oeb[1] 0 +9 *1977:8 *2085:8 0.00272074 +10 *100:89 *1977:19 0 +11 *100:90 *1977:19 0.00145704 +12 *467:55 *2474:mprj_io_ib_mode_sel[1] 0.000197391 +13 *485:53 *1977:8 0.00285572 +14 *485:60 *1977:19 5.481e-05 +15 *1842:18 *1977:19 0.00146422 +16 *1950:13 *1977:7 3.84497e-05 +*RES +1 *2445:pad_gpio_ib_mode_sel *1977:7 3.89091 +2 *1977:7 *1977:8 46.4716 +3 *1977:8 *1977:19 47.3087 +4 *1977:19 *2474:mprj_io_ib_mode_sel[1] 3.93268 +*END + +*D_NET *1978 0.0216388 +*CONN +*I *2474:mprj_io_ib_mode_sel[20] I *D chip_io_alt +*I *2467:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_ib_mode_sel[20] 0.00255042 +2 *2467:pad_gpio_ib_mode_sel 0.00255042 +3 *2474:mprj_io_ib_mode_sel[20] *2467:pad_gpio_in 3.81792e-05 +4 *2474:mprj_io_ib_mode_sel[20] *2474:mprj_io_out[20] 0.000107864 +5 *2474:mprj_io_ib_mode_sel[20] *2474:mprj_io_vtrip_sel[20] 0.000726163 +6 *2474:mprj_io_ib_mode_sel[20] *2059:14 0 +7 *2474:mprj_io_ib_mode_sel[20] *2113:16 0.00783784 +8 *2474:mprj_io_ib_mode_sel[20] *2167:19 0.000717549 +9 *2474:mprj_io_holdover[20] *2474:mprj_io_ib_mode_sel[20] 0.000304054 +10 *474:48 *2474:mprj_io_ib_mode_sel[20] 0 +11 *522:32 *2474:mprj_io_ib_mode_sel[20] 0.000355114 +12 *1916:18 *2474:mprj_io_ib_mode_sel[20] 0.00645122 +*RES +1 *2467:pad_gpio_ib_mode_sel *2474:mprj_io_ib_mode_sel[20] 45.9906 +*END + +*D_NET *1979 0.025054 +*CONN +*I *2474:mprj_io_ib_mode_sel[21] I *D chip_io_alt +*I *2468:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_ib_mode_sel[21] 0.00048416 +2 *2468:pad_gpio_ib_mode_sel 0.00103088 +3 *1979:18 0.00100667 +4 *1979:17 0.000592704 +5 *1979:14 0.000435732 +6 *1979:10 0.00139642 +7 *2474:mprj_io_ib_mode_sel[21] *2474:mprj_io_oeb[21] 0.00021741 +8 *2474:mprj_io_ib_mode_sel[21] *2474:mprj_io_vtrip_sel[21] 0 +9 *1979:10 *2468:pad_gpio_in 0.000129968 +10 *1979:10 *2060:7 0 +11 *476:22 *1979:10 0.000281066 +12 *476:22 *1979:14 0.000427103 +13 *477:14 *1979:14 0.00275934 +14 *477:14 *1979:18 0.00389012 +15 *494:20 *1979:18 0.000595313 +16 *523:8 *1979:10 6.80434e-05 +17 *523:8 *1979:18 0.00389371 +18 *1844:18 *1979:17 5.04829e-06 +19 *1919:10 *1979:10 0.00223982 +20 *1919:12 *1979:10 2.01595e-05 +21 *1919:12 *1979:14 0.002802 +22 *1952:7 *1979:10 0.000332207 +23 *1952:8 *1979:10 0.00243375 +24 *1952:8 *1979:14 1.23804e-05 +*RES +1 *2468:pad_gpio_ib_mode_sel *1979:10 47.8497 +2 *1979:10 *1979:14 49.3106 +3 *1979:14 *1979:17 5.778 +4 *1979:17 *1979:18 62.4588 +5 *1979:18 *2474:mprj_io_ib_mode_sel[21] 22.7751 +*END + +*D_NET *1980 0.0247503 +*CONN +*I *2474:mprj_io_ib_mode_sel[22] I *D chip_io_alt +*I *2469:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_ib_mode_sel[22] 0.00037338 +2 *2469:pad_gpio_ib_mode_sel 0.000595756 +3 *1980:16 0.00124672 +4 *1980:15 0.000970938 +5 *1980:8 0.000586478 +6 *1980:7 0.00108463 +7 *2474:mprj_io_ib_mode_sel[22] *2474:mprj_io_oeb[22] 0.00089474 +8 *2474:mprj_io_ib_mode_sel[22] *2474:mprj_io_vtrip_sel[22] 0 +9 *1980:7 *2061:14 0 +10 *1980:8 *2088:12 0.0035904 +11 *2474:mprj_io_analog_sel[22] *1980:16 0.00122908 +12 *2474:mprj_io_dm[68] *1980:16 0.000122025 +13 *111:12 *1980:8 0.00358681 +14 *111:16 *1980:16 0.00560258 +15 *494:20 *1980:8 0.000550827 +16 *494:20 *1980:16 0.000812647 +17 *524:16 *1980:16 0.000459535 +18 *1845:16 *1980:16 1.2366e-05 +19 *1953:7 *1980:7 0.000289454 +20 *1953:8 *1980:16 0.00274197 +*RES +1 *2469:pad_gpio_ib_mode_sel *1980:7 5.26774 +2 *1980:7 *1980:8 57.6834 +3 *1980:8 *1980:15 11.122 +4 *1980:15 *1980:16 89.8655 +5 *1980:16 *2474:mprj_io_ib_mode_sel[22] 22.5369 +*END + +*D_NET *1981 0.0231131 +*CONN +*I *2474:mprj_io_ib_mode_sel[23] I *D chip_io_alt +*I *2470:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_ib_mode_sel[23] 0.00158366 +2 *2470:pad_gpio_ib_mode_sel 0.00158366 +3 *2474:mprj_io_ib_mode_sel[23] *2470:pad_gpio_in 2.69096e-05 +4 *2474:mprj_io_ib_mode_sel[23] *2474:mprj_io_oeb[23] 0.01029 +5 *2474:mprj_io_ib_mode_sel[23] *2474:mprj_io_out[23] 0.00927152 +6 *2474:mprj_io_ib_mode_sel[23] *2474:mprj_io_vtrip_sel[23] 0.000355832 +7 *477:26 *2474:mprj_io_ib_mode_sel[23] 0 +8 *1954:10 *2474:mprj_io_ib_mode_sel[23] 1.48814e-06 +*RES +1 *2470:pad_gpio_ib_mode_sel *2474:mprj_io_ib_mode_sel[23] 27.7432 +*END + +*D_NET *1982 0.0210177 +*CONN +*I *2474:mprj_io_ib_mode_sel[24] I *D chip_io_alt +*I *2446:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_ib_mode_sel[24] 0.0010832 +2 *2446:pad_gpio_ib_mode_sel 0.000720896 +3 *1982:19 0.00216795 +4 *1982:8 0.00212593 +5 *1982:7 0.00176208 +6 *2474:mprj_io_ib_mode_sel[24] *2474:mprj_io_oeb[24] 0 +7 *2474:mprj_io_ib_mode_sel[24] *2474:mprj_io_out[24] 0.00136798 +8 *2474:mprj_io_ib_mode_sel[24] *2474:mprj_io_vtrip_sel[24] 0 +9 *2474:mprj_io_ib_mode_sel[24] *2090:8 0 +10 *1982:7 *2446:pad_gpio_in 5.34343e-05 +11 *1982:7 *2063:7 0 +12 *1982:7 *2117:10 0 +13 *1982:8 *2117:12 0.00602476 +14 *1982:19 *2474:mprj_io_out[24] 0 +15 *1982:19 *2090:8 0 +16 *2446:serial_load_out *2474:mprj_io_ib_mode_sel[24] 0.000309803 +17 *2474:mprj_io_analog_en[24] *1982:19 5.04829e-06 +18 *2474:mprj_io_analog_pol[24] *1982:19 0 +19 *476:22 *1982:8 0.000681341 +20 *1847:14 *1982:8 9.71323e-06 +21 *1847:16 *1982:8 0.00104673 +22 *1929:7 *1982:7 0 +23 *1929:8 *1982:8 0.00352518 +24 *1955:7 *1982:7 0.000133659 +25 *1955:14 *1982:19 0 +*RES +1 *2446:pad_gpio_ib_mode_sel *1982:7 5.42072 +2 *1982:7 *1982:8 97.1324 +3 *1982:8 *1982:19 45.2381 +4 *1982:19 *2474:mprj_io_ib_mode_sel[24] 38.881 +*END + +*D_NET *1983 0.0213675 +*CONN +*I *2474:mprj_io_ib_mode_sel[25] I *D chip_io_alt +*I *2447:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_ib_mode_sel[25] 0.000435463 +2 *2447:pad_gpio_ib_mode_sel 0.000483813 +3 *1983:8 0.00215603 +4 *1983:7 0.00220438 +5 *2474:mprj_io_ib_mode_sel[25] *2474:mprj_io_vtrip_sel[25] 0 +6 *1983:7 *2064:7 0 +7 *1983:8 *2064:8 0.00677253 +8 *1983:8 *2118:22 0.00541603 +9 *1983:8 *2145:8 8.67703e-05 +10 *1983:8 *2172:14 0.00109736 +11 *102:44 *1983:8 0 +12 *515:8 *2474:mprj_io_ib_mode_sel[25] 9.39647e-05 +13 *1956:11 *1983:7 0.000305156 +14 *1956:11 *1983:8 0.00223599 +15 *1956:14 *1983:8 8.00558e-05 +*RES +1 *2447:pad_gpio_ib_mode_sel *1983:7 5.19125 +2 *1983:7 *1983:8 148.624 +3 *1983:8 *2474:mprj_io_ib_mode_sel[25] 21.2301 +*END + +*D_NET *1984 0.0241744 +*CONN +*I *2474:mprj_io_ib_mode_sel[26] I *D chip_io_alt +*I *2448:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_ib_mode_sel[26] 6.68716e-05 +2 *2448:pad_gpio_ib_mode_sel 0.00252529 +3 *1984:21 0.00259216 +4 *1984:21 *2448:pad_gpio_in 9.81028e-05 +5 *1984:21 *2474:mprj_io_oeb[26] 0.00896083 +6 *1984:21 *2474:mprj_io_vtrip_sel[26] 0.0061949 +7 *1984:21 *2011:7 0.000566034 +8 *1984:21 *2092:15 0.00160258 +9 *2448:mgmt_gpio_out *1984:21 0.00013217 +10 *1795:7 *1984:21 4.79986e-05 +11 *1795:8 *1984:21 0.000301444 +12 *1957:12 *1984:21 0.000883265 +13 *1957:15 *1984:21 0.000202763 +*RES +1 *2448:pad_gpio_ib_mode_sel *1984:21 49.8508 +2 *1984:21 *2474:mprj_io_ib_mode_sel[26] 1.7465 +*END + +*D_NET *1985 0.0115459 +*CONN +*I *2474:mprj_io_ib_mode_sel[2] I *D chip_io_alt +*I *2455:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_ib_mode_sel[2] 0.000253573 +2 *2455:pad_gpio_ib_mode_sel 0.000498603 +3 *1985:14 0.000838562 +4 *1985:13 0.00108359 +5 *1985:13 *2455:pad_gpio_in 5.08157e-05 +6 *1985:13 *2066:13 0.000124349 +7 *1985:14 *2012:17 0.00313477 +8 *1985:14 *2093:14 0.00369853 +9 *1985:14 *2120:14 2.01595e-05 +10 *100:80 *1985:14 9.31501e-05 +11 *104:50 *1985:14 0.000193047 +12 *471:40 *2474:mprj_io_ib_mode_sel[2] 0.000111209 +13 *503:20 *1985:14 0.000510121 +14 *1924:13 *1985:13 0 +15 *1935:13 *1985:13 1.27831e-06 +16 *1958:13 *1985:13 0.000934133 +*RES +1 *2455:pad_gpio_ib_mode_sel *1985:13 27.8167 +2 *1985:13 *1985:14 63.2893 +3 *1985:14 *2474:mprj_io_ib_mode_sel[2] 14.4505 +*END + +*D_NET *1986 0.0118538 +*CONN +*I *2474:mprj_io_ib_mode_sel[3] I *D chip_io_alt +*I *2456:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_ib_mode_sel[3] 0.000212619 +2 *2456:pad_gpio_ib_mode_sel 0.000478996 +3 *1986:14 0.000687396 +4 *1986:13 0.000953773 +5 *1986:13 *2456:pad_gpio_in 3.84497e-05 +6 *1986:13 *2094:8 0.000167862 +7 *1986:14 *2013:13 0.00353264 +8 *2455:resetn_out *1986:14 0.000539902 +9 *105:52 *2474:mprj_io_ib_mode_sel[3] 5.6259e-05 +10 *504:12 *1986:14 0.00352546 +11 *1824:13 *1986:13 0 +12 *1851:15 *1986:13 0.000584129 +13 *1860:13 *1986:13 0.000489728 +14 *1959:15 *1986:13 0.000586594 +*RES +1 *2456:pad_gpio_ib_mode_sel *1986:13 32.9363 +2 *1986:13 *1986:14 56.6453 +3 *1986:14 *2474:mprj_io_ib_mode_sel[3] 13.8959 +*END + +*D_NET *1987 0.0128523 +*CONN +*I *2474:mprj_io_ib_mode_sel[4] I *D chip_io_alt +*I *2457:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_ib_mode_sel[4] 0.000306691 +2 *2457:pad_gpio_ib_mode_sel 0.000347824 +3 *1987:14 0.000902301 +4 *1987:13 0.000943433 +5 *2474:mprj_io_ib_mode_sel[4] *2474:mprj_io_vtrip_sel[4] 0.000194827 +6 *1987:13 *2457:pad_gpio_in 3.27908e-05 +7 *1987:13 *2014:31 0 +8 *1987:13 *2068:15 0.00094487 +9 *1987:13 *2122:13 0 +10 *1987:14 *2122:14 0.00427274 +11 *1987:14 *2176:14 0.00419099 +12 *110:98 *1987:14 3.46206e-05 +13 *493:51 *1987:14 0.000642807 +14 *1960:13 *1987:13 3.84497e-05 +*RES +1 *2457:pad_gpio_ib_mode_sel *1987:13 23.667 +2 *1987:13 *1987:14 68.6876 +3 *1987:14 *2474:mprj_io_ib_mode_sel[4] 16.3403 +*END + +*D_NET *1988 0.0111484 +*CONN +*I *2474:mprj_io_ib_mode_sel[5] I *D chip_io_alt +*I *2458:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_ib_mode_sel[5] 3.05493e-05 +2 *2458:pad_gpio_ib_mode_sel 0.000252909 +3 *1988:8 0.000817235 +4 *1988:7 0.00103959 +5 *1988:7 *2458:pad_gpio_in 5.76421e-05 +6 *1988:8 *2474:mprj_io_oeb[5] 1.49792e-05 +7 *1988:8 *2042:11 0.000165997 +8 *1988:8 *2096:14 0.000197586 +9 *1988:8 *2150:8 0.00391851 +10 *108:49 *1988:8 0.000546308 +11 *1865:13 *1988:7 0 +12 *1961:13 *1988:7 3.84497e-05 +13 *1961:14 *1988:8 0.00406869 +*RES +1 *2458:pad_gpio_ib_mode_sel *1988:7 4.19688 +2 *1988:7 *1988:8 72.8401 +3 *1988:8 *2474:mprj_io_ib_mode_sel[5] 0.647305 +*END + +*D_NET *1989 0.0114757 +*CONN +*I *2474:mprj_io_ib_mode_sel[6] I *D chip_io_alt +*I *2459:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_ib_mode_sel[6] 0.000141319 +2 *2459:pad_gpio_ib_mode_sel 0.000449783 +3 *1989:14 0.000609647 +4 *1989:13 0.00091811 +5 *2474:mprj_io_ib_mode_sel[6] *2043:12 9.19632e-06 +6 *1989:13 *2459:pad_gpio_in 3.84497e-05 +7 *1989:13 *2097:8 0.0001118 +8 *2459:serial_load *1989:14 0.000562156 +9 *507:18 *2474:mprj_io_ib_mode_sel[6] 0.00019711 +10 *507:18 *1989:14 0.00347336 +11 *1827:13 *1989:13 0 +12 *1854:13 *1989:13 0.000460471 +13 *1854:14 *1989:14 0.00348054 +14 *1867:13 *1989:13 7.77309e-06 +15 *1870:13 *1989:13 0.000416687 +16 *1962:15 *1989:13 0.000599247 +*RES +1 *2459:pad_gpio_ib_mode_sel *1989:13 31.4119 +2 *1989:13 *1989:14 55.8148 +3 *1989:14 *2474:mprj_io_ib_mode_sel[6] 13.4779 +*END + +*D_NET *1990 0.0590093 +*CONN +*I *2474:mprj_io_ib_mode_sel[7] I *D chip_io_alt +*I *2460:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_ib_mode_sel[7] 8.74041e-05 +2 *2460:pad_gpio_ib_mode_sel 0.000302309 +3 *1990:8 0.0160139 +4 *1990:7 0.0162288 +5 *2474:mprj_io_ib_mode_sel[7] *2474:mprj_io_oeb[7] 2.18561e-05 +6 *2474:mprj_io_ib_mode_sel[7] *2474:mprj_io_vtrip_sel[7] 0 +7 *1990:7 *2460:pad_gpio_in 3.84497e-05 +8 *1990:7 *2071:7 0 +9 *1990:8 *2474:mprj_io_slow_sel[7] 0.000102556 +10 *1990:8 *2017:10 0.000158304 +11 *1990:8 *2017:13 0.00237421 +12 *1990:8 *2071:8 0.00243534 +13 *1990:8 *2126:16 0.0123083 +14 *1990:8 *2152:10 0.000100822 +15 *1990:8 *2153:16 0.00124035 +16 *1990:8 *2179:14 0 +17 *1990:8 *2180:14 4.52006e-05 +18 *2450:resetn *1990:8 0 +19 *2450:serial_clock *1990:8 0 +20 *2450:serial_load *1990:8 0 +21 *2474:mprj_io_analog_sel[7] *1990:8 0.000274373 +22 *110:98 *1990:8 0.000144223 +23 *477:32 *1990:8 0 +24 *477:44 *1990:8 0.00017403 +25 *477:46 *1990:8 0.00179192 +26 *478:32 *1990:8 0 +27 *478:49 *1990:8 0.000209508 +28 *1829:13 *1990:8 0 +29 *1871:14 *1990:8 0.00264862 +30 *1963:7 *1990:7 9.77607e-05 +31 *1963:8 *1990:8 0.00221107 +*RES +1 *2460:pad_gpio_ib_mode_sel *1990:7 1.31946 +2 *1990:7 *1990:8 64.8607 +3 *1990:8 *2474:mprj_io_ib_mode_sel[7] 4.55735 +*END + +*D_NET *1991 0.0744976 +*CONN +*I *2474:mprj_io_ib_mode_sel[8] I *D chip_io_alt +*I *2449:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_ib_mode_sel[8] 0.000738323 +2 *2449:pad_gpio_ib_mode_sel 9.19008e-05 +3 *1991:16 0.00899538 +4 *1991:15 0.00964965 +5 *1991:10 0.00235916 +6 *1991:9 0.00105847 +7 *2474:mprj_io_ib_mode_sel[8] *2474:mprj_io_oeb[8] 0 +8 *2474:mprj_io_ib_mode_sel[8] *2474:mprj_io_vtrip_sel[8] 0 +9 *2474:mprj_io_ib_mode_sel[8] *2073:44 7.15466e-05 +10 *1991:9 *2449:pad_gpio_in 8.11597e-06 +11 *1991:10 *2018:37 0.00526732 +12 *1991:10 *2099:15 0.00109529 +13 *1991:16 *2018:19 0.000867468 +14 *1991:16 *2018:27 0.000346217 +15 *1991:16 *2073:37 0.00019411 +16 *1991:16 *2099:16 0.0270327 +17 *1991:16 *2152:14 0.00033102 +18 *510:14 *1991:16 0.00340367 +19 *511:14 *1991:16 0.0015744 +20 *1802:20 *1991:16 0.000825644 +21 *1828:23 *1991:16 0.000255037 +22 *1828:28 *1991:16 0.000344674 +23 *1855:14 *1991:16 0.000677528 +24 *1874:21 *1991:16 0.000169255 +25 *1875:20 *1991:16 0.000834009 +26 *1876:14 *1991:16 0.00332707 +27 *1964:10 *1991:10 0.00209893 +28 *1964:15 *1991:15 0.00288071 +*RES +1 *2449:pad_gpio_ib_mode_sel *1991:9 3.65147 +2 *1991:9 *1991:10 86.5434 +3 *1991:10 *1991:15 8.65243 +4 *1991:15 *1991:16 53.659 +5 *1991:16 *2474:mprj_io_ib_mode_sel[8] 7.14939 +*END + +*D_NET *1992 0.0746172 +*CONN +*I *2474:mprj_io_ib_mode_sel[9] I *D chip_io_alt +*I *2450:pad_gpio_ib_mode_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_ib_mode_sel[9] 0.000492948 +2 *2450:pad_gpio_ib_mode_sel 0.00079236 +3 *1992:8 0.00382486 +4 *1992:7 0.00412427 +5 *2474:mprj_io_ib_mode_sel[9] *2474:mprj_io_oeb[9] 6.34849e-05 +6 *2474:mprj_io_ib_mode_sel[9] *2474:mprj_io_vtrip_sel[9] 8.32935e-05 +7 *1992:7 *2450:pad_gpio_in 2.89493e-05 +8 *1992:7 *2127:13 0 +9 *1992:8 *2474:mprj_io_out[10] 6.55656e-05 +10 *1992:8 *2099:16 0.000328461 +11 *1992:8 *2100:8 0.0323591 +12 *1992:8 *2181:8 0.0317917 +13 *101:22 *2474:mprj_io_ib_mode_sel[9] 4.84974e-05 +14 *1856:19 *1992:8 0.000471203 +15 *1965:7 *1992:7 0.000142487 +*RES +1 *2450:pad_gpio_ib_mode_sel *1992:7 2.23734 +2 *1992:7 *1992:8 65.6609 +3 *1992:8 *2474:mprj_io_ib_mode_sel[9] 6.72741 +*END + +*D_NET *1993 0.0166796 +*CONN +*I *2444:pad_gpio_in I *D gpio_control_block +*I *2474:mprj_io_in[0] O *D chip_io_alt +*CAP +1 *2444:pad_gpio_in 0.000119332 +2 *2474:mprj_io_in[0] 0.000435012 +3 *1993:30 0.00121172 +4 *1993:21 0.00206073 +5 *1993:19 0.00101388 +6 *1993:17 0.00170597 +7 *1993:15 0.00209545 +8 *2444:pad_gpio_in *2047:13 3.84497e-05 +9 *2444:pad_gpio_in *2074:8 0 +10 *1993:17 *2474:mprj_io_slow_sel[0] 0 +11 *1993:21 *2101:14 0.000103433 +12 *1993:21 *2155:19 1.75462e-05 +13 *1993:21 *2155:23 0.00126962 +14 *1993:30 *2047:14 0 +15 *1993:30 *2101:13 0 +16 *1993:30 *2155:19 0.00192518 +17 *2474:mprj_io_dm[0] *1993:17 0 +18 *2474:mprj_io_dm[1] *1993:17 0 +19 *1777:14 *1993:17 0 +20 *1777:14 *1993:30 0 +21 *1804:14 *1993:17 0.000375822 +22 *1804:14 *1993:21 0.00419553 +23 *1804:14 *1993:30 4.80103e-06 +24 *1831:20 *1993:17 6.86916e-05 +25 *1831:20 *1993:21 0 +26 *1966:13 *2444:pad_gpio_in 3.84497e-05 +*RES +1 *2474:mprj_io_in[0] *1993:15 13.4957 +2 *1993:15 *1993:17 51.3447 +3 *1993:17 *1993:19 1.29461 +4 *1993:19 *1993:21 66.9777 +5 *1993:21 *1993:30 49.3768 +6 *1993:30 *2444:pad_gpio_in 5.62458 +*END + +*D_NET *1994 0.0469678 +*CONN +*I *2451:pad_gpio_in I *D gpio_control_block +*I *2474:mprj_io_in[10] O *D chip_io_alt +*CAP +1 *2451:pad_gpio_in 6.94771e-05 +2 *2474:mprj_io_in[10] 0.000176997 +3 *1994:51 0.0037086 +4 *1994:50 0.00445067 +5 *1994:44 0.00150467 +6 *1994:20 0.00130133 +7 *1994:16 0.000694081 +8 *1994:11 0.00275001 +9 *1994:10 0.00284113 +10 *1994:10 *2130:18 0.000197125 +11 *1994:11 *2474:mprj_io_vtrip_sel[10] 0.000194519 +12 *1994:11 *2076:14 0.00599219 +13 *1994:11 *2103:18 0.00011174 +14 *1994:11 *2129:14 0.00294747 +15 *1994:11 *2156:17 0.000364708 +16 *1994:16 *2474:mprj_io_out[9] 0 +17 *1994:20 *2073:52 0.001143 +18 *1994:20 *2129:14 0.00046924 +19 *1994:44 *2474:mprj_io_slow_sel[9] 0.000185867 +20 *1994:44 *2019:10 0.00017134 +21 *1994:51 *2451:user_gpio_out 0.000744765 +22 *2474:mprj_io_dm[28] *1994:20 9.43616e-05 +23 *101:10 *1994:50 0.000248812 +24 *101:16 *1994:20 0.00253423 +25 *101:21 *1994:16 0.000263135 +26 *101:22 *1994:11 0.0034193 +27 *1803:27 *1994:44 0.00129905 +28 *1879:32 *1994:50 0.000252395 +29 *1879:42 *1994:44 7.52188e-05 +30 *1879:44 *1994:44 0.000549011 +31 *1882:14 *1994:20 1.2693e-05 +32 *1940:18 *1994:11 0.000796897 +33 *1940:18 *1994:20 0.000393461 +34 *1968:14 *1994:11 0.00701036 +*RES +1 *2474:mprj_io_in[10] *1994:10 14.0325 +2 *1994:10 *1994:11 211.95 +3 *1994:11 *1994:16 11.9418 +4 *1994:16 *1994:20 48.7228 +5 *1994:20 *1994:44 46.5766 +6 *1994:44 *1994:50 33.805 +7 *1994:50 *1994:51 107.514 +8 *1994:51 *2451:pad_gpio_in 3.59493 +*END + +*D_NET *1995 0.0533493 +*CONN +*I *2452:pad_gpio_in I *D gpio_control_block +*I *2474:mprj_io_in[11] O *D chip_io_alt +*CAP +1 *2452:pad_gpio_in 0.000344971 +2 *2474:mprj_io_in[11] 0.000307296 +3 *1995:15 0.00430927 +4 *1995:13 0.00399447 +5 *1995:11 0.000533863 +6 *1995:10 0.00081098 +7 *2452:pad_gpio_in *2049:13 3.96052e-05 +8 *1995:10 *2049:27 5.04829e-06 +9 *1995:10 *2131:15 0 +10 *1995:11 *2049:14 0.00350013 +11 *1995:11 *2130:28 1.6267e-05 +12 *1995:11 *2130:32 0.00370852 +13 *1995:11 *2131:16 0.000210414 +14 *1995:11 *2158:10 0.000597706 +15 *1995:13 *2130:30 6.67835e-06 +16 *1995:15 *2474:mprj_io_slow_sel[10] 4.46284e-06 +17 *1995:15 *2049:14 1.23804e-05 +18 *1995:15 *2129:14 0.00345841 +19 *1995:15 *2130:18 0 +20 *1995:15 *2130:20 0.00309151 +21 *1995:15 *2130:24 0.00106015 +22 *1995:15 *2130:28 0.00356722 +23 *1995:15 *2158:10 0.000392646 +24 *487:13 *2452:pad_gpio_in 7.49541e-05 +25 *1885:14 *1995:15 0.0203174 +26 *1886:8 *1995:15 0.00213143 +27 *1888:14 *1995:11 1.87269e-05 +28 *1889:12 *1995:10 0.000195687 +29 *1968:13 *2452:pad_gpio_in 0.000639189 +*RES +1 *2474:mprj_io_in[11] *1995:10 16.8055 +2 *1995:10 *1995:11 60.1749 +3 *1995:11 *1995:13 0.732798 +4 *1995:13 *1995:15 328.428 +5 *1995:15 *2452:pad_gpio_in 21.9531 +*END + +*D_NET *1996 0.0439824 +*CONN +*I *2453:pad_gpio_in I *D gpio_control_block +*I *2474:mprj_io_in[12] O *D chip_io_alt +*CAP +1 *2453:pad_gpio_in 1.65112e-05 +2 *2474:mprj_io_in[12] 0.000801757 +3 *1996:43 1.65112e-05 +4 *1996:39 0.00389394 +5 *1996:38 0.00465942 +6 *1996:35 0.0012315 +7 *1996:32 0.00167891 +8 *1996:19 0.00204541 +9 *1996:17 0.000895249 +10 *1996:15 0.0017282 +11 *1996:14 0.00246723 +12 *1996:14 *2077:15 0.000199547 +13 *1996:14 *2131:22 0.00161958 +14 *1996:15 *2050:18 0.00831406 +15 *1996:15 *2158:15 0.00133626 +16 *1996:19 *2050:18 0.00104057 +17 *1996:32 *2474:mprj_io_out[11] 0.000599172 +18 *1996:32 *2049:30 0.000306656 +19 *1996:32 *2050:18 0.0004821 +20 *1996:32 *2131:16 0.000291334 +21 *1996:32 *2305:13 0.000910387 +22 *1996:32 *2323:11 0.000144289 +23 *1996:35 *2474:mprj_io_out[11] 0.000243056 +24 *1996:35 *2050:18 0.000677993 +25 *1996:38 *2131:15 0.000532369 +26 *2474:mprj_io_analog_pol[11] *1996:32 0.000113028 +27 *1807:20 *1996:15 0.00284633 +28 *1807:20 *1996:19 0.00104769 +29 *1888:14 *1996:19 0.00100336 +30 *1888:14 *1996:32 0.000295225 +31 *1889:12 *1996:35 0.00201497 +32 *1889:25 *1996:14 0 +33 *1942:22 *1996:38 5.04829e-06 +34 *1969:17 *1996:19 0.000407139 +35 *1969:17 *1996:32 0.000117573 +*RES +1 *2474:mprj_io_in[12] *1996:14 40.5454 +2 *1996:14 *1996:15 132.673 +3 *1996:15 *1996:17 1.29461 +4 *1996:17 *1996:19 50.734 +5 *1996:19 *1996:32 38.7536 +6 *1996:32 *1996:35 37.2683 +7 *1996:35 *1996:38 27.4075 +8 *1996:38 *1996:39 110.42 +9 *1996:39 *2453:pad_gpio_in 3.36879 +10 *2453:pad_gpio_in *1996:43 0.0631875 +*END + +*D_NET *1997 0.0183871 +*CONN +*I *2454:pad_gpio_in I *D gpio_control_block +*I *2474:mprj_io_in[13] O *D chip_io_alt +*CAP +1 *2454:pad_gpio_in 0.000617978 +2 *2474:mprj_io_in[13] 9.78394e-05 +3 *1997:19 0.0022747 +4 *1997:18 0.00171355 +5 *1997:13 0.00132021 +6 *1997:11 0.00136122 +7 *2454:pad_gpio_in *2051:13 3.84497e-05 +8 *1997:13 *2474:mprj_io_inp_dis[13] 4.58666e-05 +9 *1997:13 *2051:14 9.35753e-06 +10 *1997:13 *2132:14 0 +11 *1997:13 *2307:9 0.000770417 +12 *1997:13 *2325:9 0.00172394 +13 *1997:19 *2474:mprj_io_out[13] 1.2693e-05 +14 *1997:19 *2024:7 0 +15 *1997:19 *2051:14 0.000726727 +16 *1997:19 *2159:19 0.000478862 +17 *2474:mprj_io_analog_sel[13] *1997:13 7.20173e-06 +18 *2474:mprj_io_dm[39] *1997:13 0.000371778 +19 *2474:mprj_io_dm[40] *1997:13 0.000215112 +20 *2474:mprj_io_dm[41] *1997:19 0.000228518 +21 *2474:mprj_io_holdover[13] *1997:19 0.00247028 +22 *2474:mprj_io_ib_mode_sel[13] *1997:19 0.000356752 +23 *1781:13 *1997:19 0 +24 *1781:22 *1997:13 0 +25 *1835:18 *1997:19 0.00293508 +26 *1892:13 *2454:pad_gpio_in 0.000610609 +27 *1892:14 *1997:13 0 +*RES +1 *2474:mprj_io_in[13] *1997:11 3.6762 +2 *1997:11 *1997:13 56.4377 +3 *1997:13 *1997:18 10.3986 +4 *1997:18 *1997:19 97.7553 +5 *1997:19 *2454:pad_gpio_in 30.4448 +*END + +*D_NET *1998 0.00666821 +*CONN +*I *2461:pad_gpio_in I *D gpio_control_block +*I *2474:mprj_io_in[14] O *D chip_io_alt +*CAP +1 *2461:pad_gpio_in 8.62931e-05 +2 *2474:mprj_io_in[14] 2.27669e-05 +3 *1998:7 0.00232037 +4 *1998:5 0.00225684 +5 *2461:pad_gpio_in *2052:7 4.84183e-05 +6 *1998:7 *2133:8 0 +7 *1998:7 *2308:9 0.000400265 +8 *2461:resetn *2461:pad_gpio_in 0.000139329 +9 *2474:mprj_io_analog_en[14] *1998:7 0.000527776 +10 *1550:40 *1998:7 0.000123244 +11 *1894:11 *1998:7 0.000612939 +12 *1895:8 *1998:7 0 +13 *1971:7 *2461:pad_gpio_in 0.000129968 +*RES +1 *2474:mprj_io_in[14] *1998:5 0.647305 +2 *1998:5 *1998:7 71.7837 +3 *1998:7 *2461:pad_gpio_in 4.04389 +*END + +*D_NET *1999 0.0104076 +*CONN +*I *2462:pad_gpio_in I *D gpio_control_block +*I *2474:mprj_io_in[15] O *D chip_io_alt +*CAP +1 *2462:pad_gpio_in 0.000548424 +2 *2474:mprj_io_in[15] 0.000109238 +3 *1999:14 0.00144603 +4 *1999:9 0.00156623 +5 *1999:7 0.000777855 +6 *1999:7 *2134:8 8.99711e-05 +7 *1999:7 *2327:11 1.83854e-05 +8 *1999:9 *2134:8 0.00294302 +9 *2462:mgmt_gpio_out *1999:9 0 +10 *1783:12 *2462:pad_gpio_in 4.89469e-06 +11 *1810:12 *1999:9 0 +12 *1972:12 *2462:pad_gpio_in 0.00111299 +13 *1972:19 *1999:9 0.00179055 +*RES +1 *2474:mprj_io_in[15] *1999:7 4.14031 +2 *1999:7 *1999:9 47.3021 +3 *1999:9 *1999:14 33.0167 +4 *1999:14 *2462:pad_gpio_in 23.9056 +*END + +*D_NET *2000 0.00552254 +*CONN +*I *2463:pad_gpio_in I *D gpio_control_block +*I *2474:mprj_io_in[16] O *D chip_io_alt +*CAP +1 *2463:pad_gpio_in 4.98012e-05 +2 *2474:mprj_io_in[16] 0.000181661 +3 *2000:11 0.00231858 +4 *2000:10 0.00245044 +5 *2463:pad_gpio_in *2054:7 5.36925e-05 +6 *2463:mgmt_gpio_out *2000:11 0.000155405 +7 *1552:33 *2000:11 0.000259268 +8 *1901:8 *2000:10 0 +9 *1901:8 *2000:11 0 +10 *1903:12 *2000:11 0 +11 *1973:7 *2463:pad_gpio_in 5.36925e-05 +*RES +1 *2474:mprj_io_in[16] *2000:10 14.0084 +2 *2000:10 *2000:11 67.2342 +3 *2000:11 *2463:pad_gpio_in 3.66144 +*END + +*D_NET *2001 0.00702294 +*CONN +*I *2464:pad_gpio_in I *D gpio_control_block +*I *2474:mprj_io_in[17] O *D chip_io_alt +*CAP +1 *2464:pad_gpio_in 0.000911634 +2 *2474:mprj_io_in[17] 0.000167046 +3 *2001:13 0.0018013 +4 *2001:10 0.00105671 +5 *2464:pad_gpio_in *2055:7 2.82227e-05 +6 *2464:pad_gpio_in *2055:8 0.000518308 +7 *2001:10 *2136:8 0 +8 *2001:13 *2055:8 0.00157164 +9 *491:25 *2001:13 0 +10 *1785:13 *2464:pad_gpio_in 0.000628637 +11 *1812:13 *2464:pad_gpio_in 4.51062e-05 +12 *1906:8 *2001:13 0.000227605 +13 *1974:7 *2464:pad_gpio_in 6.67357e-05 +*RES +1 *2474:mprj_io_in[17] *2001:10 13.5985 +2 *2001:10 *2001:13 37.2383 +3 *2001:13 *2464:pad_gpio_in 33.8171 +*END + +*D_NET *2002 0.00828838 +*CONN +*I *2465:pad_gpio_in I *D gpio_control_block +*I *2474:mprj_io_in[18] O *D chip_io_alt +*CAP +1 *2465:pad_gpio_in 0.000198215 +2 *2474:mprj_io_in[18] 0.000105047 +3 *2002:9 0.00158469 +4 *2002:7 0.00149152 +5 *2465:pad_gpio_in *2056:9 0.000134942 +6 *2002:7 *2137:10 1.3813e-05 +7 *2002:9 *2137:10 0.00416125 +8 *2465:mgmt_gpio_out *2002:9 0 +9 *107:39 *2002:9 0.00042425 +10 *107:51 *2002:7 0.000174661 +11 *1909:9 *2465:pad_gpio_in 0 +*RES +1 *2474:mprj_io_in[18] *2002:7 4.14031 +2 *2002:7 *2002:9 67.4418 +3 *2002:9 *2465:pad_gpio_in 4.26339 +*END + +*D_NET *2003 0.00591618 +*CONN +*I *2466:pad_gpio_in I *D gpio_control_block +*I *2474:mprj_io_in[19] O *D chip_io_alt +*CAP +1 *2466:pad_gpio_in 4.98012e-05 +2 *2474:mprj_io_in[19] 0.000219638 +3 *2003:11 0.00240914 +4 *2003:9 0.00257897 +5 *2466:pad_gpio_in *2057:13 5.36925e-05 +6 *2466:mgmt_gpio_out *2003:11 0.000155405 +7 *493:8 *2003:11 0 +8 *1556:33 *2003:11 9.86056e-05 +9 *1911:18 *2003:9 0.000297231 +10 *1911:18 *2003:11 0 +11 *1976:7 *2466:pad_gpio_in 5.36925e-05 +*RES +1 *2474:mprj_io_in[19] *2003:9 8.36611 +2 *2003:9 *2003:11 67.4418 +3 *2003:11 *2466:pad_gpio_in 3.66144 +*END + +*D_NET *2004 0.0193674 +*CONN +*I *2445:pad_gpio_in I *D gpio_control_block +*I *2474:mprj_io_in[1] O *D chip_io_alt +*CAP +1 *2445:pad_gpio_in 0.000308812 +2 *2474:mprj_io_in[1] 0.000316005 +3 *2004:11 0.00338976 +4 *2004:10 0.00339695 +5 *2445:pad_gpio_in *2058:13 4.80459e-05 +6 *2445:pad_gpio_in *2112:13 0.000880967 +7 *2004:11 *2058:14 0.000329975 +8 *2004:11 *2058:20 0 +9 *2004:11 *2112:14 4.46284e-05 +10 *2004:11 *2166:14 2.79326e-05 +11 *100:96 *2004:10 0.000223156 +12 *485:53 *2445:pad_gpio_in 0.000134703 +13 *485:61 *2004:11 0 +14 *1788:18 *2004:11 0 +15 *1815:19 *2004:11 0.00158217 +16 *1815:20 *2004:11 0.000152386 +17 *1842:18 *2004:11 0 +18 *1913:23 *2004:11 0.00234978 +19 *1950:13 *2445:pad_gpio_in 0.000710303 +20 *1950:17 *2004:11 0.00258632 +21 *1950:20 *2004:11 0.00283747 +22 *1977:7 *2445:pad_gpio_in 4.80459e-05 +*RES +1 *2474:mprj_io_in[1] *2004:10 17.7754 +2 *2004:10 *2004:11 149.662 +3 *2004:11 *2445:pad_gpio_in 26.0957 +*END + +*D_NET *2005 0.00649594 +*CONN +*I *2467:pad_gpio_in I *D gpio_control_block +*I *2474:mprj_io_in[20] O *D chip_io_alt +*CAP +1 *2467:pad_gpio_in 8.4932e-05 +2 *2474:mprj_io_in[20] 0.000179341 +3 *2005:11 0.00230313 +4 *2005:10 0.00239754 +5 *2467:pad_gpio_in *2059:14 3.81792e-05 +6 *2005:10 *2297:12 0 +7 *2005:11 *2167:19 0.000844182 +8 *2005:11 *2297:12 0 +9 *2474:mprj_io_ib_mode_sel[20] *2467:pad_gpio_in 3.81792e-05 +10 *1843:15 *2005:11 0 +11 *1914:15 *2005:11 0.000610456 +*RES +1 *2474:mprj_io_in[20] *2005:10 14.0084 +2 *2005:10 *2005:11 66.819 +3 *2005:11 *2467:pad_gpio_in 3.66144 +*END + +*D_NET *2006 0.00833676 +*CONN +*I *2468:pad_gpio_in I *D gpio_control_block +*I *2474:mprj_io_in[21] O *D chip_io_alt +*CAP +1 *2468:pad_gpio_in 9.82838e-05 +2 *2474:mprj_io_in[21] 9.03492e-05 +3 *2006:9 0.0013781 +4 *2006:7 0.00137016 +5 *2468:pad_gpio_in *2060:7 0.000129968 +6 *2006:7 *2474:mprj_io_slow_sel[21] 0.000142583 +7 *2006:7 *2141:8 2.01595e-05 +8 *2006:9 *2141:8 0.00426275 +9 *1917:10 *2006:9 0.000714438 +10 *1918:10 *2006:9 0 +11 *1979:10 *2468:pad_gpio_in 0.000129968 +*RES +1 *2474:mprj_io_in[21] *2006:7 3.72506 +2 *2006:7 *2006:9 69.1029 +3 *2006:9 *2468:pad_gpio_in 4.04389 +*END + +*D_NET *2007 0.00904013 +*CONN +*I *2469:pad_gpio_in I *D gpio_control_block +*I *2474:mprj_io_in[22] O *D chip_io_alt +*CAP +1 *2469:pad_gpio_in 0.00130527 +2 *2474:mprj_io_in[22] 0.000759102 +3 *2007:12 0.00216151 +4 *2007:9 0.00161535 +5 *2007:9 *2142:8 0 +6 *2007:9 *2142:14 0.00183172 +7 *477:26 *2007:9 0.000246961 +8 *1818:15 *2007:12 0.000464193 +9 *1922:8 *2007:9 0.000656029 +*RES +1 *2474:mprj_io_in[22] *2007:9 41.2376 +2 *2007:9 *2007:12 29.0714 +3 *2007:12 *2469:pad_gpio_in 38.4395 +*END + +*D_NET *2008 0.00640139 +*CONN +*I *2470:pad_gpio_in I *D gpio_control_block +*I *2474:mprj_io_in[23] O *D chip_io_alt +*CAP +1 *2470:pad_gpio_in 0.000110652 +2 *2474:mprj_io_in[23] 0.000167046 +3 *2008:11 0.00226087 +4 *2008:10 0.00231727 +5 *2470:pad_gpio_in *2062:7 3.04141e-05 +6 *2008:10 *2474:mprj_io_slow_sel[23] 0 +7 *2008:11 *2474:mprj_io_oeb[23] 0.000588526 +8 *2008:11 *2474:mprj_io_slow_sel[23] 0 +9 *2470:mgmt_gpio_out *2008:11 0.000223181 +10 *2474:mprj_io_ib_mode_sel[23] *2470:pad_gpio_in 2.69096e-05 +11 *1560:35 *2008:11 5.39635e-06 +12 *1925:8 *2008:11 0 +13 *1954:10 *2008:11 0.000671123 +*RES +1 *2474:mprj_io_in[23] *2008:10 13.5985 +2 *2008:10 *2008:11 67.6495 +3 *2008:11 *2470:pad_gpio_in 3.66144 +*END + +*D_NET *2009 0.00655883 +*CONN +*I *2446:pad_gpio_in I *D gpio_control_block +*I *2474:mprj_io_in[24] O *D chip_io_alt +*CAP +1 *2446:pad_gpio_in 9.46286e-05 +2 *2474:mprj_io_in[24] 0.000175423 +3 *2009:11 0.00243269 +4 *2009:10 0.00251348 +5 *2446:pad_gpio_in *2063:7 5.34343e-05 +6 *2009:11 *2474:mprj_io_vtrip_sel[24] 0.000377203 +7 *2009:11 *2301:11 0 +8 *486:44 *2009:11 0.000223362 +9 *1793:13 *2009:11 0.000618491 +10 *1820:13 *2009:11 1.66771e-05 +11 *1927:15 *2009:10 0 +12 *1927:15 *2009:11 0 +13 *1982:7 *2446:pad_gpio_in 5.34343e-05 +*RES +1 *2474:mprj_io_in[24] *2009:10 13.8366 +2 *2009:10 *2009:11 67.2342 +3 *2009:11 *2446:pad_gpio_in 3.73793 +*END + +*D_NET *2010 0.0200695 +*CONN +*I *2447:pad_gpio_in I *D gpio_control_block +*I *2474:mprj_io_in[25] O *D chip_io_alt +*CAP +1 *2447:pad_gpio_in 0.00127606 +2 *2474:mprj_io_in[25] 0.000624313 +3 *2010:16 0.00331385 +4 *2010:15 0.00237474 +5 *2010:10 0.00498604 +6 *2010:9 0.0052734 +7 *2448:serial_load *2010:9 0.000481922 +8 *515:8 *2010:9 0.00173918 +*RES +1 *2474:mprj_io_in[25] *2010:9 32.9326 +2 *2010:9 *2010:10 129.946 +3 *2010:10 *2010:15 18.3065 +4 *2010:15 *2010:16 56.7384 +5 *2010:16 *2447:pad_gpio_in 42.109 +*END + +*D_NET *2011 0.0118943 +*CONN +*I *2448:pad_gpio_in I *D gpio_control_block +*I *2474:mprj_io_in[26] O *D chip_io_alt +*CAP +1 *2448:pad_gpio_in 0.00076025 +2 *2474:mprj_io_in[26] 0.00104862 +3 *2011:12 0.00123904 +4 *2011:7 0.00152741 +5 *2448:pad_gpio_in *2065:12 0 +6 *2448:pad_gpio_in *2092:15 9.91584e-05 +7 *2448:pad_gpio_in *2146:10 0 +8 *2011:7 *2474:mprj_io_vtrip_sel[26] 0.000220432 +9 *2011:12 *2065:15 0.00212337 +10 *2011:12 *2092:15 0.000409792 +11 *1795:8 *2011:7 0.00292714 +12 *1957:12 *2448:pad_gpio_in 0.000874995 +13 *1984:21 *2448:pad_gpio_in 9.81028e-05 +14 *1984:21 *2011:7 0.000566034 +*RES +1 *2474:mprj_io_in[26] *2011:7 47.5342 +2 *2011:7 *2011:12 31.3529 +3 *2011:12 *2448:pad_gpio_in 27.3407 +*END + +*D_NET *2012 0.0219252 +*CONN +*I *2455:pad_gpio_in I *D gpio_control_block +*I *2474:mprj_io_in[2] O *D chip_io_alt +*CAP +1 *2455:pad_gpio_in 0.000493176 +2 *2474:mprj_io_in[2] 0.000548854 +3 *2012:17 0.00118942 +4 *2012:16 0.00111715 +5 *2012:11 0.00182382 +6 *2012:10 0.00195177 +7 *2455:pad_gpio_in *2066:13 0.000318902 +8 *2012:17 *2093:14 8.67988e-05 +9 *100:80 *2012:17 0.00159639 +10 *104:40 *2455:pad_gpio_in 0.000201392 +11 *471:46 *2012:10 0.00019711 +12 *480:14 *2012:11 0.000776534 +13 *485:50 *2012:11 0.00087705 +14 *1823:20 *2012:11 0.00361469 +15 *1924:14 *2012:11 0.00379191 +16 *1935:13 *2455:pad_gpio_in 1.75554e-05 +17 *1935:23 *2012:11 1.2693e-05 +18 *1935:24 *2012:11 0 +19 *1958:13 *2455:pad_gpio_in 0.000124349 +20 *1985:13 *2455:pad_gpio_in 5.08157e-05 +21 *1985:14 *2012:17 0.00313477 +*RES +1 *2474:mprj_io_in[2] *2012:10 23.4607 +2 *2012:10 *2012:11 94.4332 +3 *2012:11 *2012:16 17.4878 +4 *2012:16 *2012:17 53.7385 +5 *2012:17 *2455:pad_gpio_in 25.4754 +*END + +*D_NET *2013 0.0230528 +*CONN +*I *2456:pad_gpio_in I *D gpio_control_block +*I *2474:mprj_io_in[3] O *D chip_io_alt +*CAP +1 *2456:pad_gpio_in 0.000404441 +2 *2474:mprj_io_in[3] 4.30859e-05 +3 *2013:13 0.00146232 +4 *2013:12 0.00114904 +5 *2013:7 0.000867091 +6 *2013:5 0.000819013 +7 *2456:pad_gpio_in *2067:15 4.69062e-05 +8 *2456:pad_gpio_in *2094:8 5.88867e-05 +9 *2456:pad_gpio_in *2121:13 0 +10 *2013:7 *2474:mprj_io_slow_sel[3] 0.0006713 +11 *2013:7 *2040:19 0.000540347 +12 *2013:13 *2121:14 0 +13 *2455:resetn_out *2013:7 0.000482261 +14 *2455:resetn_out *2013:13 0.00080517 +15 *2474:mprj_io_analog_en[3] *2013:7 0.00160635 +16 *2474:mprj_io_analog_sel[3] *2013:7 2.2862e-06 +17 *2474:mprj_io_dm[10] *2013:7 0.00041084 +18 *2474:mprj_io_holdover[3] *2013:12 1.31872e-05 +19 *2474:mprj_io_holdover[3] *2013:13 0.00172394 +20 *105:52 *2013:13 0.00105977 +21 *472:38 *2013:13 5.07721e-05 +22 *504:8 *2013:7 0.000185169 +23 *504:12 *2013:7 0.00365762 +24 *504:12 *2013:13 0.000487144 +25 *1851:16 *2013:13 0.00290543 +26 *1860:23 *2013:12 0 +27 *1959:18 *2013:13 2.93592e-05 +28 *1986:13 *2456:pad_gpio_in 3.84497e-05 +29 *1986:14 *2013:13 0.00353264 +*RES +1 *2474:mprj_io_in[3] *2013:5 1.20912 +2 *2013:5 *2013:7 65.158 +3 *2013:7 *2013:12 10.8326 +4 *2013:12 *2013:13 90.696 +5 *2013:13 *2456:pad_gpio_in 21.4486 +*END + +*D_NET *2014 0.0208152 +*CONN +*I *2457:pad_gpio_in I *D gpio_control_block +*I *2474:mprj_io_in[4] O *D chip_io_alt +*CAP +1 *2457:pad_gpio_in 0.000224492 +2 *2474:mprj_io_in[4] 0.000707935 +3 *2014:31 0.00147753 +4 *2014:30 0.00174545 +5 *2014:21 0.00146386 +6 *2014:18 0.00158219 +7 *2014:12 0.00131869 +8 *2457:pad_gpio_in *2068:15 4.10643e-05 +9 *2457:pad_gpio_in *2095:7 0 +10 *2014:18 *2474:mprj_io_slow_sel[4] 4.17605e-05 +11 *2014:30 *2474:mprj_io_out[4] 5.57839e-05 +12 *2014:30 *2041:8 5.481e-05 +13 *2014:31 *2068:15 0 +14 *2014:31 *2095:8 0.00335023 +15 *2014:31 *2122:13 0 +16 *2474:mprj_io_analog_en[4] *2014:18 0.000471151 +17 *2474:mprj_io_dm[13] *2014:18 0.000517809 +18 *108:47 *2014:30 0.000224324 +19 *110:98 *2014:21 0.00133189 +20 *478:32 *2014:18 0.000230029 +21 *493:51 *2014:21 0.000425124 +22 *495:56 *2014:12 0.000280067 +23 *505:14 *2014:18 0.0014693 +24 *1798:13 *2014:31 0 +25 *1798:14 *2014:18 9.34396e-06 +26 *1798:14 *2014:21 0 +27 *1825:15 *2014:31 0 +28 *1825:16 *2014:21 0.000455641 +29 *1852:13 *2014:31 0 +30 *1861:15 *2014:31 0 +31 *1861:16 *2014:21 0 +32 *1863:13 *2014:31 0 +33 *1863:14 *2014:30 0.00165376 +34 *1960:13 *2014:31 0 +35 *1960:14 *2014:30 0.00165016 +36 *1987:13 *2457:pad_gpio_in 3.27908e-05 +37 *1987:13 *2014:31 0 +*RES +1 *2474:mprj_io_in[4] *2014:12 30.1986 +2 *2014:12 *2014:18 39.2491 +3 *2014:18 *2014:21 49.103 +4 *2014:21 *2014:30 45.5761 +5 *2014:30 *2014:31 54.3614 +6 *2014:31 *2457:pad_gpio_in 4.12039 +*END + +*D_NET *2015 0.0238245 +*CONN +*I *2458:pad_gpio_in I *D gpio_control_block +*I *2474:mprj_io_in[5] O *D chip_io_alt +*CAP +1 *2458:pad_gpio_in 0.000651625 +2 *2474:mprj_io_in[5] 0.00057844 +3 *2015:11 0.00238163 +4 *2015:10 0.00230844 +5 *2458:pad_gpio_in *2069:13 0.000741548 +6 *2458:pad_gpio_in *2150:8 0 +7 *2015:11 *2069:14 0.000537421 +8 *478:32 *2015:11 0.00147391 +9 *495:64 *2015:10 0 +10 *506:14 *2015:11 0.000812353 +11 *1799:14 *2015:11 0.0061995 +12 *1865:13 *2458:pad_gpio_in 0.000528767 +13 *1865:14 *2015:11 0.00749462 +14 *1866:13 *2458:pad_gpio_in 4.62112e-05 +15 *1961:13 *2458:pad_gpio_in 1.2366e-05 +16 *1988:7 *2458:pad_gpio_in 5.76421e-05 +*RES +1 *2474:mprj_io_in[5] *2015:10 23.876 +2 *2015:10 *2015:11 146.34 +3 *2015:11 *2458:pad_gpio_in 35.0402 +*END + +*D_NET *2016 0.0177924 +*CONN +*I *2459:pad_gpio_in I *D gpio_control_block +*I *2474:mprj_io_in[6] O *D chip_io_alt +*CAP +1 *2459:pad_gpio_in 0.000581879 +2 *2474:mprj_io_in[6] 8.17845e-05 +3 *2016:19 0.00309238 +4 *2016:18 0.00274326 +5 *2016:13 0.00104353 +6 *2016:11 0.000892549 +7 *2459:pad_gpio_in *2070:13 3.84497e-05 +8 *2459:pad_gpio_in *2097:8 4.05974e-05 +9 *2459:pad_gpio_in *2124:13 0 +10 *2459:pad_gpio_in *2151:15 0 +11 *2016:13 *2151:22 0.00301099 +12 *2016:19 *2043:13 0.000469817 +13 *2016:19 *2151:16 0 +14 *2016:19 *2178:14 0.00225214 +15 *2459:serial_clock *2016:13 0.000462724 +16 *2474:mprj_io_analog_sel[6] *2016:18 5.04829e-06 +17 *494:26 *2016:19 0.000883061 +18 *495:64 *2016:13 0.00140631 +19 *507:12 *2016:13 0.000749438 +20 *1800:14 *2016:19 0 +21 *1989:13 *2459:pad_gpio_in 3.84497e-05 +*RES +1 *2474:mprj_io_in[6] *2016:11 3.11439 +2 *2016:11 *2016:13 58.9292 +3 *2016:13 *2016:18 14.1602 +4 *2016:18 *2016:19 96.9248 +5 *2016:19 *2459:pad_gpio_in 25.3308 +*END + +*D_NET *2017 0.0506982 +*CONN +*I *2460:pad_gpio_in I *D gpio_control_block +*I *2474:mprj_io_in[7] O *D chip_io_alt +*CAP +1 *2460:pad_gpio_in 0.000289673 +2 *2474:mprj_io_in[7] 0.000677784 +3 *2017:13 0.0043113 +4 *2017:12 0.00402163 +5 *2017:10 0.000677784 +6 *2460:pad_gpio_in *2071:7 3.84497e-05 +7 *2017:10 *2018:33 0.00162737 +8 *2017:10 *2153:16 0.00250808 +9 *2017:13 *2072:18 0.00352908 +10 *2017:13 *2125:14 0.0186026 +11 *2017:13 *2180:14 0.000437916 +12 *110:98 *2017:13 0.00107501 +13 *495:64 *2017:13 0.00034574 +14 *1871:13 *2460:pad_gpio_in 3.99086e-06 +15 *1871:14 *2017:13 0.00852792 +16 *1872:13 *2460:pad_gpio_in 0.000671753 +17 *1872:14 *2017:13 0.000591336 +18 *1963:8 *2017:10 0.000189832 +19 *1990:7 *2460:pad_gpio_in 3.84497e-05 +20 *1990:8 *2017:10 0.000158304 +21 *1990:8 *2017:13 0.00237421 +*RES +1 *2474:mprj_io_in[7] *2017:10 48.7472 +2 *2017:10 *2017:12 4.5 +3 *2017:12 *2017:13 344.415 +4 *2017:13 *2460:pad_gpio_in 21.1727 +*END + +*D_NET *2018 0.0507291 +*CONN +*I *2449:pad_gpio_in I *D gpio_control_block +*I *2474:mprj_io_in[8] O *D chip_io_alt +*CAP +1 *2449:pad_gpio_in 8.87904e-05 +2 *2474:mprj_io_in[8] 0.000171188 +3 *2018:37 0.00194087 +4 *2018:36 0.00278263 +5 *2018:33 0.00213695 +6 *2018:27 0.00217165 +7 *2018:24 0.00103527 +8 *2018:19 0.00108369 +9 *2018:18 0.00138088 +10 *2018:13 0.00262099 +11 *2018:11 0.00242498 +12 *2018:11 *2019:11 6.34651e-06 +13 *2018:11 *2073:31 0.000171079 +14 *2018:13 *2019:11 0.000490356 +15 *2018:13 *2126:16 0.000138272 +16 *2018:18 *2044:8 0.000450929 +17 *2018:24 *2293:10 0.000213725 +18 *2474:mprj_io_analog_pol[7] *2018:24 5.04829e-06 +19 *510:14 *2018:19 0.0053783 +20 *510:14 *2018:27 0.000326993 +21 *1570:20 *2018:27 0 +22 *1857:13 *2018:13 0 +23 *1874:15 *2018:33 4.66705e-05 +24 *1874:21 *2018:27 0.00162199 +25 *1874:28 *2018:13 0.00778558 +26 *1876:14 *2018:19 0.0026061 +27 *1877:13 *2018:13 0 +28 *1878:13 *2018:13 0 +29 *1879:8 *2018:11 2.1558e-06 +30 *1879:8 *2018:13 0.00362062 +31 *1879:19 *2018:11 0.000112116 +32 *1963:8 *2018:33 0.00028839 +33 *1964:10 *2018:37 9.87197e-06 +34 *1964:15 *2018:36 0.000580103 +35 *1965:8 *2018:13 0.000920114 +36 *1991:9 *2449:pad_gpio_in 8.11597e-06 +37 *1991:10 *2018:37 0.00526732 +38 *1991:16 *2018:19 0.000867468 +39 *1991:16 *2018:27 0.000346217 +40 *2017:10 *2018:33 0.00162737 +*RES +1 *2474:mprj_io_in[8] *2018:11 6.7051 +2 *2018:11 *2018:13 149.039 +3 *2018:13 *2018:18 20.8155 +4 *2018:18 *2018:19 86.1282 +5 *2018:19 *2018:24 11.3872 +6 *2018:24 *2018:27 45.781 +7 *2018:27 *2018:33 49.3658 +8 *2018:33 *2018:36 29.0714 +9 *2018:36 *2018:37 85.0901 +10 *2018:37 *2449:pad_gpio_in 3.65147 +*END + +*D_NET *2019 0.0566566 +*CONN +*I *2450:pad_gpio_in I *D gpio_control_block +*I *2474:mprj_io_in[9] O *D chip_io_alt +*CAP +1 *2450:pad_gpio_in 0.000644065 +2 *2474:mprj_io_in[9] 0.000186687 +3 *2019:11 0.00456722 +4 *2019:10 0.00410985 +5 *2450:pad_gpio_in *2127:13 0.000268171 +6 *2019:11 *2072:18 0.000126603 +7 *2019:11 *2073:31 0.000531716 +8 *2019:11 *2073:44 0.0123589 +9 *2019:11 *2126:16 0.000714515 +10 *2019:11 *2153:24 0.00288812 +11 *2019:11 *2154:14 0.023282 +12 *2019:11 *2156:17 0.000338167 +13 *2019:11 *2302:15 0.000260215 +14 *2019:11 *2320:15 0.00108964 +15 *2474:mprj_io_analog_en[8] *2019:11 0 +16 *2474:mprj_io_analog_sel[8] *2019:11 0.000500922 +17 *2474:mprj_io_dm[26] *2019:11 0 +18 *101:15 *2019:10 4.35979e-05 +19 *1803:14 *2019:11 1.23804e-05 +20 *1803:27 *2019:11 0.000197391 +21 *1874:28 *2019:11 0.00104861 +22 *1879:8 *2450:pad_gpio_in 0 +23 *1879:19 *2019:11 0.000861563 +24 *1879:42 *2019:10 3.88655e-06 +25 *1965:8 *2019:11 0.00135541 +26 *1967:13 *2019:11 0.000569924 +27 *1992:7 *2450:pad_gpio_in 2.89493e-05 +28 *1994:44 *2019:10 0.00017134 +29 *2018:11 *2019:11 6.34651e-06 +30 *2018:13 *2019:11 0.000490356 +*RES +1 *2474:mprj_io_in[9] *2019:10 14.5871 +2 *2019:10 *2019:11 380.957 +3 *2019:11 *2450:pad_gpio_in 27.8139 +*END + +*D_NET *2020 0.143904 +*CONN +*I *2473:io_in_3v3[0] I *D user_analog_project_wrapper +*I *2474:mprj_io_in_3v3[0] O *D chip_io_alt +*CAP +1 *2473:io_in_3v3[0] 0.00166688 +2 *2474:mprj_io_in_3v3[0] 3.80717e-05 +3 *2020:24 0.00338624 +4 *2020:23 0.00171936 +5 *2020:21 0.00786169 +6 *2020:20 0.00791852 +7 *2020:15 0.00272962 +8 *2020:13 0.00269857 +9 *2020:11 0.00101628 +10 *2020:10 0.00099051 +11 *2020:8 0.00212067 +12 *2020:7 0.00215875 +13 *2473:io_in_3v3[0] *2473:io_in[0] 0 +14 *2473:io_in_3v3[0] *2375:10 0 +15 *2020:7 *2474:mprj_io_oeb[0] 9.29258e-05 +16 *2020:11 *2222:12 0.000973065 +17 *2020:15 *2031:11 0.000549956 +18 *2020:15 *2222:12 0.0159439 +19 *2020:21 *2031:11 1.3813e-05 +20 *2020:21 *2031:15 0.0383253 +21 *2020:21 *2222:16 0.00293839 +22 *2020:21 *2222:30 0.0231806 +23 *2471:mgmt_gpio_in[10] *2020:15 0.00130366 +24 *2471:mgmt_gpio_in[11] *2020:15 0.00130366 +25 *2471:mgmt_gpio_in[12] *2020:21 0.00130366 +26 *2471:mgmt_gpio_in[14] *2020:21 0.00130366 +27 *2471:mgmt_gpio_in[15] *2020:21 0.00130366 +28 *2471:mgmt_gpio_in[17] *2020:21 0.00130366 +29 *2471:mgmt_gpio_in[18] *2020:21 0.00130366 +30 *2471:mgmt_gpio_in[19] *2020:21 0.00130366 +31 *2471:mgmt_gpio_in[2] *2020:11 0.00130366 +32 *2471:mgmt_gpio_in[3] *2020:11 0.00130366 +33 *2471:mgmt_gpio_in[4] *2020:15 0.00130366 +34 *2471:mgmt_gpio_in[6] *2020:15 0.00130366 +35 *2471:mgmt_gpio_in[7] *2020:15 0.00125157 +36 *2471:mgmt_gpio_in[8] *2020:15 0.00130366 +37 *2471:mgmt_gpio_in[9] *2020:15 0.00130366 +38 *470:16 *2020:21 0.000137254 +39 *477:29 *2473:io_in_3v3[0] 0 +40 *477:29 *2020:24 0.000726008 +41 *492:25 *2020:24 0.00303298 +42 *525:8 *2020:11 0.00225124 +43 *525:8 *2020:15 0.00193015 +44 *1573:7 *2020:21 0 +45 *1576:9 *2020:21 0 +*RES +1 *2474:mprj_io_in_3v3[0] *2020:7 6.03888 +2 *2020:7 *2020:8 52.3015 +3 *2020:8 *2020:10 4.5 +4 *2020:10 *2020:11 74.2935 +5 *2020:11 *2020:13 0.732798 +6 *2020:13 *2020:15 256.174 +7 *2020:15 *2020:20 10.3986 +8 *2020:20 *2020:21 663.329 +9 *2020:21 *2020:23 4.5 +10 *2020:23 *2020:24 71.1581 +11 *2020:24 *2473:io_in_3v3[0] 18.3398 +*END + +*D_NET *2021 0.0268933 +*CONN +*I *2473:io_in_3v3[10] I *D user_analog_project_wrapper +*I *2474:mprj_io_in_3v3[10] O *D chip_io_alt +*CAP +1 *2473:io_in_3v3[10] 0.00119884 +2 *2474:mprj_io_in_3v3[10] 0.000689618 +3 *2021:11 0.00343132 +4 *2021:10 0.00223248 +5 *2021:8 0.00434925 +6 *2021:7 0.00503886 +7 *2473:io_in_3v3[10] *2473:gpio_noesd[3] 0 +8 *2473:io_in_3v3[10] *2473:io_in[10] 0.00152279 +9 *2021:7 *2103:18 0.000249362 +10 *2021:7 *2130:20 0 +11 *2021:7 *2130:24 0 +12 *2021:11 *2385:10 0.00644227 +13 *1779:14 *2021:7 2.5386e-05 +14 *1806:14 *2021:7 0.00171314 +*RES +1 *2474:mprj_io_in_3v3[10] *2021:7 34.8684 +2 *2021:7 *2021:8 117.19 +3 *2021:8 *2021:10 4.5 +4 *2021:10 *2021:11 102.946 +5 *2021:11 *2473:io_in_3v3[10] 8.92763 +*END + +*D_NET *2022 0.0269346 +*CONN +*I *2473:io_in_3v3[11] I *D user_analog_project_wrapper +*I *2474:mprj_io_in_3v3[11] O *D chip_io_alt +*CAP +1 *2473:io_in_3v3[11] 0.00100808 +2 *2474:mprj_io_in_3v3[11] 0.000572397 +3 *2022:11 0.00306864 +4 *2022:10 0.00206056 +5 *2022:8 0.0043779 +6 *2022:7 0.0049503 +7 *2473:io_in_3v3[11] *2473:gpio_noesd[4] 0 +8 *2473:io_in_3v3[11] *2473:io_in[11] 0.00368994 +9 *2022:7 *2077:15 0.000131317 +10 *2022:11 *2305:17 0.00215484 +11 *2022:11 *2332:14 0.00284146 +12 *2022:11 *2385:10 0.000522281 +13 *1889:18 *2022:7 0.00155693 +14 *1942:34 *2022:7 0 +*RES +1 *2474:mprj_io_in_3v3[11] *2022:7 29.7083 +2 *2022:7 *2022:8 117.19 +3 *2022:8 *2022:10 4.5 +4 *2022:10 *2022:11 101.7 +5 *2022:11 *2473:io_in_3v3[11] 8.85612 +*END + +*D_NET *2023 0.0212576 +*CONN +*I *2473:io_in_3v3[12] I *D user_analog_project_wrapper +*I *2474:mprj_io_in_3v3[12] O *D chip_io_alt +*CAP +1 *2473:io_in_3v3[12] 0.00103693 +2 *2474:mprj_io_in_3v3[12] 0.00071618 +3 *2023:11 0.00428047 +4 *2023:10 0.00324354 +5 *2023:8 0.00434923 +6 *2023:7 0.00506541 +7 *2473:io_in_3v3[12] *2473:gpio_noesd[5] 0 +8 *2473:io_in_3v3[12] *2473:io_in[12] 0.000921588 +9 *2023:11 *2359:10 0.0010787 +10 *2023:11 *2386:10 0.000565545 +*RES +1 *2474:mprj_io_in_3v3[12] *2023:7 24.7252 +2 *2023:7 *2023:8 119.963 +3 *2023:8 *2023:10 4.5 +4 *2023:10 *2023:11 101.7 +5 *2023:11 *2473:io_in_3v3[12] 8.2891 +*END + +*D_NET *2024 0.0308248 +*CONN +*I *2473:io_in_3v3[13] I *D user_analog_project_wrapper +*I *2474:mprj_io_in_3v3[13] O *D chip_io_alt +*CAP +1 *2473:io_in_3v3[13] 0.00137912 +2 *2474:mprj_io_in_3v3[13] 0.000748514 +3 *2024:11 0.00246536 +4 *2024:10 0.00108625 +5 *2024:8 0.00408658 +6 *2024:7 0.00483509 +7 *2473:io_in_3v3[13] *2473:io_in[13] 0 +8 *2024:7 *2474:mprj_io_oeb[13] 0 +9 *2024:11 *2325:13 0.000651922 +10 *2024:11 *2333:14 0.000194974 +11 *2024:11 *2360:10 0.00553722 +12 *2024:11 *2387:10 0.00600188 +13 *2474:mprj_io_ib_mode_sel[13] *2024:7 0.00191674 +14 *1537:23 *2024:8 0.00192115 +15 *1997:19 *2024:7 0 +*RES +1 *2474:mprj_io_in_3v3[13] *2024:7 36.3523 +2 *2024:7 *2024:8 119.409 +3 *2024:8 *2024:10 4.5 +4 *2024:10 *2024:11 110.005 +5 *2024:11 *2473:io_in_3v3[13] 8.64661 +*END + +*D_NET *2025 0.0199094 +*CONN +*I *2473:io_in_3v3[14] I *D user_analog_project_wrapper +*I *2474:mprj_io_in_3v3[14] O *D chip_io_alt +*CAP +1 *2473:io_in_3v3[14] 0.00187014 +2 *2474:mprj_io_in_3v3[14] 0.000725423 +3 *2025:10 0.00392779 +4 *2025:9 0.00278307 +5 *2473:io_in_3v3[14] *2473:gpio_noesd[7] 0 +6 *2473:io_in_3v3[14] *2473:io_in[14] 0 +7 *2025:9 *2474:mprj_io_oeb[14] 0.00156618 +8 *2025:9 *2326:13 3.31733e-05 +9 *2025:10 *2326:14 0.00898443 +10 *2474:mprj_io_holdover[14] *2025:10 1.92336e-05 +11 *485:23 *2025:9 0 +*RES +1 *2474:mprj_io_in_3v3[14] *2025:9 34.3249 +2 *2025:9 *2025:10 95.006 +3 *2025:10 *2473:io_in_3v3[14] 19.6219 +*END + +*D_NET *2026 0.0181317 +*CONN +*I *2473:io_in_3v3[15] I *D user_analog_project_wrapper +*I *2474:mprj_io_in_3v3[15] O *D chip_io_alt +*CAP +1 *2473:io_in_3v3[15] 0.00159439 +2 *2474:mprj_io_in_3v3[15] 0.000435574 +3 *2026:10 0.0039197 +4 *2026:9 0.00276088 +5 *2473:io_in_3v3[15] *2473:io_in[15] 9.3612e-05 +6 *2026:9 *2474:mprj_io_oeb[15] 0.00045785 +7 *2026:9 *2474:mprj_io_vtrip_sel[15] 0.0006713 +8 *2026:9 *2107:14 2.37478e-05 +9 *2026:10 *2161:17 0.000231442 +10 *2026:10 *2335:19 0.00747011 +11 *489:14 *2026:9 0.000473066 +*RES +1 *2474:mprj_io_in_3v3[15] *2026:9 29.0732 +2 *2026:9 *2026:10 96.6698 +3 *2026:10 *2473:io_in_3v3[15] 15.3309 +*END + +*D_NET *2027 0.0148862 +*CONN +*I *2473:io_in_3v3[16] I *D user_analog_project_wrapper +*I *2474:mprj_io_in_3v3[16] O *D chip_io_alt +*CAP +1 *2473:io_in_3v3[16] 0.00203445 +2 *2474:mprj_io_in_3v3[16] 0.000871721 +3 *2027:10 0.00565327 +4 *2027:9 0.00449055 +5 *2473:io_in_3v3[16] *2473:gpio_noesd[9] 0 +6 *2473:io_in_3v3[16] *2473:io_in[16] 0 +7 *2473:io_in_3v3[16] *2363:10 0 +8 *2027:9 *2474:mprj_io_oeb[16] 0.000215165 +9 *2027:9 *2474:mprj_io_out[16] 0.000189119 +10 *2027:9 *2108:16 0 +11 *2474:mprj_io_analog_sel[16] *2027:10 0 +12 *2474:mprj_io_holdover[16] *2027:9 0.000505268 +13 *2474:mprj_io_ib_mode_sel[16] *2027:9 0.000926678 +*RES +1 *2474:mprj_io_in_3v3[16] *2027:9 40.0835 +2 *2027:9 *2027:10 97.2244 +3 *2027:10 *2473:io_in_3v3[16] 24.2923 +*END + +*D_NET *2028 0.0203598 +*CONN +*I *2473:io_in_3v3[17] I *D user_analog_project_wrapper +*I *2474:mprj_io_in_3v3[17] O *D chip_io_alt +*CAP +1 *2473:io_in_3v3[17] 0.00163685 +2 *2474:mprj_io_in_3v3[17] 0.000545431 +3 *2028:10 0.00380624 +4 *2028:9 0.00271483 +5 *2473:io_in_3v3[17] *2473:gpio_noesd[10] 0.000488429 +6 *2473:io_in_3v3[17] *2473:io_in[17] 0.000122042 +7 *2028:9 *2474:mprj_io_oeb[17] 4.76719e-05 +8 *2028:10 *2312:10 0.00913874 +9 *473:8 *2028:9 0.000579885 +10 *1974:24 *2028:9 0.00127967 +*RES +1 *2474:mprj_io_in_3v3[17] *2028:9 34.4714 +2 *2028:9 *2028:10 96.6698 +3 *2028:10 *2473:io_in_3v3[17] 18.9489 +*END + +*D_NET *2029 0.0158823 +*CONN +*I *2473:io_in_3v3[18] I *D user_analog_project_wrapper +*I *2474:mprj_io_in_3v3[18] O *D chip_io_alt +*CAP +1 *2473:io_in_3v3[18] 0.00166839 +2 *2474:mprj_io_in_3v3[18] 0.000395047 +3 *2029:10 0.00464133 +4 *2029:9 0.00336798 +5 *2473:io_in_3v3[18] *2473:gpio_noesd[11] 0 +6 *2473:io_in_3v3[18] *2473:io_in[18] 0 +7 *2029:9 *2474:mprj_io_oeb[18] 0.00116469 +8 *2029:10 *2474:mprj_io_oeb[18] 0 +9 *2029:10 *2474:mprj_io_out[18] 4.96363e-05 +10 *2029:10 *2338:17 0.00413078 +11 *107:58 *2029:9 0.000464416 +*RES +1 *2474:mprj_io_in_3v3[18] *2029:9 28.2427 +2 *2029:9 *2029:10 97.2244 +3 *2029:10 *2473:io_in_3v3[18] 13.7547 +*END + +*D_NET *2030 0.0151471 +*CONN +*I *2473:io_in_3v3[19] I *D user_analog_project_wrapper +*I *2474:mprj_io_in_3v3[19] O *D chip_io_alt +*CAP +1 *2473:io_in_3v3[19] 0.00162492 +2 *2474:mprj_io_in_3v3[19] 0.000626301 +3 *2030:16 0.00576754 +4 *2030:15 0.00476892 +5 *2473:io_in_3v3[19] *2473:gpio_noesd[12] 0 +6 *2473:io_in_3v3[19] *2473:io_in[19] 0 +7 *2030:15 *2474:mprj_io_oeb[19] 0.000913325 +8 *2030:15 *2474:mprj_io_out[19] 0.000189119 +9 *2474:mprj_io_dm[59] *2030:16 8.35282e-05 +10 *2474:mprj_io_holdover[19] *2030:15 0.000435402 +11 *2474:mprj_io_ib_mode_sel[19] *2030:15 5.99922e-05 +12 *475:16 *2030:15 0.000678081 +*RES +1 *2474:mprj_io_in_3v3[19] *2030:15 40.3827 +2 *2030:15 *2030:16 109.98 +3 *2030:16 *2473:io_in_3v3[19] 22.4682 +*END + +*D_NET *2031 0.118019 +*CONN +*I *2473:io_in_3v3[1] I *D user_analog_project_wrapper +*I *2474:mprj_io_in_3v3[1] O *D chip_io_alt +*CAP +1 *2473:io_in_3v3[1] 0.00124433 +2 *2474:mprj_io_in_3v3[1] 2.02418e-05 +3 *2031:18 0.00349186 +4 *2031:17 0.00224752 +5 *2031:15 0.00570498 +6 *2031:13 0.00573357 +7 *2031:11 0.000974799 +8 *2031:10 0.000946212 +9 *2031:8 0.00223872 +10 *2031:7 0.00225897 +11 *2473:io_in_3v3[1] *2473:io_in[1] 0 +12 *2473:io_in_3v3[1] *2356:12 0 +13 *2031:7 *2474:mprj_io_oeb[1] 4.08338e-05 +14 *2031:11 *2222:12 9.71323e-06 +15 *2031:11 *2222:16 0.00297387 +16 *2031:15 *2222:24 0.0147182 +17 *2031:15 *2394:20 0.025779 +18 *2031:15 *2394:24 7.44441e-06 +19 *2031:18 *2340:17 0.00121204 +20 *2471:mgmt_gpio_in[10] *2031:11 0.000982761 +21 *2471:mgmt_gpio_in[11] *2031:11 0.00130366 +22 *470:16 *2031:15 0.000758132 +23 *525:8 *2031:11 0.00646948 +24 *525:8 *2031:15 1.3813e-05 +25 *2020:15 *2031:11 0.000549956 +26 *2020:21 *2031:11 1.3813e-05 +27 *2020:21 *2031:15 0.0383253 +*RES +1 *2474:mprj_io_in_3v3[1] *2031:7 5.20837 +2 *2031:7 *2031:8 51.7469 +3 *2031:8 *2031:10 4.5 +4 *2031:10 *2031:11 103.776 +5 *2031:11 *2031:13 0.732798 +6 *2031:13 *2031:15 651.909 +7 *2031:15 *2031:17 4.5 +8 *2031:17 *2031:18 73.9311 +9 *2031:18 *2473:io_in_3v3[1] 12.3829 +*END + +*D_NET *2032 0.0168879 +*CONN +*I *2473:io_in_3v3[20] I *D user_analog_project_wrapper +*I *2474:mprj_io_in_3v3[20] O *D chip_io_alt +*CAP +1 *2473:io_in_3v3[20] 0.00184639 +2 *2474:mprj_io_in_3v3[20] 0.000954074 +3 *2032:14 0.00464537 +4 *2032:13 0.00375306 +5 *2473:io_in_3v3[20] *2473:gpio_noesd[13] 0 +6 *2473:io_in_3v3[20] *2473:io_in[20] 9.69513e-05 +7 *2032:13 *2474:mprj_io_oeb[20] 0.00136153 +8 *2032:14 *2341:19 0.00423054 +9 *474:48 *2032:13 0 +10 *494:8 *2032:13 0 +*RES +1 *2474:mprj_io_in_3v3[20] *2032:13 47.7795 +2 *2032:13 *2032:14 92.233 +3 *2032:14 *2473:io_in_3v3[20] 17.2064 +*END + +*D_NET *2033 0.0150202 +*CONN +*I *2473:io_in_3v3[21] I *D user_analog_project_wrapper +*I *2474:mprj_io_in_3v3[21] O *D chip_io_alt +*CAP +1 *2473:io_in_3v3[21] 0.00149591 +2 *2474:mprj_io_in_3v3[21] 0.00071616 +3 *2033:13 0.00283076 +4 *2033:8 0.00511769 +5 *2033:7 0.004499 +6 *2473:io_in_3v3[21] *2473:io_in[21] 0.000360677 +7 *2473:io_in_3v3[21] *2396:15 0 +8 *2033:13 *2342:14 0 +9 *2033:13 *2369:10 0 +10 *2033:13 *2396:15 0 +*RES +1 *2474:mprj_io_in_3v3[21] *2033:7 24.7252 +2 *2033:7 *2033:8 101.107 +3 *2033:8 *2033:13 45.2048 +4 *2033:13 *2473:io_in_3v3[21] 5.23958 +*END + +*D_NET *2034 0.0189315 +*CONN +*I *2473:io_in_3v3[22] I *D user_analog_project_wrapper +*I *2474:mprj_io_in_3v3[22] O *D chip_io_alt +*CAP +1 *2473:io_in_3v3[22] 0.00110427 +2 *2474:mprj_io_in_3v3[22] 0.000913974 +3 *2034:11 0.00263044 +4 *2034:8 0.00526379 +5 *2034:7 0.00465159 +6 *2473:io_in_3v3[22] *2473:io_in[22] 0.00387569 +7 *2034:11 *2473:io_in[22] 5.81406e-05 +8 *2034:11 *2370:12 0 +9 *496:17 *2034:7 0.00043359 +*RES +1 *2474:mprj_io_in_3v3[22] *2034:7 30.3494 +2 *2034:7 *2034:8 99.4428 +3 *2034:8 *2034:11 47.2343 +4 *2034:11 *2473:io_in_3v3[22] 8.85945 +*END + +*D_NET *2035 0.0175545 +*CONN +*I *2473:io_in_3v3[23] I *D user_analog_project_wrapper +*I *2474:mprj_io_in_3v3[23] O *D chip_io_alt +*CAP +1 *2473:io_in_3v3[23] 0.00153483 +2 *2474:mprj_io_in_3v3[23] 0.0011251 +3 *2035:11 0.00326449 +4 *2035:10 0.00172966 +5 *2035:8 0.00372105 +6 *2035:7 0.00484615 +7 *2473:io_in_3v3[23] *2473:io_in[23] 0.000411455 +8 *2035:11 *2473:io_in[23] 9.69513e-05 +9 *2035:11 *2371:12 8.10016e-06 +10 *2035:11 *2398:12 0.000282459 +11 *110:87 *2473:io_in_3v3[23] 0 +12 *112:14 *2035:7 0.00053428 +*RES +1 *2474:mprj_io_in_3v3[23] *2035:7 36.3523 +2 *2035:7 *2035:8 98.3336 +3 *2035:8 *2035:10 4.5 +4 *2035:10 *2035:11 51.8699 +5 *2035:11 *2473:io_in_3v3[23] 8.65825 +*END + +*D_NET *2036 0.0431773 +*CONN +*I *2473:io_in_3v3[24] I *D user_analog_project_wrapper +*I *2474:mprj_io_in_3v3[24] O *D chip_io_alt +*CAP +1 *2473:io_in_3v3[24] 0.0012687 +2 *2474:mprj_io_in_3v3[24] 0.000791535 +3 *2036:11 0.00414443 +4 *2036:10 0.00287573 +5 *2036:8 0.00236278 +6 *2036:7 0.00315432 +7 *2473:io_in_3v3[24] *2473:gpio_noesd[17] 0 +8 *2473:io_in_3v3[24] *2473:io_in[24] 0.00126211 +9 *2036:11 *2347:16 0.000452049 +10 *2036:11 *2374:10 0.00563266 +11 *2036:11 *2401:10 0.00609841 +12 *101:40 *2036:8 0.00976513 +13 *101:48 *2036:7 7.86825e-06 +14 *109:52 *2036:11 0.00282321 +15 *468:21 *2036:8 0.00218674 +16 *486:29 *2036:7 5.94052e-06 +17 *486:44 *2036:7 0.000345713 +*RES +1 *2474:mprj_io_in_3v3[24] *2036:7 26.3862 +2 *2036:7 *2036:8 104.434 +3 *2036:8 *2036:10 4.5 +4 *2036:10 *2036:11 171.047 +5 *2036:11 *2473:io_in_3v3[24] 8.24753 +*END + +*D_NET *2037 0.0492241 +*CONN +*I *2473:io_in_3v3[25] I *D user_analog_project_wrapper +*I *2474:mprj_io_in_3v3[25] O *D chip_io_alt +*CAP +1 *2473:io_in_3v3[25] 0.00241549 +2 *2474:mprj_io_in_3v3[25] 0.000682458 +3 *2037:11 0.0148636 +4 *2037:10 0.0124481 +5 *2037:8 0.00330174 +6 *2037:7 0.00398419 +7 *2473:io_in_3v3[25] *2473:io_in[25] 0 +8 *2473:io_in_3v3[25] *2346:19 0.000861684 +9 *2037:7 *2401:16 0 +10 *2448:serial_load *2037:7 0.000376127 +11 *515:8 *2037:7 0.000812949 +12 *1156:7 *2037:11 0 +13 *1562:16 *2037:11 7.77309e-06 +14 *1572:13 *2037:11 0.00947008 +*RES +1 *2474:mprj_io_in_3v3[25] *2037:7 31.3693 +2 *2037:7 *2037:8 86.687 +3 *2037:8 *2037:10 4.5 +4 *2037:10 *2037:11 405.457 +5 *2037:11 *2473:io_in_3v3[25] 32.9021 +*END + +*D_NET *2038 0.0697658 +*CONN +*I *2473:io_in_3v3[26] I *D user_analog_project_wrapper +*I *2474:mprj_io_in_3v3[26] O *D chip_io_alt +*CAP +1 *2473:io_in_3v3[26] 0.00249792 +2 *2474:mprj_io_in_3v3[26] 0.00144424 +3 *2038:14 0.0046358 +4 *2038:13 0.00213789 +5 *2038:11 0.0155378 +6 *2038:10 0.016982 +7 *2473:io_in_3v3[26] *2473:io_in[26] 0 +8 *2473:io_in_3v3[26] *2373:12 0 +9 *2038:11 *2222:36 9.99386e-06 +10 *1554:27 *2038:11 0.0015027 +11 *1561:8 *2038:11 0.0236341 +12 *1576:13 *2038:11 0.00138332 +*RES +1 *2474:mprj_io_in_3v3[26] *2038:10 48.9753 +2 *2038:10 *2038:11 607.27 +3 *2038:11 *2038:13 4.5 +4 *2038:13 *2038:14 57.293 +5 *2038:14 *2473:io_in_3v3[26] 24.3692 +*END + +*D_NET *2039 0.0559885 +*CONN +*I *2473:io_in_3v3[2] I *D user_analog_project_wrapper +*I *2474:mprj_io_in_3v3[2] O *D chip_io_alt +*CAP +1 *2473:io_in_3v3[2] 0.00130267 +2 *2474:mprj_io_in_3v3[2] 0.00240167 +3 *2039:18 0.00418361 +4 *2039:17 0.00288094 +5 *2039:15 0.0100403 +6 *2039:13 0.0100661 +7 *2039:11 0.00310111 +8 *2039:10 0.005477 +9 *2473:io_in_3v3[2] *2473:io_in[2] 0 +10 *2473:io_in_3v3[2] *2367:12 0 +11 *2039:11 *2394:20 0.00119953 +12 *2039:11 *2394:24 0.00410849 +13 *2039:15 *2394:20 0.0111892 +14 *470:16 *2039:15 0 +15 *471:40 *2039:10 3.78286e-05 +*RES +1 *2474:mprj_io_in_3v3[2] *2039:10 14.3494 +2 *2039:10 *2039:11 124.429 +3 *2039:11 *2039:13 0.732798 +4 *2039:13 *2039:15 383.559 +5 *2039:15 *2039:17 4.5 +6 *2039:17 *2039:18 77.8133 +7 *2039:18 *2473:io_in_3v3[2] 12.6917 +*END + +*D_NET *2040 0.0583824 +*CONN +*I *2473:io_in_3v3[3] I *D user_analog_project_wrapper +*I *2474:mprj_io_in_3v3[3] O *D chip_io_alt +*CAP +1 *2473:io_in_3v3[3] 0.00157237 +2 *2474:mprj_io_in_3v3[3] 0.000485331 +3 *2040:23 0.00441105 +4 *2040:22 0.00283868 +5 *2040:20 0.0045797 +6 *2040:19 0.00506503 +7 *2473:io_in_3v3[3] *2473:io_in[3] 0 +8 *2473:io_in_3v3[3] *2375:9 0 +9 *2040:19 *2474:mprj_io_out[3] 0.000879367 +10 *2040:23 *2348:14 0.0169694 +11 *2040:23 *2375:10 0.00022036 +12 *2040:23 *2402:10 0.017382 +13 *2040:23 *2403:10 0.000651922 +14 *2455:resetn_out *2040:19 0.000141831 +15 *2474:mprj_io_analog_en[3] *2040:20 0.000225379 +16 *2474:mprj_io_analog_sel[3] *2040:19 0.000185867 +17 *2474:mprj_io_analog_sel[3] *2040:20 0 +18 *2474:mprj_io_dm[11] *2040:19 0.00013008 +19 *2474:mprj_io_holdover[3] *2040:19 0.000151159 +20 *105:52 *2040:19 0.000444842 +21 *504:12 *2040:19 0.00150769 +22 *2013:7 *2040:19 0.000540347 +*RES +1 *2474:mprj_io_in_3v3[3] *2040:19 41.5552 +2 *2040:19 *2040:20 118.854 +3 *2040:20 *2040:22 4.5 +4 *2040:22 *2040:23 295.623 +5 *2040:23 *2473:io_in_3v3[3] 8.59506 +*END + +*D_NET *2041 0.0142977 +*CONN +*I *2473:io_in_3v3[4] I *D user_analog_project_wrapper +*I *2474:mprj_io_in_3v3[4] O *D chip_io_alt +*CAP +1 *2473:io_in_3v3[4] 0.00156534 +2 *2474:mprj_io_in_3v3[4] 0.000278774 +3 *2041:8 0.00644461 +4 *2041:7 0.00515804 +5 *2473:io_in_3v3[4] *2376:9 0 +6 *2041:7 *2095:8 0.000796167 +7 *2014:30 *2041:8 5.481e-05 +*RES +1 *2474:mprj_io_in_3v3[4] *2041:7 17.2507 +2 *2041:7 *2041:8 122.736 +3 *2041:8 *2473:io_in_3v3[4] 16.3101 +*END + +*D_NET *2042 0.0218996 +*CONN +*I *2473:io_in_3v3[5] I *D user_analog_project_wrapper +*I *2474:mprj_io_in_3v3[5] O *D chip_io_alt +*CAP +1 *2473:io_in_3v3[5] 0.0013887 +2 *2474:mprj_io_in_3v3[5] 0.000471709 +3 *2042:23 1.43386e-05 +4 *2042:17 0.00347668 +5 *2042:16 0.00210232 +6 *2042:14 0.00435454 +7 *2042:13 0.00435454 +8 *2042:11 0.000471709 +9 *2042:11 *2474:mprj_io_oeb[5] 0.000115378 +10 *2042:14 *2474:mprj_io_inp_dis[5] 0.000713063 +11 *2042:17 *2351:14 0 +12 *2042:17 *2405:10 0 +13 *2474:mprj_io_analog_pol[5] *2042:11 5.05252e-05 +14 *2474:mprj_io_analog_sel[5] *2042:11 0.00187288 +15 *108:49 *2042:11 0.00030076 +16 *495:64 *2042:11 0.00200821 +17 *1853:17 *2042:11 5.04829e-06 +18 *1961:14 *2042:11 3.31736e-05 +19 *1988:8 *2042:11 0.000165997 +*RES +1 *2474:mprj_io_in_3v3[5] *2042:11 46.7325 +2 *2042:11 *2042:13 4.5 +3 *2042:13 *2042:14 114.972 +4 *2042:14 *2042:16 4.5 +5 *2042:16 *2042:17 59.3444 +6 *2042:17 *2473:io_in_3v3[5] 8.42878 +7 *2473:io_in_3v3[5] *2042:23 0.0548734 +*END + +*D_NET *2043 0.0217344 +*CONN +*I *2473:io_in_3v3[6] I *D user_analog_project_wrapper +*I *2474:mprj_io_in_3v3[6] O *D chip_io_alt +*CAP +1 *2473:io_in_3v3[6] 0.00167901 +2 *2474:mprj_io_in_3v3[6] 0.000358311 +3 *2043:16 0.00625668 +4 *2043:15 0.00457767 +5 *2043:13 0.00175833 +6 *2043:12 0.00211664 +7 *2473:io_in_3v3[6] *2473:io_in[6] 0 +8 *2473:io_in_3v3[6] *2352:14 0 +9 *2043:12 *2474:mprj_io_oeb[6] 0 +10 *2043:12 *2070:25 5.04829e-06 +11 *2043:13 *2124:14 0.0010388 +12 *2043:13 *2178:14 0.000418796 +13 *2459:resetn *2043:13 0.000988992 +14 *2474:mprj_io_ib_mode_sel[6] *2043:12 9.19632e-06 +15 *110:98 *2043:13 0.00194722 +16 *507:18 *2043:12 0.000109929 +17 *1800:14 *2043:13 0 +18 *2016:19 *2043:13 0.000469817 +*RES +1 *2474:mprj_io_in_3v3[6] *2043:12 16.9015 +2 *2043:12 *2043:13 81.5604 +3 *2043:13 *2043:15 4.5 +4 *2043:15 *2043:16 121.072 +5 *2043:16 *2473:io_in_3v3[6] 22.1532 +*END + +*D_NET *2044 0.0311313 +*CONN +*I *2473:io_in_3v3[7] I *D user_analog_project_wrapper +*I *2474:mprj_io_in_3v3[7] O *D chip_io_alt +*CAP +1 *2473:io_in_3v3[7] 0.00115446 +2 *2474:mprj_io_in_3v3[7] 0.00072823 +3 *2044:11 0.00351175 +4 *2044:10 0.00235729 +5 *2044:8 0.00401256 +6 *2044:7 0.00474079 +7 *2473:io_in_3v3[7] *2473:io_in[7] 0.00366812 +8 *2044:7 *2126:16 0.00026714 +9 *2044:7 *2153:20 0.000126228 +10 *2044:11 *2381:10 0.00680691 +11 *1570:25 *2044:8 0.00169355 +12 *1874:28 *2044:7 0.0016133 +13 *2018:18 *2044:8 0.000450929 +*RES +1 *2474:mprj_io_in_3v3[7] *2044:7 36.3523 +2 *2044:7 *2044:8 117.19 +3 *2044:8 *2044:10 4.5 +4 *2044:10 *2044:11 108.759 +5 *2044:11 *2473:io_in_3v3[7] 8.86943 +*END + +*D_NET *2045 0.029126 +*CONN +*I *2473:io_in_3v3[8] I *D user_analog_project_wrapper +*I *2474:mprj_io_in_3v3[8] O *D chip_io_alt +*CAP +1 *2473:io_in_3v3[8] 0.000977758 +2 *2474:mprj_io_in_3v3[8] 0.000602253 +3 *2045:11 0.00331638 +4 *2045:10 0.00233862 +5 *2045:8 0.00468406 +6 *2045:7 0.00528631 +7 *2473:io_in_3v3[8] *2473:gpio_noesd[1] 0 +8 *2473:io_in_3v3[8] *2473:io_in[8] 0.00362715 +9 *2045:7 *2073:44 0 +10 *2045:11 *2382:10 0.00668599 +11 *1879:32 *2045:7 0.00147263 +12 *1965:8 *2045:7 0.000134799 +*RES +1 *2474:mprj_io_in_3v3[8] *2045:7 30.1235 +2 *2045:7 *2045:8 122.182 +3 *2045:8 *2045:10 4.5 +4 *2045:10 *2045:11 107.098 +5 *2045:11 *2473:io_in_3v3[8] 8.45206 +*END + +*D_NET *2046 0.0287303 +*CONN +*I *2473:io_in_3v3[9] I *D user_analog_project_wrapper +*I *2474:mprj_io_in_3v3[9] O *D chip_io_alt +*CAP +1 *2473:io_in_3v3[9] 0.00111022 +2 *2474:mprj_io_in_3v3[9] 0.000430328 +3 *2046:11 0.00193935 +4 *2046:10 0.000829126 +5 *2046:8 0.00445119 +6 *2046:7 0.00488152 +7 *2473:io_in_3v3[9] *2473:gpio_noesd[2] 0 +8 *2473:io_in_3v3[9] *2473:io_in[9] 0.00092763 +9 *2046:7 *2156:17 0.000166143 +10 *2046:11 *2357:10 0.00637973 +11 *2046:11 *2384:10 0.00637613 +12 *101:22 *2046:7 0.00123895 +*RES +1 *2474:mprj_io_in_3v3[9] *2046:7 24.31 +2 *2046:7 *2046:8 118.854 +3 *2046:8 *2046:10 4.5 +4 *2046:10 *2046:11 102.115 +5 *2046:11 *2473:io_in_3v3[9] 8.39718 +*END + +*D_NET *2047 0.0143729 +*CONN +*I *2474:mprj_io_inp_dis[0] I *D chip_io_alt +*I *2444:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_inp_dis[0] 0.0005038 +2 *2444:pad_gpio_inenb 0.000414389 +3 *2047:14 0.00312559 +4 *2047:13 0.00303618 +5 *2047:13 *2074:8 0 +6 *2047:13 *2101:13 0.000748829 +7 *2047:13 *2128:13 0.000215101 +8 *2047:14 *2128:14 0.000285622 +9 *2047:14 *2155:19 0 +10 *2444:pad_gpio_in *2047:13 3.84497e-05 +11 *2474:mprj_io_analog_pol[0] *2474:mprj_io_inp_dis[0] 0 +12 *2474:mprj_io_dm[0] *2474:mprj_io_inp_dis[0] 7.44489e-05 +13 *1777:14 *2047:14 0 +14 *1858:14 *2047:14 0.00551513 +15 *1869:14 *2047:14 0.000415397 +16 *1939:7 *2047:13 0 +17 *1993:30 *2047:14 0 +*RES +1 *2444:pad_gpio_inenb *2047:13 26.7952 +2 *2047:13 *2047:14 115.196 +3 *2047:14 *2474:mprj_io_inp_dis[0] 22.2122 +*END + +*D_NET *2048 0.0457622 +*CONN +*I *2474:mprj_io_inp_dis[10] I *D chip_io_alt +*I *2451:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_inp_dis[10] 0.000457258 +2 *2451:pad_gpio_inenb 0.000548028 +3 *2048:20 0.00104178 +4 *2048:19 0.00073756 +5 *2048:14 0.00954045 +6 *2048:13 0.00993545 +7 *2474:mprj_io_inp_dis[10] *2304:20 0.000344312 +8 *2474:mprj_io_inp_dis[10] *2322:13 0.000280625 +9 *2048:13 *2102:10 4.31122e-05 +10 *2048:14 *2474:mprj_io_out[10] 0.000563795 +11 *2048:14 *2474:mprj_io_vtrip_sel[10] 0.000284387 +12 *2048:14 *2127:14 0 +13 *2048:14 *2127:28 0 +14 *2048:14 *2181:8 0.00187368 +15 *2474:mprj_io_analog_pol[10] *2474:mprj_io_inp_dis[10] 0 +16 *486:13 *2048:13 0.00095438 +17 *501:14 *2048:20 0 +18 *1830:22 *2048:14 0 +19 *1857:14 *2048:14 0 +20 *1879:32 *2048:13 0 +21 *1881:14 *2048:14 0 +22 *1881:14 *2048:20 0.0033569 +23 *1882:14 *2048:14 0.0108848 +24 *1884:14 *2048:14 0 +25 *1884:14 *2048:20 0.00373384 +26 *1886:8 *2048:14 0.00057784 +27 *1941:8 *2048:20 0.00060398 +28 *1967:13 *2048:13 0 +*RES +1 *2451:pad_gpio_inenb *2048:13 27.8223 +2 *2048:13 *2048:14 363.101 +3 *2048:14 *2048:19 12.4964 +4 *2048:19 *2048:20 59.9673 +5 *2048:20 *2474:mprj_io_inp_dis[10] 23.7755 +*END + +*D_NET *2049 0.0615727 +*CONN +*I *2474:mprj_io_inp_dis[11] I *D chip_io_alt +*I *2452:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_inp_dis[11] 0.000275925 +2 *2452:pad_gpio_inenb 0.000629819 +3 *2049:30 0.000650825 +4 *2049:27 0.000635768 +5 *2049:14 0.00529508 +6 *2049:13 0.00566403 +7 *2049:13 *2103:18 2.89493e-05 +8 *2049:27 *2131:15 1.92459e-05 +9 *2049:27 *2131:16 0.000106031 +10 *2049:30 *2130:32 0.000658292 +11 *2049:30 *2131:16 0.00261158 +12 *2049:30 *2158:10 0.000106281 +13 *2049:30 *2305:13 1.3813e-05 +14 *2452:pad_gpio_in *2049:13 3.96052e-05 +15 *2452:resetn *2049:13 0.000115266 +16 *2474:mprj_io_analog_pol[11] *2049:30 0.00156107 +17 *101:22 *2049:13 0 +18 *486:66 *2049:13 0 +19 *1806:26 *2049:30 2.62399e-05 +20 *1882:14 *2049:14 0.00811381 +21 *1884:14 *2049:14 0 +22 *1885:14 *2049:14 0.020006 +23 *1886:8 *2049:14 0.00348021 +24 *1887:14 *2049:14 7.70877e-05 +25 *1888:14 *2049:14 0.00723843 +26 *1889:18 *2474:mprj_io_inp_dis[11] 9.29408e-05 +27 *1942:22 *2049:27 6.08467e-05 +28 *1969:17 *2049:30 0.000241346 +29 *1995:10 *2049:27 5.04829e-06 +30 *1995:11 *2049:14 0.00350013 +31 *1995:15 *2049:14 1.23804e-05 +32 *1996:32 *2049:30 0.000306656 +*RES +1 *2452:pad_gpio_inenb *2049:13 26.1585 +2 *2049:13 *2049:14 378.881 +3 *2049:14 *2049:27 16.4269 +4 *2049:27 *2049:30 46.6115 +5 *2049:30 *2474:mprj_io_inp_dis[11] 10.6445 +*END + +*D_NET *2050 0.0487499 +*CONN +*I *2474:mprj_io_inp_dis[12] I *D chip_io_alt +*I *2453:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_inp_dis[12] 0.000323905 +2 *2453:pad_gpio_inenb 0.000453763 +3 *2050:18 0.005935 +4 *2050:16 0.00563799 +5 *2050:14 0.000708222 +6 *2050:13 0.00113509 +7 *2050:13 *2077:10 0 +8 *2050:13 *2104:13 0.000315959 +9 *2050:13 *2131:10 8.88366e-05 +10 *2050:14 *2474:mprj_io_out[11] 0.000507909 +11 *2050:14 *2130:28 0.00122198 +12 *2050:14 *2130:32 0.000179564 +13 *2050:18 *2474:mprj_io_out[11] 0.000459935 +14 *2050:18 *2130:32 0.00139586 +15 *2050:18 *2131:22 0.00466604 +16 *2050:18 *2158:15 0.00254909 +17 *2050:18 *2305:13 0.00184568 +18 *2050:18 *2323:11 0.000416432 +19 *2453:resetn *2050:13 1.92172e-05 +20 *2474:mprj_io_analog_pol[11] *2050:18 0.000254307 +21 *2474:mprj_io_analog_pol[12] *2474:mprj_io_inp_dis[12] 2.09084e-05 +22 *2474:mprj_io_analog_sel[12] *2474:mprj_io_inp_dis[12] 2.01653e-05 +23 *2474:mprj_io_dm[36] *2474:mprj_io_inp_dis[12] 4.79594e-06 +24 *2474:mprj_io_dm[38] *2474:mprj_io_inp_dis[12] 0.000138756 +25 *102:60 *2050:13 0.000464113 +26 *1806:18 *2050:14 0.00309845 +27 *1806:18 *2050:18 0.00139239 +28 *1806:26 *2050:18 0.00106255 +29 *1807:20 *2050:18 0 +30 *1889:12 *2050:18 0 +31 *1889:18 *2050:18 0 +32 *1889:30 *2050:18 0.00240759 +33 *1942:11 *2050:13 0.000304291 +34 *1942:14 *2050:18 4.3116e-06 +35 *1942:40 *2474:mprj_io_inp_dis[12] 0 +36 *1942:40 *2050:18 0.00120212 +37 *1969:13 *2050:13 0 +38 *1996:15 *2050:18 0.00831406 +39 *1996:19 *2050:18 0.00104057 +40 *1996:32 *2050:18 0.0004821 +41 *1996:35 *2050:18 0.000677993 +*RES +1 *2453:pad_gpio_inenb *2050:13 29.1362 +2 *2050:13 *2050:14 50.4287 +3 *2050:14 *2050:16 0.732798 +4 *2050:16 *2050:18 363.712 +5 *2050:18 *2474:mprj_io_inp_dis[12] 11.224 +*END + +*D_NET *2051 0.0145819 +*CONN +*I *2474:mprj_io_inp_dis[13] I *D chip_io_alt +*I *2454:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_inp_dis[13] 0.000499435 +2 *2454:pad_gpio_inenb 0.000433232 +3 *2051:14 0.00222916 +4 *2051:13 0.00216296 +5 *2474:mprj_io_inp_dis[13] *2325:9 0.000827576 +6 *2474:mprj_io_inp_dis[13] *2325:10 2.81824e-05 +7 *2051:13 *2132:13 0 +8 *2051:14 *2132:14 0 +9 *2051:14 *2159:19 0.00219351 +10 *2454:pad_gpio_in *2051:13 3.84497e-05 +11 *2474:mprj_io_analog_pol[13] *2474:mprj_io_inp_dis[13] 0 +12 *2474:mprj_io_analog_sel[13] *2051:14 0 +13 *2474:mprj_io_dm[39] *2474:mprj_io_inp_dis[13] 5.04829e-06 +14 *1781:22 *2051:14 0.000449909 +15 *1835:18 *2051:14 0.000864566 +16 *1892:14 *2051:14 0.00159002 +17 *1893:17 *2051:14 0.00247791 +18 *1997:13 *2474:mprj_io_inp_dis[13] 4.58666e-05 +19 *1997:13 *2051:14 9.35753e-06 +20 *1997:19 *2051:14 0.000726727 +*RES +1 *2454:pad_gpio_inenb *2051:13 22.5578 +2 *2051:13 *2051:14 111.459 +3 *2051:14 *2474:mprj_io_inp_dis[13] 28.0634 +*END + +*D_NET *2052 0.0161245 +*CONN +*I *2474:mprj_io_inp_dis[14] I *D chip_io_alt +*I *2461:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_inp_dis[14] 0.000437666 +2 *2461:pad_gpio_inenb 0.000189171 +3 *2052:8 0.00157403 +4 *2052:7 0.00132553 +5 *2474:mprj_io_inp_dis[14] *2106:17 4.58003e-05 +6 *2474:mprj_io_inp_dis[14] *2326:13 0.000869504 +7 *2052:7 *2106:7 0.000198219 +8 *2052:8 *2079:8 0.00126019 +9 *2052:8 *2106:8 0.00468484 +10 *2052:8 *2106:17 0.000777162 +11 *2461:pad_gpio_in *2052:7 4.84183e-05 +12 *2461:resetn *2052:7 0.000732038 +13 *2474:mprj_io_analog_en[14] *2474:mprj_io_inp_dis[14] 0 +14 *2474:mprj_io_analog_pol[14] *2474:mprj_io_inp_dis[14] 0.00019711 +15 *2474:mprj_io_dm[42] *2474:mprj_io_inp_dis[14] 0.000145018 +16 *485:17 *2052:8 0.00363982 +*RES +1 *2461:pad_gpio_inenb *2052:7 4.57933 +2 *2052:7 *2052:8 101.285 +3 *2052:8 *2474:mprj_io_inp_dis[14] 27.7803 +*END + +*D_NET *2053 0.0140227 +*CONN +*I *2474:mprj_io_inp_dis[15] I *D chip_io_alt +*I *2462:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_inp_dis[15] 0.000441576 +2 *2462:pad_gpio_inenb 0.000230691 +3 *2053:14 0.00087631 +4 *2053:13 0.000597878 +5 *2053:8 0.00186959 +6 *2053:7 0.00193713 +7 *2474:mprj_io_inp_dis[15] *2107:14 0.000648497 +8 *2474:mprj_io_inp_dis[15] *2161:13 5.04829e-06 +9 *2053:7 *2107:7 0.000200597 +10 *2053:8 *2474:porb_h 4.88112e-06 +11 *2053:8 *2107:8 0 +12 *2053:8 *2134:8 0 +13 *2053:14 *2309:13 0.000805888 +14 *2474:mprj_io_analog_en[15] *2474:mprj_io_inp_dis[15] 0 +15 *2474:mprj_io_analog_pol[15] *2474:mprj_io_inp_dis[15] 9.4338e-05 +16 *2474:mprj_io_dm[45] *2474:mprj_io_inp_dis[15] 0.00034475 +17 *2474:mprj_io_dm[45] *2053:14 9.71323e-06 +18 *2474:mprj_io_dm[46] *2053:14 0.000112805 +19 *471:16 *2053:14 0.00300455 +20 *489:13 *2474:mprj_io_inp_dis[15] 0 +21 *1783:15 *2053:13 5.04829e-06 +22 *1810:15 *2053:13 7.65239e-05 +23 *1837:8 *2053:8 0.000824553 +24 *1897:8 *2053:14 0.00193231 +25 *1945:7 *2053:7 0 +*RES +1 *2462:pad_gpio_inenb *2053:7 4.42635 +2 *2053:7 *2053:8 56.4377 +3 *2053:8 *2053:13 13.051 +4 *2053:13 *2053:14 48.3402 +5 *2053:14 *2474:mprj_io_inp_dis[15] 27.0297 +*END + +*D_NET *2054 0.0186629 +*CONN +*I *2474:mprj_io_inp_dis[16] I *D chip_io_alt +*I *2463:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_inp_dis[16] 6.54432e-05 +2 *2463:pad_gpio_inenb 0.000453267 +3 *2054:20 0.00070252 +4 *2054:8 0.00142407 +5 *2054:7 0.00124026 +6 *2054:7 *2108:7 0.000381948 +7 *2054:8 *2081:8 0.00494121 +8 *2054:8 *2108:8 0.00584265 +9 *2054:8 *2328:19 0.000863062 +10 *2054:20 *2108:13 0.000251669 +11 *2054:20 *2108:16 0.00116545 +12 *2463:pad_gpio_in *2054:7 5.36925e-05 +13 *2474:mprj_io_analog_pol[16] *2474:mprj_io_inp_dis[16] 0 +14 *2474:mprj_io_dm[50] *2054:20 0 +15 *518:8 *2054:8 2.01595e-05 +16 *1838:14 *2054:20 5.84352e-05 +17 *1903:16 *2054:20 0.0011864 +18 *1946:17 *2054:20 1.2693e-05 +19 *1973:7 *2054:7 0 +*RES +1 *2463:pad_gpio_inenb *2054:7 5.42072 +2 *2054:7 *2054:8 93.8104 +3 *2054:8 *2054:20 49.354 +4 *2054:20 *2474:mprj_io_inp_dis[16] 1.77093 +*END + +*D_NET *2055 0.0139458 +*CONN +*I *2474:mprj_io_inp_dis[17] I *D chip_io_alt +*I *2464:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_inp_dis[17] 0.000291203 +2 *2464:pad_gpio_inenb 7.78142e-05 +3 *2055:14 0.00158715 +4 *2055:13 0.00164099 +5 *2055:8 0.00137611 +6 *2055:7 0.00110888 +7 *2474:mprj_io_inp_dis[17] *2312:9 9.29258e-05 +8 *2055:7 *2109:7 6.89476e-05 +9 *2055:8 *2136:8 0 +10 *2464:pad_gpio_in *2055:7 2.82227e-05 +11 *2464:pad_gpio_in *2055:8 0.000518308 +12 *2474:mprj_io_analog_pol[17] *2055:14 0.00133853 +13 *473:8 *2055:14 0 +14 *519:21 *2055:13 5.04829e-06 +15 *1785:13 *2055:8 0.000625055 +16 *1785:16 *2055:14 0.000202472 +17 *1785:20 *2055:14 3.10929e-05 +18 *1812:13 *2055:8 4.15236e-05 +19 *1906:8 *2055:8 0.00141539 +20 *1906:22 *2055:14 0.000425511 +21 *1974:7 *2055:7 0 +22 *1974:17 *2055:13 0 +23 *1974:17 *2055:14 0.00149895 +24 *2001:13 *2055:8 0.00157164 +*RES +1 *2464:pad_gpio_inenb *2055:7 3.73793 +2 *2055:7 *2055:8 58.5139 +3 *2055:8 *2055:13 16.3786 +4 *2055:13 *2055:14 55.3995 +5 *2055:14 *2474:mprj_io_inp_dis[17] 16.2537 +*END + +*D_NET *2056 0.0188358 +*CONN +*I *2474:mprj_io_inp_dis[18] I *D chip_io_alt +*I *2465:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_inp_dis[18] 0.00200051 +2 *2465:pad_gpio_inenb 0.00045886 +3 *2056:10 0.00270394 +4 *2056:9 0.00116229 +5 *2474:mprj_io_inp_dis[18] *2083:10 0.000253824 +6 *2474:mprj_io_inp_dis[18] *2110:16 0.000262462 +7 *2474:mprj_io_inp_dis[18] *2164:16 0.000253824 +8 *2474:mprj_io_inp_dis[18] *2295:13 0 +9 *2056:9 *2083:9 0 +10 *2056:9 *2110:9 0.000276188 +11 *2056:10 *2110:16 7.77309e-06 +12 *2465:pad_gpio_in *2056:9 0.000134942 +13 *2474:mprj_io_analog_pol[18] *2474:mprj_io_inp_dis[18] 0 +14 *2474:mprj_io_analog_sel[18] *2474:mprj_io_inp_dis[18] 2.98205e-05 +15 *474:14 *2474:mprj_io_inp_dis[18] 0.000287352 +16 *474:14 *2056:10 0.00438821 +17 *492:10 *2474:mprj_io_inp_dis[18] 0.000253824 +18 *520:16 *2474:mprj_io_inp_dis[18] 0.000253824 +19 *520:16 *2056:10 0.000370009 +20 *1786:18 *2474:mprj_io_inp_dis[18] 0.000247781 +21 *1813:16 *2474:mprj_io_inp_dis[18] 0.000277634 +22 *1840:13 *2056:10 0.00183361 +23 *1840:27 *2474:mprj_io_inp_dis[18] 0 +24 *1907:10 *2474:mprj_io_inp_dis[18] 0.000292752 +25 *1908:10 *2474:mprj_io_inp_dis[18] 0.000183155 +26 *1909:16 *2474:mprj_io_inp_dis[18] 0.000264014 +27 *1948:22 *2474:mprj_io_inp_dis[18] 0.000925994 +28 *1975:22 *2474:mprj_io_inp_dis[18] 0.00027027 +29 *1975:22 *2056:10 0.00144292 +*RES +1 *2465:pad_gpio_inenb *2056:9 5.41074 +2 *2056:9 *2056:10 70.7639 +3 *2056:10 *2474:mprj_io_inp_dis[18] 41.0289 +*END + +*D_NET *2057 0.0153626 +*CONN +*I *2474:mprj_io_inp_dis[19] I *D chip_io_alt +*I *2466:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_inp_dis[19] 3.80717e-05 +2 *2466:pad_gpio_inenb 0.000814742 +3 *2057:29 0.00194818 +4 *2057:14 0.0029701 +5 *2057:13 0.00187474 +6 *2057:13 *2084:8 0 +7 *2057:13 *2111:7 0.000284115 +8 *2057:13 *2138:10 0.000461205 +9 *2057:13 *2165:13 5.04829e-06 +10 *2057:14 *2138:10 0.000343222 +11 *2057:14 *2138:12 1.69447e-05 +12 *2057:29 *2084:8 0.000205067 +13 *2057:29 *2165:28 0.000327995 +14 *2057:29 *2296:13 0.000227569 +15 *2466:pad_gpio_in *2057:13 5.36925e-05 +16 *2474:mprj_io_analog_sel[19] *2474:mprj_io_inp_dis[19] 9.29258e-05 +17 *474:42 *2057:29 0.000803122 +18 *475:16 *2057:14 0 +19 *475:16 *2057:29 0 +20 *1787:8 *2057:14 0.00254879 +21 *1787:8 *2057:29 0.00116524 +22 *1814:8 *2057:29 0.000205067 +23 *1841:19 *2057:29 0.000116789 +24 *1910:8 *2057:29 0.000205067 +25 *1912:14 *2057:29 0.000134073 +26 *1949:7 *2057:13 0 +27 *1949:8 *2057:13 0.000520871 +28 *1976:7 *2057:13 0 +*RES +1 *2466:pad_gpio_inenb *2057:13 31.9526 +2 *2057:13 *2057:14 47.3021 +3 *2057:14 *2057:29 48.2019 +4 *2057:29 *2474:mprj_io_inp_dis[19] 6.03888 +*END + +*D_NET *2058 0.0136044 +*CONN +*I *2474:mprj_io_inp_dis[1] I *D chip_io_alt +*I *2445:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_inp_dis[1] 0.000488242 +2 *2445:pad_gpio_inenb 0.000535042 +3 *2058:20 0.00197259 +4 *2058:19 0.00160235 +5 *2058:14 0.00137394 +6 *2058:13 0.00179098 +7 *2058:13 *2112:13 0.000158111 +8 *2058:14 *2139:14 0 +9 *2058:14 *2166:14 0 +10 *2445:pad_gpio_in *2058:13 4.80459e-05 +11 *2474:mprj_io_analog_pol[1] *2474:mprj_io_inp_dis[1] 0.00019711 +12 *485:53 *2058:13 5.88867e-05 +13 *1788:16 *2058:14 0 +14 *1788:18 *2058:14 0 +15 *1788:18 *2058:20 0.00430323 +16 *1815:19 *2058:14 0.000740845 +17 *1815:19 *2058:19 5.04829e-06 +18 *1815:19 *2058:20 0 +19 *1815:20 *2058:20 0 +20 *1902:19 *2058:14 0 +21 *2004:11 *2058:14 0.000329975 +22 *2004:11 *2058:20 0 +*RES +1 *2445:pad_gpio_inenb *2058:13 24.8527 +2 *2058:13 *2058:14 45.8487 +3 *2058:14 *2058:19 11.9418 +4 *2058:19 *2058:20 68.6876 +5 *2058:20 *2474:mprj_io_inp_dis[1] 21.7969 +*END + +*D_NET *2059 0.0188522 +*CONN +*I *2474:mprj_io_inp_dis[20] I *D chip_io_alt +*I *2467:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_inp_dis[20] 0.000368368 +2 *2467:pad_gpio_inenb 0.00161753 +3 *2059:14 0.00198589 +4 *2059:14 *2113:16 0.00587008 +5 *2467:pad_gpio_in *2059:14 3.81792e-05 +6 *2474:mprj_io_analog_pol[20] *2474:mprj_io_inp_dis[20] 0 +7 *2474:mprj_io_ib_mode_sel[20] *2059:14 0 +8 *474:48 *2059:14 0 +9 *522:32 *2059:14 0.00575901 +10 *1789:16 *2059:14 0.00121359 +11 *1843:16 *2059:14 0.00182853 +12 *1916:18 *2474:mprj_io_inp_dis[20] 0.000171064 +*RES +1 *2467:pad_gpio_inenb *2059:14 46.692 +2 *2059:14 *2474:mprj_io_inp_dis[20] 19.1633 +*END + +*D_NET *2060 0.0193196 +*CONN +*I *2474:mprj_io_inp_dis[21] I *D chip_io_alt +*I *2468:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_inp_dis[21] 0.000444788 +2 *2468:pad_gpio_inenb 0.000415713 +3 *2060:8 0.00140912 +4 *2060:7 0.00138005 +5 *2474:mprj_io_inp_dis[21] *2298:21 0.0001048 +6 *2474:mprj_io_inp_dis[21] *2298:22 0 +7 *2060:7 *2114:7 0.00032094 +8 *2060:8 *2114:8 0.00707465 +9 *2468:pad_gpio_in *2060:7 0.000129968 +10 *2474:mprj_io_analog_pol[21] *2474:mprj_io_inp_dis[21] 0 +11 *494:20 *2060:8 0.000964879 +12 *523:8 *2060:8 0.00707465 +13 *1979:10 *2060:7 0 +*RES +1 *2468:pad_gpio_inenb *2060:7 5.19125 +2 *2060:7 *2060:8 113.742 +3 *2060:8 *2474:mprj_io_inp_dis[21] 19.7706 +*END + +*D_NET *2061 0.0184048 +*CONN +*I *2474:mprj_io_inp_dis[22] I *D chip_io_alt +*I *2469:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_inp_dis[22] 0.000452164 +2 *2469:pad_gpio_inenb 0.000777455 +3 *2061:16 0.00127478 +4 *2061:14 0.00160007 +5 *2474:mprj_io_inp_dis[22] *2317:12 8.1645e-05 +6 *2061:14 *2088:10 0 +7 *2061:14 *2088:12 1.3813e-05 +8 *2061:14 *2115:7 0.000226364 +9 *2061:14 *2115:8 0.000356446 +10 *2061:14 *2169:15 0.000371234 +11 *2061:16 *2088:12 0.00613893 +12 *2061:16 *2169:16 3.10643e-05 +13 *2474:mprj_io_analog_pol[22] *2474:mprj_io_inp_dis[22] 0 +14 *494:20 *2061:16 0.00092497 +15 *524:22 *2061:14 3.3461e-05 +16 *1920:8 *2061:16 0.00573543 +17 *1921:8 *2061:14 7.59805e-05 +18 *1921:8 *2061:16 0 +19 *1922:33 *2474:mprj_io_inp_dis[22] 0 +20 *1953:17 *2061:16 0.000311015 +21 *1980:7 *2061:14 0 +*RES +1 *2469:pad_gpio_inenb *2061:14 21.3732 +2 *2061:14 *2061:16 98.3781 +3 *2061:16 *2474:mprj_io_inp_dis[22] 20.2725 +*END + +*D_NET *2062 0.0164454 +*CONN +*I *2474:mprj_io_inp_dis[23] I *D chip_io_alt +*I *2470:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_inp_dis[23] 0.000325678 +2 *2470:pad_gpio_inenb 0.000456214 +3 *2062:8 0.00204754 +4 *2062:7 0.00217807 +5 *2474:mprj_io_inp_dis[23] *2318:9 0.000405758 +6 *2062:7 *2474:mprj_io_out[23] 6.29293e-05 +7 *2470:pad_gpio_in *2062:7 3.04141e-05 +8 *2474:mprj_io_analog_pol[23] *2474:mprj_io_inp_dis[23] 0.000365085 +9 *477:26 *2062:8 0.000879339 +10 *513:14 *2062:8 0.000667497 +11 *1846:8 *2062:8 0 +12 *1923:8 *2062:8 0.00539719 +13 *1925:8 *2062:8 0 +14 *1954:16 *2062:8 0.00362966 +*RES +1 *2470:pad_gpio_inenb *2062:7 4.8088 +2 *2062:7 *2062:8 109.175 +3 *2062:8 *2474:mprj_io_inp_dis[23] 21.9695 +*END + +*D_NET *2063 0.0178678 +*CONN +*I *2474:mprj_io_inp_dis[24] I *D chip_io_alt +*I *2446:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_inp_dis[24] 0.000343599 +2 *2446:pad_gpio_inenb 0.000543513 +3 *2063:8 0.00156928 +4 *2063:7 0.0017692 +5 *2474:mprj_io_inp_dis[24] *2474:mprj_io_out[24] 6.04131e-05 +6 *2063:7 *2117:10 0.000280042 +7 *2063:8 *2090:8 0.000651251 +8 *2063:8 *2144:8 0.00508979 +9 *2063:8 *2319:11 0.000337479 +10 *2446:pad_gpio_in *2063:7 5.34343e-05 +11 *2474:mprj_io_holdover[24] *2474:mprj_io_inp_dis[24] 0.000245826 +12 *494:20 *2063:8 0.000642796 +13 *514:8 *2063:8 1.87125e-05 +14 *1820:14 *2063:8 0.00434582 +15 *1929:20 *2063:8 0.00191662 +16 *1982:7 *2063:7 0 +*RES +1 *2446:pad_gpio_inenb *2063:7 5.11476 +2 *2063:7 *2063:8 113.742 +3 *2063:8 *2474:mprj_io_inp_dis[24] 19.5813 +*END + +*D_NET *2064 0.0168883 +*CONN +*I *2474:mprj_io_inp_dis[25] I *D chip_io_alt +*I *2447:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_inp_dis[25] 0.0003391 +2 *2447:pad_gpio_inenb 0.000469035 +3 *2064:8 0.00153736 +4 *2064:7 0.0016673 +5 *2064:7 *2118:8 0.000255442 +6 *2064:7 *2145:7 0 +7 *2064:8 *2118:8 0.000623406 +8 *2064:8 *2118:21 0.000319694 +9 *2064:8 *2145:8 9.97028e-05 +10 *2064:8 *2172:14 0.00429605 +11 *2474:mprj_io_analog_sel[25] *2474:mprj_io_inp_dis[25] 0.000241594 +12 *1794:16 *2064:8 0.000267062 +13 *1983:7 *2064:7 0 +14 *1983:8 *2064:8 0.00677253 +*RES +1 *2447:pad_gpio_inenb *2064:7 5.11476 +2 *2064:7 *2064:8 113.742 +3 *2064:8 *2474:mprj_io_inp_dis[25] 19.0267 +*END + +*D_NET *2065 0.0226964 +*CONN +*I *2474:mprj_io_inp_dis[26] I *D chip_io_alt +*I *2448:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_inp_dis[26] 0.000382448 +2 *2448:pad_gpio_inenb 0.000389645 +3 *2065:16 0.00115938 +4 *2065:15 0.00117646 +5 *2065:12 0.000789179 +6 *2065:12 *2119:12 0.00158808 +7 *2065:12 *2146:10 0.00160479 +8 *2065:15 *2092:15 0.000226847 +9 *2065:15 *2119:15 0.00294505 +10 *2448:pad_gpio_in *2065:12 0 +11 *2474:mprj_io_analog_pol[26] *2474:mprj_io_inp_dis[26] 0 +12 *2474:mprj_io_analog_sel[26] *2474:mprj_io_inp_dis[26] 0 +13 *1849:8 *2065:16 0.00536393 +14 *1933:8 *2065:16 0.0049472 +15 *2011:12 *2065:15 0.00212337 +*RES +1 *2448:pad_gpio_inenb *2065:12 33.917 +2 *2065:12 *2065:15 36.2812 +3 *2065:15 *2065:16 85.7129 +4 *2065:16 *2474:mprj_io_inp_dis[26] 19.3487 +*END + +*D_NET *2066 0.0129335 +*CONN +*I *2474:mprj_io_inp_dis[2] I *D chip_io_alt +*I *2455:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_inp_dis[2] 0.000423834 +2 *2455:pad_gpio_inenb 0.000649225 +3 *2066:14 0.00325553 +4 *2066:13 0.00348092 +5 *2066:13 *2120:13 0.000306624 +6 *2066:14 *2174:14 0.00218055 +7 *2455:pad_gpio_in *2066:13 0.000318902 +8 *100:77 *2066:13 2.42128e-05 +9 *104:40 *2066:13 5.35541e-05 +10 *467:48 *2066:14 0.000825799 +11 *471:40 *2474:mprj_io_inp_dis[2] 0.00019711 +12 *1850:14 *2066:14 0 +13 *1924:14 *2066:14 0 +14 *1935:14 *2066:14 0 +15 *1935:24 *2066:14 0.00108008 +16 *1937:14 *2066:14 0 +17 *1958:14 *2066:14 1.28326e-05 +18 *1985:13 *2066:13 0.000124349 +*RES +1 *2455:pad_gpio_inenb *2066:13 29.8357 +2 *2066:13 *2066:14 109.382 +3 *2066:14 *2474:mprj_io_inp_dis[2] 19.0239 +*END + +*D_NET *2067 0.019373 +*CONN +*I *2474:mprj_io_inp_dis[3] I *D chip_io_alt +*I *2456:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_inp_dis[3] 0.000585768 +2 *2456:pad_gpio_inenb 0.000471265 +3 *2067:22 0.00103232 +4 *2067:21 0.000503382 +5 *2067:16 0.000590217 +6 *2067:15 0.00100465 +7 *2067:15 *2121:13 0.00094554 +8 *2067:15 *2148:15 0.000354276 +9 *2067:16 *2148:16 0.0036651 +10 *2067:16 *2148:25 6.34651e-06 +11 *2067:16 *2175:14 0.000273439 +12 *2456:pad_gpio_in *2067:15 4.69062e-05 +13 *2474:mprj_io_analog_en[3] *2474:mprj_io_inp_dis[3] 0.000208218 +14 *2474:mprj_io_analog_pol[3] *2474:mprj_io_inp_dis[3] 0 +15 *1859:16 *2067:16 0.0028707 +16 *1859:18 *2067:22 0.00341258 +17 *1938:16 *2067:22 6.34651e-06 +18 *1938:18 *2067:22 0.00339598 +19 *1959:15 *2067:15 0 +*RES +1 *2456:pad_gpio_inenb *2067:15 29.163 +2 *2067:15 *2067:16 58.7215 +3 *2067:16 *2067:21 10.3986 +4 *2067:21 *2067:22 54.569 +5 *2067:22 *2474:mprj_io_inp_dis[3] 23.6378 +*END + +*D_NET *2068 0.0201495 +*CONN +*I *2474:mprj_io_inp_dis[4] I *D chip_io_alt +*I *2457:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_inp_dis[4] 0.00060275 +2 *2457:pad_gpio_inenb 0.000782677 +3 *2068:16 0.00157367 +4 *2068:15 0.00175359 +5 *2068:15 *2122:13 0.000237476 +6 *2068:16 *2122:14 0 +7 *2068:16 *2149:14 0.00679856 +8 *2457:pad_gpio_in *2068:15 4.10643e-05 +9 *2474:mprj_io_analog_pol[4] *2474:mprj_io_inp_dis[4] 7.44489e-05 +10 *478:32 *2068:16 0.00101385 +11 *1862:16 *2068:16 0.00630011 +12 *1960:13 *2068:15 2.64207e-05 +13 *1987:13 *2068:15 0.00094487 +14 *2014:31 *2068:15 0 +*RES +1 *2457:pad_gpio_inenb *2068:15 34.9765 +2 *2068:15 *2068:16 108.552 +3 *2068:16 *2474:mprj_io_inp_dis[4] 23.876 +*END + +*D_NET *2069 0.0180109 +*CONN +*I *2474:mprj_io_inp_dis[5] I *D chip_io_alt +*I *2458:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_inp_dis[5] 0.00056259 +2 *2458:pad_gpio_inenb 0.000710278 +3 *2069:14 0.00219215 +4 *2069:13 0.00233984 +5 *2069:13 *2123:13 0.000455137 +6 *2069:13 *2150:8 0 +7 *2069:14 *2177:14 0 +8 *2458:pad_gpio_in *2069:13 0.000741548 +9 *2474:mprj_io_analog_pol[5] *2474:mprj_io_inp_dis[5] 0.000905713 +10 *108:49 *2474:mprj_io_inp_dis[5] 0.000132081 +11 *477:32 *2069:14 0.000888379 +12 *506:14 *2069:14 0 +13 *1799:14 *2069:14 0.00479451 +14 *1826:23 *2474:mprj_io_inp_dis[5] 0.000458361 +15 *1864:14 *2069:14 0 +16 *1864:20 *2069:14 0.00245546 +17 *1866:14 *2069:14 0 +18 *1961:13 *2069:13 0.000124349 +19 *2015:11 *2069:14 0.000537421 +20 *2042:14 *2474:mprj_io_inp_dis[5] 0.000713063 +*RES +1 *2458:pad_gpio_inenb *2069:13 35.6549 +2 *2069:13 *2069:14 95.679 +3 *2069:14 *2474:mprj_io_inp_dis[5] 33.7488 +*END + +*D_NET *2070 0.0208434 +*CONN +*I *2474:mprj_io_inp_dis[6] I *D chip_io_alt +*I *2459:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_inp_dis[6] 0.000561668 +2 *2459:pad_gpio_inenb 0.000333707 +3 *2070:28 0.000851398 +4 *2070:25 0.000929801 +5 *2070:14 0.00125726 +6 *2070:13 0.000950893 +7 *2070:13 *2097:8 2.08076e-05 +8 *2070:13 *2124:13 7.43144e-05 +9 *2070:13 *2151:15 0.000774126 +10 *2070:13 *2178:13 0 +11 *2070:14 *2124:14 0.00437841 +12 *2070:14 *2178:14 0.00442542 +13 *2459:pad_gpio_in *2070:13 3.84497e-05 +14 *2459:resetn *2070:14 0.000640931 +15 *2474:mprj_io_analog_pol[6] *2474:mprj_io_inp_dis[6] 0 +16 *110:98 *2070:14 1.69932e-05 +17 *478:32 *2070:28 0.000345966 +18 *507:18 *2474:mprj_io_inp_dis[6] 0.00019711 +19 *1567:20 *2070:25 0 +20 *1827:20 *2070:28 0.00226954 +21 *1867:14 *2070:28 0.00227313 +22 *1868:14 *2070:25 0.000498418 +23 *2043:12 *2070:25 5.04829e-06 +*RES +1 *2459:pad_gpio_inenb *2070:13 21.9432 +2 *2070:13 *2070:14 71.1791 +3 *2070:14 *2070:25 35.272 +4 *2070:25 *2070:28 40.7979 +5 *2070:28 *2474:mprj_io_inp_dis[6] 18.4061 +*END + +*D_NET *2071 0.05348 +*CONN +*I *2474:mprj_io_inp_dis[7] I *D chip_io_alt +*I *2460:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_inp_dis[7] 0.000255505 +2 *2460:pad_gpio_inenb 0.000341798 +3 *2071:18 0.00103012 +4 *2071:16 0.00095277 +5 *2071:8 0.00692531 +6 *2071:7 0.00708896 +7 *2474:mprj_io_inp_dis[7] *2293:10 0 +8 *2071:7 *2098:13 0 +9 *2071:7 *2125:8 7.36223e-05 +10 *2071:7 *2152:10 0 +11 *2071:8 *2126:16 0.000490766 +12 *2071:8 *2152:10 0 +13 *2071:8 *2153:16 0.00453366 +14 *2071:16 *2072:18 0.000192324 +15 *2071:16 *2125:14 0.000137357 +16 *2071:16 *2125:19 3.24705e-06 +17 *2071:18 *2072:18 0.00180549 +18 *2460:pad_gpio_in *2071:7 3.84497e-05 +19 *2474:mprj_io_analog_sel[7] *2474:mprj_io_inp_dis[7] 9.29408e-05 +20 *110:104 *2071:8 9.41515e-05 +21 *110:118 *2071:8 0.000372007 +22 *1871:14 *2071:8 0.0223522 +23 *1875:14 *2071:16 2.2599e-05 +24 *1875:14 *2071:18 0.00377433 +25 *1963:8 *2071:8 0 +26 *1964:16 *2071:18 0.000467019 +27 *1990:7 *2071:7 0 +28 *1990:8 *2071:8 0.00243534 +*RES +1 *2460:pad_gpio_inenb *2071:7 4.34986 +2 *2071:7 *2071:8 359.157 +3 *2071:8 *2071:16 14.8508 +4 *2071:16 *2071:18 61.0054 +5 *2071:18 *2474:mprj_io_inp_dis[7] 14.5899 +*END + +*D_NET *2072 0.0563483 +*CONN +*I *2474:mprj_io_inp_dis[8] I *D chip_io_alt +*I *2449:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_inp_dis[8] 0.000376118 +2 *2449:pad_gpio_inenb 0.000982978 +3 *2072:24 0.00118749 +4 *2072:23 0.000964478 +5 *2072:18 0.00484266 +6 *2072:17 0.00468956 +7 *2072:15 0.000982978 +8 *2474:mprj_io_inp_dis[8] *2073:37 5.04829e-06 +9 *2474:mprj_io_inp_dis[8] *2302:15 6.89664e-05 +10 *2072:15 *2126:15 0.000841122 +11 *2072:15 *2153:15 0.000572602 +12 *2072:15 *2354:13 0.00227755 +13 *2072:18 *2125:14 0.00047356 +14 *2072:18 *2125:20 0.00545238 +15 *2072:18 *2153:16 1.86389e-05 +16 *2072:18 *2153:20 0.00262697 +17 *2072:18 *2153:24 0.000243744 +18 *2072:18 *2154:14 0.00472779 +19 *2072:18 *2180:14 0.00261262 +20 *2474:mprj_io_analog_pol[8] *2474:mprj_io_inp_dis[8] 0 +21 *1829:14 *2072:24 0.00195445 +22 *1856:19 *2072:24 0.000679262 +23 *1857:14 *2072:24 0 +24 *1871:14 *2072:18 0.0045506 +25 *1871:18 *2072:18 3.7752e-05 +26 *1874:33 *2072:23 5.04829e-06 +27 *1875:14 *2072:18 0.00235155 +28 *1877:14 *2072:24 0.00419759 +29 *1878:14 *2072:18 0.00283158 +30 *1879:25 *2474:mprj_io_inp_dis[8] 3.24705e-06 +31 *1879:26 *2072:24 6.52332e-05 +32 *1964:10 *2072:15 7.12702e-05 +33 *2017:13 *2072:18 0.00352908 +34 *2019:11 *2072:18 0.000126603 +35 *2071:16 *2072:18 0.000192324 +36 *2071:18 *2072:18 0.00180549 +*RES +1 *2449:pad_gpio_inenb *2072:15 49.0445 +2 *2072:15 *2072:17 4.5 +3 *2072:17 *2072:18 344.83 +4 *2072:18 *2072:23 11.9418 +5 *2072:23 *2072:24 67.4418 +6 *2072:24 *2474:mprj_io_inp_dis[8] 17.6388 +*END + +*D_NET *2073 0.0567217 +*CONN +*I *2474:mprj_io_inp_dis[9] I *D chip_io_alt +*I *2450:pad_gpio_inenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_inp_dis[9] 0.000281228 +2 *2450:pad_gpio_inenb 9.89961e-05 +3 *2073:52 0.00084523 +4 *2073:49 0.000718294 +5 *2073:44 0.00220939 +6 *2073:43 0.00264458 +7 *2073:37 0.00106975 +8 *2073:31 0.00128013 +9 *2073:21 0.00177336 +10 *2073:10 0.00477962 +11 *2073:9 0.00390512 +12 *2474:mprj_io_inp_dis[9] *2303:13 8.16595e-05 +13 *2474:mprj_io_inp_dis[9] *2303:14 0 +14 *2073:31 *2474:mprj_io_slow_sel[8] 0.000197125 +15 *2073:31 *2302:15 0.000334611 +16 *2073:44 *2474:mprj_io_vtrip_sel[8] 0.000656134 +17 *2073:52 *2129:14 0.00135608 +18 *2474:mprj_io_dm[25] *2073:31 0.000341824 +19 *2474:mprj_io_ib_mode_sel[8] *2073:44 7.15466e-05 +20 *2474:mprj_io_inp_dis[8] *2073:37 5.04829e-06 +21 *2474:mprj_io_analog_pol[9] *2474:mprj_io_inp_dis[9] 0 +22 *2474:mprj_io_dm[28] *2073:52 0.00163939 +23 *101:15 *2073:49 0 +24 *101:16 *2073:52 2.01595e-05 +25 *511:14 *2073:37 0.000240993 +26 *1802:20 *2073:37 0.000502001 +27 *1803:14 *2073:44 0.0105013 +28 *1876:14 *2073:37 0.00119658 +29 *1877:14 *2073:43 0.00177769 +30 *1879:19 *2073:21 9.09602e-06 +31 *1879:19 *2073:31 0.000731333 +32 *1879:25 *2073:37 0 +33 *1879:26 *2073:43 0.000862855 +34 *1879:32 *2073:44 0 +35 *1940:10 *2073:52 0.000216974 +36 *1940:18 *2073:52 0.000220926 +37 *1964:16 *2073:43 0.000148188 +38 *1965:8 *2073:44 0.00160563 +39 *1991:16 *2073:37 0.00019411 +40 *1994:20 *2073:52 0.001143 +41 *2018:11 *2073:31 0.000171079 +42 *2019:11 *2073:31 0.000531716 +43 *2019:11 *2073:44 0.0123589 +44 *2045:7 *2073:44 0 +*RES +1 *2450:pad_gpio_inenb *2073:9 3.708 +2 *2073:9 *2073:10 107.929 +3 *2073:10 *2073:21 35.9586 +4 *2073:21 *2073:31 43.0653 +5 *2073:31 *2073:37 33.9874 +6 *2073:37 *2073:43 42.429 +7 *2073:43 *2073:44 199.907 +8 *2073:44 *2073:49 11.9418 +9 *2073:49 *2073:52 49.9335 +10 *2073:52 *2474:mprj_io_inp_dis[9] 11.3357 +*END + +*D_NET *2074 0.00727374 +*CONN +*I *2474:mprj_io_oeb[0] I *D chip_io_alt +*I *2444:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_oeb[0] 0.000484544 +2 *2444:pad_gpio_outenb 0.000169835 +3 *2074:8 0.00229233 +4 *2074:7 0.00197763 +5 *2074:7 *2101:13 3.84497e-05 +6 *2074:7 *2128:13 4.80459e-05 +7 *2074:8 *2101:13 0 +8 *2074:8 *2101:14 0 +9 *2074:8 *2128:13 0 +10 *2074:8 *2155:19 0 +11 *2444:pad_gpio_in *2074:8 0 +12 *2474:mprj_io_holdover[0] *2474:mprj_io_oeb[0] 0.00120376 +13 *2474:mprj_io_holdover[0] *2074:8 0 +14 *2474:mprj_io_ib_mode_sel[0] *2474:mprj_io_oeb[0] 0 +15 *1777:13 *2074:8 0 +16 *1804:13 *2074:8 0 +17 *1831:19 *2074:8 0 +18 *1858:13 *2074:8 0 +19 *1869:13 *2074:8 0 +20 *1939:10 *2474:mprj_io_oeb[0] 6.99044e-06 +21 *1939:10 *2074:8 0.00095923 +22 *1966:13 *2074:8 0 +23 *2020:7 *2474:mprj_io_oeb[0] 9.29258e-05 +24 *2047:13 *2074:8 0 +*RES +1 *2444:pad_gpio_outenb *2074:7 3.9674 +2 *2074:7 *2074:8 59.9673 +3 *2074:8 *2474:mprj_io_oeb[0] 21.7763 +*END + +*D_NET *2075 0.0665987 +*CONN +*I *2474:mprj_io_oeb[10] I *D chip_io_alt +*I *2451:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_oeb[10] 0.00068226 +2 *2451:pad_gpio_outenb 0.00123454 +3 *2075:8 0.0121666 +4 *2075:7 0.0127189 +5 *2474:mprj_io_oeb[10] *2130:20 7.48753e-06 +6 *2075:7 *2102:10 0.000218037 +7 *2075:7 *2129:13 4.31122e-05 +8 *2075:7 *2156:17 0 +9 *2075:8 *2474:mprj_io_out[10] 0 +10 *2075:8 *2100:8 0.0064004 +11 *2075:8 *2127:23 0.000706804 +12 *501:14 *2075:8 0.00268445 +13 *511:14 *2075:8 0.000311341 +14 *1778:16 *2075:8 0.00617163 +15 *1857:20 *2075:8 0.000654168 +16 *1881:14 *2075:8 0.00394811 +17 *1883:14 *2075:8 0.00410583 +18 *1886:8 *2075:8 0 +19 *1941:8 *2075:8 0.014545 +*RES +1 *2451:pad_gpio_outenb *2075:7 2.69628 +2 *2075:7 *2075:8 64.9751 +3 *2075:8 *2474:mprj_io_oeb[10] 7.2651 +*END + +*D_NET *2076 0.0697847 +*CONN +*I *2474:mprj_io_oeb[11] I *D chip_io_alt +*I *2452:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_oeb[11] 6.10627e-05 +2 *2452:pad_gpio_outenb 0.000580836 +3 *2076:29 0.00301465 +4 *2076:25 0.00381029 +5 *2076:14 0.00261668 +6 *2076:13 0.00234081 +7 *2076:13 *2103:18 2.15596e-05 +8 *2076:14 *2452:user_gpio_oeb 0 +9 *2076:14 *2103:18 0.000307033 +10 *2076:14 *2130:18 0.001907 +11 *2076:25 *2474:mprj_io_slow_sel[10] 0.000678361 +12 *2076:29 *2157:20 0.0236384 +13 *2452:resetn *2076:13 0.000178041 +14 *101:22 *2076:13 0 +15 *101:22 *2076:14 8.70609e-05 +16 *468:54 *2076:13 0.000204874 +17 *469:12 *2076:14 0 +18 *501:13 *2076:14 0 +19 *1889:18 *2474:mprj_io_oeb[11] 0.000156962 +20 *1940:18 *2076:14 0.000163367 +21 *1941:8 *2076:29 0.000273717 +22 *1967:20 *2076:14 0 +23 *1968:14 *2076:14 4.72992e-05 +24 *1968:29 *2076:29 0.023558 +25 *1969:17 *2076:29 0.000146522 +26 *1994:11 *2076:14 0.00599219 +*RES +1 *2452:pad_gpio_outenb *2076:13 28.9203 +2 *2076:13 *2076:14 98.1705 +3 *2076:14 *2076:25 25.484 +4 *2076:25 *2076:29 49.5937 +5 *2076:29 *2474:mprj_io_oeb[11] 5.94579 +*END + +*D_NET *2077 0.0659583 +*CONN +*I *2474:mprj_io_oeb[12] I *D chip_io_alt +*I *2453:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_oeb[12] 6.22868e-05 +2 *2453:pad_gpio_outenb 0.00145245 +3 *2077:15 0.0076404 +4 *2077:10 0.00903057 +5 *2077:10 *2474:mprj_io_out[11] 0.00778354 +6 *2077:10 *2104:13 4.31122e-05 +7 *2077:10 *2130:28 0.000422048 +8 *2077:10 *2131:8 3.84497e-05 +9 *2077:10 *2158:10 0.00761133 +10 *2077:15 *2474:mprj_io_out[11] 0.00413264 +11 *2077:15 *2131:22 0.00169189 +12 *2077:15 *2158:10 0.000124783 +13 *2077:15 *2158:15 0.0236749 +14 *2474:mprj_io_analog_sel[12] *2077:15 0.000132415 +15 *2474:mprj_io_ib_mode_sel[12] *2474:mprj_io_oeb[12] 0 +16 *1806:26 *2077:10 0.000127672 +17 *1807:19 *2077:15 0 +18 *1886:8 *2077:10 0.000163684 +19 *1889:18 *2077:15 0.00126517 +20 *1889:30 *2077:15 0.000129653 +21 *1969:17 *2077:15 0.000100472 +22 *1996:14 *2077:15 0.000199547 +23 *2022:7 *2077:15 0.000131317 +24 *2050:13 *2077:10 0 +*RES +1 *2453:pad_gpio_outenb *2077:10 17.6549 +2 *2077:10 *2077:15 49.6281 +3 *2077:15 *2474:mprj_io_oeb[12] 5.13971 +*END + +*D_NET *2078 0.0136553 +*CONN +*I *2474:mprj_io_oeb[13] I *D chip_io_alt +*I *2454:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_oeb[13] 0.00125126 +2 *2454:pad_gpio_outenb 2.68684e-05 +3 *2078:24 2.68684e-05 +4 *2078:10 0.00141863 +5 *2078:5 0.000760147 +6 *2078:4 0.000592776 +7 *2078:5 *2105:5 0.000299733 +8 *2078:5 *2159:8 0.000316853 +9 *2078:10 *2159:13 0.00206379 +10 *2474:mprj_io_ib_mode_sel[13] *2474:mprj_io_oeb[13] 0 +11 *1537:23 *2078:10 6.89789e-05 +12 *1893:8 *2078:5 0.00240355 +13 *1943:8 *2078:5 0.00255514 +14 *1970:10 *2078:10 0.00187072 +15 *2024:7 *2474:mprj_io_oeb[13] 0 +*RES +1 *2454:pad_gpio_outenb *2078:4 3.36879 +2 *2078:4 *2078:5 53.5309 +3 *2078:5 *2078:10 30.7983 +4 *2078:10 *2474:mprj_io_oeb[13] 43.2204 +5 *2454:pad_gpio_outenb *2078:24 0.0631875 +*END + +*D_NET *2079 0.0188152 +*CONN +*I *2474:mprj_io_oeb[14] I *D chip_io_alt +*I *2461:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_oeb[14] 0.000892952 +2 *2461:pad_gpio_outenb 0.000300631 +3 *2079:13 0.00112634 +4 *2079:8 0.00235653 +5 *2079:7 0.00242378 +6 *2474:mprj_io_oeb[14] *2474:mprj_io_out[14] 0.000171064 +7 *2474:mprj_io_oeb[14] *2474:mprj_io_vtrip_sel[14] 0.000171064 +8 *2474:mprj_io_oeb[14] *2326:13 0.000754914 +9 *2079:7 *2106:7 0.000198219 +10 *2079:7 *2133:7 0.000188622 +11 *2079:7 *2160:7 0 +12 *2079:8 *2106:8 4.61488e-05 +13 *2079:8 *2133:8 0 +14 *2079:8 *2160:8 0 +15 *2461:resetn *2079:7 0 +16 *2474:mprj_io_analog_sel[14] *2474:mprj_io_oeb[14] 0.000171064 +17 *2474:mprj_io_dm[44] *2474:mprj_io_oeb[14] 0.00019711 +18 *2474:mprj_io_holdover[14] *2474:mprj_io_oeb[14] 0.000118972 +19 *2474:mprj_io_ib_mode_sel[14] *2474:mprj_io_oeb[14] 5.6259e-05 +20 *485:17 *2079:8 0.00454127 +21 *1836:14 *2079:8 0.000414631 +22 *1894:14 *2079:8 0.00185926 +23 *2025:9 *2474:mprj_io_oeb[14] 0.00156618 +24 *2052:8 *2079:8 0.00126019 +*RES +1 *2461:pad_gpio_outenb *2079:7 4.73231 +2 *2079:7 *2079:8 120.802 +3 *2079:8 *2079:13 14.1602 +4 *2079:13 *2474:mprj_io_oeb[14] 45.8732 +*END + +*D_NET *2080 0.0223597 +*CONN +*I *2474:mprj_io_oeb[15] I *D chip_io_alt +*I *2462:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_oeb[15] 0.000513324 +2 *2462:pad_gpio_outenb 0.000378317 +3 *2080:14 0.00151652 +4 *2080:13 0.00110281 +5 *2080:8 0.00091286 +6 *2080:7 0.00119156 +7 *2080:7 *2107:7 0.000261617 +8 *2080:7 *2134:7 0.000145223 +9 *2080:7 *2161:7 0 +10 *2080:14 *2161:8 9.66387e-05 +11 *2474:mprj_io_ib_mode_sel[15] *2474:mprj_io_oeb[15] 0.000284651 +12 *471:16 *2080:8 0.00180177 +13 *489:8 *2080:14 0.00228543 +14 *517:16 *2080:14 0.000598039 +15 *517:20 *2080:8 0.00439156 +16 *517:20 *2080:14 0.000266226 +17 *1810:16 *2080:8 0.000658277 +18 *1837:13 *2080:13 5.04829e-06 +19 *1899:8 *2080:14 0.00313478 +20 *1945:13 *2080:13 5.04829e-06 +21 *1945:16 *2080:14 1.72818e-05 +22 *1945:23 *2080:14 0.00178621 +23 *1972:26 *2080:14 0.000548682 +24 *2026:9 *2474:mprj_io_oeb[15] 0.00045785 +*RES +1 *2462:pad_gpio_outenb *2080:7 5.19125 +2 *2080:7 *2080:8 70.5562 +3 *2080:8 *2080:13 10.8326 +4 *2080:13 *2080:14 87.7892 +5 *2080:14 *2474:mprj_io_oeb[15] 27.2441 +*END + +*D_NET *2081 0.0241644 +*CONN +*I *2474:mprj_io_oeb[16] I *D chip_io_alt +*I *2463:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_oeb[16] 0.000534438 +2 *2463:pad_gpio_outenb 0.000332109 +3 *2081:20 0.0013356 +4 *2081:19 0.00114876 +5 *2081:8 0.00111242 +6 *2081:7 0.00109693 +7 *2081:7 *2108:7 0.000372352 +8 *2081:7 *2135:7 0.000307382 +9 *2081:8 *2108:8 6.80434e-05 +10 *2081:19 *2108:13 2.41274e-06 +11 *2081:19 *2162:16 0.00101426 +12 *2081:19 *2162:19 0.000111722 +13 *2081:19 *2328:20 8.79845e-05 +14 *2081:20 *2162:20 0.0029713 +15 *2474:mprj_io_ib_mode_sel[16] *2474:mprj_io_oeb[16] 0 +16 *472:8 *2081:8 0 +17 *472:8 *2081:19 0.00101426 +18 *472:8 *2081:20 0.00044857 +19 *518:8 *2081:8 0.00523405 +20 *518:8 *2081:20 0.000321049 +21 *1973:16 *2081:20 0.0014944 +22 *2027:9 *2474:mprj_io_oeb[16] 0.000215165 +23 *2054:8 *2081:8 0.00494121 +*RES +1 *2463:pad_gpio_outenb *2081:7 5.34423 +2 *2081:7 *2081:8 84.2596 +3 *2081:8 *2081:19 39.1402 +4 *2081:19 *2081:20 62.4588 +5 *2081:20 *2474:mprj_io_oeb[16] 24.9896 +*END + +*D_NET *2082 0.0236427 +*CONN +*I *2474:mprj_io_oeb[17] I *D chip_io_alt +*I *2464:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_oeb[17] 0.000510759 +2 *2464:pad_gpio_outenb 0.000655446 +3 *2082:12 0.00212581 +4 *2082:10 0.0022705 +5 *2082:10 *2109:7 0.000305698 +6 *2082:10 *2109:8 0.000579049 +7 *2082:10 *2136:7 0.000129968 +8 *2082:10 *2163:7 0 +9 *2082:10 *2163:8 4.61488e-05 +10 *2082:12 *2109:8 0 +11 *2082:12 *2109:16 0.000462932 +12 *2082:12 *2109:18 1.23804e-05 +13 *2464:resetn *2082:10 0 +14 *106:13 *2082:10 0.000228329 +15 *106:14 *2082:12 0.00942927 +16 *519:10 *2082:12 0.00564597 +17 *1812:16 *2082:12 0.00119282 +18 *2028:9 *2474:mprj_io_oeb[17] 4.76719e-05 +*RES +1 *2464:pad_gpio_outenb *2082:10 19.331 +2 *2082:10 *2082:12 151.946 +3 *2082:12 *2474:mprj_io_oeb[17] 22.0756 +*END + +*D_NET *2083 0.0248083 +*CONN +*I *2474:mprj_io_oeb[18] I *D chip_io_alt +*I *2465:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_oeb[18] 0.000665339 +2 *2465:pad_gpio_outenb 0.000559895 +3 *2083:10 0.00202871 +4 *2083:9 0.00192326 +5 *2474:mprj_io_oeb[18] *2474:mprj_io_out[18] 1.00846e-05 +6 *2083:9 *2110:9 0.000276188 +7 *2083:9 *2137:9 0.000150197 +8 *2083:9 *2164:9 0 +9 *2083:10 *2164:16 0.00509839 +10 *2474:mprj_io_ib_mode_sel[18] *2474:mprj_io_oeb[18] 0.00188275 +11 *2474:mprj_io_inp_dis[18] *2083:10 0.000253824 +12 *107:58 *2474:mprj_io_oeb[18] 0 +13 *492:10 *2083:10 0.008934 +14 *520:16 *2083:10 0.00186098 +15 *2029:9 *2474:mprj_io_oeb[18] 0.00116469 +16 *2029:10 *2474:mprj_io_oeb[18] 0 +17 *2056:9 *2083:9 0 +*RES +1 *2465:pad_gpio_outenb *2083:9 5.79319 +2 *2083:9 *2083:10 142.81 +3 *2083:10 *2474:mprj_io_oeb[18] 42.8871 +*END + +*D_NET *2084 0.0232074 +*CONN +*I *2474:mprj_io_oeb[19] I *D chip_io_alt +*I *2466:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_oeb[19] 0.000564748 +2 *2466:pad_gpio_outenb 0.00036613 +3 *2084:8 0.00246549 +4 *2084:7 0.00226687 +5 *2474:mprj_io_oeb[19] *2474:mprj_io_vtrip_sel[19] 0 +6 *2084:7 *2111:7 0.000345135 +7 *2084:7 *2138:10 0.000259251 +8 *2084:7 *2165:13 0 +9 *2084:8 *2111:14 0.00040138 +10 *2084:8 *2138:10 0 +11 *2084:8 *2165:28 0 +12 *2474:mprj_io_ib_mode_sel[19] *2474:mprj_io_oeb[19] 0.000669816 +13 *493:20 *2084:8 8.35615e-06 +14 *493:22 *2084:8 0.00255743 +15 *521:14 *2084:8 0.000593035 +16 *1814:8 *2084:8 0.00509 +17 *1910:8 *2084:8 0.00559031 +18 *1912:8 *2084:8 0.000275383 +19 *1949:8 *2084:8 4.61488e-05 +20 *1949:17 *2084:8 0.000543776 +21 *1976:21 *2474:mprj_io_oeb[19] 4.57934e-05 +22 *2030:15 *2474:mprj_io_oeb[19] 0.000913325 +23 *2057:13 *2084:8 0 +24 *2057:29 *2084:8 0.000205067 +*RES +1 *2466:pad_gpio_outenb *2084:7 5.26774 +2 *2084:7 *2084:8 151.53 +3 *2084:8 *2474:mprj_io_oeb[19] 34.5376 +*END + +*D_NET *2085 0.00944164 +*CONN +*I *2474:mprj_io_oeb[1] I *D chip_io_alt +*I *2445:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_oeb[1] 0.000640108 +2 *2445:pad_gpio_outenb 0.000187187 +3 *2085:8 0.00140082 +4 *2085:7 0.0009479 +5 *2085:7 *2112:13 3.84497e-05 +6 *2085:7 *2139:13 3.84497e-05 +7 *2445:resetn *2085:7 0 +8 *2474:mprj_io_ib_mode_sel[1] *2474:mprj_io_oeb[1] 0 +9 *100:84 *2085:8 0.00157391 +10 *467:55 *2474:mprj_io_oeb[1] 0.00156332 +11 *467:55 *2085:8 0 +12 *485:50 *2085:7 0 +13 *485:53 *2474:mprj_io_oeb[1] 0 +14 *485:53 *2085:8 0.000289922 +15 *1977:8 *2474:mprj_io_oeb[1] 0 +16 *1977:8 *2085:8 0.00272074 +17 *2031:7 *2474:mprj_io_oeb[1] 4.08338e-05 +*RES +1 *2445:pad_gpio_outenb *2085:7 3.9674 +2 *2085:7 *2085:8 54.1538 +3 *2085:8 *2474:mprj_io_oeb[1] 28.1761 +*END + +*D_NET *2086 0.0215687 +*CONN +*I *2474:mprj_io_oeb[20] I *D chip_io_alt +*I *2467:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_oeb[20] 0.000871385 +2 *2467:pad_gpio_outenb 0.00378136 +3 *2086:13 0.00465275 +4 *2474:mprj_io_oeb[20] *2113:16 0.000484305 +5 *2086:13 *2113:16 0.000101205 +6 *2086:13 *2140:7 0.000192185 +7 *2086:13 *2140:8 0.000731869 +8 *2086:13 *2315:13 0.000129446 +9 *474:42 *2086:13 0.00518522 +10 *474:48 *2474:mprj_io_oeb[20] 0 +11 *522:32 *2474:mprj_io_oeb[20] 0.000182532 +12 *522:32 *2086:13 0.00202352 +13 *1789:16 *2086:13 0.000670231 +14 *1843:16 *2086:13 0.000153237 +15 *1915:8 *2086:13 0.00104796 +16 *2032:13 *2474:mprj_io_oeb[20] 0.00136153 +*RES +1 *2467:pad_gpio_outenb *2086:13 24.5225 +2 *2086:13 *2474:mprj_io_oeb[20] 44.0012 +*END + +*D_NET *2087 0.0141696 +*CONN +*I *2474:mprj_io_oeb[21] I *D chip_io_alt +*I *2468:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_oeb[21] 0.00112652 +2 *2468:pad_gpio_outenb 0.000216421 +3 *2087:13 0.00120265 +4 *2087:8 0.00463505 +5 *2087:7 0.00477534 +6 *2474:mprj_io_oeb[21] *2474:mprj_io_out[21] 0.000462932 +7 *2474:mprj_io_oeb[21] *2474:mprj_io_vtrip_sel[21] 0.000332702 +8 *2474:mprj_io_oeb[21] *2316:13 0.000856496 +9 *2087:7 *2114:7 0.000185083 +10 *2087:7 *2141:7 0.00012971 +11 *2087:7 *2168:7 0 +12 *2087:8 *2474:mprj_io_slow_sel[21] 0 +13 *2087:8 *2141:8 0 +14 *2087:8 *2168:8 0 +15 *2087:8 *2298:21 0 +16 *2087:8 *2316:13 0 +17 *2474:mprj_io_dm[64] *2087:8 0 +18 *2474:mprj_io_holdover[21] *2474:mprj_io_oeb[21] 2.01595e-05 +19 *2474:mprj_io_holdover[21] *2087:13 9.09602e-06 +20 *2474:mprj_io_ib_mode_sel[21] *2474:mprj_io_oeb[21] 0.00021741 +21 *477:8 *2087:8 0 +22 *1790:8 *2087:8 0 +23 *1917:14 *2087:8 0 +24 *1918:16 *2087:8 0 +25 *1952:14 *2087:8 0 +*RES +1 *2468:pad_gpio_outenb *2087:7 4.42635 +2 *2087:7 *2087:8 124.954 +3 *2087:8 *2087:13 10.8326 +4 *2087:13 *2474:mprj_io_oeb[21] 42.8443 +*END + +*D_NET *2088 0.0255416 +*CONN +*I *2474:mprj_io_oeb[22] I *D chip_io_alt +*I *2469:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_oeb[22] 0.000497623 +2 *2469:pad_gpio_outenb 0.00077045 +3 *2088:12 0.00204682 +4 *2088:10 0.00231964 +5 *2088:10 *2115:7 0.000226364 +6 *2088:10 *2142:7 0.000195024 +7 *2088:10 *2169:15 0 +8 *2088:12 *2169:16 0.000249644 +9 *2474:mprj_io_ib_mode_sel[22] *2474:mprj_io_oeb[22] 0.00089474 +10 *111:10 *2088:10 0 +11 *111:12 *2088:10 1.07248e-05 +12 *111:12 *2088:12 3.29976e-05 +13 *111:16 *2088:12 0.00561561 +14 *494:20 *2088:12 0.00157095 +15 *524:22 *2088:10 0.000272107 +16 *524:22 *2088:12 0.000582247 +17 *1953:17 *2088:12 0.000513485 +18 *1980:8 *2088:12 0.0035904 +19 *2061:14 *2088:10 0 +20 *2061:14 *2088:12 1.3813e-05 +21 *2061:16 *2088:12 0.00613893 +*RES +1 *2469:pad_gpio_outenb *2088:10 15.2338 +2 *2088:10 *2088:12 149.112 +3 *2088:12 *2474:mprj_io_oeb[22] 27.7614 +*END + +*D_NET *2089 0.0261491 +*CONN +*I *2474:mprj_io_oeb[23] I *D chip_io_alt +*I *2470:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_oeb[23] 0.00189404 +2 *2470:pad_gpio_outenb 0.00189404 +3 *2474:mprj_io_oeb[23] *2474:mprj_io_out[23] 0.000334336 +4 *2474:mprj_io_ib_mode_sel[23] *2474:mprj_io_oeb[23] 0.01029 +5 *112:14 *2474:mprj_io_oeb[23] 0.0111481 +6 *477:26 *2474:mprj_io_oeb[23] 0 +7 *2008:11 *2474:mprj_io_oeb[23] 0.000588526 +*RES +1 *2470:pad_gpio_outenb *2474:mprj_io_oeb[23] 28.9411 +*END + +*D_NET *2090 0.0208591 +*CONN +*I *2474:mprj_io_oeb[24] I *D chip_io_alt +*I *2446:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_oeb[24] 0.000380676 +2 *2446:pad_gpio_outenb 0.000325718 +3 *2090:8 0.00343596 +4 *2090:7 0.003381 +5 *2090:7 *2117:10 0.00024557 +6 *2090:7 *2144:7 0.00024557 +7 *2090:8 *2144:8 0 +8 *2090:8 *2319:11 0.00506077 +9 *2446:serial_load_out *2090:8 0.0010458 +10 *2474:mprj_io_ib_mode_sel[24] *2474:mprj_io_oeb[24] 0 +11 *2474:mprj_io_ib_mode_sel[24] *2090:8 0 +12 *514:8 *2090:8 0 +13 *514:14 *2090:8 0.000487628 +14 *1928:8 *2090:8 0.00504306 +15 *1929:20 *2090:8 0 +16 *1955:14 *2090:8 0.000556128 +17 *1982:19 *2090:8 0 +18 *2063:8 *2090:8 0.000651251 +*RES +1 *2446:pad_gpio_outenb *2090:7 4.88529 +2 *2090:7 *2090:8 162.742 +3 *2090:8 *2474:mprj_io_oeb[24] 19.2165 +*END + +*D_NET *2091 0.0129516 +*CONN +*I *2474:mprj_io_oeb[25] I *D chip_io_alt +*I *2447:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_oeb[25] 0.00644286 +2 *2447:pad_gpio_outenb 0.00644286 +3 *2474:mprj_io_oeb[25] *2118:8 4.79986e-05 +4 *2474:mprj_io_oeb[25] *2145:7 1.78739e-05 +5 *2448:serial_load *2474:mprj_io_oeb[25] 0 +6 *515:8 *2474:mprj_io_oeb[25] 0 +*RES +1 *2447:pad_gpio_outenb *2474:mprj_io_oeb[25] 28.8281 +*END + +*D_NET *2092 0.0215227 +*CONN +*I *2474:mprj_io_oeb[26] I *D chip_io_alt +*I *2448:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_oeb[26] 0.00301117 +2 *2448:pad_gpio_outenb 0.00108957 +3 *2092:15 0.00410073 +4 *2092:15 *2119:12 0.00171627 +5 *2092:15 *2119:15 0.000246747 +6 *2092:15 *2146:10 5.35541e-05 +7 *2448:mgmt_gpio_out *2092:15 5.48652e-06 +8 *2448:pad_gpio_in *2092:15 9.91584e-05 +9 *1984:21 *2474:mprj_io_oeb[26] 0.00896083 +10 *1984:21 *2092:15 0.00160258 +11 *2011:12 *2092:15 0.000409792 +12 *2065:15 *2092:15 0.000226847 +*RES +1 *2448:pad_gpio_outenb *2092:15 40.2114 +2 *2092:15 *2474:mprj_io_oeb[26] 23.9471 +*END + +*D_NET *2093 0.0118525 +*CONN +*I *2474:mprj_io_oeb[2] I *D chip_io_alt +*I *2455:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_oeb[2] 0.000209846 +2 *2455:pad_gpio_outenb 0.000400353 +3 *2093:14 0.0011321 +4 *2093:13 0.0013226 +5 *2093:13 *2120:13 3.84497e-05 +6 *2093:13 *2147:7 3.84497e-05 +7 *2093:13 *2174:13 0.000105936 +8 *2093:14 *2120:14 0.00419398 +9 *2093:14 *2174:14 0.000178513 +10 *100:80 *2093:14 0 +11 *104:40 *2093:13 0 +12 *104:49 *2474:mprj_io_oeb[2] 0.000344954 +13 *471:40 *2474:mprj_io_oeb[2] 0.000102001 +14 *471:40 *2093:14 0 +15 *1985:14 *2093:14 0.00369853 +16 *2012:17 *2093:14 8.67988e-05 +*RES +1 *2455:pad_gpio_outenb *2093:13 21.7273 +2 *2093:13 *2093:14 76.1621 +3 *2093:14 *2474:mprj_io_oeb[2] 14.8158 +*END + +*D_NET *2094 0.00920627 +*CONN +*I *2474:mprj_io_oeb[3] I *D chip_io_alt +*I *2456:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_oeb[3] 0.000477464 +2 *2456:pad_gpio_outenb 0.000110452 +3 *2094:8 0.00153196 +4 *2094:7 0.00116494 +5 *2094:7 *2121:13 3.27908e-05 +6 *2094:7 *2148:15 4.10643e-05 +7 *2094:8 *2121:13 0.000125219 +8 *2094:8 *2148:15 8.35615e-06 +9 *2094:8 *2175:13 5.39868e-05 +10 *2455:resetn_out *2474:mprj_io_oeb[3] 0.000113186 +11 *2455:resetn_out *2094:8 0.000273081 +12 *2456:pad_gpio_in *2094:8 5.88867e-05 +13 *2456:resetn *2094:7 0 +14 *105:32 *2094:8 0.00249431 +15 *105:43 *2474:mprj_io_oeb[3] 0.00102781 +16 *105:43 *2094:8 0.000574919 +17 *105:52 *2474:mprj_io_oeb[3] 0 +18 *1797:13 *2094:8 0.000396678 +19 *1824:13 *2094:8 5.88867e-05 +20 *1860:13 *2094:8 0.000494416 +21 *1986:13 *2094:8 0.000167862 +*RES +1 *2456:pad_gpio_outenb *2094:7 3.73793 +2 *2094:7 *2094:8 59.9673 +3 *2094:8 *2474:mprj_io_oeb[3] 28.7965 +*END + +*D_NET *2095 0.0114695 +*CONN +*I *2474:mprj_io_oeb[4] I *D chip_io_alt +*I *2457:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_oeb[4] 2.27669e-05 +2 *2457:pad_gpio_outenb 0.00025717 +3 *2095:8 0.00109175 +4 *2095:7 0.00132615 +5 *2095:7 *2122:13 3.84497e-05 +6 *2095:7 *2149:13 3.84497e-05 +7 *2095:8 *2122:13 0 +8 *2095:8 *2149:13 0 +9 *2457:pad_gpio_in *2095:7 0 +10 *108:47 *2095:8 0.000562858 +11 *495:64 *2095:8 0 +12 *1960:14 *2095:8 0.0039855 +13 *2014:31 *2095:8 0.00335023 +14 *2041:7 *2095:8 0.000796167 +*RES +1 *2457:pad_gpio_outenb *2095:7 4.19688 +2 *2095:7 *2095:8 78.6536 +3 *2095:8 *2474:mprj_io_oeb[4] 0.647305 +*END + +*D_NET *2096 0.0112729 +*CONN +*I *2474:mprj_io_oeb[5] I *D chip_io_alt +*I *2458:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_oeb[5] 0.000169344 +2 *2458:pad_gpio_outenb 0.000259075 +3 *2096:14 0.00105064 +4 *2096:13 0.00114038 +5 *2474:mprj_io_oeb[5] *2150:13 0 +6 *2096:13 *2123:13 3.84497e-05 +7 *2096:13 *2150:7 3.84497e-05 +8 *2096:13 *2150:8 0 +9 *2096:13 *2177:13 0.000131328 +10 *2096:14 *2150:8 0.000197571 +11 *2474:mprj_io_analog_sel[5] *2474:mprj_io_oeb[5] 4.80635e-06 +12 *108:49 *2096:14 0.000567608 +13 *495:64 *2096:14 0.000988862 +14 *1853:17 *2096:14 0.00265365 +15 *1961:14 *2096:14 0.00370478 +16 *1988:8 *2474:mprj_io_oeb[5] 1.49792e-05 +17 *1988:8 *2096:14 0.000197586 +18 *2042:11 *2474:mprj_io_oeb[5] 0.000115378 +*RES +1 *2458:pad_gpio_outenb *2096:13 19.0936 +2 *2096:13 *2096:14 76.1621 +3 *2096:14 *2474:mprj_io_oeb[5] 13.5048 +*END + +*D_NET *2097 0.0067807 +*CONN +*I *2474:mprj_io_oeb[6] I *D chip_io_alt +*I *2459:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_oeb[6] 0.000212005 +2 *2459:pad_gpio_outenb 0.000138453 +3 *2097:8 0.00254698 +4 *2097:7 0.00247343 +5 *2097:7 *2124:13 3.27908e-05 +6 *2097:7 *2151:15 4.10643e-05 +7 *2097:8 *2124:13 6.21773e-05 +8 *2097:8 *2151:15 0 +9 *2097:8 *2178:13 3.61259e-05 +10 *2459:pad_gpio_in *2097:8 4.05974e-05 +11 *2459:resetn *2097:7 0 +12 *2459:serial_load *2097:8 0.000382785 +13 *507:18 *2474:mprj_io_oeb[6] 0 +14 *507:18 *2097:8 0 +15 *1800:13 *2097:8 0.000268051 +16 *1827:13 *2097:8 4.05974e-05 +17 *1854:13 *2097:8 1.48603e-05 +18 *1867:13 *2097:8 0.000145299 +19 *1868:13 *2097:8 0.000124349 +20 *1870:13 *2097:8 8.85241e-05 +21 *1989:13 *2097:8 0.0001118 +22 *2043:12 *2474:mprj_io_oeb[6] 0 +23 *2070:13 *2097:8 2.08076e-05 +*RES +1 *2459:pad_gpio_outenb *2097:7 3.81442 +2 *2097:7 *2097:8 73.0477 +3 *2097:8 *2474:mprj_io_oeb[6] 14.8122 +*END + +*D_NET *2098 0.0606152 +*CONN +*I *2474:mprj_io_oeb[7] I *D chip_io_alt +*I *2460:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_oeb[7] 0.000583557 +2 *2460:pad_gpio_outenb 0.000876583 +3 *2098:14 0.0056144 +4 *2098:13 0.00590742 +5 *2474:mprj_io_oeb[7] *2153:20 0 +6 *2098:13 *2125:8 0.00180224 +7 *2098:13 *2152:10 7.28972e-05 +8 *2098:13 *2179:13 0.00229407 +9 *2098:14 *2099:16 0.00576239 +10 *2098:14 *2179:14 0.029532 +11 *2474:mprj_io_ib_mode_sel[7] *2474:mprj_io_oeb[7] 2.18561e-05 +12 *477:35 *2098:13 2.93105e-05 +13 *477:44 *2098:13 3.52699e-05 +14 *478:32 *2098:14 0.00566643 +15 *1855:14 *2098:14 0.00196678 +16 *1856:19 *2098:14 0.000292074 +17 *1963:8 *2098:13 0.00015794 +18 *2071:7 *2098:13 0 +*RES +1 *2460:pad_gpio_outenb *2098:13 37.4329 +2 *2098:13 *2098:14 59.9457 +3 *2098:14 *2474:mprj_io_oeb[7] 6.31215 +*END + +*D_NET *2099 0.0754638 +*CONN +*I *2474:mprj_io_oeb[8] I *D chip_io_alt +*I *2449:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_oeb[8] 0.00063975 +2 *2449:pad_gpio_outenb 0.00195053 +3 *2099:16 0.00618331 +4 *2099:15 0.0074941 +5 *2099:15 *2126:15 0 +6 *2099:15 *2354:12 0 +7 *2099:16 *2100:8 0.0137166 +8 *2099:16 *2127:14 0.00187663 +9 *2099:16 *2152:14 0.000473354 +10 *2449:serial_clock_out *2099:15 0.000163509 +11 *2449:serial_data_in *2099:15 1.29018e-05 +12 *2449:serial_load *2099:15 1.61139e-05 +13 *2449:serial_load_out *2099:15 0 +14 *2474:mprj_io_ib_mode_sel[8] *2474:mprj_io_oeb[8] 0 +15 *478:32 *2099:16 0.000477461 +16 *478:35 *2099:15 0 +17 *510:13 *2099:15 9.93625e-05 +18 *510:14 *2099:16 0.00090114 +19 *1801:20 *2099:16 0.000522055 +20 *1828:14 *2099:16 0.000518598 +21 *1855:14 *2099:16 0.000490766 +22 *1856:19 *2099:16 0.000473012 +23 *1857:14 *2099:16 0.00202293 +24 *1873:14 *2099:16 0.000490766 +25 *1874:22 *2099:16 0.000706122 +26 *1876:14 *2099:16 0.000518598 +27 *1963:16 *2099:16 0.000397418 +28 *1964:10 *2099:15 0.00109997 +29 *1991:10 *2099:15 0.00109529 +30 *1991:16 *2099:16 0.0270327 +31 *1992:8 *2099:16 0.000328461 +32 *2098:14 *2099:16 0.00576239 +*RES +1 *2449:pad_gpio_outenb *2099:15 29.686 +2 *2099:15 *2099:16 62.4604 +3 *2099:16 *2474:mprj_io_oeb[8] 5.78119 +*END + +*D_NET *2100 0.0707687 +*CONN +*I *2474:mprj_io_oeb[9] I *D chip_io_alt +*I *2450:pad_gpio_outenb O *D gpio_control_block +*CAP +1 *2474:mprj_io_oeb[9] 0.000532153 +2 *2450:pad_gpio_outenb 0.000921506 +3 *2100:8 0.00571626 +4 *2100:7 0.00610561 +5 *2100:7 *2127:13 3.60838e-05 +6 *2100:7 *2154:13 2.72062e-05 +7 *2100:7 *2181:7 0 +8 *2100:8 *2474:mprj_io_out[10] 2.59783e-05 +9 *2100:8 *2127:14 0.00167697 +10 *2100:8 *2127:28 0.000288926 +11 *2474:mprj_io_ib_mode_sel[9] *2474:mprj_io_oeb[9] 6.34849e-05 +12 *101:22 *2474:mprj_io_oeb[9] 0.00010487 +13 *1778:16 *2100:8 0.00010448 +14 *1830:22 *2100:8 0.000453415 +15 *1857:14 *2100:8 0.00172017 +16 *1877:22 *2100:8 0.000353882 +17 *1881:14 *2100:8 0.000161557 +18 *1992:8 *2100:8 0.0323591 +19 *2075:8 *2100:8 0.0064004 +20 *2099:16 *2100:8 0.0137166 +*RES +1 *2450:pad_gpio_outenb *2100:7 2.39032 +2 *2100:7 *2100:8 64.8607 +3 *2100:8 *2474:mprj_io_oeb[9] 6.8039 +*END + +*D_NET *2101 0.0138606 +*CONN +*I *2474:mprj_io_out[0] I *D chip_io_alt +*I *2444:pad_gpio_out O *D gpio_control_block +*CAP +1 *2474:mprj_io_out[0] 0.000413095 +2 *2444:pad_gpio_out 0.000346549 +3 *2101:14 0.00138077 +4 *2101:13 0.00131423 +5 *2474:mprj_io_out[0] *2155:23 0 +6 *2101:13 *2128:13 0.000124349 +7 *2101:14 *2155:19 0.00214202 +8 *2101:14 *2155:23 0.00260666 +9 *2474:mprj_io_holdover[0] *2474:mprj_io_out[0] 0.0006713 +10 *1831:19 *2101:14 0.00108938 +11 *1831:20 *2101:14 0.00283599 +12 *1880:10 *2101:14 0 +13 *1939:10 *2101:14 0 +14 *1966:14 *2101:14 4.55455e-05 +15 *1993:21 *2101:14 0.000103433 +16 *1993:30 *2101:13 0 +17 *2047:13 *2101:13 0.000748829 +18 *2074:7 *2101:13 3.84497e-05 +19 *2074:8 *2101:13 0 +20 *2074:8 *2101:14 0 +*RES +1 *2444:pad_gpio_out *2101:13 24.0795 +2 *2101:13 *2101:14 81.1452 +3 *2101:14 *2474:mprj_io_out[0] 23.349 +*END + +*D_NET *2102 0.0730562 +*CONN +*I *2474:mprj_io_out[10] I *D chip_io_alt +*I *2451:pad_gpio_out O *D gpio_control_block +*CAP +1 *2474:mprj_io_out[10] 0.00330977 +2 *2451:pad_gpio_out 0.00216886 +3 *2102:10 0.00547864 +4 *2474:mprj_io_out[10] *2474:mprj_io_vtrip_sel[10] 1.83572e-05 +5 *2474:mprj_io_out[10] *2130:20 8.22832e-05 +6 *2474:mprj_io_out[10] *2181:8 4.8144e-05 +7 *2102:10 *2181:8 0.0130286 +8 *2474:mprj_io_holdover[10] *2474:mprj_io_out[10] 3.5534e-06 +9 *101:16 *2102:10 0.000582729 +10 *1877:14 *2102:10 0.000940813 +11 *1882:14 *2474:mprj_io_out[10] 0.000617419 +12 *1882:14 *2102:10 0.00170271 +13 *1885:14 *2474:mprj_io_out[10] 0.00170512 +14 *1886:8 *2474:mprj_io_out[10] 0.0131539 +15 *1940:10 *2102:10 0.00887763 +16 *1940:18 *2474:mprj_io_out[10] 0.0184682 +17 *1940:18 *2102:10 0.00195299 +18 *1967:13 *2102:10 0 +19 *1992:8 *2474:mprj_io_out[10] 6.55656e-05 +20 *2048:13 *2102:10 4.31122e-05 +21 *2048:14 *2474:mprj_io_out[10] 0.000563795 +22 *2075:7 *2102:10 0.000218037 +23 *2075:8 *2474:mprj_io_out[10] 0 +24 *2100:8 *2474:mprj_io_out[10] 2.59783e-05 +*RES +1 *2451:pad_gpio_out *2102:10 28.0095 +2 *2102:10 *2474:mprj_io_out[10] 45.3622 +*END + +*D_NET *2103 0.0643364 +*CONN +*I *2474:mprj_io_out[11] I *D chip_io_alt +*I *2452:pad_gpio_out O *D gpio_control_block +*CAP +1 *2474:mprj_io_out[11] 0.00299553 +2 *2452:pad_gpio_out 0.00557996 +3 *2103:18 0.0085755 +4 *2474:mprj_io_out[11] *2323:11 0.000128423 +5 *2103:18 *2474:mprj_io_vtrip_sel[10] 0.00642419 +6 *2103:18 *2130:18 0.000266098 +7 *2103:18 *2130:20 0.000463618 +8 *2103:18 *2130:24 0.000479242 +9 *2103:18 *2156:17 0.00358265 +10 *2103:18 *2322:13 0.000123379 +11 *2474:mprj_io_analog_sel[11] *2474:mprj_io_out[11] 0.00787088 +12 *2474:mprj_io_holdover[11] *2474:mprj_io_out[11] 9.56772e-06 +13 *101:22 *2103:18 0.000106514 +14 *1806:14 *2103:18 0.000523547 +15 *1806:18 *2474:mprj_io_out[11] 0.00091007 +16 *1807:19 *2474:mprj_io_out[11] 0 +17 *1833:20 *2474:mprj_io_out[11] 0.000393304 +18 *1833:20 *2103:18 0.00759354 +19 *1886:8 *2474:mprj_io_out[11] 0.00024345 +20 *1886:8 *2103:18 0.000566432 +21 *1889:12 *2474:mprj_io_out[11] 0.000474719 +22 *1889:18 *2474:mprj_io_out[11] 0.000156962 +23 *1940:18 *2103:18 0.00200001 +24 *1942:14 *2474:mprj_io_out[11] 0.000220988 +25 *1968:14 *2103:18 0.000202954 +26 *1994:11 *2103:18 0.00011174 +27 *1996:32 *2474:mprj_io_out[11] 0.000599172 +28 *1996:35 *2474:mprj_io_out[11] 0.000243056 +29 *2021:7 *2103:18 0.000249362 +30 *2049:13 *2103:18 2.89493e-05 +31 *2050:14 *2474:mprj_io_out[11] 0.000507909 +32 *2050:18 *2474:mprj_io_out[11] 0.000459935 +33 *2076:13 *2103:18 2.15596e-05 +34 *2076:14 *2103:18 0.000307033 +35 *2077:10 *2474:mprj_io_out[11] 0.00778354 +36 *2077:15 *2474:mprj_io_out[11] 0.00413264 +*RES +1 *2452:pad_gpio_out *2103:18 38.7876 +2 *2103:18 *2474:mprj_io_out[11] 32.4635 +*END + +*D_NET *2104 0.0634798 +*CONN +*I *2474:mprj_io_out[12] I *D chip_io_alt +*I *2453:pad_gpio_out O *D gpio_control_block +*CAP +1 *2474:mprj_io_out[12] 0.000489484 +2 *2453:pad_gpio_out 0.000799497 +3 *2104:14 0.00591542 +4 *2104:13 0.00622543 +5 *2104:14 *2324:13 0 +6 *2474:mprj_io_holdover[12] *2474:mprj_io_out[12] 0 +7 *102:60 *2104:13 0 +8 *502:22 *2104:14 0 +9 *1780:18 *2104:14 0.0127501 +10 *1807:20 *2104:14 0 +11 *1834:14 *2104:14 0.0264855 +12 *1884:14 *2104:14 0.00859136 +13 *1887:14 *2104:14 0 +14 *1941:8 *2104:14 0.00138607 +15 *1968:29 *2104:14 0.000477845 +16 *2050:13 *2104:13 0.000315959 +17 *2077:10 *2104:13 4.31122e-05 +*RES +1 *2453:pad_gpio_out *2104:13 31.15 +2 *2104:13 *2104:14 447.398 +3 *2104:14 *2474:mprj_io_out[12] 22.1289 +*END + +*D_NET *2105 0.0183945 +*CONN +*I *2474:mprj_io_out[13] I *D chip_io_alt +*I *2454:pad_gpio_out O *D gpio_control_block +*CAP +1 *2474:mprj_io_out[13] 0.000570536 +2 *2454:pad_gpio_out 2.68684e-05 +3 *2105:22 2.68684e-05 +4 *2105:10 0.000744737 +5 *2105:5 0.000930435 +6 *2105:4 0.000756235 +7 *2474:mprj_io_out[13] *2474:mprj_io_vtrip_sel[13] 0.00041084 +8 *2474:mprj_io_holdover[13] *2474:mprj_io_out[13] 0.00205535 +9 *2474:mprj_io_ib_mode_sel[13] *2474:mprj_io_out[13] 0.00114231 +10 *1835:8 *2105:5 0.00294341 +11 *1835:13 *2105:10 0.00222312 +12 *1943:8 *2105:5 0.00359925 +13 *1943:13 *2105:10 0.00227622 +14 *1970:5 *2105:5 0.000375891 +15 *1997:19 *2474:mprj_io_out[13] 1.2693e-05 +16 *2078:5 *2105:5 0.000299733 +*RES +1 *2454:pad_gpio_out *2105:4 3.36879 +2 *2105:4 *2105:5 67.6495 +3 *2105:5 *2105:10 33.0167 +4 *2105:10 *2474:mprj_io_out[13] 38.4475 +5 *2454:pad_gpio_out *2105:22 0.0631875 +*END + +*D_NET *2106 0.0189466 +*CONN +*I *2474:mprj_io_out[14] I *D chip_io_alt +*I *2461:pad_gpio_out O *D gpio_control_block +*CAP +1 *2474:mprj_io_out[14] 6.48164e-05 +2 *2461:pad_gpio_out 0.000224383 +3 *2106:21 0.0012153 +4 *2106:17 0.001696 +5 *2106:8 0.00127312 +6 *2106:7 0.000951993 +7 *2106:8 *2133:8 0.0047907 +8 *2106:17 *2326:13 0 +9 *2106:21 *2160:8 0 +10 *2106:21 *2326:13 0 +11 *2474:mprj_io_dm[42] *2106:17 0 +12 *2474:mprj_io_dm[43] *2106:17 0 +13 *2474:mprj_io_holdover[14] *2106:21 0 +14 *2474:mprj_io_inp_dis[14] *2106:17 4.58003e-05 +15 *2474:mprj_io_oeb[14] *2474:mprj_io_out[14] 0.000171064 +16 *485:17 *2106:17 1.14755e-05 +17 *485:17 *2106:21 0.00113432 +18 *485:23 *2106:21 0.000544925 +19 *1836:14 *2106:21 0 +20 *1895:8 *2106:8 1.2366e-05 +21 *1895:8 *2106:17 0.000905728 +22 *2052:7 *2106:7 0.000198219 +23 *2052:8 *2106:8 0.00468484 +24 *2052:8 *2106:17 0.000777162 +25 *2079:7 *2106:7 0.000198219 +26 *2079:8 *2106:8 4.61488e-05 +*RES +1 *2461:pad_gpio_out *2106:7 4.50284 +2 *2106:7 *2106:8 78.2384 +3 *2106:8 *2106:17 37.5503 +4 *2106:17 *2106:21 46.2341 +5 *2106:21 *2474:mprj_io_out[14] 7.28463 +*END + +*D_NET *2107 0.0161343 +*CONN +*I *2474:mprj_io_out[15] I *D chip_io_alt +*I *2462:pad_gpio_out O *D gpio_control_block +*CAP +1 *2474:mprj_io_out[15] 4.25268e-05 +2 *2462:pad_gpio_out 0.000226668 +3 *2107:14 0.00137612 +4 *2107:13 0.00152421 +5 *2107:8 0.00239727 +6 *2107:7 0.00243333 +7 *2107:8 *2134:8 0 +8 *2107:14 *2327:11 0.000925194 +9 *2474:mprj_io_analog_en[15] *2107:14 0.000162254 +10 *2474:mprj_io_dm[45] *2107:14 0 +11 *2474:mprj_io_dm[47] *2107:14 0.00114845 +12 *2474:mprj_io_holdover[15] *2474:mprj_io_out[15] 0 +13 *2474:mprj_io_holdover[15] *2107:14 0.000202472 +14 *2474:mprj_io_inp_dis[15] *2107:14 0.000648497 +15 *471:16 *2107:8 0 +16 *489:14 *2107:14 0 +17 *1783:18 *2107:8 0 +18 *1783:18 *2107:14 0 +19 *1837:8 *2107:8 0 +20 *1898:8 *2107:8 0.00456132 +21 *2026:9 *2107:14 2.37478e-05 +22 *2053:7 *2107:7 0.000200597 +23 *2053:8 *2107:8 0 +24 *2080:7 *2107:7 0.000261617 +*RES +1 *2462:pad_gpio_out *2107:7 4.73231 +2 *2107:7 *2107:8 88.4121 +3 *2107:8 *2107:13 13.6056 +4 *2107:13 *2107:14 55.6072 +5 *2107:14 *2474:mprj_io_out[15] 1.20912 +*END + +*D_NET *2108 0.0211841 +*CONN +*I *2474:mprj_io_out[16] I *D chip_io_alt +*I *2463:pad_gpio_out O *D gpio_control_block +*CAP +1 *2474:mprj_io_out[16] 0.000371909 +2 *2463:pad_gpio_out 0.000364258 +3 *2108:16 0.00138715 +4 *2108:13 0.00117921 +5 *2108:8 0.00102377 +6 *2108:7 0.00122406 +7 *2108:8 *2328:19 7.77309e-06 +8 *2474:mprj_io_holdover[16] *2474:mprj_io_out[16] 0 +9 *2474:mprj_io_ib_mode_sel[16] *2474:mprj_io_out[16] 0 +10 *472:8 *2108:8 0.00022036 +11 *518:8 *2108:16 0 +12 *1838:14 *2108:16 0 +13 *1903:16 *2108:16 9.66387e-05 +14 *1946:17 *2108:16 0.00147144 +15 *1973:8 *2108:8 0.00556391 +16 *2027:9 *2474:mprj_io_out[16] 0.000189119 +17 *2027:9 *2108:16 0 +18 *2054:7 *2108:7 0.000381948 +19 *2054:8 *2108:8 0.00584265 +20 *2054:20 *2108:13 0.000251669 +21 *2054:20 *2108:16 0.00116545 +22 *2081:7 *2108:7 0.000372352 +23 *2081:8 *2108:8 6.80434e-05 +24 *2081:19 *2108:13 2.41274e-06 +*RES +1 *2463:pad_gpio_out *2108:7 5.49721 +2 *2108:7 *2108:8 96.7171 +3 *2108:8 *2108:13 13.051 +4 *2108:13 *2108:16 49.5182 +5 *2108:16 *2474:mprj_io_out[16] 16.1921 +*END + +*D_NET *2109 0.0188511 +*CONN +*I *2474:mprj_io_out[17] I *D chip_io_alt +*I *2464:pad_gpio_out O *D gpio_control_block +*CAP +1 *2474:mprj_io_out[17] 0.00054924 +2 *2464:pad_gpio_out 0.000379058 +3 *2109:18 0.00167381 +4 *2109:16 0.00140891 +5 *2109:8 0.00119699 +6 *2109:7 0.0012917 +7 *2474:mprj_io_out[17] *2312:10 0 +8 *2109:8 *2163:8 0.00137969 +9 *2109:16 *2163:13 5.04829e-06 +10 *519:10 *2109:18 0.00442689 +11 *519:21 *2109:8 0.000159821 +12 *1812:16 *2109:16 0.00041783 +13 *1812:23 *2109:16 2.01595e-05 +14 *1812:23 *2109:18 0.00123416 +15 *1839:36 *2109:8 0.00101784 +16 *1905:8 *2109:8 0.00135784 +17 *1947:8 *2109:8 9.97028e-05 +18 *1947:17 *2109:18 0.000591666 +19 *1947:23 *2474:mprj_io_out[17] 0.000130106 +20 *1974:7 *2109:7 0 +21 *1974:24 *2474:mprj_io_out[17] 8.1645e-05 +22 *2055:7 *2109:7 6.89476e-05 +23 *2082:10 *2109:7 0.000305698 +24 *2082:10 *2109:8 0.000579049 +25 *2082:12 *2109:8 0 +26 *2082:12 *2109:16 0.000462932 +27 *2082:12 *2109:18 1.23804e-05 +*RES +1 *2464:pad_gpio_out *2109:7 5.03827 +2 *2109:7 *2109:8 62.6664 +3 *2109:8 *2109:16 20.6671 +4 *2109:16 *2109:18 71.802 +5 *2109:18 *2474:mprj_io_out[17] 23.6001 +*END + +*D_NET *2110 0.0228229 +*CONN +*I *2474:mprj_io_out[18] I *D chip_io_alt +*I *2465:pad_gpio_out O *D gpio_control_block +*CAP +1 *2474:mprj_io_out[18] 0.000320828 +2 *2465:pad_gpio_out 0.000279965 +3 *2110:16 0.00109535 +4 *2110:15 0.000867727 +5 *2110:10 0.000757388 +6 *2110:9 0.000944149 +7 *2110:10 *2164:10 0.00306955 +8 *2474:mprj_io_ib_mode_sel[18] *2474:mprj_io_out[18] 0.000459083 +9 *2474:mprj_io_inp_dis[18] *2110:16 0.000262462 +10 *2474:mprj_io_oeb[18] *2474:mprj_io_out[18] 1.00846e-05 +11 *474:14 *2110:10 0 +12 *474:14 *2110:16 1.32509e-05 +13 *520:16 *2110:16 0.00573938 +14 *1840:13 *2110:15 0.000298318 +15 *1908:10 *2110:10 0.00214383 +16 *1975:19 *2110:15 0.000298304 +17 *1975:22 *2110:16 0.00565346 +18 *2029:10 *2474:mprj_io_out[18] 4.96363e-05 +19 *2056:9 *2110:9 0.000276188 +20 *2056:10 *2110:16 7.77309e-06 +21 *2083:9 *2110:9 0.000276188 +*RES +1 *2465:pad_gpio_out *2110:9 5.02829 +2 *2110:9 *2110:10 49.7936 +3 *2110:10 *2110:15 13.051 +4 *2110:15 *2110:16 91.9417 +5 *2110:16 *2474:mprj_io_out[18] 20.6905 +*END + +*D_NET *2111 0.0222428 +*CONN +*I *2474:mprj_io_out[19] I *D chip_io_alt +*I *2466:pad_gpio_out O *D gpio_control_block +*CAP +1 *2474:mprj_io_out[19] 0.000418993 +2 *2466:pad_gpio_out 0.000447507 +3 *2111:14 0.000941728 +4 *2111:13 0.000719796 +5 *2111:8 0.00101514 +6 *2111:7 0.00126559 +7 *2111:7 *2165:13 0 +8 *2111:8 *2165:13 0.00121359 +9 *2111:14 *2165:28 0.000172797 +10 *2474:mprj_io_holdover[19] *2474:mprj_io_out[19] 0 +11 *521:14 *2111:8 0.00593399 +12 *1841:8 *2111:8 0.00470439 +13 *1912:14 *2111:14 0.00250819 +14 *1949:17 *2111:14 0.00168129 +15 *2030:15 *2474:mprj_io_out[19] 0.000189119 +16 *2057:13 *2111:7 0.000284115 +17 *2084:7 *2111:7 0.000345135 +18 *2084:8 *2111:14 0.00040138 +*RES +1 *2466:pad_gpio_out *2111:7 5.49721 +2 *2111:7 *2111:8 95.4714 +3 *2111:8 *2111:13 12.4964 +4 *2111:13 *2111:14 46.264 +5 *2111:14 *2474:mprj_io_out[19] 21.2467 +*END + +*D_NET *2112 0.0140162 +*CONN +*I *2474:mprj_io_out[1] I *D chip_io_alt +*I *2445:pad_gpio_out O *D gpio_control_block +*CAP +1 *2474:mprj_io_out[1] 0.000298651 +2 *2445:pad_gpio_out 0.000520125 +3 *2112:14 0.00120183 +4 *2112:13 0.00142331 +5 *2112:14 *2166:14 0.00451942 +6 *2445:pad_gpio_in *2112:13 0.000880967 +7 *2474:mprj_io_dm[5] *2474:mprj_io_out[1] 9.4338e-05 +8 *467:55 *2112:14 0 +9 *485:53 *2112:13 6.19102e-05 +10 *1842:16 *2112:14 1.2366e-05 +11 *1842:18 *2112:14 0.0024272 +12 *1913:19 *2112:14 0.00207048 +13 *1913:23 *2474:mprj_io_out[1] 7.47435e-06 +14 *1913:23 *2112:14 0.000237709 +15 *1950:13 *2112:13 1.92172e-05 +16 *2004:11 *2112:14 4.46284e-05 +17 *2058:13 *2112:13 0.000158111 +18 *2085:7 *2112:13 3.84497e-05 +*RES +1 *2445:pad_gpio_out *2112:13 29.4778 +2 *2112:13 *2112:14 82.8062 +3 *2112:14 *2474:mprj_io_out[1] 16.2509 +*END + +*D_NET *2113 0.0216025 +*CONN +*I *2474:mprj_io_out[20] I *D chip_io_alt +*I *2467:pad_gpio_out O *D gpio_control_block +*CAP +1 *2474:mprj_io_out[20] 0.000297584 +2 *2467:pad_gpio_out 0.00232479 +3 *2113:16 0.00262237 +4 *2113:16 *2167:19 0.000297056 +5 *2113:16 *2297:12 0.000169016 +6 *2113:16 *2315:13 0.000137648 +7 *2474:mprj_io_ib_mode_sel[20] *2474:mprj_io_out[20] 0.000107864 +8 *2474:mprj_io_ib_mode_sel[20] *2113:16 0.00783784 +9 *2474:mprj_io_oeb[20] *2113:16 0.000484305 +10 *474:48 *2113:16 0 +11 *522:32 *2113:16 0.00119378 +12 *1843:16 *2113:16 0 +13 *1916:18 *2113:16 0.000158917 +14 *2059:14 *2113:16 0.00587008 +15 *2086:13 *2113:16 0.000101205 +*RES +1 *2467:pad_gpio_out *2113:16 48.6317 +2 *2113:16 *2474:mprj_io_out[20] 11.9919 +*END + +*D_NET *2114 0.0228729 +*CONN +*I *2474:mprj_io_out[21] I *D chip_io_alt +*I *2468:pad_gpio_out O *D gpio_control_block +*CAP +1 *2474:mprj_io_out[21] 0.000356904 +2 *2468:pad_gpio_out 0.000355109 +3 *2114:8 0.00163769 +4 *2114:7 0.00163589 +5 *2474:mprj_io_out[21] *2316:14 0.000296309 +6 *2114:7 *2168:7 0 +7 *2114:8 *2168:8 0.00850005 +8 *2474:mprj_io_holdover[21] *2474:mprj_io_out[21] 0.000258162 +9 *2474:mprj_io_oeb[21] *2474:mprj_io_out[21] 0.000462932 +10 *494:20 *2114:8 0.00111099 +11 *523:8 *2114:8 0.000678167 +12 *2060:7 *2114:7 0.00032094 +13 *2060:8 *2114:8 0.00707465 +14 *2087:7 *2114:7 0.000185083 +*RES +1 *2468:pad_gpio_out *2114:7 5.11476 +2 *2114:7 *2114:8 136.997 +3 *2114:8 *2474:mprj_io_out[21] 25.0257 +*END + +*D_NET *2115 0.0170668 +*CONN +*I *2474:mprj_io_out[22] I *D chip_io_alt +*I *2469:pad_gpio_out O *D gpio_control_block +*CAP +1 *2474:mprj_io_out[22] 0.000884466 +2 *2469:pad_gpio_out 0.000290813 +3 *2115:8 0.003795 +4 *2115:7 0.00320134 +5 *2474:mprj_io_out[22] *2474:mprj_io_vtrip_sel[22] 1.1573e-05 +6 *2474:mprj_io_out[22] *2169:16 0 +7 *2474:mprj_io_out[22] *2299:21 7.61489e-05 +8 *2474:mprj_io_out[22] *2317:12 0.000140955 +9 *2474:mprj_io_out[22] *2317:14 0 +10 *2115:8 *2142:8 0 +11 *2115:8 *2142:14 0 +12 *2115:8 *2169:15 0 +13 *2115:8 *2169:16 0.000233149 +14 *2115:8 *2299:21 0 +15 *2115:8 *2317:12 0 +16 *2474:mprj_io_analog_sel[22] *2474:mprj_io_out[22] 0 +17 *2474:mprj_io_holdover[22] *2474:mprj_io_out[22] 0.000509942 +18 *477:26 *2474:mprj_io_out[22] 0.00015305 +19 *478:10 *2115:8 0.000989926 +20 *1791:19 *2115:8 0 +21 *1818:16 *2115:8 1.72799e-05 +22 *1921:8 *2115:8 0.00504539 +23 *1922:33 *2115:8 0.000908573 +24 *2061:14 *2115:7 0.000226364 +25 *2061:14 *2115:8 0.000356446 +26 *2088:10 *2115:7 0.000226364 +*RES +1 *2469:pad_gpio_out *2115:7 4.73231 +2 *2115:7 *2115:8 122.463 +3 *2115:8 *2474:mprj_io_out[22] 38.5524 +*END + +*D_NET *2116 0.0206276 +*CONN +*I *2474:mprj_io_out[23] I *D chip_io_alt +*I *2470:pad_gpio_out O *D gpio_control_block +*CAP +1 *2474:mprj_io_out[23] 0.00217253 +2 *2470:pad_gpio_out 0.00217253 +3 *2474:mprj_io_out[23] *2474:mprj_io_vtrip_sel[23] 0.00598936 +4 *2474:mprj_io_ib_mode_sel[23] *2474:mprj_io_out[23] 0.00927152 +5 *2474:mprj_io_oeb[23] *2474:mprj_io_out[23] 0.000334336 +6 *110:87 *2474:mprj_io_out[23] 6.88661e-06 +7 *477:26 *2474:mprj_io_out[23] 0.000356237 +8 *1925:8 *2474:mprj_io_out[23] 0.000261286 +9 *2062:7 *2474:mprj_io_out[23] 6.29293e-05 +*RES +1 *2470:pad_gpio_out *2474:mprj_io_out[23] 26.8675 +*END + +*D_NET *2117 0.0216268 +*CONN +*I *2474:mprj_io_out[24] I *D chip_io_alt +*I *2446:pad_gpio_out O *D gpio_control_block +*CAP +1 *2474:mprj_io_out[24] 0.000770923 +2 *2446:pad_gpio_out 0.000564153 +3 *2117:12 0.00212284 +4 *2117:10 0.00191607 +5 *2117:10 *2144:7 0 +6 *2117:10 *2144:8 0.000106672 +7 *2117:12 *2144:8 0.00174776 +8 *2474:mprj_io_holdover[24] *2474:mprj_io_out[24] 0.00201554 +9 *2474:mprj_io_ib_mode_sel[24] *2474:mprj_io_out[24] 0.00136798 +10 *2474:mprj_io_inp_dis[24] *2474:mprj_io_out[24] 6.04131e-05 +11 *486:44 *2474:mprj_io_out[24] 0.000184273 +12 *494:20 *2117:12 0.000649082 +13 *514:8 *2117:12 0.00336386 +14 *1847:16 *2117:12 0.000206886 +15 *1982:7 *2117:10 0 +16 *1982:8 *2117:12 0.00602476 +17 *1982:19 *2474:mprj_io_out[24] 0 +18 *2063:7 *2117:10 0.000280042 +19 *2090:7 *2117:10 0.00024557 +*RES +1 *2446:pad_gpio_out *2117:10 7.85696 +2 *2117:10 *2117:12 113.303 +3 *2117:12 *2474:mprj_io_out[24] 48.6978 +*END + +*D_NET *2118 0.0193089 +*CONN +*I *2474:mprj_io_out[25] I *D chip_io_alt +*I *2447:pad_gpio_out O *D gpio_control_block +*CAP +1 *2474:mprj_io_out[25] 0.000492911 +2 *2447:pad_gpio_out 0.00130721 +3 *2118:22 0.00135406 +4 *2118:21 0.00106942 +5 *2118:8 0.00151548 +6 *2118:8 *2145:7 0 +7 *2118:8 *2145:8 0 +8 *2118:8 *2172:8 0 +9 *2118:21 *2172:13 5.04829e-06 +10 *2118:22 *2145:8 0.00237373 +11 *2448:serial_load *2118:8 0.000168181 +12 *2474:mprj_io_dm[77] *2474:mprj_io_out[25] 0.000351956 +13 *2474:mprj_io_holdover[25] *2474:mprj_io_out[25] 0.00019711 +14 *2474:mprj_io_oeb[25] *2118:8 4.79986e-05 +15 *515:8 *2474:mprj_io_out[25] 0.000661137 +16 *1572:16 *2118:21 0.000111722 +17 *1794:16 *2118:8 0.000514271 +18 *1794:16 *2118:21 0.000323277 +19 *1848:15 *2118:21 5.04829e-06 +20 *1930:10 *2118:8 0.000710539 +21 *1931:8 *2118:8 0 +22 *1956:11 *2118:21 5.04829e-06 +23 *1956:14 *2118:22 0.00148018 +24 *1983:8 *2118:22 0.00541603 +25 *2064:7 *2118:8 0.000255442 +26 *2064:8 *2118:8 0.000623406 +27 *2064:8 *2118:21 0.000319694 +*RES +1 *2447:pad_gpio_out *2118:8 46.3739 +2 *2118:8 *2118:21 26.8433 +3 *2118:21 *2118:22 86.5434 +4 *2118:22 *2474:mprj_io_out[25] 29.4497 +*END + +*D_NET *2119 0.0244648 +*CONN +*I *2474:mprj_io_out[26] I *D chip_io_alt +*I *2448:pad_gpio_out O *D gpio_control_block +*CAP +1 *2474:mprj_io_out[26] 0.00087901 +2 *2448:pad_gpio_out 0.00039797 +3 *2119:16 0.00193216 +4 *2119:15 0.00184713 +5 *2119:12 0.00119195 +6 *2474:mprj_io_out[26] *2474:mprj_io_vtrip_sel[26] 0.000182583 +7 *2119:12 *2146:10 7.61581e-05 +8 *2474:mprj_io_dm[80] *2474:mprj_io_out[26] 0.000909311 +9 *2474:mprj_io_holdover[26] *2474:mprj_io_out[26] 0.000215165 +10 *1936:16 *2119:16 0.00448345 +11 *1957:15 *2119:15 0 +12 *1957:16 *2119:16 0.00585373 +13 *2065:12 *2119:12 0.00158808 +14 *2065:15 *2119:15 0.00294505 +15 *2092:15 *2119:12 0.00171627 +16 *2092:15 *2119:15 0.000246747 +*RES +1 *2448:pad_gpio_out *2119:12 35.9933 +2 *2119:12 *2119:15 37.3904 +3 *2119:15 *2119:16 93.6027 +4 *2119:16 *2474:mprj_io_out[26] 39.9198 +*END + +*D_NET *2120 0.0146108 +*CONN +*I *2474:mprj_io_out[2] I *D chip_io_alt +*I *2455:pad_gpio_out O *D gpio_control_block +*CAP +1 *2474:mprj_io_out[2] 0.000338159 +2 *2455:pad_gpio_out 0.000616867 +3 *2120:14 0.00113042 +4 *2120:13 0.00140913 +5 *2120:14 *2174:14 0.000221531 +6 *100:77 *2120:13 0.000266832 +7 *104:40 *2120:13 0 +8 *104:50 *2120:14 0.0010439 +9 *471:40 *2474:mprj_io_out[2] 0.000211282 +10 *1958:14 *2120:14 0.00481341 +11 *1985:14 *2120:14 2.01595e-05 +12 *2066:13 *2120:13 0.000306624 +13 *2093:13 *2120:13 3.84497e-05 +14 *2093:14 *2120:14 0.00419398 +*RES +1 *2455:pad_gpio_out *2120:13 28.0954 +2 *2120:13 *2120:14 85.2977 +3 *2120:14 *2474:mprj_io_out[2] 17.0315 +*END + +*D_NET *2121 0.0124262 +*CONN +*I *2474:mprj_io_out[3] I *D chip_io_alt +*I *2456:pad_gpio_out O *D gpio_control_block +*CAP +1 *2474:mprj_io_out[3] 0.00048502 +2 *2456:pad_gpio_out 0.000402759 +3 *2121:14 0.00189146 +4 *2121:13 0.0018092 +5 *2474:mprj_io_out[3] *2474:mprj_io_vtrip_sel[3] 0.00058327 +6 *2121:13 *2148:15 0.000171753 +7 *2121:14 *2175:14 0 +8 *2455:resetn_out *2474:mprj_io_out[3] 0.000107678 +9 *2456:pad_gpio_in *2121:13 0 +10 *105:52 *2474:mprj_io_out[3] 5.481e-05 +11 *504:12 *2121:14 0 +12 *1797:19 *2121:14 0.00175222 +13 *1797:22 *2121:14 0.000471395 +14 *1824:17 *2121:14 0.00123731 +15 *1860:16 *2121:14 0.000535662 +16 *1860:23 *2121:14 0.000931774 +17 *1959:18 *2121:14 8.92568e-06 +18 *2013:13 *2121:14 0 +19 *2040:19 *2474:mprj_io_out[3] 0.000879367 +20 *2067:15 *2121:13 0.00094554 +21 *2094:7 *2121:13 3.27908e-05 +22 *2094:8 *2121:13 0.000125219 +*RES +1 *2456:pad_gpio_out *2121:13 26.7131 +2 *2121:13 *2121:14 76.9926 +3 *2121:14 *2474:mprj_io_out[3] 28.8895 +*END + +*D_NET *2122 0.0127039 +*CONN +*I *2474:mprj_io_out[4] I *D chip_io_alt +*I *2457:pad_gpio_out O *D gpio_control_block +*CAP +1 *2474:mprj_io_out[4] 0.000509136 +2 *2457:pad_gpio_out 0.000685017 +3 *2122:14 0.00166959 +4 *2122:13 0.00184547 +5 *2122:14 *2176:14 7.26852e-05 +6 *2474:mprj_io_holdover[4] *2474:mprj_io_out[4] 0 +7 *110:98 *2122:14 0.000139567 +8 *493:51 *2122:14 0.000691809 +9 *1825:16 *2122:14 0.00181483 +10 *1861:16 *2122:14 0 +11 *1862:16 *2122:14 0 +12 *1960:14 *2474:mprj_io_out[4] 0.0006713 +13 *1987:13 *2122:13 0 +14 *1987:14 *2122:14 0.00427274 +15 *2014:30 *2474:mprj_io_out[4] 5.57839e-05 +16 *2014:31 *2122:13 0 +17 *2068:15 *2122:13 0.000237476 +18 *2068:16 *2122:14 0 +19 *2095:7 *2122:13 3.84497e-05 +20 *2095:8 *2122:13 0 +*RES +1 *2457:pad_gpio_out *2122:13 29.2047 +2 *2122:13 *2122:14 77.8232 +3 *2122:14 *2474:mprj_io_out[4] 25.5674 +*END + +*D_NET *2123 0.0149833 +*CONN +*I *2474:mprj_io_out[5] I *D chip_io_alt +*I *2458:pad_gpio_out O *D gpio_control_block +*CAP +1 *2474:mprj_io_out[5] 0.000295294 +2 *2458:pad_gpio_out 0.00066032 +3 *2123:14 0.00101771 +4 *2123:13 0.00138273 +5 *2123:13 *2150:8 0 +6 *108:49 *2123:14 0.000789249 +7 *110:98 *2123:14 0.00514303 +8 *495:64 *2123:14 0.00513585 +9 *1961:14 *2474:mprj_io_out[5] 6.55232e-05 +10 *2069:13 *2123:13 0.000455137 +11 *2096:13 *2123:13 3.84497e-05 +*RES +1 *2458:pad_gpio_out *2123:13 29.4778 +2 *2123:13 *2123:14 82.8062 +3 *2123:14 *2474:mprj_io_out[5] 16.2509 +*END + +*D_NET *2124 0.016051 +*CONN +*I *2474:mprj_io_out[6] I *D chip_io_alt +*I *2459:pad_gpio_out O *D gpio_control_block +*CAP +1 *2474:mprj_io_out[6] 0.000342223 +2 *2459:pad_gpio_out 0.000372087 +3 *2124:14 0.00110114 +4 *2124:13 0.001131 +5 *2124:13 *2151:15 0.000985982 +6 *2124:14 *2178:14 1.87125e-05 +7 *2459:pad_gpio_in *2124:13 0 +8 *2459:resetn *2124:14 0.000836744 +9 *110:98 *2124:14 0.0054535 +10 *507:18 *2474:mprj_io_out[6] 0.000223156 +11 *2043:13 *2124:14 0.0010388 +12 *2070:13 *2124:13 7.43144e-05 +13 *2070:14 *2124:14 0.00437841 +14 *2097:7 *2124:13 3.27908e-05 +15 *2097:8 *2124:13 6.21773e-05 +*RES +1 *2459:pad_gpio_out *2124:13 25.1887 +2 *2124:13 *2124:14 87.7892 +3 *2124:14 *2474:mprj_io_out[6] 17.2208 +*END + +*D_NET *2125 0.0613471 +*CONN +*I *2474:mprj_io_out[7] I *D chip_io_alt +*I *2460:pad_gpio_out O *D gpio_control_block +*CAP +1 *2474:mprj_io_out[7] 0.000181331 +2 *2460:pad_gpio_out 0.000538118 +3 *2125:20 0.00103074 +4 *2125:19 0.00093109 +5 *2125:14 0.00351017 +6 *2125:13 0.00374475 +7 *2125:8 0.000854386 +8 *2474:mprj_io_out[7] *2153:16 2.2746e-05 +9 *2474:mprj_io_out[7] *2153:20 0 +10 *2125:8 *2460:user_gpio_out 0.000262806 +11 *2125:8 *2353:10 1.75925e-05 +12 *2125:14 *2179:14 0.00198756 +13 *2125:14 *2180:14 0.000437916 +14 *2125:20 *2126:16 0.000712721 +15 *2125:20 *2153:16 0.000373541 +16 *2125:20 *2311:13 0.0015418 +17 *477:44 *2125:8 0.000681442 +18 *495:70 *2125:8 0.000674071 +19 *495:85 *2125:8 0.00105467 +20 *495:87 *2125:8 0.000431804 +21 *1871:18 *2125:20 0.00312553 +22 *1872:14 *2125:14 0.00680143 +23 *1875:14 *2125:14 0.00577452 +24 *1963:8 *2125:8 5.65317e-05 +25 *1963:13 *2125:19 5.481e-05 +26 *2017:13 *2125:14 0.0186026 +27 *2071:7 *2125:8 7.36223e-05 +28 *2071:16 *2125:14 0.000137357 +29 *2071:16 *2125:19 3.24705e-06 +30 *2072:18 *2125:14 0.00047356 +31 *2072:18 *2125:20 0.00545238 +32 *2098:13 *2125:8 0.00180224 +*RES +1 *2460:pad_gpio_out *2125:8 46.4723 +2 *2125:8 *2125:13 15.824 +3 *2125:13 *2125:14 316.178 +4 *2125:14 *2125:19 10.8326 +5 *2125:19 *2125:20 88.2045 +6 *2125:20 *2474:mprj_io_out[7] 13.4807 +*END + +*D_NET *2126 0.0773209 +*CONN +*I *2474:mprj_io_out[8] I *D chip_io_alt +*I *2449:pad_gpio_out O *D gpio_control_block +*CAP +1 *2474:mprj_io_out[8] 0.000143353 +2 *2449:pad_gpio_out 0.00111943 +3 *2126:16 0.00488508 +4 *2126:15 0.00586116 +5 *2474:mprj_io_out[8] *2474:mprj_io_vtrip_sel[8] 0.00015927 +6 *2126:15 *2180:13 0.000258632 +7 *2126:15 *2354:12 6.75696e-05 +8 *2126:16 *2153:20 0.00052917 +9 *2126:16 *2154:14 0.00168841 +10 *2126:16 *2180:14 0.0303189 +11 *2126:16 *2311:13 0.000165067 +12 *2449:serial_clock *2126:15 2.25102e-05 +13 *2449:serial_clock_out *2126:15 0.00107825 +14 *2449:serial_data_in *2126:15 1.29018e-05 +15 *2450:serial_load *2126:16 0 +16 *2474:mprj_io_holdover[8] *2474:mprj_io_out[8] 1.19195e-05 +17 *1871:14 *2126:16 0.000518598 +18 *1871:18 *2126:16 0.000394625 +19 *1874:28 *2126:16 0.000475757 +20 *1964:10 *2126:15 0.000939016 +21 *1965:8 *2126:16 0.0131984 +22 *1990:8 *2126:16 0.0123083 +23 *2018:13 *2126:16 0.000138272 +24 *2019:11 *2126:16 0.000714515 +25 *2044:7 *2126:16 0.00026714 +26 *2071:8 *2126:16 0.000490766 +27 *2072:15 *2126:15 0.000841122 +28 *2099:15 *2126:15 0 +29 *2125:20 *2126:16 0.000712721 +*RES +1 *2449:pad_gpio_out *2126:15 25.9707 +2 *2126:15 *2126:16 60.5172 +3 *2126:16 *2474:mprj_io_out[8] 5.19565 +*END + +*D_NET *2127 0.0666775 +*CONN +*I *2474:mprj_io_out[9] I *D chip_io_alt +*I *2450:pad_gpio_out O *D gpio_control_block +*CAP +1 *2474:mprj_io_out[9] 0.000512751 +2 *2450:pad_gpio_out 0.000783855 +3 *2127:28 0.00120996 +4 *2127:23 0.00170398 +5 *2127:19 0.00117074 +6 *2127:14 0.00456777 +7 *2127:13 0.00518766 +8 *2127:13 *2154:13 0.000730106 +9 *2450:pad_gpio_in *2127:13 0.000268171 +10 *2450:serial_clock_out *2127:13 0.000272655 +11 *2474:mprj_io_dm[27] *2127:23 5.04829e-06 +12 *101:21 *2474:mprj_io_out[9] 0 +13 *101:22 *2474:mprj_io_out[9] 0.000171079 +14 *511:14 *2127:14 0 +15 *1778:16 *2127:14 0 +16 *1778:16 *2127:23 0.00242582 +17 *1830:22 *2127:14 0.000267587 +18 *1830:22 *2127:28 0.000137372 +19 *1857:14 *2127:14 0.0229467 +20 *1876:14 *2127:14 0.0101619 +21 *1877:19 *2127:19 5.04829e-06 +22 *1879:8 *2127:13 0 +23 *1881:14 *2127:14 0.00754048 +24 *1881:14 *2127:28 0.00202348 +25 *1992:7 *2127:13 0 +26 *1994:16 *2474:mprj_io_out[9] 0 +27 *2048:14 *2127:14 0 +28 *2048:14 *2127:28 0 +29 *2075:8 *2127:23 0.000706804 +30 *2099:16 *2127:14 0.00187663 +31 *2100:7 *2127:13 3.60838e-05 +32 *2100:8 *2127:14 0.00167697 +33 *2100:8 *2127:28 0.000288926 +*RES +1 *2450:pad_gpio_out *2127:13 34.8244 +2 *2127:13 *2127:14 373.898 +3 *2127:14 *2127:19 11.9418 +4 *2127:19 *2127:23 46.2313 +5 *2127:23 *2127:28 41.5607 +6 *2127:28 *2474:mprj_io_out[9] 17.4363 +*END + +*D_NET *2128 0.0177128 +*CONN +*I *2474:mprj_io_slow_sel[0] I *D chip_io_alt +*I *2444:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_slow_sel[0] 0.000832564 +2 *2444:pad_gpio_slow_sel 0.000726487 +3 *2128:14 0.00408043 +4 *2128:13 0.00397436 +5 *2128:13 *2155:13 0.000306624 +6 *2474:mprj_io_dm[1] *2474:mprj_io_slow_sel[0] 8.10016e-06 +7 *1555:20 *2128:14 0 +8 *1869:14 *2128:14 0.00711111 +9 *1993:17 *2474:mprj_io_slow_sel[0] 0 +10 *2047:13 *2128:13 0.000215101 +11 *2047:14 *2128:14 0.000285622 +12 *2074:7 *2128:13 4.80459e-05 +13 *2074:8 *2128:13 0 +14 *2101:13 *2128:13 0.000124349 +*RES +1 *2444:pad_gpio_slow_sel *2128:13 34.2726 +2 *2128:13 *2128:14 139.28 +3 *2128:14 *2474:mprj_io_slow_sel[0] 32.4714 +*END + +*D_NET *2129 0.0470263 +*CONN +*I *2474:mprj_io_slow_sel[10] I *D chip_io_alt +*I *2451:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_slow_sel[10] 0.000319325 +2 *2451:pad_gpio_slow_sel 0.000478738 +3 *2129:14 0.00623105 +4 *2129:13 0.00639046 +5 *2474:mprj_io_slow_sel[10] *2130:18 3.35026e-05 +6 *2474:mprj_io_slow_sel[10] *2130:20 0.000320784 +7 *2474:mprj_io_slow_sel[10] *2304:19 0 +8 *2129:13 *2156:17 3.17944e-05 +9 *2129:13 *2330:11 1.92172e-05 +10 *2129:14 *2130:18 0 +11 *2129:14 *2154:14 0.00628425 +12 *2129:14 *2156:17 0.000968228 +13 *2451:serial_clock *2129:13 0.000519205 +14 *2451:serial_data_in *2129:13 0.000557425 +15 *2474:mprj_io_dm[28] *2129:14 5.43416e-05 +16 *101:10 *2129:13 2.79829e-05 +17 *101:33 *2129:13 0.000778619 +18 *1878:14 *2129:14 0.00251945 +19 *1879:42 *2129:14 1.3813e-05 +20 *1879:44 *2129:14 0.00319977 +21 *1882:14 *2129:14 0 +22 *1885:14 *2129:14 9.4939e-05 +23 *1940:18 *2129:14 0.00210671 +24 *1968:14 *2129:14 0.00711454 +25 *1968:25 *2474:mprj_io_slow_sel[10] 5.04829e-06 +26 *1994:11 *2129:14 0.00294747 +27 *1994:20 *2129:14 0.00046924 +28 *1995:15 *2474:mprj_io_slow_sel[10] 4.46284e-06 +29 *1995:15 *2129:14 0.00345841 +30 *2073:52 *2129:14 0.00135608 +31 *2075:7 *2129:13 4.31122e-05 +32 *2076:25 *2474:mprj_io_slow_sel[10] 0.000678361 +*RES +1 *2451:pad_gpio_slow_sel *2129:13 33.4909 +2 *2129:13 *2129:14 368.292 +3 *2129:14 *2474:mprj_io_slow_sel[10] 24.7642 +*END + +*D_NET *2130 0.0461286 +*CONN +*I *2474:mprj_io_slow_sel[11] I *D chip_io_alt +*I *2452:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_slow_sel[11] 0.000280327 +2 *2452:pad_gpio_slow_sel 8.87297e-05 +3 *2130:32 0.00105962 +4 *2130:30 0.000810647 +5 *2130:28 0.000868557 +6 *2130:26 0.000884157 +7 *2130:24 0.00141481 +8 *2130:22 0.00139363 +9 *2130:20 0.00204938 +10 *2130:18 0.00280815 +11 *2130:15 0.00162332 +12 *2130:10 0.00228441 +13 *2130:9 0.00153437 +14 *2130:10 *2157:10 0.00452251 +15 *2130:10 *2331:12 0.000848949 +16 *2130:15 *2157:18 0.000579288 +17 *2130:20 *2474:mprj_io_vtrip_sel[10] 0.000273425 +18 *2130:20 *2304:19 0.000150242 +19 *2130:32 *2131:16 1.87125e-05 +20 *2130:32 *2158:10 0.000556072 +21 *2474:mprj_io_dm[32] *2130:20 0.00112596 +22 *2474:mprj_io_ib_mode_sel[10] *2130:20 0 +23 *2474:mprj_io_oeb[10] *2130:20 7.48753e-06 +24 *2474:mprj_io_out[10] *2130:20 8.22832e-05 +25 *2474:mprj_io_slow_sel[10] *2130:18 3.35026e-05 +26 *2474:mprj_io_slow_sel[10] *2130:20 0.000320784 +27 *487:12 *2130:10 0.000318589 +28 *1806:14 *2130:24 0 +29 *1806:18 *2130:24 9.89388e-06 +30 *1806:18 *2130:28 0.000338349 +31 *1806:26 *2130:32 0.00100412 +32 *1889:12 *2474:mprj_io_slow_sel[11] 0.000197125 +33 *1994:10 *2130:18 0.000197125 +34 *1995:11 *2130:28 1.6267e-05 +35 *1995:11 *2130:32 0.00370852 +36 *1995:13 *2130:30 6.67835e-06 +37 *1995:15 *2130:18 0 +38 *1995:15 *2130:20 0.00309151 +39 *1995:15 *2130:24 0.00106015 +40 *1995:15 *2130:28 0.00356722 +41 *2021:7 *2130:20 0 +42 *2021:7 *2130:24 0 +43 *2049:30 *2130:32 0.000658292 +44 *2050:14 *2130:28 0.00122198 +45 *2050:14 *2130:32 0.000179564 +46 *2050:18 *2130:32 0.00139586 +47 *2076:14 *2130:18 0.001907 +48 *2077:10 *2130:28 0.000422048 +49 *2103:18 *2130:18 0.000266098 +50 *2103:18 *2130:20 0.000463618 +51 *2103:18 *2130:24 0.000479242 +52 *2129:14 *2130:18 0 +*RES +1 *2452:pad_gpio_slow_sel *2130:9 3.59493 +2 *2130:9 *2130:10 75.5393 +3 *2130:10 *2130:15 31.9075 +4 *2130:15 *2130:18 34.356 +5 *2130:18 *2130:20 103.325 +6 *2130:20 *2130:22 0.732798 +7 *2130:22 *2130:24 50.734 +8 *2130:24 *2130:26 1.29461 +9 *2130:26 *2130:28 59.0635 +10 *2130:28 *2130:30 0.732798 +11 *2130:30 *2130:32 70.5562 +12 *2130:32 *2474:mprj_io_slow_sel[11] 16.2509 +*END + +*D_NET *2131 0.0453437 +*CONN +*I *2474:mprj_io_slow_sel[12] I *D chip_io_alt +*I *2453:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_slow_sel[12] 5.83195e-05 +2 *2453:pad_gpio_slow_sel 7.68969e-05 +3 *2131:22 0.00348547 +4 *2131:21 0.00358981 +5 *2131:16 0.00070479 +6 *2131:15 0.000849594 +7 *2131:10 0.00377385 +8 *2131:8 0.00354328 +9 *2474:mprj_io_slow_sel[12] *2306:9 0 +10 *2131:8 *2158:10 4.31122e-05 +11 *2131:10 *2453:user_gpio_oeb 8.01847e-05 +12 *2131:10 *2453:user_gpio_out 0 +13 *2131:10 *2332:10 5.46727e-05 +14 *2131:21 *2323:12 5.22351e-05 +15 *2131:22 *2306:9 0.000202487 +16 *2453:resetn *2131:10 6.75696e-05 +17 *2453:serial_data_in *2131:10 1.66771e-05 +18 *2453:serial_load *2131:10 1.66771e-05 +19 *102:60 *2131:10 4.3116e-06 +20 *103:15 *2131:10 0.000416687 +21 *470:11 *2131:10 4.15201e-05 +22 *488:11 *2131:10 1.2366e-05 +23 *502:13 *2131:10 1.66626e-05 +24 *1807:19 *2131:10 0.000460632 +25 *1833:20 *2131:10 0.000130395 +26 *1886:8 *2131:16 0.000387163 +27 *1888:14 *2131:16 0.00348866 +28 *1889:8 *2131:10 0 +29 *1889:12 *2131:10 0 +30 *1889:18 *2131:22 0.0114219 +31 *1889:25 *2131:22 0 +32 *1889:30 *2131:22 0.000388578 +33 *1942:11 *2131:10 0 +34 *1942:22 *2131:15 6.46773e-05 +35 *1995:10 *2131:15 0 +36 *1995:11 *2131:16 0.000210414 +37 *1996:14 *2131:22 0.00161958 +38 *1996:32 *2131:16 0.000291334 +39 *1996:38 *2131:15 0.000532369 +40 *2049:27 *2131:15 1.92459e-05 +41 *2049:27 *2131:16 0.000106031 +42 *2049:30 *2131:16 0.00261158 +43 *2050:13 *2131:10 8.88366e-05 +44 *2050:18 *2131:22 0.00466604 +45 *2077:10 *2131:8 3.84497e-05 +46 *2077:15 *2131:22 0.00169189 +47 *2130:32 *2131:16 1.87125e-05 +*RES +1 *2453:pad_gpio_slow_sel *2131:8 4.31775 +2 *2131:8 *2131:10 100.87 +3 *2131:10 *2131:15 18.5971 +4 *2131:15 *2131:16 56.23 +5 *2131:16 *2131:21 13.051 +6 *2131:21 *2131:22 236.657 +7 *2131:22 *2474:mprj_io_slow_sel[12] 2.55258 +*END + +*D_NET *2132 0.0144929 +*CONN +*I *2474:mprj_io_slow_sel[13] I *D chip_io_alt +*I *2454:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_slow_sel[13] 0.000364615 +2 *2454:pad_gpio_slow_sel 0.000633112 +3 *2132:14 0.00468234 +4 *2132:13 0.00495083 +5 *2474:mprj_io_slow_sel[13] *2307:9 0.000216644 +6 *2454:resetn *2132:13 0 +7 *502:22 *2132:14 0 +8 *1808:14 *2132:14 0.00297624 +9 *1890:14 *2132:14 0 +10 *1892:14 *2132:14 0.00066915 +11 *1997:13 *2132:14 0 +12 *2051:13 *2132:13 0 +13 *2051:14 *2132:14 0 +*RES +1 *2454:pad_gpio_slow_sel *2132:13 27.8223 +2 *2132:13 *2132:14 157.552 +3 *2132:14 *2474:mprj_io_slow_sel[13] 19.4353 +*END + +*D_NET *2133 0.0131347 +*CONN +*I *2474:mprj_io_slow_sel[14] I *D chip_io_alt +*I *2461:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_slow_sel[14] 0.000397283 +2 *2461:pad_gpio_slow_sel 0.000199311 +3 *2133:8 0.00150879 +4 *2133:7 0.00131082 +5 *2474:mprj_io_slow_sel[14] *2308:9 0.00065866 +6 *2133:7 *2160:7 0.000188622 +7 *2133:8 *2160:8 0 +8 *2461:resetn *2133:8 0 +9 *1895:8 *2133:8 0.00389187 +10 *1998:7 *2133:8 0 +11 *2079:7 *2133:7 0.000188622 +12 *2079:8 *2133:8 0 +13 *2106:8 *2133:8 0.0047907 +*RES +1 *2461:pad_gpio_slow_sel *2133:7 4.42635 +2 *2133:7 *2133:8 82.5985 +3 *2133:8 *2474:mprj_io_slow_sel[14] 23.1475 +*END + +*D_NET *2134 0.0112425 +*CONN +*I *2474:mprj_io_slow_sel[15] I *D chip_io_alt +*I *2462:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_slow_sel[15] 0.00014576 +2 *2462:pad_gpio_slow_sel 0.00010798 +3 *2134:8 0.00195329 +4 *2134:7 0.00191551 +5 *2474:mprj_io_slow_sel[15] *2327:11 0.00019711 +6 *2134:7 *2161:7 0.000145223 +7 *2134:8 *2474:porb_h 2.37478e-05 +8 *2134:8 *2327:11 0.000524464 +9 *1783:18 *2134:8 0 +10 *1810:12 *2134:8 0 +11 *1837:8 *2134:8 0.00305119 +12 *1999:7 *2134:8 8.99711e-05 +13 *1999:9 *2134:8 0.00294302 +14 *2053:8 *2134:8 0 +15 *2080:7 *2134:7 0.000145223 +16 *2107:8 *2134:8 0 +*RES +1 *2462:pad_gpio_slow_sel *2134:7 4.12039 +2 *2134:7 *2134:8 89.6578 +3 *2134:8 *2474:mprj_io_slow_sel[15] 13.4779 +*END + +*D_NET *2135 0.013738 +*CONN +*I *2474:mprj_io_slow_sel[16] I *D chip_io_alt +*I *2463:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_slow_sel[16] 0.000409151 +2 *2463:pad_gpio_slow_sel 0.000238875 +3 *2135:8 0.00151705 +4 *2135:7 0.00134678 +5 *2135:7 *2162:7 0.000282519 +6 *2135:8 *2162:8 0.000712893 +7 *490:7 *2135:7 0 +8 *518:8 *2135:8 0 +9 *1838:8 *2135:8 0.00405056 +10 *1901:8 *2474:mprj_io_slow_sel[16] 0.00019711 +11 *1946:8 *2135:8 0.00467566 +12 *2081:7 *2135:7 0.000307382 +*RES +1 *2463:pad_gpio_slow_sel *2135:7 4.96178 +2 *2135:7 *2135:8 90.0731 +3 *2135:8 *2474:mprj_io_slow_sel[16] 19.5785 +*END + +*D_NET *2136 0.0113237 +*CONN +*I *2474:mprj_io_slow_sel[17] I *D chip_io_alt +*I *2464:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_slow_sel[17] 3.65242e-05 +2 *2464:pad_gpio_slow_sel 9.82838e-05 +3 *2136:8 0.00165915 +4 *2136:7 0.00172091 +5 *2136:7 *2163:7 0.000129968 +6 *2136:8 *2294:13 0.00107879 +7 *473:8 *2136:8 0 +8 *491:25 *2136:8 0.0016265 +9 *1904:8 *2136:8 0.000185169 +10 *1906:8 *2136:8 0.0009813 +11 *1906:22 *2136:8 0.000141177 +12 *1974:8 *2136:8 0.00353598 +13 *2001:10 *2136:8 0 +14 *2055:8 *2136:8 0 +15 *2082:10 *2136:7 0.000129968 +*RES +1 *2464:pad_gpio_slow_sel *2136:7 4.04389 +2 *2136:7 *2136:8 93.1875 +3 *2136:8 *2474:mprj_io_slow_sel[17] 1.23354 +*END + +*D_NET *2137 0.0110528 +*CONN +*I *2474:mprj_io_slow_sel[18] I *D chip_io_alt +*I *2465:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_slow_sel[18] 0.00014576 +2 *2465:pad_gpio_slow_sel 0.000167697 +3 *2137:10 0.0020306 +4 *2137:9 0.00205253 +5 *2137:9 *2164:9 0.000112677 +6 *2137:10 *2164:10 0 +7 *2137:10 *2313:15 0 +8 *107:51 *2474:mprj_io_slow_sel[18] 0.00019711 +9 *107:51 *2137:10 0.000684338 +10 *1786:18 *2137:10 0 +11 *1840:27 *2137:10 0.00133683 +12 *1909:10 *2137:10 0 +13 *2002:7 *2137:10 1.3813e-05 +14 *2002:9 *2137:10 0.00416125 +15 *2083:9 *2137:9 0.000150197 +*RES +1 *2465:pad_gpio_slow_sel *2137:9 4.33988 +2 *2137:9 *2137:10 88.8273 +3 *2137:10 *2474:mprj_io_slow_sel[18] 13.4779 +*END + +*D_NET *2138 0.0136189 +*CONN +*I *2474:mprj_io_slow_sel[19] I *D chip_io_alt +*I *2466:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_slow_sel[19] 0.000388188 +2 *2466:pad_gpio_slow_sel 0.00111717 +3 *2138:12 0.000918305 +4 *2138:10 0.00164729 +5 *2474:mprj_io_slow_sel[19] *2165:27 5.04829e-06 +6 *2474:mprj_io_slow_sel[19] *2296:13 0 +7 *2474:mprj_io_slow_sel[19] *2314:19 0.00019711 +8 *2474:mprj_io_slow_sel[19] *2314:20 0 +9 *2138:10 *2165:13 0.000259251 +10 *2138:10 *2165:14 0.00012974 +11 *2138:12 *2165:14 0.00312894 +12 *474:42 *2138:12 0.000347446 +13 *475:16 *2138:10 0 +14 *521:14 *2138:10 0 +15 *1787:8 *2138:12 0.00373293 +16 *1912:14 *2138:12 0.000640187 +17 *1949:8 *2138:10 1.2819e-05 +18 *1949:8 *2138:12 1.3813e-05 +19 *2057:13 *2138:10 0.000461205 +20 *2057:14 *2138:10 0.000343222 +21 *2057:14 *2138:12 1.69447e-05 +22 *2084:7 *2138:10 0.000259251 +23 *2084:8 *2138:10 0 +*RES +1 *2466:pad_gpio_slow_sel *2138:10 34.7802 +2 *2138:10 *2138:12 61.0054 +3 *2138:12 *2474:mprj_io_slow_sel[19] 19.0239 +*END + +*D_NET *2139 0.0210706 +*CONN +*I *2474:mprj_io_slow_sel[1] I *D chip_io_alt +*I *2445:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_slow_sel[1] 0.000544965 +2 *2445:pad_gpio_slow_sel 0.000791876 +3 *2139:14 0.00246599 +4 *2139:13 0.0027129 +5 *2139:13 *2166:13 3.84497e-05 +6 *100:96 *2474:mprj_io_slow_sel[1] 0.000223156 +7 *485:53 *2139:13 8.67462e-05 +8 *499:16 *2139:14 0.00183558 +9 *1788:18 *2139:14 0.00012693 +10 *1891:14 *2139:14 0.00650697 +11 *1902:19 *2139:14 0.000152316 +12 *1902:20 *2139:14 0.00554626 +13 *2058:14 *2139:14 0 +14 *2085:7 *2139:13 3.84497e-05 +*RES +1 *2445:pad_gpio_slow_sel *2139:13 32.2592 +2 *2139:13 *2139:14 148.831 +3 *2139:14 *2474:mprj_io_slow_sel[1] 23.876 +*END + +*D_NET *2140 0.0130243 +*CONN +*I *2474:mprj_io_slow_sel[20] I *D chip_io_alt +*I *2467:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_slow_sel[20] 0.000445372 +2 *2467:pad_gpio_slow_sel 0.000433569 +3 *2140:8 0.00200319 +4 *2140:7 0.00199139 +5 *2474:mprj_io_slow_sel[20] *2297:12 9.4338e-05 +6 *2140:7 *2167:19 4.79986e-05 +7 *494:7 *2140:7 0 +8 *494:8 *2140:8 0 +9 *1789:16 *2140:8 0.00362454 +10 *1816:16 *2140:8 0.000289658 +11 *1843:16 *2140:8 0.00283238 +12 *1915:8 *2140:8 0.00033782 +13 *2086:13 *2140:7 0.000192185 +14 *2086:13 *2140:8 0.000731869 +*RES +1 *2467:pad_gpio_slow_sel *2140:7 4.96178 +2 *2140:7 *2140:8 89.6578 +3 *2140:8 *2474:mprj_io_slow_sel[20] 20.1331 +*END + +*D_NET *2141 0.00994145 +*CONN +*I *2474:mprj_io_slow_sel[21] I *D chip_io_alt +*I *2468:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_slow_sel[21] 0.000459854 +2 *2468:pad_gpio_slow_sel 0.000143111 +3 *2141:8 0.00244083 +4 *2141:7 0.00212409 +5 *2141:7 *2168:7 0.00012971 +6 *2474:mprj_io_dm[64] *2474:mprj_io_slow_sel[21] 8.86525e-05 +7 *477:8 *2141:8 0 +8 *2006:7 *2474:mprj_io_slow_sel[21] 0.000142583 +9 *2006:7 *2141:8 2.01595e-05 +10 *2006:9 *2141:8 0.00426275 +11 *2087:7 *2141:7 0.00012971 +12 *2087:8 *2474:mprj_io_slow_sel[21] 0 +13 *2087:8 *2141:8 0 +*RES +1 *2468:pad_gpio_slow_sel *2141:7 4.12039 +2 *2141:7 *2141:8 79.8994 +3 *2141:8 *2474:mprj_io_slow_sel[21] 15.0101 +*END + +*D_NET *2142 0.00989595 +*CONN +*I *2474:mprj_io_slow_sel[22] I *D chip_io_alt +*I *2469:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_slow_sel[22] 0.00014576 +2 *2469:pad_gpio_slow_sel 0.000180604 +3 *2142:14 0.0010865 +4 *2142:8 0.00286961 +5 *2142:7 0.00210948 +6 *2142:7 *2169:15 0.000195024 +7 *2142:8 *2169:15 0 +8 *477:26 *2142:8 0.000295704 +9 *477:26 *2142:14 0.000313439 +10 *1791:19 *2142:14 0 +11 *1922:8 *2142:8 0 +12 *1922:25 *2474:mprj_io_slow_sel[22] 0.00019711 +13 *1922:25 *2142:14 0.00047597 +14 *2007:9 *2142:8 0 +15 *2007:9 *2142:14 0.00183172 +16 *2088:10 *2142:7 0.000195024 +17 *2115:8 *2142:8 0 +18 *2115:8 *2142:14 0 +*RES +1 *2469:pad_gpio_slow_sel *2142:7 4.42635 +2 *2142:7 *2142:8 52.2851 +3 *2142:8 *2142:14 48.9309 +4 *2142:14 *2474:mprj_io_slow_sel[22] 13.4779 +*END + +*D_NET *2143 0.0160013 +*CONN +*I *2474:mprj_io_slow_sel[23] I *D chip_io_alt +*I *2470:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_slow_sel[23] 0.000800188 +2 *2470:pad_gpio_slow_sel 8.56898e-05 +3 *2143:13 0.0027615 +4 *2143:12 0.00196131 +5 *2143:10 0.00130003 +6 *2143:9 0.00138572 +7 *2474:mprj_io_slow_sel[23] *2474:mprj_io_vtrip_sel[23] 0.000444177 +8 *2143:9 *2170:9 2.56241e-05 +9 *2143:13 *2474:mprj_io_vtrip_sel[23] 0.00111699 +10 *497:10 *2143:10 0.00376968 +11 *1925:8 *2474:mprj_io_slow_sel[23] 0.00216912 +12 *1954:15 *2143:13 0.000181266 +13 *2008:10 *2474:mprj_io_slow_sel[23] 0 +14 *2008:11 *2474:mprj_io_slow_sel[23] 0 +*RES +1 *2470:pad_gpio_slow_sel *2143:9 3.59493 +2 *2143:9 *2143:10 60.1749 +3 *2143:10 *2143:12 4.5 +4 *2143:12 *2143:13 55.6292 +5 *2143:13 *2474:mprj_io_slow_sel[23] 40.9933 +*END + +*D_NET *2144 0.0138915 +*CONN +*I *2474:mprj_io_slow_sel[24] I *D chip_io_alt +*I *2446:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_slow_sel[24] 0.000523429 +2 *2446:pad_gpio_slow_sel 0.000496709 +3 *2144:8 0.00172247 +4 *2144:7 0.00169575 +5 *2144:7 *2474:mprj_io_vtrip_sel[24] 5.04815e-05 +6 *2446:resetn *2144:7 0 +7 *494:20 *2144:8 0.000496457 +8 *514:8 *2144:8 0.00148817 +9 *514:14 *2144:8 0 +10 *1927:15 *2474:mprj_io_slow_sel[24] 0.000223156 +11 *1929:19 *2474:mprj_io_slow_sel[24] 5.04829e-06 +12 *2063:8 *2144:8 0.00508979 +13 *2090:7 *2144:7 0.00024557 +14 *2090:8 *2144:8 0 +15 *2117:10 *2144:7 0 +16 *2117:10 *2144:8 0.000106672 +17 *2117:12 *2144:8 0.00174776 +*RES +1 *2446:pad_gpio_slow_sel *2144:7 5.19125 +2 *2144:7 *2144:8 89.6578 +3 *2144:8 *2474:mprj_io_slow_sel[24] 21.6576 +*END + +*D_NET *2145 0.0133439 +*CONN +*I *2474:mprj_io_slow_sel[25] I *D chip_io_alt +*I *2447:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_slow_sel[25] 0.000566759 +2 *2447:pad_gpio_slow_sel 0.000548893 +3 *2145:8 0.00183619 +4 *2145:7 0.00181832 +5 *2145:7 *2172:7 0.000139564 +6 *2447:resetn_out *2145:7 0 +7 *2474:mprj_io_dm[76] *2474:mprj_io_slow_sel[25] 0.00019711 +8 *2474:mprj_io_oeb[25] *2145:7 1.78739e-05 +9 *102:44 *2145:8 0 +10 *1932:8 *2145:8 0.00100072 +11 *1956:11 *2145:8 0.00223241 +12 *1956:14 *2145:8 0.00242582 +13 *1983:8 *2145:8 8.67703e-05 +14 *2064:7 *2145:7 0 +15 *2064:8 *2145:8 9.97028e-05 +16 *2118:8 *2145:7 0 +17 *2118:8 *2145:8 0 +18 *2118:22 *2145:8 0.00237373 +*RES +1 *2447:pad_gpio_slow_sel *2145:7 5.34423 +2 *2145:7 *2145:8 89.6578 +3 *2145:8 *2474:mprj_io_slow_sel[25] 22.3515 +*END + +*D_NET *2146 0.0142779 +*CONN +*I *2474:mprj_io_slow_sel[26] I *D chip_io_alt +*I *2448:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_slow_sel[26] 0.000258802 +2 *2448:pad_gpio_slow_sel 0.000132912 +3 *2146:21 0.000730108 +4 *2146:15 0.00164803 +5 *2146:10 0.00203563 +6 *2146:9 0.000991821 +7 *2146:10 *2173:10 0.000634651 +8 *2448:pad_gpio_in *2146:10 0 +9 *1795:8 *2474:mprj_io_slow_sel[26] 0.0006713 +10 *1822:8 *2146:21 0.00167049 +11 *1933:8 *2146:21 0.00167049 +12 *1936:12 *2146:10 0.00209916 +13 *1936:15 *2146:15 0 +14 *2065:12 *2146:10 0.00160479 +15 *2092:15 *2146:10 5.35541e-05 +16 *2119:12 *2146:10 7.61581e-05 +*RES +1 *2448:pad_gpio_slow_sel *2146:9 3.708 +2 *2146:9 *2146:10 54.3614 +3 *2146:10 *2146:15 40.2266 +4 *2146:15 *2146:21 41.462 +5 *2146:21 *2474:mprj_io_slow_sel[26] 11.4072 +*END + +*D_NET *2147 0.0230161 +*CONN +*I *2474:mprj_io_slow_sel[2] I *D chip_io_alt +*I *2455:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_slow_sel[2] 0.000122622 +2 *2455:pad_gpio_slow_sel 0.000211328 +3 *2147:14 0.000861984 +4 *2147:13 0.000802351 +5 *2147:8 0.00078033 +6 *2147:7 0.000928669 +7 *2147:7 *2174:13 3.84497e-05 +8 *2455:resetn_out *2147:7 0 +9 *100:80 *2474:mprj_io_slow_sel[2] 9.71323e-06 +10 *100:80 *2147:14 0.00481274 +11 *104:40 *2147:8 2.57465e-06 +12 *104:49 *2147:13 0 +13 *471:40 *2147:8 1.55462e-05 +14 *471:40 *2147:14 0.00477008 +15 *471:46 *2474:mprj_io_slow_sel[2] 0.000148615 +16 *489:35 *2147:8 0.00466969 +17 *503:26 *2147:8 0.00464232 +18 *1796:13 *2147:13 0.000160617 +19 *2093:13 *2147:7 3.84497e-05 +*RES +1 *2455:pad_gpio_slow_sel *2147:7 4.04389 +2 *2147:7 *2147:8 75.9545 +3 *2147:8 *2147:13 10.8326 +4 *2147:13 *2147:14 78.0308 +5 *2147:14 *2474:mprj_io_slow_sel[2] 4.28687 +*END + +*D_NET *2148 0.0187644 +*CONN +*I *2474:mprj_io_slow_sel[3] I *D chip_io_alt +*I *2456:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_slow_sel[3] 0.000503621 +2 *2456:pad_gpio_slow_sel 0.000800661 +3 *2148:26 0.00175974 +4 *2148:25 0.00196443 +5 *2148:16 0.00201486 +6 *2148:15 0.00210721 +7 *2148:15 *2175:13 0.000237476 +8 *2148:16 *2175:14 0 +9 *2148:25 *2474:mprj_io_vtrip_sel[3] 0.000377259 +10 *2455:resetn_out *2474:mprj_io_slow_sel[3] 0.000102556 +11 *472:38 *2148:26 0.000772464 +12 *1565:20 *2148:16 0 +13 *1565:20 *2148:25 0 +14 *1797:22 *2148:26 0.000754971 +15 *1824:20 *2148:26 0 +16 *1851:22 *2148:26 8.88511e-05 +17 *1859:16 *2148:25 1.67037e-05 +18 *1859:18 *2148:25 0.00119223 +19 *1860:23 *2148:26 0.00115315 +20 *1938:18 *2148:26 0 +21 *2013:7 *2474:mprj_io_slow_sel[3] 0.0006713 +22 *2067:15 *2148:15 0.000354276 +23 *2067:16 *2148:16 0.0036651 +24 *2067:16 *2148:25 6.34651e-06 +25 *2094:7 *2148:15 4.10643e-05 +26 *2094:8 *2148:15 8.35615e-06 +27 *2121:13 *2148:15 0.000171753 +*RES +1 *2456:pad_gpio_slow_sel *2148:15 35.9464 +2 *2148:15 *2148:16 59.7597 +3 *2148:16 *2148:25 36.4523 +4 *2148:25 *2148:26 58.7215 +5 *2148:26 *2474:mprj_io_slow_sel[3] 25.5674 +*END + +*D_NET *2149 0.0245934 +*CONN +*I *2474:mprj_io_slow_sel[4] I *D chip_io_alt +*I *2457:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_slow_sel[4] 0.00081171 +2 *2457:pad_gpio_slow_sel 0.000846944 +3 *2149:14 0.00202446 +4 *2149:13 0.00205969 +5 *2149:13 *2176:13 3.84497e-05 +6 *2474:mprj_io_dm[12] *2474:mprj_io_slow_sel[4] 7.08723e-06 +7 *2474:mprj_io_dm[13] *2474:mprj_io_slow_sel[4] 0.00146502 +8 *478:32 *2149:14 0.0013108 +9 *495:56 *2474:mprj_io_slow_sel[4] 0.000658312 +10 *505:14 *2149:14 0.00815295 +11 *1862:16 *2149:14 0.000339253 +12 *2014:18 *2474:mprj_io_slow_sel[4] 4.17605e-05 +13 *2068:16 *2149:14 0.00679856 +14 *2095:7 *2149:13 3.84497e-05 +15 *2095:8 *2149:13 0 +*RES +1 *2457:pad_gpio_slow_sel *2149:13 32.8138 +2 *2149:13 *2149:14 130.145 +3 *2149:14 *2474:mprj_io_slow_sel[4] 45.0683 +*END + +*D_NET *2150 0.0184094 +*CONN +*I *2474:mprj_io_slow_sel[5] I *D chip_io_alt +*I *2458:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_slow_sel[5] 0.000354467 +2 *2458:pad_gpio_slow_sel 0.000214636 +3 *2150:14 0.00184951 +4 *2150:13 0.00178213 +5 *2150:8 0.00211211 +6 *2150:7 0.00203966 +7 *2150:7 *2177:13 3.84497e-05 +8 *2150:8 *2177:13 0 +9 *2150:14 *2177:14 0.000710369 +10 *2458:pad_gpio_in *2150:8 0 +11 *2458:resetn_out *2150:7 0 +12 *2474:mprj_io_dm[17] *2150:14 0.00180431 +13 *2474:mprj_io_oeb[5] *2150:13 0 +14 *108:49 *2150:8 0.000299508 +15 *110:98 *2150:14 0.00198036 +16 *493:51 *2150:14 0.000911944 +17 *495:64 *2474:mprj_io_slow_sel[5] 0 +18 *495:64 *2150:8 0 +19 *1566:29 *2150:8 0 +20 *1799:13 *2150:8 0 +21 *1799:14 *2150:14 0 +22 *1826:13 *2150:8 0 +23 *1826:23 *2150:14 0.000157419 +24 *1853:13 *2150:8 0 +25 *1864:13 *2150:8 0 +26 *1864:20 *2150:14 0 +27 *1865:13 *2150:8 0 +28 *1866:13 *2150:8 0 +29 *1988:8 *2150:8 0.00391851 +30 *2069:13 *2150:8 0 +31 *2096:13 *2150:7 3.84497e-05 +32 *2096:13 *2150:8 0 +33 *2096:14 *2150:8 0.000197571 +34 *2123:13 *2150:8 0 +*RES +1 *2458:pad_gpio_slow_sel *2150:7 4.12039 +2 *2150:7 *2150:8 75.9545 +3 *2150:8 *2150:13 14.1602 +4 *2150:13 *2150:14 77.4079 +5 *2150:14 *2474:mprj_io_slow_sel[5] 17.7754 +*END + +*D_NET *2151 0.025099 +*CONN +*I *2474:mprj_io_slow_sel[6] I *D chip_io_alt +*I *2459:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_slow_sel[6] 6.22015e-05 +2 *2459:pad_gpio_slow_sel 0.000655344 +3 *2151:22 0.000723209 +4 *2151:21 0.00108764 +5 *2151:16 0.00174583 +6 *2151:15 0.00197454 +7 *2151:15 *2178:13 0.000237476 +8 *2151:16 *2178:14 0 +9 *2151:21 *2474:mprj_io_vtrip_sel[6] 6.58333e-05 +10 *2459:pad_gpio_in *2151:15 0 +11 *2459:serial_load *2151:22 0.000708538 +12 *477:32 *2151:16 0.000875832 +13 *495:64 *2151:22 1.28326e-05 +14 *507:18 *2151:22 0.0043545 +15 *1800:14 *2151:16 0.0026848 +16 *1827:14 *2151:16 0.00351317 +17 *1854:14 *2151:22 0.0013897 +18 *1867:14 *2151:16 0.00013615 +19 *1868:14 *2151:16 5.92227e-05 +20 *2016:13 *2151:22 0.00301099 +21 *2016:19 *2151:16 0 +22 *2070:13 *2151:15 0.000774126 +23 *2097:7 *2151:15 4.10643e-05 +24 *2097:8 *2151:15 0 +25 *2124:13 *2151:15 0.000985982 +*RES +1 *2459:pad_gpio_slow_sel *2151:15 35.1131 +2 *2151:15 *2151:16 76.9926 +3 *2151:16 *2151:21 18.0424 +4 *2151:21 *2151:22 71.802 +5 *2151:22 *2474:mprj_io_slow_sel[6] 2.21061 +*END + +*D_NET *2152 0.0514434 +*CONN +*I *2474:mprj_io_slow_sel[7] I *D chip_io_alt +*I *2460:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_slow_sel[7] 0.000825863 +2 *2460:pad_gpio_slow_sel 0.000634001 +3 *2152:14 0.00705504 +4 *2152:13 0.00677893 +5 *2152:10 0.00118375 +6 *2474:mprj_io_slow_sel[7] *2153:16 0.000671315 +7 *2474:mprj_io_slow_sel[7] *2293:9 0 +8 *2152:10 *2179:13 8.71681e-05 +9 *110:104 *2152:10 0.000275937 +10 *110:118 *2152:10 0.00114904 +11 *110:118 *2152:13 1.03403e-05 +12 *111:48 *2152:14 0 +13 *478:32 *2152:14 0.00254347 +14 *496:44 *2152:14 0 +15 *510:14 *2152:14 0.00563371 +16 *1828:23 *2474:mprj_io_slow_sel[7] 5.04829e-06 +17 *1855:14 *2152:14 0.0215134 +18 *1963:8 *2152:10 0.00199571 +19 *1990:8 *2474:mprj_io_slow_sel[7] 0.000102556 +20 *1990:8 *2152:10 0.000100822 +21 *1991:16 *2152:14 0.00033102 +22 *2071:7 *2152:10 0 +23 *2071:8 *2152:10 0 +24 *2098:13 *2152:10 7.28972e-05 +25 *2099:16 *2152:14 0.000473354 +*RES +1 *2460:pad_gpio_slow_sel *2152:10 41.3122 +2 *2152:10 *2152:13 16.8701 +3 *2152:13 *2152:14 344 +4 *2152:14 *2474:mprj_io_slow_sel[7] 31.6681 +*END + +*D_NET *2153 0.0529495 +*CONN +*I *2474:mprj_io_slow_sel[8] I *D chip_io_alt +*I *2449:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_slow_sel[8] 0.000283636 +2 *2449:pad_gpio_slow_sel 0.000506908 +3 *2153:30 0.000845798 +4 *2153:29 0.000746522 +5 *2153:24 0.000819215 +6 *2153:22 0.000661751 +7 *2153:20 0.00168271 +8 *2153:18 0.00168741 +9 *2153:16 0.00248874 +10 *2153:15 0.00296406 +11 *2153:15 *2180:13 3.64213e-05 +12 *2153:16 *2293:9 0.0013295 +13 *2153:16 *2311:13 0.00153821 +14 *2153:20 *2474:mprj_io_vtrip_sel[7] 0 +15 *2449:resetn_out *2153:15 0 +16 *2474:mprj_io_analog_pol[7] *2153:16 2.22735e-05 +17 *2474:mprj_io_analog_sel[7] *2153:16 0.00115167 +18 *2474:mprj_io_holdover[7] *2153:16 0.000457865 +19 *2474:mprj_io_oeb[7] *2153:20 0 +20 *2474:mprj_io_out[7] *2153:16 2.2746e-05 +21 *2474:mprj_io_out[7] *2153:20 0 +22 *2474:mprj_io_slow_sel[7] *2153:16 0.000671315 +23 *110:123 *2153:15 0.000436015 +24 *1871:14 *2153:16 1.23804e-05 +25 *1871:18 *2153:16 0.00321668 +26 *1874:28 *2153:20 0.00175222 +27 *1874:28 *2153:24 0.00363083 +28 *1875:19 *2153:29 5.04829e-06 +29 *1877:14 *2153:30 0.00443346 +30 *1878:14 *2153:30 0.00442628 +31 *1879:19 *2474:mprj_io_slow_sel[8] 0.000110949 +32 *1963:8 *2153:16 0 +33 *1964:16 *2153:30 0.000712721 +34 *1965:8 *2153:24 0.000437916 +35 *1990:8 *2153:16 0.00124035 +36 *2017:10 *2153:16 0.00250808 +37 *2019:11 *2153:24 0.00288812 +38 *2044:7 *2153:20 0.000126228 +39 *2071:8 *2153:16 0.00453366 +40 *2072:15 *2153:15 0.000572602 +41 *2072:18 *2153:16 1.86389e-05 +42 *2072:18 *2153:20 0.00262697 +43 *2072:18 *2153:24 0.000243744 +44 *2073:31 *2474:mprj_io_slow_sel[8] 0.000197125 +45 *2125:20 *2153:16 0.000373541 +46 *2126:16 *2153:20 0.00052917 +*RES +1 *2449:pad_gpio_slow_sel *2153:15 27.1893 +2 *2153:15 *2153:16 164.818 +3 *2153:16 *2153:18 0.732798 +4 *2153:18 *2153:20 87.9358 +5 *2153:20 *2153:22 0.732798 +6 *2153:22 *2153:24 59.1979 +7 *2153:24 *2153:29 12.4964 +8 *2153:29 *2153:30 70.7639 +9 *2153:30 *2474:mprj_io_slow_sel[8] 16.8055 +*END + +*D_NET *2154 0.056253 +*CONN +*I *2474:mprj_io_slow_sel[9] I *D chip_io_alt +*I *2450:pad_gpio_slow_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_slow_sel[9] 0.00021742 +2 *2450:pad_gpio_slow_sel 0.000285317 +3 *2154:14 0.00445218 +4 *2154:13 0.00452008 +5 *2154:13 *2181:7 2.72062e-05 +6 *2154:14 *2156:17 0.00049364 +7 *2450:serial_clock_out *2154:13 0.000559658 +8 *1803:27 *2474:mprj_io_slow_sel[9] 8.0872e-06 +9 *1803:27 *2154:14 1.2693e-05 +10 *1874:34 *2154:14 0.00365062 +11 *1878:14 *2154:14 0.00310705 +12 *1879:42 *2154:14 0.000654967 +13 *1965:8 *2154:14 0.000736132 +14 *1967:13 *2154:14 0.000602245 +15 *1994:44 *2474:mprj_io_slow_sel[9] 0.000185867 +16 *2019:11 *2154:14 0.023282 +17 *2072:18 *2154:14 0.00472779 +18 *2100:7 *2154:13 2.72062e-05 +19 *2126:16 *2154:14 0.00168841 +20 *2127:13 *2154:13 0.000730106 +21 *2129:14 *2154:14 0.00628425 +*RES +1 *2450:pad_gpio_slow_sel *2154:13 22.9703 +2 *2154:13 *2154:14 387.601 +3 *2154:14 *2474:mprj_io_slow_sel[9] 14.7764 +*END + +*D_NET *2155 0.0123772 +*CONN +*I *2474:mprj_io_vtrip_sel[0] I *D chip_io_alt +*I *2444:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_vtrip_sel[0] 9.83336e-05 +2 *2444:pad_gpio_vtrip_sel 0.000584605 +3 *2155:23 0.000769422 +4 *2155:19 0.0012459 +5 *2155:13 0.00115942 +6 *2444:resetn *2155:13 9.52305e-06 +7 *2444:resetn_out *2155:13 0 +8 *2474:mprj_io_holdover[0] *2474:mprj_io_vtrip_sel[0] 0.000202472 +9 *2474:mprj_io_ib_mode_sel[0] *2474:mprj_io_vtrip_sel[0] 0 +10 *2474:mprj_io_ib_mode_sel[0] *2155:23 0 +11 *2474:mprj_io_out[0] *2155:23 0 +12 *1831:19 *2155:19 3.98241e-05 +13 *1993:21 *2155:19 1.75462e-05 +14 *1993:21 *2155:23 0.00126962 +15 *1993:30 *2155:19 0.00192518 +16 *2047:14 *2155:19 0 +17 *2074:8 *2155:19 0 +18 *2101:14 *2155:19 0.00214202 +19 *2101:14 *2155:23 0.00260666 +20 *2128:13 *2155:13 0.000306624 +*RES +1 *2444:pad_gpio_vtrip_sel *2155:13 27.5408 +2 *2155:13 *2155:19 49.6033 +3 *2155:19 *2155:23 49.6926 +4 *2155:23 *2474:mprj_io_vtrip_sel[0] 8.43268 +*END + +*D_NET *2156 0.0717159 +*CONN +*I *2474:mprj_io_vtrip_sel[10] I *D chip_io_alt +*I *2451:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_vtrip_sel[10] 0.00209632 +2 *2451:pad_gpio_vtrip_sel 0.00529476 +3 *2156:17 0.00739109 +4 *2474:mprj_io_vtrip_sel[10] *2157:18 0.00065167 +5 *2156:17 *2321:13 0.000231536 +6 *2451:resetn *2156:17 4.46752e-05 +7 *2474:mprj_io_dm[32] *2474:mprj_io_vtrip_sel[10] 0.000243061 +8 *2474:mprj_io_out[10] *2474:mprj_io_vtrip_sel[10] 1.83572e-05 +9 *101:22 *2156:17 0.000481816 +10 *1833:20 *2156:17 0.00012875 +11 *1879:44 *2156:17 0.000315398 +12 *1886:8 *2474:mprj_io_vtrip_sel[10] 0.00276321 +13 *1940:10 *2156:17 0.00624268 +14 *1940:18 *2474:mprj_io_vtrip_sel[10] 0.00604591 +15 *1940:18 *2156:17 0.0137134 +16 *1941:8 *2474:mprj_io_vtrip_sel[10] 0.000638173 +17 *1965:8 *2156:17 0.00732577 +18 *1967:13 *2156:17 0.00422128 +19 *1967:20 *2156:17 0 +20 *1968:14 *2474:mprj_io_vtrip_sel[10] 0.000199522 +21 *1968:14 *2156:17 0.000546645 +22 *1994:11 *2474:mprj_io_vtrip_sel[10] 0.000194519 +23 *1994:11 *2156:17 0.000364708 +24 *2019:11 *2156:17 0.000338167 +25 *2046:7 *2156:17 0.000166143 +26 *2048:14 *2474:mprj_io_vtrip_sel[10] 0.000284387 +27 *2075:7 *2156:17 0 +28 *2103:18 *2474:mprj_io_vtrip_sel[10] 0.00642419 +29 *2103:18 *2156:17 0.00358265 +30 *2129:13 *2156:17 3.17944e-05 +31 *2129:14 *2156:17 0.000968228 +32 *2130:20 *2474:mprj_io_vtrip_sel[10] 0.000273425 +33 *2154:14 *2156:17 0.00049364 +*RES +1 *2451:pad_gpio_vtrip_sel *2156:17 47.2391 +2 *2156:17 *2474:mprj_io_vtrip_sel[10] 34.3619 +*END + +*D_NET *2157 0.0713645 +*CONN +*I *2474:mprj_io_vtrip_sel[11] I *D chip_io_alt +*I *2452:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_vtrip_sel[11] 0.000543588 +2 *2452:pad_gpio_vtrip_sel 7.65711e-05 +3 *2157:20 0.00336023 +4 *2157:18 0.00464073 +5 *2157:10 0.00339746 +6 *2157:9 0.00164994 +7 *2474:mprj_io_vtrip_sel[10] *2157:18 0.00065167 +8 *1886:8 *2157:18 0.000124478 +9 *1886:8 *2157:20 0.024397 +10 *1887:14 *2157:20 0.00194894 +11 *1889:18 *2474:mprj_io_vtrip_sel[11] 6.11904e-05 +12 *1941:8 *2157:18 0.000450023 +13 *1941:8 *2157:20 0.000630129 +14 *1968:25 *2157:20 0.000111681 +15 *1968:29 *2474:mprj_io_vtrip_sel[11] 0 +16 *1969:17 *2157:20 0.000580672 +17 *2076:29 *2157:20 0.0236384 +18 *2130:10 *2157:10 0.00452251 +19 *2130:15 *2157:18 0.000579288 +*RES +1 *2452:pad_gpio_vtrip_sel *2157:9 3.59493 +2 *2157:9 *2157:10 72.4249 +3 *2157:10 *2157:18 10.1249 +4 *2157:18 *2157:20 51.03 +5 *2157:20 *2474:mprj_io_vtrip_sel[11] 6.98968 +*END + +*D_NET *2158 0.0781792 +*CONN +*I *2474:mprj_io_vtrip_sel[12] I *D chip_io_alt +*I *2453:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_vtrip_sel[12] 0.000146757 +2 *2453:pad_gpio_vtrip_sel 0.00156446 +3 *2158:15 0.00279669 +4 *2158:10 0.00421439 +5 *2158:15 *2305:13 0.000294474 +6 *2453:resetn *2158:10 3.74656e-05 +7 *2453:serial_clock *2158:10 0 +8 *2474:mprj_io_dm[38] *2158:15 0.000142135 +9 *2474:mprj_io_ib_mode_sel[12] *2474:mprj_io_vtrip_sel[12] 0 +10 *488:11 *2158:10 0 +11 *1885:14 *2158:10 0.00040864 +12 *1886:8 *2158:10 0.00791016 +13 *1886:8 *2158:15 1.18005e-05 +14 *1969:17 *2158:15 0.0236601 +15 *1995:11 *2158:10 0.000597706 +16 *1995:15 *2158:10 0.000392646 +17 *1996:15 *2158:15 0.00133626 +18 *2049:30 *2158:10 0.000106281 +19 *2050:18 *2158:15 0.00254909 +20 *2077:10 *2158:10 0.00761133 +21 *2077:15 *2158:10 0.000124783 +22 *2077:15 *2158:15 0.0236749 +23 *2130:32 *2158:10 0.000556072 +24 *2131:8 *2158:10 4.31122e-05 +*RES +1 *2453:pad_gpio_vtrip_sel *2158:10 17.6935 +2 *2158:10 *2158:15 47.8379 +3 *2158:15 *2474:mprj_io_vtrip_sel[12] 7.38696 +*END + +*D_NET *2159 0.0144309 +*CONN +*I *2474:mprj_io_vtrip_sel[13] I *D chip_io_alt +*I *2454:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_vtrip_sel[13] 0.000131707 +2 *2454:pad_gpio_vtrip_sel 2.68958e-05 +3 *2159:19 0.000638359 +4 *2159:13 0.00124973 +5 *2159:8 0.00227461 +6 *2159:7 0.00155843 +7 *2474:mprj_io_ib_mode_sel[13] *2474:mprj_io_vtrip_sel[13] 0.000171064 +8 *2474:mprj_io_out[13] *2474:mprj_io_vtrip_sel[13] 0.00041084 +9 *1537:23 *2159:13 8.03397e-05 +10 *1537:32 *2159:8 0.000692673 +11 *1835:18 *2159:19 0.00120018 +12 *1893:8 *2159:8 0.000837412 +13 *1970:10 *2159:13 0.000105636 +14 *1997:19 *2159:19 0.000478862 +15 *2051:14 *2159:19 0.00219351 +16 *2078:5 *2159:8 0.000316853 +17 *2078:10 *2159:13 0.00206379 +*RES +1 *2454:pad_gpio_vtrip_sel *2159:7 3.43197 +2 *2159:7 *2159:8 58.9292 +3 *2159:8 *2159:13 38.0082 +4 *2159:13 *2159:19 46.994 +5 *2159:19 *2474:mprj_io_vtrip_sel[13] 7.2547 +*END + +*D_NET *2160 0.0206442 +*CONN +*I *2474:mprj_io_vtrip_sel[14] I *D chip_io_alt +*I *2461:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_vtrip_sel[14] 0.000397039 +2 *2461:pad_gpio_vtrip_sel 0.000418725 +3 *2160:8 0.00295089 +4 *2160:7 0.00297257 +5 *2461:resetn *2160:7 5.02212e-05 +6 *2461:serial_clock *2160:7 0 +7 *2474:mprj_io_oeb[14] *2474:mprj_io_vtrip_sel[14] 0.000171064 +8 *485:23 *2160:8 0 +9 *516:8 *2160:8 0.0021262 +10 *1809:14 *2160:8 0.00554117 +11 *1836:14 *2160:8 0.00582768 +12 *2079:7 *2160:7 0 +13 *2079:8 *2160:8 0 +14 *2106:21 *2160:8 0 +15 *2133:7 *2160:7 0.000188622 +16 *2133:8 *2160:8 0 +*RES +1 *2461:pad_gpio_vtrip_sel *2160:7 5.03827 +2 *2160:7 *2160:8 160.251 +3 *2160:8 *2474:mprj_io_vtrip_sel[14] 19.7179 +*END + +*D_NET *2161 0.021974 +*CONN +*I *2474:mprj_io_vtrip_sel[15] I *D chip_io_alt +*I *2462:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_vtrip_sel[15] 0.000281932 +2 *2462:pad_gpio_vtrip_sel 0.000494538 +3 *2161:17 0.00103988 +4 *2161:13 0.00100418 +5 *2161:8 0.00222752 +6 *2161:7 0.00247583 +7 *2161:17 *2309:13 0.00122114 +8 *2462:resetn *2161:7 0.000271938 +9 *2474:mprj_io_inp_dis[15] *2161:13 5.04829e-06 +10 *471:16 *2161:17 0.0022651 +11 *489:7 *2161:7 0 +12 *489:8 *2161:8 0.00699644 +13 *489:13 *2161:13 0 +14 *489:14 *2161:17 0 +15 *1945:16 *2161:8 0.00254589 +16 *2026:9 *2474:mprj_io_vtrip_sel[15] 0.0006713 +17 *2026:10 *2161:17 0.000231442 +18 *2080:7 *2161:7 0 +19 *2080:14 *2161:8 9.66387e-05 +20 *2134:7 *2161:7 0.000145223 +*RES +1 *2462:pad_gpio_vtrip_sel *2161:7 5.5737 +2 *2161:7 *2161:8 115.403 +3 *2161:8 *2161:13 13.6056 +4 *2161:13 *2161:17 47.4826 +5 *2161:17 *2474:mprj_io_vtrip_sel[15] 16.469 +*END + +*D_NET *2162 0.0222694 +*CONN +*I *2474:mprj_io_vtrip_sel[16] I *D chip_io_alt +*I *2463:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_vtrip_sel[16] 0.000592292 +2 *2463:pad_gpio_vtrip_sel 0.000219817 +3 *2162:20 0.000976486 +4 *2162:19 0.000454047 +5 *2162:16 0.000764381 +6 *2162:8 0.00260757 +7 *2162:7 0.00213286 +8 *2162:16 *2310:14 0 +9 *2463:resetn *2162:7 0.000210918 +10 *2474:mprj_io_ib_mode_sel[16] *2474:mprj_io_vtrip_sel[16] 0.00100478 +11 *105:8 *2162:16 0.00176959 +12 *472:8 *2162:16 0.000358272 +13 *472:8 *2162:20 0.0029677 +14 *490:7 *2162:7 0 +15 *518:8 *2162:8 0 +16 *1838:8 *2162:8 0.00311799 +17 *1900:8 *2162:8 0 +18 *1901:8 *2162:8 0 +19 *2081:19 *2162:16 0.00101426 +20 *2081:19 *2162:19 0.000111722 +21 *2081:20 *2162:20 0.0029713 +22 *2135:7 *2162:7 0.000282519 +23 *2135:8 *2162:8 0.000712893 +*RES +1 *2463:pad_gpio_vtrip_sel *2162:7 4.8088 +2 *2162:7 *2162:8 77.6155 +3 *2162:8 *2162:16 49.2868 +4 *2162:16 *2162:19 6.3326 +5 *2162:19 *2162:20 47.5097 +6 *2162:20 *2474:mprj_io_vtrip_sel[16] 31.0269 +*END + +*D_NET *2163 0.0207814 +*CONN +*I *2474:mprj_io_vtrip_sel[17] I *D chip_io_alt +*I *2464:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_vtrip_sel[17] 7.53077e-05 +2 *2464:pad_gpio_vtrip_sel 0.000295701 +3 *2163:23 0.00108764 +4 *2163:14 0.00157191 +5 *2163:13 0.000629765 +6 *2163:8 0.0011196 +7 *2163:7 0.00134511 +8 *2464:resetn *2163:7 0.000286481 +9 *106:13 *2163:8 0 +10 *473:8 *2163:23 0 +11 *491:28 *2163:14 1.2819e-05 +12 *491:28 *2163:23 0.000383673 +13 *519:21 *2163:14 0.000449909 +14 *519:22 *2163:8 0.00125734 +15 *1785:16 *2163:8 0.00176033 +16 *1839:36 *2163:8 0.00106635 +17 *1839:36 *2163:14 0.00365753 +18 *1839:36 *2163:23 0.000246295 +19 *1904:16 *2163:14 0.00230639 +20 *1905:8 *2163:14 1.3807e-05 +21 *1906:22 *2163:23 6.68049e-05 +22 *1947:23 *2163:14 0.00039759 +23 *1947:23 *2163:23 0.00110851 +24 *1974:24 *2474:mprj_io_vtrip_sel[17] 8.1645e-05 +25 *2082:10 *2163:7 0 +26 *2082:10 *2163:8 4.61488e-05 +27 *2109:8 *2163:8 0.00137969 +28 *2109:16 *2163:13 5.04829e-06 +29 *2136:7 *2163:7 0.000129968 +*RES +1 *2464:pad_gpio_vtrip_sel *2163:7 4.88529 +2 *2163:7 *2163:8 70.9715 +3 *2163:8 *2163:13 10.278 +4 *2163:13 *2163:14 58.8559 +5 *2163:14 *2163:23 48.9859 +6 *2163:23 *2474:mprj_io_vtrip_sel[17] 2.78463 +*END + +*D_NET *2164 0.0227915 +*CONN +*I *2474:mprj_io_vtrip_sel[18] I *D chip_io_alt +*I *2465:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_vtrip_sel[18] 0.000550106 +2 *2465:pad_gpio_vtrip_sel 0.000295288 +3 *2164:16 0.00135199 +4 *2164:15 0.00112214 +5 *2164:10 0.00199537 +6 *2164:9 0.00197041 +7 *2465:resetn *2164:9 0.000132991 +8 *2474:mprj_io_ib_mode_sel[18] *2474:mprj_io_vtrip_sel[18] 0.000171064 +9 *2474:mprj_io_inp_dis[18] *2164:16 0.000253824 +10 *474:14 *2164:10 0 +11 *492:10 *2164:16 0.000332901 +12 *520:16 *2164:16 0.00579147 +13 *1786:15 *2164:15 5.04829e-06 +14 *1908:10 *2164:10 1.3807e-05 +15 *1909:10 *2164:10 0 +16 *1948:22 *2164:10 0.000524464 +17 *2083:9 *2164:9 0 +18 *2083:10 *2164:16 0.00509839 +19 *2110:10 *2164:10 0.00306955 +20 *2137:9 *2164:9 0.000112677 +21 *2137:10 *2164:10 0 +*RES +1 *2465:pad_gpio_vtrip_sel *2164:9 4.83873 +2 *2164:9 *2164:10 67.2342 +3 *2164:10 *2164:15 14.7148 +4 *2164:15 *2164:16 92.7722 +5 *2164:16 *2474:mprj_io_vtrip_sel[18] 23.0455 +*END + +*D_NET *2165 0.0230535 +*CONN +*I *2474:mprj_io_vtrip_sel[19] I *D chip_io_alt +*I *2466:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_vtrip_sel[19] 0.000389494 +2 *2466:pad_gpio_vtrip_sel 0.00131224 +3 *2165:28 0.00172106 +4 *2165:27 0.00165585 +5 *2165:14 0.000827182 +6 *2165:13 0.00181513 +7 *2466:resetn *2165:13 0.000406155 +8 *2474:mprj_io_ib_mode_sel[19] *2474:mprj_io_vtrip_sel[19] 6.8952e-05 +9 *2474:mprj_io_oeb[19] *2474:mprj_io_vtrip_sel[19] 0 +10 *2474:mprj_io_slow_sel[19] *2165:27 5.04829e-06 +11 *474:42 *2165:14 0.000357167 +12 *475:16 *2165:28 0 +13 *493:22 *2165:28 0 +14 *521:14 *2165:13 0.00022036 +15 *1787:8 *2165:28 0.00121846 +16 *1814:8 *2165:27 0.00065321 +17 *1912:14 *2165:28 0.00310365 +18 *1949:8 *2165:14 0.00340576 +19 *1949:8 *2165:27 0.00065321 +20 *1976:13 *2165:27 3.24705e-06 +21 *2057:13 *2165:13 5.04829e-06 +22 *2057:29 *2165:28 0.000327995 +23 *2084:7 *2165:13 0 +24 *2084:8 *2165:28 0 +25 *2111:7 *2165:13 0 +26 *2111:8 *2165:13 0.00121359 +27 *2111:14 *2165:28 0.000172797 +28 *2138:10 *2165:13 0.000259251 +29 *2138:10 *2165:14 0.00012974 +30 *2138:12 *2165:14 0.00312894 +*RES +1 *2466:pad_gpio_vtrip_sel *2165:13 46.1341 +2 *2165:13 *2165:14 54.9843 +3 *2165:14 *2165:27 33.0615 +4 *2165:27 *2165:28 67.4418 +5 *2165:28 *2474:mprj_io_vtrip_sel[19] 18.748 +*END + +*D_NET *2166 0.0129704 +*CONN +*I *2474:mprj_io_vtrip_sel[1] I *D chip_io_alt +*I *2445:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_vtrip_sel[1] 0.000293915 +2 *2445:pad_gpio_vtrip_sel 0.000401877 +3 *2166:14 0.0015279 +4 *2166:13 0.00163586 +5 *2445:resetn *2166:13 3.84497e-05 +6 *467:55 *2474:mprj_io_vtrip_sel[1] 3.87462e-05 +7 *467:55 *2166:14 0 +8 *485:53 *2166:13 1.38204e-05 +9 *485:60 *2474:mprj_io_vtrip_sel[1] 0 +10 *1913:23 *2166:14 0.00185131 +11 *1950:17 *2166:14 0.00258272 +12 *2004:11 *2166:14 2.79326e-05 +13 *2058:14 *2166:14 0 +14 *2112:14 *2166:14 0.00451942 +15 *2139:13 *2166:13 3.84497e-05 +*RES +1 *2445:pad_gpio_vtrip_sel *2166:13 21.3121 +2 *2166:13 *2166:14 89.035 +3 *2166:14 *2474:mprj_io_vtrip_sel[1] 15.5597 +*END + +*D_NET *2167 0.0241319 +*CONN +*I *2474:mprj_io_vtrip_sel[20] I *D chip_io_alt +*I *2467:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_vtrip_sel[20] 0.000624495 +2 *2467:pad_gpio_vtrip_sel 0.00203763 +3 *2167:19 0.00266213 +4 *2474:mprj_io_holdover[20] *2474:mprj_io_vtrip_sel[20] 0.000144219 +5 *2474:mprj_io_holdover[20] *2167:19 0.00796673 +6 *2474:mprj_io_ib_mode_sel[20] *2474:mprj_io_vtrip_sel[20] 0.000726163 +7 *2474:mprj_io_ib_mode_sel[20] *2167:19 0.000717549 +8 *474:48 *2474:mprj_io_vtrip_sel[20] 0.000642796 +9 *494:7 *2167:19 0 +10 *522:32 *2474:mprj_io_vtrip_sel[20] 0.00028775 +11 *522:32 *2167:19 0 +12 *1916:18 *2474:mprj_io_vtrip_sel[20] 0.000796463 +13 *1916:18 *2167:19 0.00633676 +14 *2005:11 *2167:19 0.000844182 +15 *2113:16 *2167:19 0.000297056 +16 *2140:7 *2167:19 4.79986e-05 +*RES +1 *2467:pad_gpio_vtrip_sel *2167:19 23.0291 +2 *2167:19 *2474:mprj_io_vtrip_sel[20] 44.3093 +*END + +*D_NET *2168 0.0232382 +*CONN +*I *2474:mprj_io_vtrip_sel[21] I *D chip_io_alt +*I *2468:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_vtrip_sel[21] 0.0004324 +2 *2468:pad_gpio_vtrip_sel 0.0003477 +3 *2168:8 0.00259527 +4 *2168:7 0.00251057 +5 *2468:resetn *2168:7 0.000307124 +6 *2474:mprj_io_ib_mode_sel[21] *2474:mprj_io_vtrip_sel[21] 0 +7 *2474:mprj_io_oeb[21] *2474:mprj_io_vtrip_sel[21] 0.000332702 +8 *477:8 *2168:8 0.000590812 +9 *494:20 *2168:8 0.00143652 +10 *523:8 *2168:8 0.000434278 +11 *1817:8 *2168:8 0.00562108 +12 *1952:14 *2168:8 0 +13 *2087:7 *2168:7 0 +14 *2087:8 *2168:8 0 +15 *2114:7 *2168:7 0 +16 *2114:8 *2168:8 0.00850005 +17 *2141:7 *2168:7 0.00012971 +*RES +1 *2468:pad_gpio_vtrip_sel *2168:7 5.03827 +2 *2168:7 *2168:8 157.759 +3 *2168:8 *2474:mprj_io_vtrip_sel[21] 22.3948 +*END + +*D_NET *2169 0.0223411 +*CONN +*I *2474:mprj_io_vtrip_sel[22] I *D chip_io_alt +*I *2469:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_vtrip_sel[22] 0.000679715 +2 *2469:pad_gpio_vtrip_sel 0.000984571 +3 *2169:16 0.00228206 +4 *2169:15 0.00258692 +5 *2169:16 *2299:21 0 +6 *2474:mprj_io_ib_mode_sel[22] *2474:mprj_io_vtrip_sel[22] 0 +7 *2474:mprj_io_out[22] *2474:mprj_io_vtrip_sel[22] 1.1573e-05 +8 *2474:mprj_io_out[22] *2169:16 0 +9 *494:20 *2169:16 0.00109157 +10 *524:22 *2169:15 0.00140225 +11 *1818:16 *2169:16 0.00436911 +12 *1920:8 *2169:16 0.00573543 +13 *1921:8 *2169:16 0.000721742 +14 *1922:33 *2169:16 7.77309e-06 +15 *1953:17 *2169:16 0.00138822 +16 *2061:14 *2169:15 0.000371234 +17 *2061:16 *2169:16 3.10643e-05 +18 *2088:10 *2169:15 0 +19 *2088:12 *2169:16 0.000249644 +20 *2115:8 *2169:15 0 +21 *2115:8 *2169:16 0.000233149 +22 *2142:7 *2169:15 0.000195024 +23 *2142:8 *2169:15 0 +*RES +1 *2469:pad_gpio_vtrip_sel *2169:15 39.3724 +2 *2169:15 *2169:16 127.653 +3 *2169:16 *2474:mprj_io_vtrip_sel[22] 28.5891 +*END + +*D_NET *2170 0.0257906 +*CONN +*I *2474:mprj_io_vtrip_sel[23] I *D chip_io_alt +*I *2470:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_vtrip_sel[23] 0.00415117 +2 *2470:pad_gpio_vtrip_sel 0.000116636 +3 *2170:10 0.00548574 +4 *2170:9 0.0014512 +5 *2474:mprj_io_vtrip_sel[23] *2318:9 0.000308715 +6 *2474:mprj_io_ib_mode_sel[23] *2474:mprj_io_vtrip_sel[23] 0.000355832 +7 *2474:mprj_io_out[23] *2474:mprj_io_vtrip_sel[23] 0.00598936 +8 *2474:mprj_io_slow_sel[23] *2474:mprj_io_vtrip_sel[23] 0.000444177 +9 *477:26 *2474:mprj_io_vtrip_sel[23] 0.00209855 +10 *497:10 *2170:10 0.00385617 +11 *1925:8 *2474:mprj_io_vtrip_sel[23] 0.000390434 +12 *2143:9 *2170:9 2.56241e-05 +13 *2143:13 *2474:mprj_io_vtrip_sel[23] 0.00111699 +*RES +1 *2470:pad_gpio_vtrip_sel *2170:9 3.708 +2 *2170:9 *2170:10 61.6283 +3 *2170:10 *2474:mprj_io_vtrip_sel[23] 31.8089 +*END + +*D_NET *2171 0.0212245 +*CONN +*I *2474:mprj_io_vtrip_sel[24] I *D chip_io_alt +*I *2446:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_vtrip_sel[24] 0.00387041 +2 *2446:pad_gpio_vtrip_sel 0.00387041 +3 *2446:resetn *2474:mprj_io_vtrip_sel[24] 5.04815e-05 +4 *2474:mprj_io_ib_mode_sel[24] *2474:mprj_io_vtrip_sel[24] 0 +5 *101:63 *2474:mprj_io_vtrip_sel[24] 0.00653006 +6 *477:26 *2474:mprj_io_vtrip_sel[24] 0 +7 *486:44 *2474:mprj_io_vtrip_sel[24] 0.00647548 +8 *2009:11 *2474:mprj_io_vtrip_sel[24] 0.000377203 +9 *2144:7 *2474:mprj_io_vtrip_sel[24] 5.04815e-05 +*RES +1 *2446:pad_gpio_vtrip_sel *2474:mprj_io_vtrip_sel[24] 28.7945 +*END + +*D_NET *2172 0.0206091 +*CONN +*I *2474:mprj_io_vtrip_sel[25] I *D chip_io_alt +*I *2447:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_vtrip_sel[25] 0.000398518 +2 *2447:pad_gpio_vtrip_sel 0.000149094 +3 *2172:14 0.0019584 +4 *2172:13 0.00187498 +5 *2172:8 0.00162706 +6 *2172:7 0.00146105 +7 *2447:resetn *2172:7 6.59948e-05 +8 *2447:resetn_out *2172:7 0 +9 *2448:serial_load *2172:8 0.000271272 +10 *2474:mprj_io_ib_mode_sel[25] *2474:mprj_io_vtrip_sel[25] 0 +11 *515:8 *2474:mprj_io_vtrip_sel[25] 4.76719e-05 +12 *515:12 *2172:8 0.0033645 +13 *1794:16 *2172:14 0.00351467 +14 *1848:16 *2172:14 0.000337806 +15 *1931:8 *2172:8 0 +16 *1983:8 *2172:14 0.00109736 +17 *2064:8 *2172:14 0.00429605 +18 *2118:8 *2172:8 0 +19 *2118:21 *2172:13 5.04829e-06 +20 *2145:7 *2172:7 0.000139564 +*RES +1 *2447:pad_gpio_vtrip_sel *2172:7 4.12039 +2 *2172:7 *2172:8 55.1919 +3 *2172:8 *2172:13 15.824 +4 *2172:13 *2172:14 105.23 +5 *2172:14 *2474:mprj_io_vtrip_sel[25] 19.8572 +*END + +*D_NET *2173 0.020419 +*CONN +*I *2474:mprj_io_vtrip_sel[26] I *D chip_io_alt +*I *2448:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_vtrip_sel[26] 0.00332384 +2 *2448:pad_gpio_vtrip_sel 9.90234e-05 +3 *2173:10 0.0051222 +4 *2173:9 0.00189738 +5 *2474:mprj_io_analog_sel[26] *2474:mprj_io_vtrip_sel[26] 0.000242864 +6 *2474:mprj_io_dm[80] *2474:mprj_io_vtrip_sel[26] 0.000121586 +7 *2474:mprj_io_out[26] *2474:mprj_io_vtrip_sel[26] 0.000182583 +8 *1795:8 *2474:mprj_io_vtrip_sel[26] 0.000272159 +9 *1936:12 *2173:10 0.00210743 +10 *1984:21 *2474:mprj_io_vtrip_sel[26] 0.0061949 +11 *2011:7 *2474:mprj_io_vtrip_sel[26] 0.000220432 +12 *2146:10 *2173:10 0.000634651 +*RES +1 *2448:pad_gpio_vtrip_sel *2173:9 3.708 +2 *2173:9 *2173:10 69.9334 +3 *2173:10 *2474:mprj_io_vtrip_sel[26] 26.0523 +*END + +*D_NET *2174 0.0115672 +*CONN +*I *2474:mprj_io_vtrip_sel[2] I *D chip_io_alt +*I *2455:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_vtrip_sel[2] 0.000371569 +2 *2455:pad_gpio_vtrip_sel 0.00058926 +3 *2174:14 0.00164761 +4 *2174:13 0.0018653 +5 *2455:resetn *2174:13 3.84497e-05 +6 *104:40 *2174:13 0 +7 *471:40 *2474:mprj_io_vtrip_sel[2] 0.000131612 +8 *471:40 *2174:14 0 +9 *1935:23 *2474:mprj_io_vtrip_sel[2] 5.04829e-06 +10 *1958:14 *2174:14 0.0041934 +11 *2066:14 *2174:14 0.00218055 +12 *2093:13 *2174:13 0.000105936 +13 *2093:14 *2174:14 0.000178513 +14 *2120:14 *2174:14 0.000221531 +15 *2147:7 *2174:13 3.84497e-05 +*RES +1 *2455:pad_gpio_vtrip_sel *2174:13 26.7131 +2 *2174:13 *2174:14 85.2977 +3 *2174:14 *2474:mprj_io_vtrip_sel[2] 16.8705 +*END + +*D_NET *2175 0.011738 +*CONN +*I *2474:mprj_io_vtrip_sel[3] I *D chip_io_alt +*I *2456:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_vtrip_sel[3] 0.000372389 +2 *2456:pad_gpio_vtrip_sel 0.000743522 +3 *2175:14 0.0023216 +4 *2175:13 0.00269274 +5 *2456:resetn *2175:13 3.84497e-05 +6 *2474:mprj_io_out[3] *2474:mprj_io_vtrip_sel[3] 0.00058327 +7 *105:32 *2175:13 0.000107108 +8 *1565:20 *2175:14 0 +9 *1797:22 *2175:14 0 +10 *1824:17 *2175:14 0 +11 *1824:20 *2175:14 0.00104897 +12 *1859:16 *2175:14 8.53782e-05 +13 *1938:16 *2175:14 0.00277594 +14 *1938:18 *2175:14 2.6506e-05 +15 *2067:16 *2175:14 0.000273439 +16 *2094:8 *2175:13 5.39868e-05 +17 *2121:14 *2175:14 0 +18 *2148:15 *2175:13 0.000237476 +19 *2148:16 *2175:14 0 +20 *2148:25 *2474:mprj_io_vtrip_sel[3] 0.000377259 +*RES +1 *2456:pad_gpio_vtrip_sel *2175:13 33.0869 +2 *2175:13 *2175:14 82.3909 +3 *2175:14 *2474:mprj_io_vtrip_sel[3] 20.9664 +*END + +*D_NET *2176 0.0146443 +*CONN +*I *2474:mprj_io_vtrip_sel[4] I *D chip_io_alt +*I *2457:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_vtrip_sel[4] 0.000364599 +2 *2457:pad_gpio_vtrip_sel 0.000365075 +3 *2176:14 0.00130054 +4 *2176:13 0.00130101 +5 *2457:resetn *2176:13 0.000155558 +6 *2474:mprj_io_ib_mode_sel[4] *2474:mprj_io_vtrip_sel[4] 0.000194827 +7 *108:47 *2176:14 0.000988756 +8 *110:98 *2176:14 0.005214 +9 *1960:14 *2474:mprj_io_vtrip_sel[4] 0.00045785 +10 *1987:14 *2176:14 0.00419099 +11 *2122:14 *2176:14 7.26852e-05 +12 *2149:13 *2176:13 3.84497e-05 +*RES +1 *2457:pad_gpio_vtrip_sel *2176:13 21.4514 +2 *2176:13 *2176:14 84.0519 +3 *2176:14 *2474:mprj_io_vtrip_sel[4] 21.1362 +*END + +*D_NET *2177 0.014287 +*CONN +*I *2474:mprj_io_vtrip_sel[5] I *D chip_io_alt +*I *2458:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_vtrip_sel[5] 0.000325173 +2 *2458:pad_gpio_vtrip_sel 0.000529092 +3 *2177:14 0.00130329 +4 *2177:13 0.00150721 +5 *2458:resetn *2177:13 3.84497e-05 +6 *2474:mprj_io_dm[17] *2177:14 2.6506e-05 +7 *110:98 *2177:14 0.00529365 +8 *493:51 *2177:14 0.000880813 +9 *1866:14 *2177:14 0.00346392 +10 *1961:14 *2474:mprj_io_vtrip_sel[5] 3.87462e-05 +11 *2069:14 *2177:14 0 +12 *2096:13 *2177:13 0.000131328 +13 *2150:7 *2177:13 3.84497e-05 +14 *2150:8 *2177:13 0 +15 *2150:14 *2177:14 0.000710369 +*RES +1 *2458:pad_gpio_vtrip_sel *2177:13 25.6039 +2 *2177:13 *2177:14 85.2977 +3 *2177:14 *2474:mprj_io_vtrip_sel[5] 16.1144 +*END + +*D_NET *2178 0.0125562 +*CONN +*I *2474:mprj_io_vtrip_sel[6] I *D chip_io_alt +*I *2459:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_vtrip_sel[6] 0.000346458 +2 *2459:pad_gpio_vtrip_sel 0.000664389 +3 *2178:14 0.0014535 +4 *2178:13 0.00177143 +5 *2459:resetn *2178:13 3.84497e-05 +6 *2459:resetn *2178:14 0.000617283 +7 *110:98 *2178:14 7.70741e-05 +8 *507:18 *2474:mprj_io_vtrip_sel[6] 0.000133144 +9 *507:18 *2178:13 0 +10 *2016:19 *2178:14 0.00225214 +11 *2043:13 *2178:14 0.000418796 +12 *2070:13 *2178:13 0 +13 *2070:14 *2178:14 0.00442542 +14 *2097:8 *2178:13 3.61259e-05 +15 *2124:14 *2178:14 1.87125e-05 +16 *2151:15 *2178:13 0.000237476 +17 *2151:16 *2178:14 0 +18 *2151:21 *2474:mprj_io_vtrip_sel[6] 6.58333e-05 +*RES +1 *2459:pad_gpio_vtrip_sel *2178:13 29.2047 +2 *2178:13 *2178:14 82.3909 +3 *2178:14 *2474:mprj_io_vtrip_sel[6] 16.8949 +*END + +*D_NET *2179 0.0678254 +*CONN +*I *2474:mprj_io_vtrip_sel[7] I *D chip_io_alt +*I *2460:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_vtrip_sel[7] 0.000602722 +2 *2460:pad_gpio_vtrip_sel 0.000659131 +3 *2179:14 0.00780575 +4 *2179:13 0.00786216 +5 *2179:14 *2180:14 0.00141636 +6 *2460:resetn_out *2179:13 0 +7 *2474:mprj_io_ib_mode_sel[7] *2474:mprj_io_vtrip_sel[7] 0 +8 *477:35 *2179:13 0.000184544 +9 *478:32 *2179:14 5.58573e-06 +10 *1801:14 *2179:14 0.00301963 +11 *1802:14 *2179:14 0.000751736 +12 *1856:19 *2179:14 0.0062272 +13 *1872:14 *2179:14 0.00250173 +14 *1873:20 *2179:14 0.000800538 +15 *1875:14 *2179:14 0.000117376 +16 *1963:8 *2179:13 0.00139699 +17 *1964:16 *2179:14 0.000573166 +18 *1990:8 *2179:14 0 +19 *2098:13 *2179:13 0.00229407 +20 *2098:14 *2179:14 0.029532 +21 *2125:14 *2179:14 0.00198756 +22 *2152:10 *2179:13 8.71681e-05 +23 *2153:20 *2474:mprj_io_vtrip_sel[7] 0 +*RES +1 *2460:pad_gpio_vtrip_sel *2179:13 31.4664 +2 *2179:13 *2179:14 58.8026 +3 *2179:14 *2474:mprj_io_vtrip_sel[7] 7.40493 +*END + +*D_NET *2180 0.0745831 +*CONN +*I *2474:mprj_io_vtrip_sel[8] I *D chip_io_alt +*I *2449:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_vtrip_sel[8] 0.000462753 +2 *2449:pad_gpio_vtrip_sel 0.000561918 +3 *2180:14 0.00456144 +4 *2180:13 0.00466061 +5 *2180:14 *2181:8 9.11676e-05 +6 *2449:resetn *2180:13 3.18067e-05 +7 *2449:resetn_out *2180:13 0.000120971 +8 *2449:serial_clock_out *2180:13 1.7455e-05 +9 *2474:mprj_io_holdover[8] *2474:mprj_io_vtrip_sel[8] 5.3432e-06 +10 *2474:mprj_io_ib_mode_sel[8] *2474:mprj_io_vtrip_sel[8] 0 +11 *2474:mprj_io_out[8] *2474:mprj_io_vtrip_sel[8] 0.00015927 +12 *110:123 *2180:13 0.000369539 +13 *477:46 *2180:13 0.000122412 +14 *495:87 *2180:13 0.000158426 +15 *1874:34 *2180:14 0.000559692 +16 *1875:14 *2180:14 0.000490766 +17 *1878:14 *2180:14 0.000323246 +18 *1964:16 *2180:14 0.0256478 +19 *1965:8 *2180:14 1.83572e-05 +20 *1990:8 *2180:14 4.52006e-05 +21 *2017:13 *2180:14 0.000437916 +22 *2072:18 *2180:14 0.00261262 +23 *2073:44 *2474:mprj_io_vtrip_sel[8] 0.000656134 +24 *2125:14 *2180:14 0.000437916 +25 *2126:15 *2180:13 0.000258632 +26 *2126:16 *2180:14 0.0303189 +27 *2153:15 *2180:13 3.64213e-05 +28 *2179:14 *2180:14 0.00141636 +*RES +1 *2449:pad_gpio_vtrip_sel *2180:13 15.6432 +2 *2180:13 *2180:14 60.8601 +3 *2180:14 *2474:mprj_io_vtrip_sel[8] 15.4156 +*END + +*D_NET *2181 0.0743254 +*CONN +*I *2474:mprj_io_vtrip_sel[9] I *D chip_io_alt +*I *2450:pad_gpio_vtrip_sel O *D gpio_control_block +*CAP +1 *2474:mprj_io_vtrip_sel[9] 0.000430673 +2 *2450:pad_gpio_vtrip_sel 0.000896649 +3 *2181:8 0.00505334 +4 *2181:7 0.00551932 +5 *2450:resetn_out *2181:7 6.19346e-06 +6 *2474:mprj_io_out[10] *2181:8 4.8144e-05 +7 *2474:mprj_io_ib_mode_sel[9] *2474:mprj_io_vtrip_sel[9] 8.32935e-05 +8 *101:22 *2474:mprj_io_vtrip_sel[9] 7.88241e-05 +9 *1829:14 *2181:8 0.00142495 +10 *1830:14 *2181:8 0.000445769 +11 *1856:19 *2181:8 0.0107257 +12 *1877:14 *2181:8 0.000851878 +13 *1878:14 *2181:8 0.000550239 +14 *1879:26 *2181:8 0.000421174 +15 *1882:14 *2181:8 0.00012951 +16 *1940:10 *2181:8 0.000327914 +17 *1964:16 *2181:8 0.000519516 +18 *1965:8 *2181:8 0 +19 *1992:8 *2181:8 0.0317917 +20 *2048:14 *2181:8 0.00187368 +21 *2100:7 *2181:7 0 +22 *2102:10 *2181:8 0.0130286 +23 *2154:13 *2181:7 2.72062e-05 +24 *2180:14 *2181:8 9.11676e-05 +*RES +1 *2450:pad_gpio_vtrip_sel *2181:7 2.08436 +2 *2181:7 *2181:8 63.1462 +3 *2181:8 *2474:mprj_io_vtrip_sel[9] 6.08268 +*END + +*D_NET *2182 0.104848 +*CONN +*I *2473:wb_rst_i I *D user_analog_project_wrapper +*I *2472:user_reset O *D mgmt_protect +*CAP +1 *2473:wb_rst_i 0.00203468 +2 *2472:user_reset 0.00127131 +3 *2182:10 0.00203468 +4 *2182:8 0.0142005 +5 *2182:7 0.0154718 +6 *2182:8 *2196:8 0.015635 +7 *2472:mprj_ack_i_user *2182:7 0 +8 *989:5 *2182:7 0 +9 *1613:8 *2182:8 0.0312624 +10 *1626:8 *2182:8 0.000309013 +11 *1645:9 *2182:7 0 +12 *1648:7 *2182:7 0 +13 *1758:8 *2182:8 0.0226282 +*RES +1 *2472:user_reset *2182:7 33.8608 +2 *2182:7 *2182:8 757.757 +3 *2182:8 *2182:10 4.5 +4 *2182:10 *2473:wb_rst_i 54.6912 +*END + +*D_NET *2183 0.348162 +*CONN +*I *2471:wb_sel_i[0] I *D housekeeping +*I *2472:mprj_sel_o_core[0] I *D mgmt_protect +*I *2478:mprj_sel_o[0] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_sel_i[0] 0.00123357 +2 *2472:mprj_sel_o_core[0] 0.00127096 +3 *2478:mprj_sel_o[0] 1.28869e-05 +4 *2183:39 0.0066029 +5 *2183:37 0.00643685 +6 *2183:19 0.00830034 +7 *2183:18 0.00702939 +8 *2183:16 0.00274092 +9 *2183:14 0.00312286 +10 *2183:5 0.00146236 +11 *2471:wb_sel_i[0] *2184:28 0 +12 *2183:19 *2185:10 0.0715005 +13 *2183:39 *2185:27 0.0802503 +14 *2183:39 *2195:27 0.0811001 +15 *2472:mprj_adr_o_core[1] *2472:mprj_sel_o_core[0] 0 +16 *2472:mprj_dat_o_core[0] *2472:mprj_sel_o_core[0] 0 +17 *100:77 *2183:37 0.00027253 +18 *480:17 *2183:37 0.000664751 +19 *609:13 *2472:mprj_sel_o_core[0] 0.000138841 +20 *1257:16 *2183:19 0.000419943 +21 *1271:16 *2183:19 0.00203988 +22 *1582:16 *2183:19 0 +23 *1592:7 *2183:14 0 +24 *1592:7 *2183:16 0 +25 *1592:23 *2183:16 0 +26 *1713:7 *2183:14 0 +27 *1713:7 *2183:16 0 +28 *1713:10 *2183:19 0.0727757 +29 *1713:24 *2183:14 0 +30 *1724:27 *2183:37 0.000683502 +31 *1735:24 *2183:19 0.000102747 +32 *1737:28 *2471:wb_sel_i[0] 0 +*RES +1 *2478:mprj_sel_o[0] *2183:5 0.366399 +2 *2183:5 *2183:14 7.71881 +3 *2183:14 *2183:16 59.1368 +4 *2183:16 *2183:18 3.36879 +5 *2183:18 *2183:19 93.5209 +6 *2183:19 *2472:mprj_sel_o_core[0] 30.8609 +7 *2183:5 *2183:37 42.2538 +8 *2183:37 *2183:39 851.485 +9 *2183:39 *2471:wb_sel_i[0] 38.4652 +*END + +*D_NET *2184 0.35398 +*CONN +*I *2471:wb_sel_i[1] I *D housekeeping +*I *2472:mprj_sel_o_core[1] I *D mgmt_protect +*I *2478:mprj_sel_o[1] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_sel_i[1] 1.28869e-05 +2 *2472:mprj_sel_o_core[1] 0.00229757 +3 *2478:mprj_sel_o[1] 0.000903294 +4 *2184:28 0.00257507 +5 *2184:27 0.00256218 +6 *2184:25 0.0325296 +7 *2184:18 0.00229757 +8 *2184:16 0.00762203 +9 *2184:15 0.00886013 +10 *2184:9 0.034671 +11 *2184:25 *2186:10 0.0893261 +12 *2184:28 *2471:wb_sel_i[2] 0 +13 *2184:28 *2186:13 0 +14 *2471:wb_sel_i[0] *2184:28 0 +15 *2472:mprj_adr_o_core[2] *2472:mprj_sel_o_core[1] 0 +16 *2472:mprj_dat_o_core[1] *2472:mprj_sel_o_core[1] 0 +17 *607:13 *2472:mprj_sel_o_core[1] 0.000699164 +18 *985:12 *2184:16 0.000281841 +19 *986:12 *2184:16 1.9628e-06 +20 *1593:10 *2184:25 0.0034499 +21 *1593:30 *2184:16 0.00248873 +22 *1594:10 *2184:25 0.00634336 +23 *1656:8 *2184:25 0.000709571 +24 *1659:8 *2184:16 0.000101365 +25 *1660:17 *2184:15 0.000682521 +26 *1718:10 *2184:15 0 +27 *1718:10 *2184:25 0 +28 *1720:10 *2184:25 0.000551302 +29 *1722:10 *2184:25 0.000557532 +30 *1724:9 *2184:15 0 +31 *1724:10 *2184:16 0.0734052 +32 *1731:10 *2184:25 0.00330201 +33 *1735:10 *2184:25 0.00132602 +34 *1738:10 *2184:16 0.0718148 +35 *1743:10 *2184:25 0.00460762 +*RES +1 *2478:mprj_sel_o[1] *2184:9 25.4993 +2 *2184:9 *2184:15 22.4538 +3 *2184:15 *2184:16 93.7504 +4 *2184:16 *2184:18 3.36879 +5 *2184:18 *2472:mprj_sel_o_core[1] 51.5768 +6 *2184:9 *2184:25 119.681 +7 *2184:25 *2184:27 3.36879 +8 *2184:27 *2184:28 65.7808 +9 *2184:28 *2471:wb_sel_i[1] 0.366399 +*END + +*D_NET *2185 0.341218 +*CONN +*I *2471:wb_sel_i[2] I *D housekeeping +*I *2472:mprj_sel_o_core[2] I *D mgmt_protect +*I *2478:mprj_sel_o[2] O *D mgmt_core_wrapper +*CAP +1 *2471:wb_sel_i[2] 0.00124816 +2 *2472:mprj_sel_o_core[2] 0.00128658 +3 *2478:mprj_sel_o[2] 0.00063488 +4 *2185:27 0.00818045 +5 *2185:25 0.00747183 +6 *2185:10 0.00840717 +7 *2185:9 0.00712059 +8 *2185:7 0.00279278 +9 *2185:5 0.00288812 +10 *2471:wb_sel_i[2] *2186:13 0 +11 *2185:27 *2195:27 0.000250542 +12 *2472:mprj_adr_o_core[3] *2472:mprj_sel_o_core[2] 0 +13 *2472:mprj_dat_o_core[2] *2472:mprj_sel_o_core[2] 0 +14 *1269:16 *2185:10 0.00152795 +15 *1273:16 *2185:10 0.00200575 +16 *1582:16 *2185:10 0 +17 *1606:9 *2185:25 0 +18 *1673:8 *2185:10 0.000224106 +19 *1713:33 *2185:27 0.0698415 +20 *1716:27 *2185:27 0 +21 *1724:33 *2185:27 0.000137345 +22 *1735:7 *2185:7 0 +23 *1735:23 *2185:7 0 +24 *1735:24 *2185:10 0.0752063 +25 *1738:33 *2185:27 0.000122714 +26 *1740:31 *2185:27 8.8758e-05 +27 *1742:7 *2185:27 3.18408e-05 +28 *1744:33 *2185:27 0 +29 *2183:19 *2185:10 0.0715005 +30 *2183:39 *2185:27 0.0802503 +31 *2184:28 *2471:wb_sel_i[2] 0 +*RES +1 *2478:mprj_sel_o[2] *2185:5 2.05183 +2 *2185:5 *2185:7 60.7978 +3 *2185:7 *2185:9 3.36879 +4 *2185:9 *2185:10 96.504 +5 *2185:10 *2472:mprj_sel_o_core[2] 30.0304 +6 *2478:mprj_sel_o[2] *2185:25 15.8884 +7 *2185:25 *2185:27 848.712 +8 *2185:27 *2471:wb_sel_i[2] 38.8804 +*END + +*D_NET *2186 0.392943 +*CONN +*I *2472:mprj_sel_o_core[3] I *D mgmt_protect +*I *2471:wb_sel_i[3] I *D housekeeping +*I *2478:mprj_sel_o[3] O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_sel_o_core[3] 0.00268802 +2 *2471:wb_sel_i[3] 1.28869e-05 +3 *2478:mprj_sel_o[3] 0.000821321 +4 *2186:26 0.00268802 +5 *2186:24 0.0100937 +6 *2186:23 0.0106662 +7 *2186:13 0.00260117 +8 *2186:12 0.00258829 +9 *2186:10 0.0111215 +10 *2186:9 0.0111215 +11 *2186:7 0.00139384 +12 *2186:13 *2471:wb_we_i 0 +13 *2471:wb_sel_i[2] *2186:13 0 +14 *2472:mprj_adr_o_core[4] *2472:mprj_sel_o_core[3] 0 +15 *2472:mprj_dat_o_core[3] *2472:mprj_sel_o_core[3] 0 +16 *862:10 *2186:24 0.000209972 +17 *986:12 *2186:24 0.000753182 +18 *987:12 *2186:24 0.000177027 +19 *1121:10 *2186:24 0.000724555 +20 *1596:10 *2186:24 0.00275653 +21 *1606:24 *2186:23 0 +22 *1607:9 *2186:7 0.000591946 +23 *1607:9 *2186:23 8.3205e-05 +24 *1663:14 *2186:24 0.00253422 +25 *1674:5 *2472:mprj_sel_o_core[3] 0 +26 *1714:27 *2186:10 0.00434774 +27 *1715:10 *2186:10 0.0039392 +28 *1719:10 *2186:10 0.000156019 +29 *1735:10 *2186:10 0.079923 +30 *1738:10 *2186:24 0.000563713 +31 *1740:12 *2186:24 0.0759981 +32 *1741:10 *2186:10 0.000499585 +33 *1742:30 *2186:24 0.0745623 +34 *2184:25 *2186:10 0.0893261 +35 *2184:28 *2186:13 0 +*RES +1 *2478:mprj_sel_o[3] *2186:7 24.6464 +2 *2186:7 *2186:9 3.36879 +3 *2186:9 *2186:10 114.479 +4 *2186:10 *2186:12 3.36879 +5 *2186:12 *2186:13 66.6113 +6 *2186:13 *2471:wb_sel_i[3] 0.366399 +7 *2186:7 *2186:23 14.3363 +8 *2186:23 *2186:24 98.7222 +9 *2186:24 *2186:26 3.36879 +10 *2186:26 *2472:mprj_sel_o_core[3] 54.0683 +*END + +*D_NET *2187 0.190804 +*CONN +*I *2473:wbs_sel_i[0] I *D user_analog_project_wrapper +*I *2472:mprj_sel_o_user[0] O *D mgmt_protect +*CAP +1 *2473:wbs_sel_i[0] 0.00204751 +2 *2472:mprj_sel_o_user[0] 0.00130332 +3 *2187:10 0.00204751 +4 *2187:8 0.00558447 +5 *2187:7 0.00688778 +6 *2187:8 *2196:8 0.0777299 +7 *2472:mprj_dat_i_user[0] *2187:7 0 +8 *1613:8 *2187:8 0.00869571 +9 *1624:7 *2187:7 0 +10 *1624:8 *2187:8 0.078061 +11 *1639:13 *2187:7 0 +12 *1681:8 *2187:8 0.00799443 +13 *1745:7 *2187:7 0 +14 *1750:8 *2187:8 0.000452673 +*RES +1 *2472:mprj_sel_o_user[0] *2187:7 33.3524 +2 *2187:7 *2187:8 99.7166 +3 *2187:8 *2187:10 3.36879 +4 *2187:10 *2473:wbs_sel_i[0] 54.0683 +*END + +*D_NET *2188 0.181461 +*CONN +*I *2473:wbs_sel_i[1] I *D user_analog_project_wrapper +*I *2472:mprj_sel_o_user[1] O *D mgmt_protect +*CAP +1 *2473:wbs_sel_i[1] 0.00140219 +2 *2472:mprj_sel_o_user[1] 0.00224647 +3 *2188:8 0.010881 +4 *2188:7 0.00947886 +5 *2188:5 0.00224647 +6 *2188:8 *2192:8 0.0739916 +7 *2473:la_data_in[2] *2188:5 0.000157739 +8 *1633:13 *2188:5 0 +9 *1635:5 *2188:5 0 +10 *1635:8 *2188:8 0.000322757 +11 *1639:14 *2188:8 0.000199658 +12 *1692:8 *2188:8 0.00066078 +13 *1708:8 *2188:8 0.00236014 +14 *1710:8 *2188:8 0.00190188 +15 *1712:8 *2188:8 0.000627723 +16 *1756:7 *2188:5 0 +17 *1767:10 *2188:8 0.0749833 +*RES +1 *2472:mprj_sel_o_user[1] *2188:5 47.4242 +2 *2188:5 *2188:7 3.36879 +3 *2188:7 *2188:8 97.1924 +4 *2188:8 *2473:wbs_sel_i[1] 39.9965 +*END + +*D_NET *2189 0.174592 +*CONN +*I *2473:wbs_sel_i[2] I *D user_analog_project_wrapper +*I *2472:mprj_sel_o_user[2] O *D mgmt_protect +*CAP +1 *2473:wbs_sel_i[2] 0.00195102 +2 *2472:mprj_sel_o_user[2] 0.00146278 +3 *2189:10 0.00195102 +4 *2189:8 0.00640834 +5 *2189:7 0.00787112 +6 *2473:la_oenb[2] *2189:7 0 +7 *1614:8 *2189:8 0.00558952 +8 *1638:7 *2189:7 0 +9 *1703:8 *2189:8 0.074329 +10 *1746:8 *2189:8 0.00477669 +11 *1750:8 *2189:8 0 +12 *1767:7 *2189:7 0 +13 *1771:8 *2189:8 0.0702528 +*RES +1 *2472:mprj_sel_o_user[2] *2189:7 36.6745 +2 *2189:7 *2189:8 94.8212 +3 *2189:8 *2189:10 3.36879 +4 *2189:10 *2473:wbs_sel_i[2] 50.7463 +*END + +*D_NET *2190 0.170573 +*CONN +*I *2473:wbs_sel_i[3] I *D user_analog_project_wrapper +*I *2472:mprj_sel_o_user[3] O *D mgmt_protect +*CAP +1 *2473:wbs_sel_i[3] 0.00131457 +2 *2472:mprj_sel_o_user[3] 0.00237185 +3 *2190:8 0.0101578 +4 *2190:7 0.00884319 +5 *2190:5 0.00237185 +6 *2472:mprj_dat_i_user[4] *2190:5 0 +7 *2473:la_data_in[3] *2190:5 0.000143931 +8 *1619:8 *2190:8 0.00147798 +9 *1639:10 *2190:5 0 +10 *1641:8 *2190:8 0.000121359 +11 *1688:8 *2190:8 0.00117777 +12 *1692:8 *2190:8 0.069545 +13 *1712:8 *2190:8 0 +14 *1751:8 *2190:8 0.00010238 +15 *1767:10 *2190:8 0.000595257 +16 *1770:5 *2190:5 0 +17 *1770:8 *2190:8 0.0723506 +*RES +1 *2472:mprj_sel_o_user[3] *2190:5 49.9158 +2 *2190:5 *2190:7 3.36879 +3 *2190:7 *2190:8 92.297 +4 *2190:8 *2473:wbs_sel_i[3] 37.505 +*END + +*D_NET *2191 0.140597 +*CONN +*I *2472:mprj_stb_o_core I *D mgmt_protect +*I *2478:mprj_stb_o O *D mgmt_core_wrapper +*CAP +1 *2472:mprj_stb_o_core 0.000915139 +2 *2478:mprj_stb_o 0.00187889 +3 *2191:16 0.00603103 +4 *2191:15 0.00622532 +5 *2191:12 0.00189453 +6 *2191:9 0.00266398 +7 *2472:mprj_stb_o_core *2472:mprj_we_o_core 0 +8 *2472:mprj_cyc_o_core *2472:mprj_stb_o_core 0 +9 *606:8 *2191:16 0.00033964 +10 *608:8 *2191:16 0.000159331 +11 *635:11 *2191:15 0.00155927 +12 *730:8 *2191:16 0.000802118 +13 *863:15 *2472:mprj_stb_o_core 1.44467e-05 +14 *1147:7 *2191:15 0 +15 *1590:18 *2191:16 0 +16 *1647:9 *2191:9 0 +17 *1647:25 *2191:9 5.22654e-06 +18 *1649:8 *2191:16 0.00033203 +19 *1659:8 *2191:12 0.000422861 +20 *1660:8 *2191:16 0.055114 +21 *1662:14 *2191:16 0.000290632 +22 *1671:8 *2191:16 0.0574594 +23 *1717:24 *2191:16 0.00448941 +*RES +1 *2478:mprj_stb_o *2191:9 44.7184 +2 *2191:9 *2191:12 29.626 +3 *2191:12 *2191:15 34.5691 +4 *2191:15 *2191:16 631.862 +5 *2191:16 *2472:mprj_stb_o_core 23.8947 +*END + +*D_NET *2192 0.189208 +*CONN +*I *2473:wbs_stb_i I *D user_analog_project_wrapper +*I *2472:mprj_stb_o_user O *D mgmt_protect +*CAP +1 *2473:wbs_stb_i 0.00142238 +2 *2472:mprj_stb_o_user 0.00230516 +3 *2192:8 0.0120616 +4 *2192:7 0.0129444 +5 *2192:7 *2196:7 0 +6 *2473:la_data_in[1] *2192:7 0 +7 *1639:14 *2192:8 0.00253186 +8 *1648:7 *2192:7 0 +9 *1648:8 *2192:8 0.0806772 +10 *1692:8 *2192:8 0.000198316 +11 *1706:8 *2192:8 0.00295362 +12 *1712:8 *2192:8 0.000121359 +13 *2188:8 *2192:8 0.0739916 +*RES +1 *2472:mprj_stb_o_user *2192:7 49.9625 +2 *2192:7 *2192:8 102.776 +3 *2192:8 *2473:wbs_stb_i 40.827 +*END + +*D_NET *2193 0.262072 +*CONN +*I *2471:usr1_vcc_pwrgood I *D housekeeping +*I *2472:user1_vcc_powergood O *D mgmt_protect +*CAP +1 *2471:usr1_vcc_pwrgood 0.00159892 +2 *2472:user1_vcc_powergood 0.00138374 +3 *2193:11 0.0187183 +4 *2193:10 0.0171194 +5 *2193:8 0.00352767 +6 *2193:7 0.00491141 +7 *2193:8 *2194:8 0.00521643 +8 *2193:11 *2194:11 0.127205 +9 *2471:usr2_vcc_pwrgood *2471:usr1_vcc_pwrgood 0 +10 *2471:wb_cyc_i *2471:usr1_vcc_pwrgood 0 +11 *485:44 *2193:11 0.0158453 +12 *1127:9 *2193:8 0.000501462 +13 *1573:10 *2193:11 0 +14 *1577:16 *2193:8 0.000292789 +15 *1611:25 *2193:11 0.002931 +16 *1713:25 *2193:11 0.00625285 +17 *1720:24 *2193:11 0.0552514 +18 *1726:25 *2193:11 0.000597677 +19 *1728:31 *2193:11 0.000128915 +20 *1730:25 *2193:11 8.8758e-05 +21 *1734:25 *2193:11 6.36816e-05 +22 *1736:23 *2193:11 0 +23 *1744:31 *2193:11 0.000436811 +*RES +1 *2472:user1_vcc_powergood *2193:7 8.48033 +2 *2193:7 *2193:8 101.285 +3 *2193:8 *2193:10 4.5 +4 *2193:10 *2193:11 1333.44 +5 *2193:11 *2471:usr1_vcc_pwrgood 46.7702 +*END + +*D_NET *2194 0.305947 +*CONN +*I *2471:usr1_vdd_pwrgood I *D housekeeping +*I *2472:user1_vdd_powergood O *D mgmt_protect +*CAP +1 *2471:usr1_vdd_pwrgood 0.0015651 +2 *2472:user1_vdd_powergood 0.00135118 +3 *2194:11 0.00965808 +4 *2194:10 0.00809298 +5 *2194:8 0.00383651 +6 *2194:7 0.00518769 +7 *2471:usr2_vcc_pwrgood *2471:usr1_vdd_pwrgood 0 +8 *2471:usr2_vdd_pwrgood *2471:usr1_vdd_pwrgood 0 +9 *498:17 *2194:11 0.0146638 +10 *870:7 *2194:8 0 +11 *1573:10 *2194:11 0 +12 *1577:8 *2194:8 0.00158929 +13 *1577:16 *2194:8 6.1578e-06 +14 *1577:17 *2194:11 0.127414 +15 *1578:12 *2194:8 0 +16 *1578:15 *2194:11 0.000161493 +17 *2193:8 *2194:8 0.00521643 +18 *2193:11 *2194:11 0.127205 +*RES +1 *2472:user1_vdd_powergood *2194:7 8.40384 +2 *2194:7 *2194:8 120.802 +3 *2194:8 *2194:10 4.5 +4 *2194:10 *2194:11 1339.54 +5 *2194:11 *2471:usr1_vdd_pwrgood 46.355 +*END + +*D_NET *2195 0.353445 +*CONN +*I *2471:wb_we_i I *D housekeeping +*I *2472:mprj_we_o_core I *D mgmt_protect +*I *2478:mprj_we_o O *D mgmt_core_wrapper +*CAP +1 *2471:wb_we_i 0.00121214 +2 *2472:mprj_we_o_core 0.00239898 +3 *2478:mprj_we_o 0.00180199 +4 *2195:27 0.00769897 +5 *2195:25 0.00708402 +6 *2195:12 0.00239898 +7 *2195:10 0.0303593 +8 *2195:9 0.0315641 +9 *2471:wb_cyc_i *2471:wb_we_i 0 +10 *2472:mprj_adr_o_core[0] *2472:mprj_we_o_core 0 +11 *2472:mprj_stb_o_core *2472:mprj_we_o_core 0 +12 *728:11 *2472:mprj_we_o_core 0.00201616 +13 *863:15 *2472:mprj_we_o_core 0 +14 *1240:9 *2472:mprj_we_o_core 0 +15 *1246:12 *2195:10 0.00932531 +16 *1248:10 *2195:10 0.0137119 +17 *1581:7 *2195:9 0 +18 *1581:7 *2195:25 0 +19 *1581:21 *2195:9 0 +20 *1607:16 *2195:10 0.000369269 +21 *1609:19 *2195:10 0.000419621 +22 *1611:10 *2195:10 0.0018508 +23 *1647:27 *2195:27 0.0870754 +24 *1649:7 *2472:mprj_we_o_core 0 +25 *1650:8 *2195:10 0.00244147 +26 *1652:12 *2195:10 0.00191027 +27 *1654:10 *2195:10 0.00262915 +28 *1676:8 *2195:10 0.000643073 +29 *1678:8 *2195:10 0.00160888 +30 *1680:8 *2195:10 0.00215045 +31 *1714:16 *2195:10 0.000151238 +32 *1716:10 *2195:10 0.000576645 +33 *1718:10 *2195:10 0.0606962 +34 *2183:39 *2195:27 0.0811001 +35 *2185:27 *2195:27 0.000250542 +36 *2186:13 *2471:wb_we_i 0 +*RES +1 *2478:mprj_we_o *2195:9 32.1433 +2 *2195:9 *2195:10 91.9146 +3 *2195:10 *2195:12 3.36879 +4 *2195:12 *2472:mprj_we_o_core 59.0513 +5 *2478:mprj_we_o *2195:25 17.8319 +6 *2195:25 *2195:27 914.156 +7 *2195:27 *2471:wb_we_i 38.0499 +*END + +*D_NET *2196 0.164975 +*CONN +*I *2473:wbs_we_i I *D user_analog_project_wrapper +*I *2472:mprj_we_o_user O *D mgmt_protect +*CAP +1 *2473:wbs_we_i 0.00206991 +2 *2472:mprj_we_o_user 0.00125049 +3 *2196:10 0.00206991 +4 *2196:8 0.0107686 +5 *2196:7 0.0120191 +6 *1613:7 *2196:7 0 +7 *1750:8 *2196:8 0.043432 +8 *2182:8 *2196:8 0.015635 +9 *2187:8 *2196:8 0.0777299 +10 *2192:7 *2196:7 0 +*RES +1 *2472:mprj_we_o_user *2196:7 32.5219 +2 *2196:7 *2196:8 102.164 +3 *2196:8 *2196:10 3.36879 +4 *2196:10 *2473:wbs_we_i 54.8988 +*END + +*D_NET *2197 0.000177278 +*CONN +*I *2455:mgmt_gpio_oeb I *D gpio_control_block +*I *2455:one O *D gpio_control_block +*CAP +1 *2455:mgmt_gpio_oeb 8.86388e-05 +2 *2455:one 8.86388e-05 +*RES +1 *2455:one *2455:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2198 0.000177278 +*CONN +*I *2453:mgmt_gpio_oeb I *D gpio_control_block +*I *2453:one O *D gpio_control_block +*CAP +1 *2453:mgmt_gpio_oeb 8.86388e-05 +2 *2453:one 8.86388e-05 +*RES +1 *2453:one *2453:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2199 0.000177278 +*CONN +*I *2454:mgmt_gpio_oeb I *D gpio_control_block +*I *2454:one O *D gpio_control_block +*CAP +1 *2454:mgmt_gpio_oeb 8.86388e-05 +2 *2454:one 8.86388e-05 +*RES +1 *2454:one *2454:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2200 0.000177278 +*CONN +*I *2456:mgmt_gpio_oeb I *D gpio_control_block +*I *2456:one O *D gpio_control_block +*CAP +1 *2456:mgmt_gpio_oeb 8.86388e-05 +2 *2456:one 8.86388e-05 +*RES +1 *2456:one *2456:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2201 0.000177278 +*CONN +*I *2457:mgmt_gpio_oeb I *D gpio_control_block +*I *2457:one O *D gpio_control_block +*CAP +1 *2457:mgmt_gpio_oeb 8.86388e-05 +2 *2457:one 8.86388e-05 +*RES +1 *2457:one *2457:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2202 0.000177278 +*CONN +*I *2458:mgmt_gpio_oeb I *D gpio_control_block +*I *2458:one O *D gpio_control_block +*CAP +1 *2458:mgmt_gpio_oeb 8.86388e-05 +2 *2458:one 8.86388e-05 +*RES +1 *2458:one *2458:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2203 0.000177278 +*CONN +*I *2459:mgmt_gpio_oeb I *D gpio_control_block +*I *2459:one O *D gpio_control_block +*CAP +1 *2459:mgmt_gpio_oeb 8.86388e-05 +2 *2459:one 8.86388e-05 +*RES +1 *2459:one *2459:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2204 0.000177278 +*CONN +*I *2460:mgmt_gpio_oeb I *D gpio_control_block +*I *2460:one O *D gpio_control_block +*CAP +1 *2460:mgmt_gpio_oeb 8.86388e-05 +2 *2460:one 8.86388e-05 +*RES +1 *2460:one *2460:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2205 0.000177278 +*CONN +*I *2449:mgmt_gpio_oeb I *D gpio_control_block +*I *2449:one O *D gpio_control_block +*CAP +1 *2449:mgmt_gpio_oeb 8.86388e-05 +2 *2449:one 8.86388e-05 +*RES +1 *2449:one *2449:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2206 0.000177278 +*CONN +*I *2450:mgmt_gpio_oeb I *D gpio_control_block +*I *2450:one O *D gpio_control_block +*CAP +1 *2450:mgmt_gpio_oeb 8.86388e-05 +2 *2450:one 8.86388e-05 +*RES +1 *2450:one *2450:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2207 0.000295041 +*CONN +*I *2451:mgmt_gpio_oeb I *D gpio_control_block +*I *2451:one O *D gpio_control_block +*CAP +1 *2451:mgmt_gpio_oeb 0.00014752 +2 *2451:one 0.00014752 +*RES +1 *2451:one *2451:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2208 0.000177278 +*CONN +*I *2452:mgmt_gpio_oeb I *D gpio_control_block +*I *2452:one O *D gpio_control_block +*CAP +1 *2452:mgmt_gpio_oeb 8.86388e-05 +2 *2452:one 8.86388e-05 +*RES +1 *2452:one *2452:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2209 0.000177278 +*CONN +*I *2461:mgmt_gpio_oeb I *D gpio_control_block +*I *2461:one O *D gpio_control_block +*CAP +1 *2461:mgmt_gpio_oeb 8.86388e-05 +2 *2461:one 8.86388e-05 +*RES +1 *2461:one *2461:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2210 0.000177278 +*CONN +*I *2462:mgmt_gpio_oeb I *D gpio_control_block +*I *2462:one O *D gpio_control_block +*CAP +1 *2462:mgmt_gpio_oeb 8.86388e-05 +2 *2462:one 8.86388e-05 +*RES +1 *2462:one *2462:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2211 0.000177278 +*CONN +*I *2463:mgmt_gpio_oeb I *D gpio_control_block +*I *2463:one O *D gpio_control_block +*CAP +1 *2463:mgmt_gpio_oeb 8.86388e-05 +2 *2463:one 8.86388e-05 +*RES +1 *2463:one *2463:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2212 0.000177278 +*CONN +*I *2464:mgmt_gpio_oeb I *D gpio_control_block +*I *2464:one O *D gpio_control_block +*CAP +1 *2464:mgmt_gpio_oeb 8.86388e-05 +2 *2464:one 8.86388e-05 +*RES +1 *2464:one *2464:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2213 0.000280564 +*CONN +*I *2465:mgmt_gpio_oeb I *D gpio_control_block +*I *2465:one O *D gpio_control_block +*CAP +1 *2465:mgmt_gpio_oeb 0.000140282 +2 *2465:one 0.000140282 +*RES +1 *2465:one *2465:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2214 0.000280564 +*CONN +*I *2466:mgmt_gpio_oeb I *D gpio_control_block +*I *2466:one O *D gpio_control_block +*CAP +1 *2466:mgmt_gpio_oeb 0.000140282 +2 *2466:one 0.000140282 +*RES +1 *2466:one *2466:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2215 0.000177278 +*CONN +*I *2467:mgmt_gpio_oeb I *D gpio_control_block +*I *2467:one O *D gpio_control_block +*CAP +1 *2467:mgmt_gpio_oeb 8.86388e-05 +2 *2467:one 8.86388e-05 +*RES +1 *2467:one *2467:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2216 0.000177278 +*CONN +*I *2468:mgmt_gpio_oeb I *D gpio_control_block +*I *2468:one O *D gpio_control_block +*CAP +1 *2468:mgmt_gpio_oeb 8.86388e-05 +2 *2468:one 8.86388e-05 +*RES +1 *2468:one *2468:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2217 0.000280564 +*CONN +*I *2469:mgmt_gpio_oeb I *D gpio_control_block +*I *2469:one O *D gpio_control_block +*CAP +1 *2469:mgmt_gpio_oeb 0.000140282 +2 *2469:one 0.000140282 +*RES +1 *2469:one *2469:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2218 0.000177278 +*CONN +*I *2470:mgmt_gpio_oeb I *D gpio_control_block +*I *2470:one O *D gpio_control_block +*CAP +1 *2470:mgmt_gpio_oeb 8.86388e-05 +2 *2470:one 8.86388e-05 +*RES +1 *2470:one *2470:mgmt_gpio_oeb 0.339217 +*END + +*D_NET *2219 0.404578 +*CONN +*I *2416:pll_clk I *D caravel_clocking +*I *2475:clockp[1] O *D digital_pll +*CAP +1 *2416:pll_clk 0.00117109 +2 *2475:clockp[1] 0.00131123 +3 *2219:19 0.00156774 +4 *2219:14 0.00482306 +5 *2219:13 0.0044264 +6 *2219:11 0.0497636 +7 *2219:10 0.0497636 +8 *2219:8 0.00609354 +9 *2219:7 0.00740476 +10 *2219:7 *2475:div[0] 0 +11 *2219:7 *2220:7 0 +12 *2219:8 *2220:8 0.00711214 +13 *2219:8 *2232:13 0 +14 *2219:11 *2220:11 0.22847 +15 *2474:flash_io1_oeb_core *2219:11 0 +16 *78:16 *2219:11 0.0407366 +17 *84:22 *2219:11 0.0014102 +18 *484:10 *2219:11 0.000524076 +*RES +1 *2475:clockp[1] *2219:7 8.32734 +2 *2219:7 *2219:8 239.149 +3 *2219:8 *2219:10 4.5 +4 *2219:10 *2219:11 2404.38 +5 *2219:11 *2219:13 4.5 +6 *2219:13 *2219:14 125.577 +7 *2219:14 *2219:19 19.7063 +8 *2219:19 *2416:pll_clk 33.1591 +*END + +*D_NET *2220 0.538562 +*CONN +*I *2416:pll_clk90 I *D caravel_clocking +*I *2475:clockp[0] O *D digital_pll +*CAP +1 *2416:pll_clk90 0.00127332 +2 *2475:clockp[0] 0.00126607 +3 *2220:19 0.00165021 +4 *2220:14 0.00472248 +5 *2220:13 0.0043456 +6 *2220:11 0.0138787 +7 *2220:10 0.0138787 +8 *2220:8 0.00589444 +9 *2220:7 0.00716051 +10 *2220:11 *2232:16 2.01874e-05 +11 *2220:11 *2233:16 0.22532 +12 *2220:11 *2241:16 1.4091e-06 +13 *2220:11 *2242:10 3.31745e-05 +14 *78:16 *2220:11 0.02277 +15 *84:22 *2220:11 0.000765267 +16 *2219:7 *2220:7 0 +17 *2219:8 *2220:8 0.00711214 +18 *2219:11 *2220:11 0.22847 +*RES +1 *2475:clockp[0] *2220:7 8.17437 +2 *2220:7 *2220:8 233.75 +3 *2220:8 *2220:10 4.5 +4 *2220:10 *2220:11 2388.85 +5 *2220:11 *2220:13 4.5 +6 *2220:13 *2220:14 123.086 +7 *2220:14 *2220:19 19.1517 +8 *2220:19 *2416:pll_clk90 36.0659 +*END + +*D_NET *2221 0.210367 +*CONN +*I *2474:por I *D chip_io_alt +*I *2476:por_l I *D simple_por +*CAP +1 *2474:por 0.000530546 +2 *2476:por_l 0.000843475 +3 *2221:13 0.101064 +4 *2221:12 0.101377 +5 *2221:13 *2232:16 0 +6 *2221:13 *2241:16 0 +7 *2474:flash_csb_oeb_core *2221:13 0.000156624 +8 *76:21 *2221:13 0.000292937 +9 *91:28 *2221:13 0.00610192 +*RES +1 *2476:por_l *2221:12 29.3135 +2 *2221:12 *2221:13 2813.67 +3 *2221:13 *2474:por 19.2537 +*END + +*D_NET *2222 1.23477 +*CONN +*I *2474:porb_h I *D chip_io_alt +*I *2476:porb_h I *D simple_por +*CAP +1 *2474:porb_h 0.00141757 +2 *2476:porb_h 0.00113663 +3 *2222:36 0.0667575 +4 *2222:35 0.0653399 +5 *2222:33 0.0248595 +6 *2222:32 0.0248595 +7 *2222:30 0.00332641 +8 *2222:29 0.00339675 +9 *2222:24 0.00201983 +10 *2222:23 0.00204709 +11 *2222:16 0.000553747 +12 *2222:12 0.0224268 +13 *2222:11 0.0231073 +14 *2222:11 *2223:7 0 +15 *2222:24 *2394:24 0.000602507 +16 *2471:mgmt_gpio_in[2] *2222:12 0.00130366 +17 *2471:mgmt_gpio_in[3] *2222:12 0.00130366 +18 *100:77 *2222:29 0.000154145 +19 *105:27 *2222:33 0.353529 +20 *470:16 *2222:30 0.0221395 +21 *472:23 *2222:33 0.353525 +22 *475:34 *2222:33 0.00133075 +23 *525:8 *2222:12 0 +24 *525:8 *2222:24 0.0134757 +25 *1551:29 *2222:36 0.185368 +26 *1554:27 *2222:36 1.2693e-05 +27 *1573:7 *2222:30 0 +28 *2020:11 *2222:12 0.000973065 +29 *2020:15 *2222:12 0.0159439 +30 *2020:21 *2222:16 0.00293839 +31 *2020:21 *2222:30 0.0231806 +32 *2031:11 *2222:12 9.71323e-06 +33 *2031:11 *2222:16 0.00297387 +34 *2031:15 *2222:24 0.0147182 +35 *2038:11 *2222:36 9.99386e-06 +36 *2053:8 *2474:porb_h 4.88112e-06 +37 *2134:8 *2474:porb_h 2.37478e-05 +*RES +1 *2476:porb_h *2222:11 7.74037 +2 *2222:11 *2222:12 742.642 +3 *2222:12 *2222:16 49.012 +4 *2222:16 *2222:23 11.122 +5 *2222:23 *2222:24 235.204 +6 *2222:24 *2222:29 10.8326 +7 *2222:29 *2222:30 371.822 +8 *2222:30 *2222:32 4.5 +9 *2222:32 *2222:33 3727.66 +10 *2222:33 *2222:35 4.5 +11 *2222:35 *2222:36 2992.48 +12 *2222:36 *2474:porb_h 45.4706 +*END + +*D_NET *2223 0.0524904 +*CONN +*I *2471:porb I *D housekeeping +*I *2476:porb_l I *D simple_por +*CAP +1 *2471:porb 0.000618272 +2 *2476:porb_l 0.000835986 +3 *2223:15 0.00387092 +4 *2223:13 0.00339019 +5 *2223:11 0.00655926 +6 *2223:10 0.00642171 +7 *2223:8 0.0102854 +8 *2223:7 0.0111214 +9 *2223:11 *2475:ext_trim[20] 0 +10 *2223:11 *2257:15 0.000170642 +11 *2223:11 *2261:12 0 +12 *2223:15 *2475:ext_trim[10] 7.0656e-05 +13 *2223:15 *2475:ext_trim[11] 6.77202e-05 +14 *2223:15 *2233:10 0.000202245 +15 *2223:15 *2239:12 2.18041e-06 +16 *2223:15 *2240:12 0.00360771 +17 *2471:mask_rev_in[3] *2223:11 0.000785004 +18 *73:9 *2471:porb 0.00163502 +19 *1503:17 *2223:11 0.000181643 +20 *1504:16 *2223:11 0.000306662 +21 *1506:16 *2223:11 0 +22 *1507:17 *2223:11 0 +23 *1516:16 *2223:11 0.000470585 +24 *1527:13 *2223:11 0 +25 *1528:13 *2223:11 0.00163712 +26 *1528:19 *2223:11 0.000250096 +27 *1530:10 *2223:11 0 +28 *1531:16 *2223:11 0 +29 *2222:11 *2223:7 0 +*RES +1 *2476:porb_l *2223:7 6.56807 +2 *2223:7 *2223:8 291.886 +3 *2223:8 *2223:10 4.5 +4 *2223:10 *2223:11 200.103 +5 *2223:11 *2223:13 3.85811 +6 *2223:13 *2223:15 104.157 +7 *2223:15 *2471:porb 32.1143 +*END + +*D_NET *2224 0.0325299 +*CONN +*I *2471:qspi_enabled I *D housekeeping +*I *2478:qspi_enabled O *D mgmt_core_wrapper +*CAP +1 *2471:qspi_enabled 0.00162046 +2 *2478:qspi_enabled 0.000948465 +3 *2224:11 0.00416914 +4 *2224:10 0.00254868 +5 *2224:8 0.00104417 +6 *2224:7 0.00199264 +7 *2471:qspi_enabled *2471:uart_enabled 0.000177702 +8 *2471:qspi_enabled *2227:10 4.15236e-05 +9 *2224:8 *2227:14 0.00035377 +10 *2224:8 *2228:8 0.00429253 +11 *2224:8 *2271:14 0.00431709 +12 *2224:11 *2227:11 0.0109536 +13 *538:14 *2224:8 0 +14 *554:14 *2224:8 0 +15 *555:14 *2224:8 7.01502e-05 +*RES +1 *2478:qspi_enabled *2224:7 6.95052 +2 *2224:7 *2224:8 86.3358 +3 *2224:8 *2224:10 4.5 +4 *2224:10 *2224:11 117.19 +5 *2224:11 *2471:qspi_enabled 20.3089 +*END + +*D_NET *2225 0.00320091 +*CONN +*I *2477:A I *D xres_buf +*I *2474:resetb_core_h O *D chip_io_alt +*CAP +1 *2477:A 0.00160046 +2 *2474:resetb_core_h 0.00160046 +*RES +1 *2474:resetb_core_h *2477:A 45.2747 +*END + +*D_NET *2226 0.29742 +*CONN +*I *2475:resetb I *D digital_pll +*I *2416:resetb I *D caravel_clocking +*I *2477:X O *D xres_buf +*CAP +1 *2475:resetb 1.28869e-05 +2 *2416:resetb 3.26469e-05 +3 *2477:X 0.000467889 +4 *2226:30 0.00646409 +5 *2226:29 0.00645121 +6 *2226:27 0.0789541 +7 *2226:19 0.0072874 +8 *2226:18 0.00725475 +9 *2226:16 0.0800087 +10 *2226:15 0.00138378 +11 *2226:10 0.01181 +12 *2226:9 0.0119487 +13 *64:16 *2226:16 0.00484053 +14 *64:16 *2226:27 0.0039377 +15 *64:34 *2226:27 0.0765658 +*RES +1 *2477:X *2226:9 16.8965 +2 *2226:9 *2226:10 321.284 +3 *2226:10 *2226:15 17.8913 +4 *2226:15 *2226:16 50.6377 +5 *2226:16 *2226:18 4.5 +6 *2226:18 *2226:19 205.098 +7 *2226:19 *2416:resetb 0.928211 +8 *2226:16 *2226:27 2561.33 +9 *2226:27 *2226:29 4.5 +10 *2226:29 *2226:30 183.09 +11 *2226:30 *2475:resetb 0.366399 +*END + +*D_NET *2227 0.042264 +*CONN +*I *2478:ser_rx I *D mgmt_core_wrapper +*I *2471:ser_rx O *D housekeeping +*CAP +1 *2478:ser_rx 0.0010565 +2 *2471:ser_rx 0.00147563 +3 *2227:14 0.00272564 +4 *2227:13 0.00166914 +5 *2227:11 0.000733461 +6 *2227:10 0.00220909 +7 *2227:10 *2471:ser_tx 0 +8 *2227:10 *2471:uart_enabled 2.01653e-05 +9 *2227:11 *2275:11 0.0108007 +10 *2227:14 *2270:8 0.00550651 +11 *2227:14 *2271:14 0.000508484 +12 *2227:14 *2275:8 0.00285427 +13 *2471:qspi_enabled *2227:10 4.15236e-05 +14 *68:14 *2227:14 0.00135552 +15 *70:8 *2227:14 0 +16 *555:14 *2227:14 0 +17 *2224:8 *2227:14 0.00035377 +18 *2224:11 *2227:11 0.0109536 +*RES +1 *2471:ser_rx *2227:10 14.1566 +2 *2227:10 *2227:11 114.972 +3 *2227:11 *2227:13 4.5 +4 *2227:13 *2227:14 123.293 +5 *2227:14 *2478:ser_rx 7.17999 +*END + +*D_NET *2228 0.0315445 +*CONN +*I *2471:ser_tx I *D housekeeping +*I *2478:ser_tx O *D mgmt_core_wrapper +*CAP +1 *2471:ser_tx 0.0018226 +2 *2478:ser_tx 0.000915934 +3 *2228:11 0.00436345 +4 *2228:10 0.00254086 +5 *2228:8 0.00227554 +6 *2228:7 0.00319148 +7 *2471:ser_tx *2271:10 0.000332717 +8 *2228:8 *2271:14 0.000813395 +9 *2228:11 *2271:11 0.010996 +10 *538:14 *2228:8 0 +11 *2224:8 *2228:8 0.00429253 +12 *2227:10 *2471:ser_tx 0 +*RES +1 *2478:ser_tx *2228:7 6.87403 +2 *2228:7 *2228:8 96.7171 +3 *2228:8 *2228:10 4.5 +4 *2228:10 *2228:11 116.636 +5 *2228:11 *2471:ser_tx 25.0297 +*END + +*D_NET *2229 0.0327793 +*CONN +*I *2471:spi_csb I *D housekeeping +*I *2478:spi_csb O *D mgmt_core_wrapper +*CAP +1 *2471:spi_csb 0.00191358 +2 *2478:spi_csb 0.0015218 +3 *2229:11 0.00410645 +4 *2229:10 0.00219287 +5 *2229:8 0.00257533 +6 *2229:7 0.00409713 +7 *2471:spi_csb *2471:spi_sck 0.000203088 +8 *2471:spi_csb *2471:spi_sdo 0.000176441 +9 *2471:spi_csb *2271:10 0 +10 *2229:8 *2230:8 0.000820887 +11 *2229:11 *2272:11 0.0101555 +12 *549:14 *2229:8 0.000399583 +13 *602:14 *2229:8 0.00461661 +*RES +1 *2478:spi_csb *2229:7 8.32734 +2 *2229:7 *2229:8 108.759 +3 *2229:8 *2229:10 4.5 +4 *2229:10 *2229:11 106.098 +5 *2229:11 *2471:spi_csb 28.767 +*END + +*D_NET *2230 0.035289 +*CONN +*I *2471:spi_enabled I *D housekeeping +*I *2478:spi_enabled O *D mgmt_core_wrapper +*CAP +1 *2471:spi_enabled 0.00147116 +2 *2478:spi_enabled 0.00145461 +3 *2230:11 0.00372756 +4 *2230:10 0.0022564 +5 *2230:8 0.00198281 +6 *2230:7 0.00343742 +7 *2471:spi_enabled *2471:uart_enabled 0 +8 *2230:8 *2272:8 0.000332825 +9 *2230:8 *2273:8 0.00338167 +10 *2471:wb_stb_i *2471:spi_enabled 0.000119662 +11 *526:10 *2471:spi_enabled 0.000124349 +12 *526:11 *2230:11 0.0102446 +13 *549:14 *2230:8 0.00352242 +14 *557:18 *2230:8 8.70315e-05 +15 *559:8 *2230:8 0 +16 *559:11 *2230:11 2.41483e-05 +17 *602:14 *2230:8 0.00230153 +18 *2229:8 *2230:8 0.000820887 +*RES +1 *2478:spi_enabled *2230:7 8.17437 +2 *2230:7 *2230:8 122.048 +3 *2230:8 *2230:10 4.5 +4 *2230:10 *2230:11 107.762 +5 *2230:11 *2471:spi_enabled 15.4024 +*END + +*D_NET *2231 0.574623 +*CONN +*I *2416:sel2[0] I *D caravel_clocking +*I *2471:pll90_sel[0] O *D housekeeping +*CAP +1 *2416:sel2[0] 0.00117348 +2 *2471:pll90_sel[0] 0.00115631 +3 *2231:19 0.00316959 +4 *2231:18 0.00199611 +5 *2231:16 0.0131087 +6 *2231:15 0.0131087 +7 *2231:13 0.00258631 +8 *2231:12 0.00374262 +9 *2231:12 *2232:12 0.000326398 +10 *2231:12 *2233:10 3.31745e-05 +11 *2231:12 *2239:12 0.000470557 +12 *2231:12 *2240:12 7.92757e-06 +13 *2231:12 *2243:7 0 +14 *2231:13 *2233:13 0.0199096 +15 *2231:13 *2242:7 0.0199132 +16 *2231:16 *2232:16 0.225203 +17 *2231:16 *2233:16 2.41483e-05 +18 *2231:16 *2242:10 0.225163 +19 *2231:19 *2232:19 0.000291612 +20 *2231:19 *2233:19 0.0107285 +21 *2231:19 *2242:13 0.00018896 +22 *73:19 *2231:19 0.0102051 +23 *78:16 *2231:16 0.00767111 +24 *84:22 *2231:16 0.0144457 +*RES +1 *2471:pll90_sel[0] *2231:12 43.9973 +2 *2231:12 *2231:13 318.669 +3 *2231:13 *2231:15 4.5 +4 *2231:15 *2231:16 2353.35 +5 *2231:16 *2231:18 4.5 +6 *2231:18 *2231:19 190.564 +7 *2231:19 *2416:sel2[0] 7.71542 +*END + +*D_NET *2232 0.560752 +*CONN +*I *2416:sel2[1] I *D caravel_clocking +*I *2471:pll90_sel[1] O *D housekeeping +*CAP +1 *2416:sel2[1] 0.00114392 +2 *2471:pll90_sel[1] 0.00112396 +3 *2232:19 0.00264998 +4 *2232:18 0.00150606 +5 *2232:16 0.0134597 +6 *2232:15 0.0134597 +7 *2232:13 0.00699723 +8 *2232:12 0.00812119 +9 *2232:12 *2233:10 0.000685261 +10 *2232:12 *2239:12 0.000161493 +11 *2232:12 *2240:13 1.87469e-05 +12 *2232:13 *2233:13 0.000399219 +13 *2232:13 *2235:13 0 +14 *2232:13 *2243:7 0.019074 +15 *2232:16 *2233:16 1.00846e-05 +16 *2232:16 *2241:16 0.223627 +17 *2232:16 *2242:10 4.0752e-05 +18 *2232:19 *2233:19 0.0107321 +19 *2232:19 *2241:19 2.5386e-05 +20 *2232:19 *2242:13 0.0113198 +21 *73:19 *2232:19 5.66868e-06 +22 *78:16 *2232:16 0.00706908 +23 *84:22 *2232:16 0.0132807 +24 *2219:8 *2232:13 0 +25 *2220:11 *2232:16 2.01874e-05 +26 *2221:13 *2232:16 0 +27 *2231:12 *2232:12 0.000326398 +28 *2231:16 *2232:16 0.225203 +29 *2231:19 *2232:19 0.000291612 +*RES +1 *2471:pll90_sel[1] *2232:12 45.8005 +2 *2232:12 *2232:13 318.669 +3 *2232:13 *2232:15 4.5 +4 *2232:15 *2232:16 2356.12 +5 *2232:16 *2232:18 4.5 +6 *2232:18 *2232:19 181.844 +7 *2232:19 *2416:sel2[1] 7.56244 +*END + +*D_NET *2233 0.575 +*CONN +*I *2416:sel2[2] I *D caravel_clocking +*I *2471:pll90_sel[2] O *D housekeeping +*CAP +1 *2416:sel2[2] 0.00116606 +2 *2471:pll90_sel[2] 0.00119149 +3 *2233:19 0.00253111 +4 *2233:18 0.00136505 +5 *2233:16 0.0131218 +6 *2233:15 0.0131218 +7 *2233:13 0.0026917 +8 *2233:12 0.0026917 +9 *2233:10 0.00119149 +10 *2233:10 *2239:12 9.8904e-05 +11 *2233:10 *2240:12 0.000685247 +12 *2233:10 *2244:7 0 +13 *2233:13 *2242:7 3.31591e-05 +14 *2233:13 *2243:7 0.0190965 +15 *2233:16 *2242:10 0.225207 +16 *78:16 *2233:16 0.0227635 +17 *2220:11 *2233:16 0.22532 +18 *2223:15 *2233:10 0.000202245 +19 *2231:12 *2233:10 3.31745e-05 +20 *2231:13 *2233:13 0.0199096 +21 *2231:16 *2233:16 2.41483e-05 +22 *2231:19 *2233:19 0.0107285 +23 *2232:12 *2233:10 0.000685261 +24 *2232:13 *2233:13 0.000399219 +25 *2232:16 *2233:16 1.00846e-05 +26 *2232:19 *2233:19 0.0107321 +*RES +1 *2471:pll90_sel[2] *2233:10 45.8767 +2 *2233:10 *2233:12 4.5 +3 *2233:12 *2233:13 320.33 +4 *2233:13 *2233:15 4.5 +5 *2233:15 *2233:16 2354.46 +6 *2233:16 *2233:18 4.5 +7 *2233:18 *2233:19 171.463 +8 *2233:19 *2416:sel2[2] 7.63893 +*END + +*D_NET *2234 0.0133558 +*CONN +*I *2475:dco I *D digital_pll +*I *2471:pll_dco_ena O *D housekeeping +*CAP +1 *2475:dco 0.00151073 +2 *2471:pll_dco_ena 0.00119932 +3 *2234:12 0.00248869 +4 *2234:9 0.00217727 +5 *2475:dco *2475:enable 0 +6 *2475:dco *2475:ext_trim[0] 0 +7 *2475:dco *2236:13 0.00202569 +8 *2475:dco *2237:13 0.00225543 +9 *2475:dco *2239:13 2.04806e-05 +10 *2475:dco *2240:13 0 +11 *2234:9 *2235:9 0 +12 *2234:9 *2240:9 0 +13 *2234:12 *2235:12 0 +14 *2234:12 *2237:12 0.00112789 +15 *2234:12 *2238:12 0.000550309 +*RES +1 *2471:pll_dco_ena *2234:9 38.0744 +2 *2234:9 *2234:12 43.491 +3 *2234:12 *2475:dco 44.4832 +*END + +*D_NET *2235 0.0133879 +*CONN +*I *2475:div[0] I *D digital_pll +*I *2471:pll_div[0] O *D housekeeping +*CAP +1 *2475:div[0] 0.0013512 +2 *2471:pll_div[0] 0.00109468 +3 *2235:13 0.00401363 +4 *2235:12 0.00337363 +5 *2235:9 0.00180589 +6 *2475:div[0] *2475:div[1] 0 +7 *2235:9 *2236:9 0 +8 *2235:12 *2238:12 0.000267435 +9 *2235:12 *2239:12 0.00120114 +10 *2235:12 *2240:12 2.82142e-05 +11 *2235:12 *2241:12 0.000252046 +12 *2235:13 *2240:13 0 +13 *2219:7 *2475:div[0] 0 +14 *2232:13 *2235:13 0 +15 *2234:9 *2235:9 0 +16 *2234:12 *2235:12 0 +*RES +1 *2471:pll_div[0] *2235:9 35.1676 +2 *2235:9 *2235:12 32.9536 +3 *2235:12 *2235:13 74.7088 +4 *2235:13 *2475:div[0] 8.48033 +*END + +*D_NET *2236 0.0132061 +*CONN +*I *2475:div[1] I *D digital_pll +*I *2471:pll_div[1] O *D housekeeping +*CAP +1 *2475:div[1] 0.00112098 +2 *2471:pll_div[1] 0.00128653 +3 *2236:13 0.00262283 +4 *2236:12 0.0027021 +5 *2236:9 0.00248678 +6 *2475:div[1] *2475:div[2] 0 +7 *2236:9 *2237:9 0 +8 *2236:9 *2241:13 0.000258511 +9 *2236:12 *2237:12 0 +10 *2236:13 *2237:13 0.000702694 +11 *2236:13 *2240:13 0 +12 *2475:dco *2236:13 0.00202569 +13 *2475:div[0] *2475:div[1] 0 +14 *2235:9 *2236:9 0 +*RES +1 *2471:pll_div[1] *2236:9 42.6421 +2 *2236:9 *2236:12 35.172 +3 *2236:12 *2236:13 61.4207 +4 *2236:13 *2475:div[1] 7.63893 +*END + +*D_NET *2237 0.0147391 +*CONN +*I *2475:div[2] I *D digital_pll +*I *2471:pll_div[2] O *D housekeeping +*CAP +1 *2475:div[2] 0.00108101 +2 *2471:pll_div[2] 0.00124556 +3 *2237:13 0.00175148 +4 *2237:12 0.0014235 +5 *2237:9 0.00199859 +6 *2475:div[2] *2475:div[3] 0 +7 *2237:9 *2238:9 0 +8 *2237:9 *2241:13 0 +9 *2237:12 *2238:12 1.4091e-06 +10 *2237:13 *2238:13 0.000177702 +11 *2237:13 *2239:13 0.00297387 +12 *2475:dco *2237:13 0.00225543 +13 *2475:div[1] *2475:div[2] 0 +14 *2234:12 *2237:12 0.00112789 +15 *2236:9 *2237:9 0 +16 *2236:12 *2237:12 0 +17 *2236:13 *2237:13 0.000702694 +*RES +1 *2471:pll_div[2] *2237:9 38.9049 +2 *2237:9 *2237:12 32.399 +3 *2237:12 *2237:13 59.3444 +4 *2237:13 *2475:div[2] 7.48595 +*END + +*D_NET *2238 0.0125365 +*CONN +*I *2475:div[3] I *D digital_pll +*I *2471:pll_div[3] O *D housekeeping +*CAP +1 *2475:div[3] 0.00104104 +2 *2471:pll_div[3] 0.00116672 +3 *2238:13 0.00199308 +4 *2238:12 0.00163417 +5 *2238:9 0.00184884 +6 *2475:div[3] *2475:div[4] 0 +7 *2238:9 *2239:9 0 +8 *2238:12 *2239:12 4.63473e-05 +9 *2238:13 *2239:13 0.00309942 +10 *2238:13 *2244:7 0.000710009 +11 *2475:div[2] *2475:div[3] 0 +12 *2234:12 *2238:12 0.000550309 +13 *2235:12 *2238:12 0.000267435 +14 *2237:9 *2238:9 0 +15 *2237:12 *2238:12 1.4091e-06 +16 *2237:13 *2238:13 0.000177702 +*RES +1 *2471:pll_div[3] *2238:9 36.8286 +2 *2238:9 *2238:12 29.626 +3 *2238:12 *2238:13 55.6072 +4 *2238:13 *2475:div[3] 7.33297 +*END + +*D_NET *2239 0.0152957 +*CONN +*I *2475:div[4] I *D digital_pll +*I *2471:pll_div[4] O *D housekeeping +*CAP +1 *2475:div[4] 0.00107877 +2 *2471:pll_div[4] 0.00071264 +3 *2239:13 0.00160175 +4 *2239:12 0.000899571 +5 *2239:9 0.00108923 +6 *2475:div[4] *2475:enable 0 +7 *2239:9 *2241:12 0.00170298 +8 *2239:12 *2240:12 0.000109827 +9 *2239:12 *2241:12 2.65831e-05 +10 *2239:13 *2240:13 0 +11 *2239:13 *2244:7 0 +12 *2475:dco *2239:13 2.04806e-05 +13 *2475:div[3] *2475:div[4] 0 +14 *2223:15 *2239:12 2.18041e-06 +15 *2231:12 *2239:12 0.000470557 +16 *2232:12 *2239:12 0.000161493 +17 *2233:10 *2239:12 9.8904e-05 +18 *2235:12 *2239:12 0.00120114 +19 *2237:13 *2239:13 0.00297387 +20 *2238:9 *2239:9 0 +21 *2238:12 *2239:12 4.63473e-05 +22 *2238:13 *2239:13 0.00309942 +*RES +1 *2471:pll_div[4] *2239:9 34.7523 +2 *2239:9 *2239:12 25.1891 +3 *2239:12 *2239:13 51.8699 +4 *2239:13 *2475:div[4] 7.40946 +*END + +*D_NET *2240 0.0142408 +*CONN +*I *2475:enable I *D digital_pll +*I *2471:pll_ena O *D housekeeping +*CAP +1 *2475:enable 0.0013163 +2 *2471:pll_ena 0.00101724 +3 *2240:13 0.00304772 +4 *2240:12 0.00232911 +5 *2240:9 0.00161494 +6 *2240:12 *2241:12 0.000457819 +7 *2475:dco *2475:enable 0 +8 *2475:dco *2240:13 0 +9 *2475:div[4] *2475:enable 0 +10 *2223:15 *2240:12 0.00360771 +11 *2231:12 *2240:12 7.92757e-06 +12 *2232:12 *2240:13 1.87469e-05 +13 *2233:10 *2240:12 0.000685247 +14 *2234:9 *2240:9 0 +15 *2235:12 *2240:12 2.82142e-05 +16 *2235:13 *2240:13 0 +17 *2236:13 *2240:13 0 +18 *2239:12 *2240:12 0.000109827 +19 *2239:13 *2240:13 0 +*RES +1 *2471:pll_ena *2240:9 33.0913 +2 *2240:9 *2240:12 42.9364 +3 *2240:12 *2240:13 47.7174 +4 *2240:13 *2475:enable 8.17437 +*END + +*D_NET *2241 0.399408 +*CONN +*I *2416:sel[0] I *D caravel_clocking +*I *2471:pll_sel[0] O *D housekeeping +*CAP +1 *2416:sel[0] 0.00106623 +2 *2471:pll_sel[0] 0.000884902 +3 *2241:19 0.00322466 +4 *2241:18 0.00215843 +5 *2241:16 0.0482996 +6 *2241:15 0.0482996 +7 *2241:13 0.0111819 +8 *2241:12 0.0120668 +9 *2241:16 *2242:10 7.92757e-06 +10 *2241:19 *2242:13 0.0130269 +11 *2241:19 *2243:13 0.012532 +12 *2474:flash_csb_oeb_core *2241:16 5.76883e-05 +13 *73:19 *2241:19 0 +14 *76:21 *2241:16 0 +15 *78:16 *2241:16 0.00652931 +16 *82:22 *2241:16 0.013721 +17 *2220:11 *2241:16 1.4091e-06 +18 *2221:13 *2241:16 0 +19 *2232:16 *2241:16 0.223627 +20 *2232:19 *2241:19 2.5386e-05 +21 *2235:12 *2241:12 0.000252046 +22 *2236:9 *2241:13 0.000258511 +23 *2237:9 *2241:13 0 +24 *2239:9 *2241:12 0.00170298 +25 *2239:12 *2241:12 2.65831e-05 +26 *2240:12 *2241:12 0.000457819 +*RES +1 *2471:pll_sel[0] *2241:12 49.6827 +2 *2241:12 *2241:13 318.254 +3 *2241:13 *2241:15 4.5 +4 *2241:15 *2241:16 2337.82 +5 *2241:16 *2241:18 4.5 +6 *2241:18 *2241:19 218.801 +7 *2241:19 *2416:sel[0] 7.40946 +*END + +*D_NET *2242 0.564295 +*CONN +*I *2416:sel[1] I *D caravel_clocking +*I *2471:pll_sel[1] O *D housekeeping +*CAP +1 *2416:sel[1] 0.00108838 +2 *2471:pll_sel[1] 1.28869e-05 +3 *2242:13 0.00306618 +4 *2242:12 0.0019778 +5 *2242:10 0.0131471 +6 *2242:9 0.0131471 +7 *2242:7 0.00770439 +8 *2242:5 0.00771728 +9 *2242:7 *2243:7 0.000566491 +10 *78:16 *2242:10 0.0209337 +11 *2220:11 *2242:10 3.31745e-05 +12 *2231:13 *2242:7 0.0199132 +13 *2231:16 *2242:10 0.225163 +14 *2231:19 *2242:13 0.00018896 +15 *2232:16 *2242:10 4.0752e-05 +16 *2232:19 *2242:13 0.0113198 +17 *2233:13 *2242:7 3.31591e-05 +18 *2233:16 *2242:10 0.225207 +19 *2241:16 *2242:10 7.92757e-06 +20 *2241:19 *2242:13 0.0130269 +*RES +1 *2471:pll_sel[1] *2242:5 0.366399 +2 *2242:5 *2242:7 346.699 +3 *2242:7 *2242:9 4.5 +4 *2242:9 *2242:10 2354.46 +5 *2242:10 *2242:12 4.5 +6 *2242:12 *2242:13 208.42 +7 *2242:13 *2416:sel[1] 7.48595 +*END + +*D_NET *2243 0.503036 +*CONN +*I *2416:sel[2] I *D caravel_clocking +*I *2471:pll_sel[2] O *D housekeeping +*CAP +1 *2416:sel[2] 0.00104624 +2 *2471:pll_sel[2] 7.21667e-05 +3 *2243:13 0.00589525 +4 *2243:12 0.00484901 +5 *2243:10 0.0156432 +6 *2243:9 0.0156432 +7 *2243:7 0.00318159 +8 *2243:5 0.00325376 +9 *67:29 *2243:10 0.00070936 +10 *72:16 *2243:10 0.223986 +11 *73:16 *2243:10 0.0359745 +12 *73:19 *2243:13 0 +13 *465:22 *2243:10 0.141513 +14 *2231:12 *2243:7 0 +15 *2232:13 *2243:7 0.019074 +16 *2233:13 *2243:7 0.0190965 +17 *2241:19 *2243:13 0.012532 +18 *2242:7 *2243:7 0.000566491 +*RES +1 *2471:pll_sel[2] *2243:5 2.05183 +2 *2243:5 *2243:7 332.165 +3 *2243:7 *2243:9 4.5 +4 *2243:9 *2243:10 2357.23 +5 *2243:10 *2243:12 4.5 +6 *2243:12 *2243:13 213.818 +7 *2243:13 *2416:sel[2] 7.33297 +*END + +*D_NET *2244 0.00681891 +*CONN +*I *2475:ext_trim[0] I *D digital_pll +*I *2471:pll_trim[0] O *D housekeeping +*CAP +1 *2475:ext_trim[0] 0.000981075 +2 *2471:pll_trim[0] 0.000111687 +3 *2244:7 0.00289946 +4 *2244:5 0.00203007 +5 *2475:ext_trim[0] *2475:ext_trim[1] 0 +6 *2244:7 *2475:ext_trim[1] 8.66112e-05 +7 *2244:7 *2255:7 0 +8 *2475:dco *2475:ext_trim[0] 0 +9 *2233:10 *2244:7 0 +10 *2238:13 *2244:7 0.000710009 +11 *2239:13 *2244:7 0 +*RES +1 *2471:pll_trim[0] *2244:5 3.17546 +2 *2244:5 *2244:7 63.2893 +3 *2244:7 *2475:ext_trim[0] 7.1035 +*END + +*D_NET *2245 0.00252339 +*CONN +*I *2475:ext_trim[10] I *D digital_pll +*I *2471:pll_trim[10] O *D housekeeping +*CAP +1 *2475:ext_trim[10] 0.00118167 +2 *2471:pll_trim[10] 0.00118167 +3 *2475:ext_trim[10] *2475:ext_trim[11] 8.82488e-05 +4 *2475:ext_trim[10] *2475:ext_trim[12] 1.14973e-06 +5 *2475:ext_trim[10] *2475:ext_trim[9] 0 +6 *2223:15 *2475:ext_trim[10] 7.0656e-05 +*RES +1 *2471:pll_trim[10] *2475:ext_trim[10] 43.0461 +*END + +*D_NET *2246 0.00288471 +*CONN +*I *2475:ext_trim[11] I *D digital_pll +*I *2471:pll_trim[11] O *D housekeeping +*CAP +1 *2475:ext_trim[11] 0.00129071 +2 *2471:pll_trim[11] 0.00129071 +3 *2475:ext_trim[11] *2475:ext_trim[12] 0.000147308 +4 *2475:ext_trim[10] *2475:ext_trim[11] 8.82488e-05 +5 *2223:15 *2475:ext_trim[11] 6.77202e-05 +*RES +1 *2471:pll_trim[11] *2475:ext_trim[11] 46.5699 +*END + +*D_NET *2247 0.00295386 +*CONN +*I *2475:ext_trim[12] I *D digital_pll +*I *2471:pll_trim[12] O *D housekeeping +*CAP +1 *2475:ext_trim[12] 0.0014027 +2 *2471:pll_trim[12] 0.0014027 +3 *2475:ext_trim[12] *2475:ext_trim[13] 0 +4 *2475:ext_trim[12] *2248:12 0 +5 *2475:ext_trim[12] *2249:12 0 +6 *2475:ext_trim[10] *2475:ext_trim[12] 1.14973e-06 +7 *2475:ext_trim[11] *2475:ext_trim[12] 0.000147308 +*RES +1 *2471:pll_trim[12] *2475:ext_trim[12] 49.1467 +*END + +*D_NET *2248 0.00383686 +*CONN +*I *2475:ext_trim[13] I *D digital_pll +*I *2471:pll_trim[13] O *D housekeeping +*CAP +1 *2475:ext_trim[13] 0.00025048 +2 *2471:pll_trim[13] 0.00120066 +3 *2248:12 0.00145114 +4 *2475:ext_trim[13] *2251:9 8.99995e-05 +5 *2475:ext_trim[13] *2252:9 0 +6 *2248:12 *2249:12 0.000844584 +7 *2475:ext_trim[12] *2475:ext_trim[13] 0 +8 *2475:ext_trim[12] *2248:12 0 +*RES +1 *2471:pll_trim[13] *2248:12 45.6723 +2 *2248:12 *2475:ext_trim[13] 6.99822 +*END + +*D_NET *2249 0.00481883 +*CONN +*I *2475:ext_trim[14] I *D digital_pll +*I *2471:pll_trim[14] O *D housekeeping +*CAP +1 *2475:ext_trim[14] 0.000277005 +2 *2471:pll_trim[14] 0.00112575 +3 *2249:12 0.00140276 +4 *2249:12 *2475:ext_trim[18] 6.50586e-05 +5 *2249:12 *2250:10 0.00110368 +6 *2475:ext_trim[12] *2249:12 0 +7 *2248:12 *2249:12 0.000844584 +*RES +1 *2471:pll_trim[14] *2249:12 48.306 +2 *2249:12 *2475:ext_trim[14] 6.58297 +*END + +*D_NET *2250 0.00556456 +*CONN +*I *2475:ext_trim[15] I *D digital_pll +*I *2471:pll_trim[15] O *D housekeeping +*CAP +1 *2475:ext_trim[15] 0.000189893 +2 *2471:pll_trim[15] 0.00114825 +3 *2250:10 0.00133814 +4 *2475:ext_trim[15] *2256:9 0.000244386 +5 *2475:ext_trim[15] *2257:10 0 +6 *2250:10 *2475:ext_trim[16] 0 +7 *2250:10 *2475:ext_trim[17] 0.001057 +8 *2250:10 *2475:ext_trim[18] 0.000159075 +9 *2250:10 *2475:ext_trim[19] 0.000324137 +10 *2250:10 *2251:9 0 +11 *2249:12 *2250:10 0.00110368 +*RES +1 *2471:pll_trim[15] *2250:10 47.5489 +2 *2250:10 *2475:ext_trim[15] 10.6677 +*END + +*D_NET *2251 0.00396948 +*CONN +*I *2475:ext_trim[16] I *D digital_pll +*I *2471:pll_trim[16] O *D housekeeping +*CAP +1 *2475:ext_trim[16] 0.000897275 +2 *2471:pll_trim[16] 0.000926816 +3 *2251:9 0.00182409 +4 *2475:ext_trim[16] *2475:ext_trim[17] 0.000116221 +5 *2475:ext_trim[16] *2475:ext_trim[20] 5.07452e-05 +6 *2475:ext_trim[16] *2257:10 0 +7 *2475:ext_trim[16] *2258:9 5.00247e-05 +8 *2475:ext_trim[16] *2258:12 1.43041e-05 +9 *2475:ext_trim[16] *2259:9 0 +10 *2251:9 *2252:9 0 +11 *2475:ext_trim[13] *2251:9 8.99995e-05 +12 *2250:10 *2475:ext_trim[16] 0 +13 *2250:10 *2251:9 0 +*RES +1 *2471:pll_trim[16] *2251:9 31.0151 +2 *2251:9 *2475:ext_trim[16] 29.7783 +*END + +*D_NET *2252 0.00526663 +*CONN +*I *2475:ext_trim[17] I *D digital_pll +*I *2471:pll_trim[17] O *D housekeeping +*CAP +1 *2475:ext_trim[17] 0.000800243 +2 *2471:pll_trim[17] 0.000879026 +3 *2252:9 0.00167927 +4 *2475:ext_trim[17] *2475:ext_trim[19] 0.000573529 +5 *2475:ext_trim[17] *2258:12 0 +6 *2475:ext_trim[17] *2259:9 7.07361e-05 +7 *2475:ext_trim[17] *2260:9 9.06048e-05 +8 *2252:9 *2253:9 0 +9 *2475:ext_trim[13] *2252:9 0 +10 *2475:ext_trim[16] *2475:ext_trim[17] 0.000116221 +11 *2250:10 *2475:ext_trim[17] 0.001057 +12 *2251:9 *2252:9 0 +*RES +1 *2471:pll_trim[17] *2252:9 28.9388 +2 *2252:9 *2475:ext_trim[17] 35.3784 +*END + +*D_NET *2253 0.00628987 +*CONN +*I *2475:ext_trim[18] I *D digital_pll +*I *2471:pll_trim[18] O *D housekeeping +*CAP +1 *2475:ext_trim[18] 0.000962972 +2 *2471:pll_trim[18] 0.000798383 +3 *2253:9 0.00176135 +4 *2475:ext_trim[18] *2475:ext_trim[19] 0.00225269 +5 *2475:ext_trim[18] *2261:9 0.000290332 +6 *2253:9 *2254:9 0 +7 *72:12 *2475:ext_trim[18] 0 +8 *2249:12 *2475:ext_trim[18] 6.50586e-05 +9 *2250:10 *2475:ext_trim[18] 0.000159075 +10 *2252:9 *2253:9 0 +*RES +1 *2471:pll_trim[18] *2253:9 27.1312 +2 *2253:9 *2475:ext_trim[18] 39.9518 +*END + +*D_NET *2254 0.00685926 +*CONN +*I *2475:ext_trim[19] I *D digital_pll +*I *2471:pll_trim[19] O *D housekeeping +*CAP +1 *2475:ext_trim[19] 0.000835791 +2 *2471:pll_trim[19] 0.00084198 +3 *2254:9 0.00167777 +4 *2475:ext_trim[19] *2258:12 0 +5 *2475:ext_trim[19] *2260:15 0 +6 *2254:9 *2256:9 0 +7 *2471:wb_clk_i *2475:ext_trim[19] 0.000269383 +8 *2475:ext_trim[17] *2475:ext_trim[19] 0.000573529 +9 *2475:ext_trim[18] *2475:ext_trim[19] 0.00225269 +10 *66:17 *2475:ext_trim[19] 8.39733e-05 +11 *2250:10 *2475:ext_trim[19] 0.000324137 +12 *2253:9 *2254:9 0 +*RES +1 *2471:pll_trim[19] *2254:9 28.1083 +2 *2254:9 *2475:ext_trim[19] 42.2951 +*END + +*D_NET *2255 0.00651777 +*CONN +*I *2475:ext_trim[1] I *D digital_pll +*I *2471:pll_trim[1] O *D housekeeping +*CAP +1 *2475:ext_trim[1] 0.00121172 +2 *2471:pll_trim[1] 7.21667e-05 +3 *2255:7 0.00314341 +4 *2255:5 0.00200385 +5 *2475:ext_trim[1] *2475:ext_trim[2] 0 +6 *2475:ext_trim[0] *2475:ext_trim[1] 0 +7 *2244:7 *2475:ext_trim[1] 8.66112e-05 +8 *2244:7 *2255:7 0 +*RES +1 *2471:pll_trim[1] *2255:5 2.05183 +2 *2255:5 *2255:7 54.8133 +3 *2255:7 *2475:ext_trim[1] 13.8877 +*END + +*D_NET *2256 0.00626002 +*CONN +*I *2475:ext_trim[20] I *D digital_pll +*I *2471:pll_trim[20] O *D housekeeping +*CAP +1 *2475:ext_trim[20] 0.00149322 +2 *2471:pll_trim[20] 0.000949418 +3 *2256:9 0.00244264 +4 *2475:ext_trim[20] *2257:15 0.000343399 +5 *2475:ext_trim[20] *2258:12 0.000193186 +6 *2475:ext_trim[20] *2259:14 0.000543025 +7 *2256:9 *2257:10 0 +8 *2475:ext_trim[15] *2256:9 0.000244386 +9 *2475:ext_trim[16] *2475:ext_trim[20] 5.07452e-05 +10 *2223:11 *2475:ext_trim[20] 0 +11 *2254:9 *2256:9 0 +*RES +1 *2471:pll_trim[20] *2256:9 31.9677 +2 *2256:9 *2475:ext_trim[20] 29.2656 +*END + +*D_NET *2257 0.00754898 +*CONN +*I *2475:ext_trim[21] I *D digital_pll +*I *2471:pll_trim[21] O *D housekeeping +*CAP +1 *2475:ext_trim[21] 0.000263427 +2 *2471:pll_trim[21] 0.00109759 +3 *2257:15 0.00104626 +4 *2257:10 0.00188042 +5 *2257:10 *2258:9 0 +6 *2257:15 *2259:14 3.73029e-05 +7 *2257:15 *2261:12 0.000113033 +8 *2471:wb_clk_i *2257:15 0.000266632 +9 *2475:ext_trim[15] *2257:10 0 +10 *2475:ext_trim[16] *2257:10 0 +11 *2475:ext_trim[20] *2257:15 0.000343399 +12 *66:17 *2257:15 0.00108295 +13 *72:12 *2257:15 0.000169038 +14 *72:13 *2257:15 0.00107828 +15 *2223:11 *2257:15 0.000170642 +16 *2256:9 *2257:10 0 +*RES +1 *2471:pll_trim[21] *2257:10 34.5006 +2 *2257:10 *2257:15 48.6937 +3 *2257:15 *2475:ext_trim[21] 3.93415 +*END + +*D_NET *2258 0.0153262 +*CONN +*I *2475:ext_trim[22] I *D digital_pll +*I *2471:pll_trim[22] O *D housekeeping +*CAP +1 *2475:ext_trim[22] 0.00152799 +2 *2471:pll_trim[22] 0.000971517 +3 *2258:12 0.00198111 +4 *2258:9 0.00142464 +5 *2475:ext_trim[22] *2260:15 1.55462e-05 +6 *2475:ext_trim[22] *2261:13 0.00228719 +7 *2258:9 *2259:9 0 +8 *2258:12 *2259:14 0.00356749 +9 *2258:12 *2260:15 0.00329323 +10 *2475:ext_trim[16] *2258:9 5.00247e-05 +11 *2475:ext_trim[16] *2258:12 1.43041e-05 +12 *2475:ext_trim[17] *2258:12 0 +13 *2475:ext_trim[19] *2258:12 0 +14 *2475:ext_trim[20] *2258:12 0.000193186 +15 *2257:10 *2258:9 0 +*RES +1 *2471:pll_trim[22] *2258:9 31.699 +2 *2258:9 *2258:12 46.8187 +3 *2258:12 *2475:ext_trim[22] 43.5545 +*END + +*D_NET *2259 0.018597 +*CONN +*I *2475:ext_trim[23] I *D digital_pll +*I *2471:pll_trim[23] O *D housekeeping +*CAP +1 *2475:ext_trim[23] 0.000701175 +2 *2471:pll_trim[23] 0.000996848 +3 *2259:15 0.00113785 +4 *2259:14 0.000858132 +5 *2259:9 0.00141831 +6 *2259:9 *2260:9 0 +7 *2259:14 *2260:15 4.0752e-05 +8 *2259:14 *2261:12 0.00287268 +9 *2259:15 *2260:17 0.00317635 +10 *2259:15 *2261:13 0.00317635 +11 *2475:ext_trim[16] *2259:9 0 +12 *2475:ext_trim[17] *2259:9 7.07361e-05 +13 *2475:ext_trim[20] *2259:14 0.000543025 +14 *2257:15 *2259:14 3.73029e-05 +15 *2258:9 *2259:9 0 +16 *2258:12 *2259:14 0.00356749 +*RES +1 *2471:pll_trim[23] *2259:9 32.6761 +2 *2259:9 *2259:14 45.7095 +3 *2259:14 *2259:15 51.0394 +4 *2259:15 *2475:ext_trim[23] 5.95615 +*END + +*D_NET *2260 0.0155025 +*CONN +*I *2475:ext_trim[24] I *D digital_pll +*I *2471:pll_trim[24] O *D housekeeping +*CAP +1 *2475:ext_trim[24] 0.000708619 +2 *2471:pll_trim[24] 0.000911277 +3 *2260:17 0.00224764 +4 *2260:15 0.00254154 +5 *2260:9 0.00191379 +6 *2260:9 *2261:9 0 +7 *2260:15 *2261:13 7.22422e-05 +8 *2260:17 *2261:13 0.000457741 +9 *2471:mask_rev_in[4] *2260:15 3.31733e-05 +10 *2471:mask_rev_in[5] *2260:15 0 +11 *2471:mask_rev_in[5] *2260:17 0 +12 *2475:ext_trim[17] *2260:9 9.06048e-05 +13 *2475:ext_trim[19] *2260:15 0 +14 *2475:ext_trim[22] *2260:15 1.55462e-05 +15 *1531:11 *2260:17 0 +16 *2258:12 *2260:15 0.00329323 +17 *2259:9 *2260:9 0 +18 *2259:14 *2260:15 4.0752e-05 +19 *2259:15 *2260:17 0.00317635 +*RES +1 *2471:pll_trim[24] *2260:9 30.722 +2 *2260:9 *2260:15 43.9057 +3 *2260:15 *2260:17 66.6113 +4 *2260:17 *2475:ext_trim[24] 6.03264 +*END + +*D_NET *2261 0.0175663 +*CONN +*I *2475:ext_trim[25] I *D digital_pll +*I *2471:pll_trim[25] O *D housekeeping +*CAP +1 *2475:ext_trim[25] 0.000666486 +2 *2471:pll_trim[25] 0.000962254 +3 *2261:13 0.00226509 +4 *2261:12 0.00217091 +5 *2261:9 0.00153457 +6 *2471:wb_clk_i *2261:12 1.65872e-05 +7 *2475:ext_trim[18] *2261:9 0.000290332 +8 *2475:ext_trim[22] *2261:13 0.00228719 +9 *72:12 *2261:9 0 +10 *72:12 *2261:12 0.000680863 +11 *2223:11 *2261:12 0 +12 *2257:15 *2261:12 0.000113033 +13 *2259:14 *2261:12 0.00287268 +14 *2259:15 *2261:13 0.00317635 +15 *2260:9 *2261:9 0 +16 *2260:15 *2261:13 7.22422e-05 +17 *2260:17 *2261:13 0.000457741 +*RES +1 *2471:pll_trim[25] *2261:9 32.5295 +2 *2261:9 *2261:12 35.172 +3 *2261:12 *2261:13 82.5985 +4 *2261:13 *2475:ext_trim[25] 5.87966 +*END + +*D_NET *2262 0.00484567 +*CONN +*I *2475:ext_trim[2] I *D digital_pll +*I *2471:pll_trim[2] O *D housekeeping +*CAP +1 *2475:ext_trim[2] 0.000521369 +2 *2471:pll_trim[2] 7.21667e-05 +3 *2262:7 0.00235067 +4 *2262:5 0.00190146 +5 *2475:ext_trim[2] *2475:ext_trim[3] 0 +6 *2262:7 *2263:7 0 +7 *2475:ext_trim[1] *2475:ext_trim[2] 0 +*RES +1 *2471:pll_trim[2] *2262:5 2.05183 +2 *2262:5 *2262:7 51.6623 +3 *2262:7 *2475:ext_trim[2] 5.34423 +*END + +*D_NET *2263 0.00415193 +*CONN +*I *2475:ext_trim[3] I *D digital_pll +*I *2471:pll_trim[3] O *D housekeeping +*CAP +1 *2475:ext_trim[3] 0.000401446 +2 *2471:pll_trim[3] 0.00167452 +3 *2263:7 0.00207596 +4 *2475:ext_trim[3] *2475:ext_trim[4] 0 +5 *2263:7 *2475:ext_trim[4] 0 +6 *2475:ext_trim[2] *2475:ext_trim[3] 0 +7 *2262:7 *2263:7 0 +*RES +1 *2471:pll_trim[3] *2263:7 47.3387 +2 *2263:7 *2475:ext_trim[3] 4.88529 +*END + +*D_NET *2264 0.00342273 +*CONN +*I *2475:ext_trim[4] I *D digital_pll +*I *2471:pll_trim[4] O *D housekeeping +*CAP +1 *2475:ext_trim[4] 0.00171137 +2 *2471:pll_trim[4] 0.00171137 +3 *2475:ext_trim[4] *2475:ext_trim[5] 0 +4 *2475:ext_trim[3] *2475:ext_trim[4] 0 +5 *2263:7 *2475:ext_trim[4] 0 +*RES +1 *2471:pll_trim[4] *2475:ext_trim[4] 46.2839 +*END + +*D_NET *2265 0.00273397 +*CONN +*I *2475:ext_trim[5] I *D digital_pll +*I *2471:pll_trim[5] O *D housekeeping +*CAP +1 *2475:ext_trim[5] 0.00136698 +2 *2471:pll_trim[5] 0.00136698 +3 *2475:ext_trim[5] *2475:ext_trim[6] 0 +4 *2475:ext_trim[5] *2475:ext_trim[7] 0 +5 *2475:ext_trim[4] *2475:ext_trim[5] 0 +*RES +1 *2471:pll_trim[5] *2475:ext_trim[5] 39.9349 +*END + +*D_NET *2266 0.00211614 +*CONN +*I *2475:ext_trim[6] I *D digital_pll +*I *2471:pll_trim[6] O *D housekeeping +*CAP +1 *2475:ext_trim[6] 0.00105807 +2 *2471:pll_trim[6] 0.00105807 +3 *2475:ext_trim[6] *2475:ext_trim[7] 0 +4 *2475:ext_trim[5] *2475:ext_trim[6] 0 +*RES +1 *2471:pll_trim[6] *2475:ext_trim[6] 29.3867 +*END + +*D_NET *2267 0.00193193 +*CONN +*I *2475:ext_trim[7] I *D digital_pll +*I *2471:pll_trim[7] O *D housekeeping +*CAP +1 *2475:ext_trim[7] 0.000965966 +2 *2471:pll_trim[7] 0.000965966 +3 *2475:ext_trim[7] *2475:ext_trim[8] 0 +4 *2475:ext_trim[5] *2475:ext_trim[7] 0 +5 *2475:ext_trim[6] *2475:ext_trim[7] 0 +*RES +1 *2471:pll_trim[7] *2475:ext_trim[7] 27.2112 +*END + +*D_NET *2268 0.00198073 +*CONN +*I *2475:ext_trim[8] I *D digital_pll +*I *2471:pll_trim[8] O *D housekeeping +*CAP +1 *2475:ext_trim[8] 0.000990365 +2 *2471:pll_trim[8] 0.000990365 +3 *2475:ext_trim[8] *2475:ext_trim[9] 0 +4 *2475:ext_trim[7] *2475:ext_trim[8] 0 +*RES +1 *2471:pll_trim[8] *2475:ext_trim[8] 27.773 +*END + +*D_NET *2269 0.00226197 +*CONN +*I *2475:ext_trim[9] I *D digital_pll +*I *2471:pll_trim[9] O *D housekeeping +*CAP +1 *2475:ext_trim[9] 0.00113099 +2 *2471:pll_trim[9] 0.00113099 +3 *2475:ext_trim[10] *2475:ext_trim[9] 0 +4 *2475:ext_trim[8] *2475:ext_trim[9] 0 +*RES +1 *2471:pll_trim[9] *2475:ext_trim[9] 31.1439 +*END + +*D_NET *2270 0.0368092 +*CONN +*I *2471:spi_sck I *D housekeeping +*I *2478:spi_sck O *D mgmt_core_wrapper +*CAP +1 *2471:spi_sck 0.00169469 +2 *2478:spi_sck 0.00107459 +3 *2270:11 0.00429551 +4 *2270:10 0.00260082 +5 *2270:8 0.0014011 +6 *2270:7 0.00247569 +7 *2471:spi_sck *2471:spi_sdo 0.000197799 +8 *2270:8 *2271:14 0.00606759 +9 *2270:11 *2272:11 0.0104548 +10 *2471:spi_csb *2471:spi_sck 0.000203088 +11 *68:14 *2270:8 0.00083707 +12 *587:8 *2270:8 0 +13 *588:8 *2270:8 0 +14 *590:8 *2270:8 0 +15 *2227:14 *2270:8 0.00550651 +*RES +1 *2478:spi_sck *2270:7 7.1035 +2 *2270:7 *2270:8 117.064 +3 *2270:8 *2270:10 4.5 +4 *2270:10 *2270:11 116.081 +5 *2270:11 *2471:spi_sck 23.631 +*END + +*D_NET *2271 0.0360415 +*CONN +*I *2478:spi_sdi I *D mgmt_core_wrapper +*I *2471:spi_sdi O *D housekeeping +*CAP +1 *2478:spi_sdi 0.000980996 +2 *2471:spi_sdi 0.00156362 +3 *2271:14 0.00242989 +4 *2271:13 0.00144889 +5 *2271:11 0.0025096 +6 *2271:10 0.00407322 +7 *2471:ser_tx *2271:10 0.000332717 +8 *2471:spi_csb *2271:10 0 +9 *590:8 *2271:14 0 +10 *2224:8 *2271:14 0.00431709 +11 *2227:14 *2271:14 0.000508484 +12 *2228:8 *2271:14 0.000813395 +13 *2228:11 *2271:11 0.010996 +14 *2270:8 *2271:14 0.00606759 +*RES +1 *2471:spi_sdi *2271:10 18.7244 +2 *2271:10 *2271:11 116.081 +3 *2271:11 *2271:13 4.5 +4 *2271:13 *2271:14 115.403 +5 *2271:14 *2478:spi_sdi 7.02701 +*END + +*D_NET *2272 0.0443079 +*CONN +*I *2471:spi_sdo I *D housekeeping +*I *2478:spi_sdo O *D mgmt_core_wrapper +*CAP +1 *2471:spi_sdo 0.00150039 +2 *2478:spi_sdo 0.00139681 +3 *2272:11 0.00219037 +4 *2272:10 0.000689986 +5 *2272:8 0.00143166 +6 *2272:7 0.00282847 +7 *2471:spi_sdo *2471:spi_sdoenb 0 +8 *2272:8 *2273:8 0.0010134 +9 *2471:spi_csb *2471:spi_sdo 0.000176441 +10 *2471:spi_sck *2471:spi_sdo 0.000197799 +11 *69:8 *2272:8 0.00470526 +12 *71:8 *2272:8 0.00472983 +13 *526:14 *2272:8 0.000266226 +14 *559:8 *2272:8 0.00207973 +15 *604:14 *2272:8 0.000158505 +16 *2229:11 *2272:11 0.0101555 +17 *2230:8 *2272:8 0.000332825 +18 *2270:11 *2272:11 0.0104548 +*RES +1 *2478:spi_sdo *2272:7 7.94489 +2 *2272:7 *2272:8 126.615 +3 *2272:8 *2272:10 4.5 +4 *2272:10 *2272:11 109.426 +5 *2272:11 *2471:spi_sdo 16.6482 +*END + +*D_NET *2273 0.0365138 +*CONN +*I *2471:spi_sdoenb I *D housekeeping +*I *2478:spi_sdoenb O *D mgmt_core_wrapper +*CAP +1 *2471:spi_sdoenb 0.00185938 +2 *2478:spi_sdoenb 0.00155164 +3 *2273:11 0.00416297 +4 *2273:10 0.00230359 +5 *2273:8 0.00147312 +6 *2273:7 0.00302476 +7 *2471:spi_sdo *2471:spi_sdoenb 0 +8 *71:8 *2273:8 0.00527619 +9 *602:14 *2273:8 0.00190982 +10 *603:10 *2471:spi_sdoenb 6.75696e-05 +11 *603:11 *2273:11 1.92172e-05 +12 *604:10 *2471:spi_sdoenb 0.000172802 +13 *604:11 *2273:11 0.0102977 +14 *2230:8 *2273:8 0.00338167 +15 *2272:8 *2273:8 0.0010134 +*RES +1 *2478:spi_sdoenb *2273:7 8.09787 +2 *2273:7 *2273:8 119.556 +3 *2273:8 *2273:10 4.5 +4 *2273:10 *2273:11 108.871 +5 *2273:11 *2471:spi_sdoenb 26.953 +*END + +*D_NET *2274 0.040427 +*CONN +*I *2471:trap I *D housekeeping +*I *2478:trap O *D mgmt_core_wrapper +*CAP +1 *2471:trap 0.00160255 +2 *2478:trap 0.00132946 +3 *2274:11 0.00407771 +4 *2274:10 0.00247515 +5 *2274:8 0.00139742 +6 *2274:7 0.00272688 +7 *2471:debug_out *2471:trap 0 +8 *70:8 *2274:8 0.000562744 +9 *594:8 *2274:8 0.000266226 +10 *602:10 *2471:trap 0.000384809 +11 *602:11 *2274:11 0.0101979 +12 *603:14 *2274:8 0.00739229 +13 *604:14 *2274:8 0.0080138 +*RES +1 *2478:trap *2274:7 7.63893 +2 *2274:7 *2274:8 139.073 +3 *2274:8 *2274:10 4.5 +4 *2274:10 *2274:11 111.644 +5 *2274:11 *2471:trap 19.9702 +*END + +*D_NET *2275 0.0318787 +*CONN +*I *2471:uart_enabled I *D housekeeping +*I *2478:uart_enabled O *D mgmt_core_wrapper +*CAP +1 *2471:uart_enabled 0.00187217 +2 *2478:uart_enabled 0.00108609 +3 *2275:11 0.00428246 +4 *2275:10 0.00241029 +5 *2275:8 0.00138373 +6 *2275:7 0.00246982 +7 *2471:qspi_enabled *2471:uart_enabled 0.000177702 +8 *2471:spi_enabled *2471:uart_enabled 0 +9 *70:8 *2275:8 0.000336704 +10 *527:14 *2275:8 0.00363921 +11 *555:14 *2275:8 0 +12 *603:14 *2275:8 0.00054535 +13 *2227:10 *2471:uart_enabled 2.01653e-05 +14 *2227:11 *2275:11 0.0108007 +15 *2227:14 *2275:8 0.00285427 +*RES +1 *2478:uart_enabled *2275:7 7.33297 +2 *2275:7 *2275:8 96.3019 +3 *2275:8 *2275:10 4.5 +4 *2275:10 *2275:11 113.308 +5 *2275:11 *2471:uart_enabled 26.2754 +*END + +*D_NET *2276 0.0248643 +*CONN +*I *2473:io_analog[0] I *D user_analog_project_wrapper +*I *2474:mprj_analog[0] I *D chip_io_alt +*CAP +1 *2473:io_analog[0] 0.000136454 +2 *2474:mprj_analog[0] 4.99679e-05 +3 *2276:25 2.68684e-05 +4 *2276:11 0.00909556 +5 *2276:10 0.0089591 +6 *2276:8 0.00271007 +7 *2276:7 0.00273316 +8 *2276:11 *2280:13 0.00115315 +*RES +1 *2474:mprj_analog[0] *2276:7 8.2474 +2 *2276:7 *2276:8 75.5949 +3 *2276:8 *2276:10 4.5 +4 *2276:10 *2276:11 261.572 +5 *2276:11 *2473:io_analog[0] 4.4408 +6 *2474:mprj_analog[0] *2276:25 0.0631875 +*END + +*D_NET *2277 0.0155439 +*CONN +*I *2473:io_analog[10] I *D user_analog_project_wrapper +*I *2474:mprj_analog[10] I *D chip_io_alt +*CAP +1 *2473:io_analog[10] 0.0020929 +2 *2474:mprj_analog[10] 0.00118944 +3 *2277:13 0.00658251 +4 *2277:12 0.00567905 +*RES +1 *2474:mprj_analog[10] *2277:12 37.4652 +2 *2277:12 *2277:13 125.509 +3 *2277:13 *2473:io_analog[10] 45.2351 +*END + +*D_NET *2278 0.0539401 +*CONN +*I *2473:io_analog[1] I *D user_analog_project_wrapper +*I *2474:mprj_analog[1] I *D chip_io_alt +*CAP +1 *2473:io_analog[1] 0.00020678 +2 *2474:mprj_analog[1] 6.99824e-05 +3 *2278:30 0.00328283 +4 *2278:29 0.00307605 +5 *2278:27 0.0136642 +6 *2278:26 0.0145707 +7 *2278:23 0.00211389 +8 *2278:20 0.00243196 +9 *2278:11 0.00783919 +10 *2278:10 0.00668455 +*RES +1 *2474:mprj_analog[1] *2278:10 8.38708 +2 *2278:10 *2278:11 185.406 +3 *2278:11 *2278:20 43.1667 +4 *2278:20 *2278:23 37.945 +5 *2278:23 *2278:26 30.0014 +6 *2278:26 *2278:27 382.845 +7 *2278:27 *2278:29 4.5 +8 *2278:29 *2278:30 87.1663 +9 *2278:30 *2473:io_analog[1] 4.12039 +*END + +*D_NET *2279 0.0305584 +*CONN +*I *2473:io_analog[2] I *D user_analog_project_wrapper +*I *2474:mprj_analog[2] I *D chip_io_alt +*CAP +1 *2473:io_analog[2] 0.00162637 +2 *2474:mprj_analog[2] 4.99679e-05 +3 *2279:33 2.68684e-05 +4 *2279:19 0.00285096 +5 *2279:14 0.00391488 +6 *2279:13 0.00269029 +7 *2279:11 0.00179747 +8 *2279:10 0.00179747 +9 *2279:8 0.00789052 +10 *2279:7 0.00791362 +*RES +1 *2474:mprj_analog[2] *2279:7 8.2474 +2 *2279:7 *2279:8 220.901 +3 *2279:8 *2279:10 4.5 +4 *2279:10 *2279:11 50.8318 +5 *2279:11 *2279:13 4.5 +6 *2279:13 *2279:14 75.0403 +7 *2279:14 *2279:19 39.2468 +8 *2279:19 *2473:io_analog[2] 47.0652 +9 *2474:mprj_analog[2] *2279:33 0.0631875 +*END + +*D_NET *2280 0.0978136 +*CONN +*I *2473:io_analog[3] I *D user_analog_project_wrapper +*I *2474:mprj_analog[3] I *D chip_io_alt +*CAP +1 *2473:io_analog[3] 0.000524768 +2 *2474:mprj_analog[3] 2.68684e-05 +3 *2280:35 2.68684e-05 +4 *2280:22 0.00289808 +5 *2280:20 0.00239395 +6 *2280:18 0.0124784 +7 *2280:16 0.0124784 +8 *2280:14 0.0203986 +9 *2280:13 0.0207814 +10 *2280:8 0.00859047 +11 *2280:7 0.00818711 +12 *2280:5 0.00393776 +13 *2280:4 0.00393776 +14 *2276:11 *2280:13 0.00115315 +*RES +1 *2474:mprj_analog[3] *2280:4 3.36879 +2 *2280:4 *2280:5 111.666 +3 *2280:5 *2280:7 4.5 +4 *2280:7 *2280:8 229.22 +5 *2280:8 *2280:13 27.4421 +6 *2280:13 *2280:14 571.133 +7 *2280:14 *2280:16 0.578717 +8 *2280:16 *2280:18 349.569 +9 *2280:18 *2280:20 0.578717 +10 *2280:20 *2280:22 66.4439 +11 *2280:22 *2473:io_analog[3] 10.4851 +12 *2474:mprj_analog[3] *2280:35 0.0631875 +*END + +*D_NET *2281 0.00652429 +*CONN +*I *2473:io_analog[4] I *D user_analog_project_wrapper +*I *2474:mprj_analog[4] I *D chip_io_alt +*CAP +1 *2473:io_analog[4] 0.000166806 +2 *2474:mprj_analog[4] 9.89961e-05 +3 *2281:10 0.00316315 +4 *2281:9 0.00309534 +*RES +1 *2474:mprj_analog[4] *2281:9 3.708 +2 *2281:9 *2281:10 84.8824 +3 *2281:10 *2473:io_analog[4] 3.9674 +*END + +*D_NET *2282 0.00628664 +*CONN +*I *2473:io_analog[5] I *D user_analog_project_wrapper +*I *2474:mprj_analog[5] I *D chip_io_alt +*CAP +1 *2473:io_analog[5] 9.41481e-05 +2 *2474:mprj_analog[5] 9.90234e-05 +3 *2282:10 0.00303888 +4 *2282:9 0.00304376 +5 *2473:io_analog[5] *2288:10 1.08288e-05 +6 *2282:10 *2288:10 0 +*RES +1 *2474:mprj_analog[5] *2282:9 3.708 +2 *2282:9 *2282:10 83.2214 +3 *2282:10 *2473:io_analog[5] 3.708 +*END + +*D_NET *2283 0.00627194 +*CONN +*I *2473:io_analog[6] I *D user_analog_project_wrapper +*I *2474:mprj_analog[6] I *D chip_io_alt +*CAP +1 *2473:io_analog[6] 9.90234e-05 +2 *2474:mprj_analog[6] 9.90234e-05 +3 *2283:10 0.00303695 +4 *2283:9 0.00303695 +5 *2473:io_analog[6] *2289:9 0 +*RES +1 *2474:mprj_analog[6] *2283:9 3.708 +2 *2283:9 *2283:10 83.2214 +3 *2283:10 *2473:io_analog[6] 3.708 +*END + +*D_NET *2284 0.0108302 +*CONN +*I *2473:io_analog[7] I *D user_analog_project_wrapper +*I *2474:mprj_analog[7] I *D chip_io_alt +*CAP +1 *2473:io_analog[7] 0.00110065 +2 *2474:mprj_analog[7] 0.000946279 +3 *2284:8 0.0044688 +4 *2284:7 0.00431443 +*RES +1 *2474:mprj_analog[7] *2284:7 6.95052 +2 *2284:7 *2284:8 95.4714 +3 *2284:8 *2473:io_analog[7] 38.472 +*END + +*D_NET *2285 0.00789897 +*CONN +*I *2473:io_analog[8] I *D user_analog_project_wrapper +*I *2474:mprj_analog[8] I *D chip_io_alt +*CAP +1 *2473:io_analog[8] 0.000286702 +2 *2474:mprj_analog[8] 0.000286702 +3 *2285:8 0.00366278 +4 *2285:7 0.00366278 +*RES +1 *2474:mprj_analog[8] *2285:7 4.42635 +2 *2285:7 *2285:8 95.679 +3 *2285:8 *2473:io_analog[8] 4.42635 +*END + +*D_NET *2286 0.00845861 +*CONN +*I *2473:io_analog[9] I *D user_analog_project_wrapper +*I *2474:mprj_analog[9] I *D chip_io_alt +*CAP +1 *2473:io_analog[9] 0.000366651 +2 *2474:mprj_analog[9] 0.000486574 +3 *2286:8 0.00374273 +4 *2286:7 0.00386265 +*RES +1 *2474:mprj_analog[9] *2286:7 5.19125 +2 *2286:7 *2286:8 95.679 +3 *2286:8 *2473:io_analog[9] 4.73231 +*END + +*D_NET *2287 0.0070236 +*CONN +*I *2474:mprj_clamp_high[0] I *D chip_io_alt +*I *2473:io_clamp_high[0] I *D user_analog_project_wrapper +*CAP +1 *2474:mprj_clamp_high[0] 0.00075463 +2 *2473:io_clamp_high[0] 4.73466e-05 +3 *2287:8 0.00242287 +4 *2287:7 0.00171558 +5 *2474:mprj_clamp_high[0] *2290:10 0.000721797 +6 *2287:7 *2290:9 6.67357e-05 +7 *2287:8 *2290:10 0.00129464 +*RES +1 *2473:io_clamp_high[0] *2287:7 3.58495 +2 *2287:7 *2287:8 62.2512 +3 *2287:8 *2474:mprj_clamp_high[0] 36.3196 +*END + +*D_NET *2288 0.00881392 +*CONN +*I *2474:mprj_clamp_high[1] I *D chip_io_alt +*I *2473:io_clamp_high[1] I *D user_analog_project_wrapper +*CAP +1 *2474:mprj_clamp_high[1] 0.00152632 +2 *2473:io_clamp_high[1] 0.00163666 +3 *2288:15 0.00276489 +4 *2288:10 0.00287523 +5 *2473:io_analog[5] *2288:10 1.08288e-05 +6 *2282:10 *2288:10 0 +*RES +1 *2473:io_clamp_high[1] *2288:10 47.2728 +2 *2288:10 *2288:15 42.9996 +3 *2288:15 *2474:mprj_clamp_high[1] 43.2595 +*END + +*D_NET *2289 0.00639682 +*CONN +*I *2474:mprj_clamp_high[2] I *D chip_io_alt +*I *2473:io_clamp_high[2] I *D user_analog_project_wrapper +*CAP +1 *2474:mprj_clamp_high[2] 0.000957928 +2 *2473:io_clamp_high[2] 9.90234e-05 +3 *2289:10 0.00309938 +4 *2289:9 0.00224048 +5 *2473:io_analog[6] *2289:9 0 +*RES +1 *2473:io_clamp_high[2] *2289:9 3.708 +2 *2289:9 *2289:10 60.7978 +3 *2289:10 *2474:mprj_clamp_high[2] 27.3212 +*END + +*D_NET *2290 0.0102604 +*CONN +*I *2474:mprj_clamp_low[0] I *D chip_io_alt +*I *2473:io_clamp_low[0] I *D user_analog_project_wrapper +*CAP +1 *2474:mprj_clamp_low[0] 0.00113819 +2 *2473:io_clamp_low[0] 0.00075162 +3 *2290:10 0.00333701 +4 *2290:9 0.00295044 +5 *2474:mprj_clamp_high[0] *2290:10 0.000721797 +6 *2287:7 *2290:9 6.67357e-05 +7 *2287:8 *2290:10 0.00129464 +*RES +1 *2473:io_clamp_low[0] *2290:9 6.23218 +2 *2290:9 *2290:10 84.2596 +3 *2290:10 *2474:mprj_clamp_low[0] 40.3804 +*END + +*D_NET *2291 0.00781273 +*CONN +*I *2474:mprj_clamp_low[1] I *D chip_io_alt +*I *2473:io_clamp_low[1] I *D user_analog_project_wrapper +*CAP +1 *2474:mprj_clamp_low[1] 0.00298789 +2 *2473:io_clamp_low[1] 0.000918471 +3 *2291:9 0.00390636 +*RES +1 *2473:io_clamp_low[1] *2291:9 6.8441 +2 *2291:9 *2474:mprj_clamp_low[1] 84.7969 +*END + +*D_NET *2292 0.0081854 +*CONN +*I *2474:mprj_clamp_low[2] I *D chip_io_alt +*I *2473:io_clamp_low[2] I *D user_analog_project_wrapper +*CAP +1 *2474:mprj_clamp_low[2] 0.000872874 +2 *2473:io_clamp_low[2] 0.00107837 +3 *2292:10 0.00301433 +4 *2292:9 0.00321983 +*RES +1 *2473:io_clamp_low[2] *2292:9 7.45602 +2 *2292:9 *2292:10 60.7978 +3 *2292:10 *2474:mprj_clamp_low[2] 24.9029 +*END + +*D_NET *2293 0.0192116 +*CONN +*I *2473:gpio_analog[0] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_analog[0] I *D chip_io_alt +*CAP +1 *2473:gpio_analog[0] 0.000258316 +2 *2474:mprj_gpio_analog[0] 0.000419499 +3 *2293:16 0.00200321 +4 *2293:10 0.00657838 +5 *2293:9 0.00525299 +6 *2293:9 *2311:13 0.000152576 +7 *2293:16 *2473:gpio_noesd[0] 0.000334189 +8 *2293:16 *2311:17 0.00209413 +9 *2474:mprj_io_analog_en[7] *2293:9 1.15509e-05 +10 *2474:mprj_io_analog_pol[7] *2293:9 7.39195e-05 +11 *2474:mprj_io_analog_pol[7] *2293:10 0.000199558 +12 *2474:mprj_io_dm[21] *2293:9 9.29054e-05 +13 *2474:mprj_io_dm[22] *2293:9 0.000197125 +14 *2474:mprj_io_inp_dis[7] *2293:10 0 +15 *2474:mprj_io_slow_sel[7] *2293:9 0 +16 *2018:24 *2293:10 0.000213725 +17 *2153:16 *2293:9 0.0013295 +*RES +1 *2474:mprj_gpio_analog[0] *2293:9 26.9297 +2 *2293:9 *2293:10 127.728 +3 *2293:10 *2293:16 49.5655 +4 *2293:16 *2473:gpio_analog[0] 0.483884 +*END + +*D_NET *2294 0.0190737 +*CONN +*I *2473:gpio_analog[10] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_analog[10] I *D chip_io_alt +*CAP +1 *2473:gpio_analog[10] 0.00117175 +2 *2474:mprj_gpio_analog[10] 0.000554535 +3 *2294:17 0.00325465 +4 *2294:16 0.0020829 +5 *2294:14 0.00401308 +6 *2294:13 0.00456761 +7 *473:8 *2294:13 0 +8 *491:25 *2294:14 2.57111e-05 +9 *519:21 *2294:14 0.000251655 +10 *1553:33 *2294:17 0.0011138 +11 *1904:8 *2294:13 3.04407e-05 +12 *1904:13 *2294:14 0.00060197 +13 *1947:13 *2294:14 0.000104572 +14 *1974:17 *2294:13 2.16355e-05 +15 *1974:22 *2294:13 0.000200654 +16 *2136:8 *2294:13 0.00107879 +*RES +1 *2474:mprj_gpio_analog[10] *2294:13 36.0047 +2 *2294:13 *2294:14 110.535 +3 *2294:14 *2294:16 4.5 +4 *2294:16 *2294:17 58.9292 +5 *2294:17 *2473:gpio_analog[10] 7.20826 +*END + +*D_NET *2295 0.014458 +*CONN +*I *2473:gpio_analog[11] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_analog[11] I *D chip_io_alt +*CAP +1 *2473:gpio_analog[11] 0.00183248 +2 *2474:mprj_gpio_analog[11] 0.00123685 +3 *2295:16 0.00534914 +4 *2295:15 0.00351665 +5 *2295:13 0.00123685 +6 *2473:gpio_analog[11] *2473:gpio_noesd[11] 0 +7 *2473:gpio_analog[11] *2313:19 0 +8 *2295:13 *2313:15 0.000666233 +9 *2474:mprj_io_analog_en[18] *2295:13 5.23318e-05 +10 *2474:mprj_io_analog_sel[18] *2295:13 0 +11 *2474:mprj_io_dm[54] *2295:13 0 +12 *2474:mprj_io_dm[55] *2295:13 0.00019711 +13 *2474:mprj_io_holdover[18] *2295:13 0.000125378 +14 *2474:mprj_io_inp_dis[18] *2295:13 0 +15 *107:57 *2295:13 0 +16 *107:57 *2295:16 0.000142393 +17 *1786:18 *2295:13 0 +18 *1840:27 *2295:13 0.000102556 +19 *1948:22 *2295:13 0 +*RES +1 *2474:mprj_gpio_analog[11] *2295:13 49.2657 +2 *2295:13 *2295:15 4.5 +3 *2295:15 *2295:16 93.3422 +4 *2295:16 *2473:gpio_analog[11] 16.5089 +*END + +*D_NET *2296 0.0186777 +*CONN +*I *2473:gpio_analog[12] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_analog[12] I *D chip_io_alt +*CAP +1 *2473:gpio_analog[12] 0.00173875 +2 *2474:mprj_gpio_analog[12] 0.000852727 +3 *2296:17 0.00398912 +4 *2296:16 0.00225037 +5 *2296:14 0.00358386 +6 *2296:13 0.00443658 +7 *2473:gpio_analog[12] *2473:gpio_noesd[12] 0 +8 *2296:13 *2314:19 0.000208984 +9 *2296:17 *2366:10 0 +10 *2474:mprj_io_slow_sel[19] *2296:13 0 +11 *475:16 *2296:13 0 +12 *1787:8 *2296:13 0.0013897 +13 *2057:29 *2296:13 0.000227569 +*RES +1 *2474:mprj_gpio_analog[12] *2296:13 45.1986 +2 *2296:13 *2296:14 93.3422 +3 *2296:14 *2296:16 4.5 +4 *2296:16 *2296:17 63.4969 +5 *2296:17 *2473:gpio_analog[12] 9.03737 +*END + +*D_NET *2297 0.0236553 +*CONN +*I *2473:gpio_analog[13] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_analog[13] I *D chip_io_alt +*CAP +1 *2473:gpio_analog[13] 0.0015225 +2 *2474:mprj_gpio_analog[13] 0.000716966 +3 *2297:17 0.00295067 +4 *2297:16 0.00142817 +5 *2297:14 0.00247728 +6 *2297:12 0.00319425 +7 *2473:gpio_analog[13] *2473:gpio_noesd[13] 0 +8 *2297:12 *2315:13 0.000148615 +9 *2297:14 *2315:16 0.00921915 +10 *2297:17 *2315:19 0.00173435 +11 *2474:mprj_io_slow_sel[20] *2297:12 9.4338e-05 +12 *474:48 *2297:12 0 +13 *2005:10 *2297:12 0 +14 *2005:11 *2297:12 0 +15 *2113:16 *2297:12 0.000169016 +*RES +1 *2474:mprj_gpio_analog[13] *2297:12 26.2077 +2 *2297:12 *2297:14 103.88 +3 *2297:14 *2297:16 4.5 +4 *2297:16 *2297:17 56.8529 +5 *2297:17 *2473:gpio_analog[13] 8.19764 +*END + +*D_NET *2298 0.0150494 +*CONN +*I *2473:gpio_analog[14] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_analog[14] I *D chip_io_alt +*CAP +1 *2473:gpio_analog[14] 0.0021892 +2 *2474:mprj_gpio_analog[14] 0.000735177 +3 *2298:22 0.00583194 +4 *2298:21 0.00437792 +5 *2473:gpio_analog[14] *2473:gpio_noesd[14] 0 +6 *2298:21 *2316:13 0.00101381 +7 *2474:mprj_io_analog_en[21] *2298:21 1.64263e-05 +8 *2474:mprj_io_analog_pol[21] *2298:21 5.6259e-05 +9 *2474:mprj_io_dm[63] *2298:21 0.00019711 +10 *2474:mprj_io_dm[64] *2298:21 0.000327004 +11 *2474:mprj_io_holdover[21] *2298:22 0.000199733 +12 *2474:mprj_io_inp_dis[21] *2298:21 0.0001048 +13 *2474:mprj_io_inp_dis[21] *2298:22 0 +14 *2087:8 *2298:21 0 +*RES +1 *2474:mprj_gpio_analog[14] *2298:21 43.8835 +2 *2298:21 *2298:22 96.1152 +3 *2298:22 *2473:gpio_analog[14] 23.3276 +*END + +*D_NET *2299 0.0152277 +*CONN +*I *2473:gpio_analog[15] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_analog[15] I *D chip_io_alt +*CAP +1 *2473:gpio_analog[15] 0.00191058 +2 *2474:mprj_gpio_analog[15] 0.00130152 +3 *2299:24 0.00474718 +4 *2299:23 0.00283661 +5 *2299:21 0.00130152 +6 *2473:gpio_analog[15] *2473:gpio_noesd[15] 7.93703e-05 +7 *2299:21 *2317:12 3.0329e-05 +8 *2299:24 *2317:14 0.00221995 +9 *2474:mprj_io_analog_sel[22] *2299:24 0 +10 *2474:mprj_io_dm[66] *2299:21 0 +11 *2474:mprj_io_dm[67] *2299:21 0.00019711 +12 *2474:mprj_io_out[22] *2299:21 7.61489e-05 +13 *477:26 *2299:21 0.000169016 +14 *1791:19 *2299:21 4.05482e-05 +15 *1922:25 *2299:21 0.000317812 +16 *2115:8 *2299:21 0 +17 *2169:16 *2299:21 0 +*RES +1 *2474:mprj_gpio_analog[15] *2299:21 49.1549 +2 *2299:21 *2299:23 4.5 +3 *2299:23 *2299:24 93.3422 +4 *2299:24 *2473:gpio_analog[15] 16.6619 +*END + +*D_NET *2300 0.014926 +*CONN +*I *2473:gpio_analog[16] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_analog[16] I *D chip_io_alt +*CAP +1 *2473:gpio_analog[16] 0.00204491 +2 *2474:mprj_gpio_analog[16] 0.00074767 +3 *2300:22 0.00545025 +4 *2300:21 0.00388474 +5 *2300:18 0.00122706 +6 *2473:gpio_analog[16] *2473:gpio_noesd[16] 4.88112e-06 +7 *2474:mprj_io_analog_en[23] *2300:18 0 +8 *2474:mprj_io_dm[69] *2300:18 0.000126443 +9 *2474:mprj_io_dm[70] *2300:18 8.6956e-05 +10 *494:20 *2300:21 0.000202475 +11 *1819:8 *2300:21 0.000602602 +12 *1846:8 *2300:18 0 +13 *1925:8 *2300:18 0.000232116 +14 *1926:16 *2300:21 0.000315878 +*RES +1 *2474:mprj_gpio_analog[16] *2300:18 38.7735 +2 *2300:18 *2300:21 24.6031 +3 *2300:21 *2300:22 88.9054 +4 *2300:22 *2473:gpio_analog[16] 21.9982 +*END + +*D_NET *2301 0.0218031 +*CONN +*I *2473:gpio_analog[17] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_analog[17] I *D chip_io_alt +*CAP +1 *2473:gpio_analog[17] 0.00179814 +2 *2474:mprj_gpio_analog[17] 0.00014576 +3 *2301:14 0.00554523 +4 *2301:13 0.00374709 +5 *2301:11 0.00186849 +6 *2301:10 0.00201425 +7 *2473:gpio_analog[17] *2473:io_in[24] 4.62112e-05 +8 *477:26 *2301:11 0.000437268 +9 *514:14 *2301:11 0 +10 *1793:14 *2301:11 0 +11 *1927:15 *2301:10 0.00019711 +12 *1927:15 *2301:11 0.00281143 +13 *1955:8 *2301:11 0.00319213 +14 *2009:11 *2301:11 0 +*RES +1 *2474:mprj_gpio_analog[17] *2301:10 13.4779 +2 *2301:10 *2301:11 87.3739 +3 *2301:11 *2301:13 4.5 +4 *2301:13 *2301:14 97.779 +5 *2301:14 *2473:gpio_analog[17] 14.0103 +*END + +*D_NET *2302 0.0294676 +*CONN +*I *2473:gpio_analog[1] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_analog[1] I *D chip_io_alt +*CAP +1 *2473:gpio_analog[1] 0.00156681 +2 *2474:mprj_gpio_analog[1] 0.00066452 +3 *2302:19 0.00239282 +4 *2302:18 0.000826006 +5 *2302:16 0.00286311 +6 *2302:15 0.00352763 +7 *2473:gpio_analog[1] *2473:gpio_noesd[1] 0 +8 *2302:15 *2320:15 0.00126387 +9 *2302:16 *2320:16 0.0104591 +10 *2302:19 *2381:10 0.000153257 +11 *2302:19 *2382:10 0.00329586 +12 *2302:19 *2408:10 0.00134023 +13 *2302:19 *2409:10 0 +14 *2474:mprj_io_analog_pol[8] *2302:15 5.62734e-05 +15 *2474:mprj_io_dm[24] *2302:15 0.000197125 +16 *2474:mprj_io_dm[25] *2302:15 0.000197125 +17 *2474:mprj_io_inp_dis[8] *2302:15 6.89664e-05 +18 *2019:11 *2302:15 0.000260215 +19 *2073:31 *2302:15 0.000334611 +*RES +1 *2474:mprj_gpio_analog[1] *2302:15 46.8241 +2 *2302:15 *2302:16 118.854 +3 *2302:16 *2302:18 4.5 +4 *2302:18 *2302:19 53.1156 +5 *2302:19 *2473:gpio_analog[1] 8.64827 +*END + +*D_NET *2303 0.0188574 +*CONN +*I *2473:gpio_analog[2] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_analog[2] I *D chip_io_alt +*CAP +1 *2473:gpio_analog[2] 0.00178557 +2 *2474:mprj_gpio_analog[2] 0.000544981 +3 *2303:17 0.00333419 +4 *2303:16 0.00154863 +5 *2303:14 0.00429281 +6 *2303:13 0.00483779 +7 *2473:gpio_analog[2] *2473:gpio_noesd[2] 0 +8 *2303:13 *2321:13 0.000941127 +9 *2303:17 *2355:16 0.000316067 +10 *2303:17 *2384:10 0 +11 *2303:17 *2409:10 0 +12 *2474:mprj_io_analog_en[9] *2303:13 4.40471e-05 +13 *2474:mprj_io_analog_pol[9] *2303:13 9.43525e-05 +14 *2474:mprj_io_dm[27] *2303:13 6.35532e-05 +15 *2474:mprj_io_dm[28] *2303:13 0.000210531 +16 *2474:mprj_io_inp_dis[9] *2303:13 8.16595e-05 +17 *2474:mprj_io_inp_dis[9] *2303:14 0 +18 *1803:27 *2303:13 0.000747954 +19 *1879:44 *2303:13 1.41761e-05 +*RES +1 *2474:mprj_gpio_analog[2] *2303:13 33.4211 +2 *2303:13 *2303:14 113.308 +3 *2303:14 *2303:16 4.5 +4 *2303:16 *2303:17 47.3021 +5 *2303:17 *2473:gpio_analog[2] 9.27848 +*END + +*D_NET *2304 0.0178974 +*CONN +*I *2473:gpio_analog[3] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_analog[3] I *D chip_io_alt +*CAP +1 *2473:gpio_analog[3] 0.00197995 +2 *2474:mprj_gpio_analog[3] 0.000516141 +3 *2304:20 0.00668625 +4 *2304:19 0.00522244 +5 *2473:gpio_analog[3] *2473:gpio_noesd[3] 0 +6 *2473:gpio_analog[3] *2322:17 0.00159927 +7 *2304:19 *2322:13 0.000503531 +8 *2474:mprj_io_analog_en[10] *2304:19 0.000159409 +9 *2474:mprj_io_dm[30] *2304:19 9.43525e-05 +10 *2474:mprj_io_dm[31] *2304:19 9.43525e-05 +11 *2474:mprj_io_dm[32] *2304:19 0.000315402 +12 *2474:mprj_io_inp_dis[10] *2304:20 0.000344312 +13 *2474:mprj_io_slow_sel[10] *2304:19 0 +14 *103:31 *2473:gpio_analog[3] 0.000231696 +15 *2130:20 *2304:19 0.000150242 +*RES +1 *2474:mprj_gpio_analog[3] *2304:19 28.6823 +2 *2304:19 *2304:20 127.728 +3 *2304:20 *2473:gpio_analog[3] 46.1442 +*END + +*D_NET *2305 0.0289585 +*CONN +*I *2473:gpio_analog[4] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_analog[4] I *D chip_io_alt +*CAP +1 *2473:gpio_analog[4] 0.00147153 +2 *2474:mprj_gpio_analog[4] 0.000510906 +3 *2305:27 0 +4 *2305:17 0.00264074 +5 *2305:16 0.00116921 +6 *2305:14 0.0024729 +7 *2305:13 0.0029838 +8 *2305:14 *2323:12 0.0107491 +9 *2305:17 *2385:10 0.000499606 +10 *2474:mprj_io_analog_pol[11] *2305:13 0.00103372 +11 *2474:mprj_io_dm[34] *2305:13 3.6695e-05 +12 *1889:12 *2305:13 0.000171064 +13 *1996:32 *2305:13 0.000910387 +14 *2022:11 *2305:17 0.00215484 +15 *2049:30 *2305:13 1.3813e-05 +16 *2050:18 *2305:13 0.00184568 +17 *2158:15 *2305:13 0.000294474 +*RES +1 *2474:mprj_gpio_analog[4] *2305:13 49.8502 +2 *2305:13 *2305:14 113.308 +3 *2305:14 *2305:16 4.5 +4 *2305:16 *2305:17 51.0394 +5 *2305:17 *2473:gpio_analog[4] 8.71811 +6 *2473:gpio_analog[4] *2305:27 0.0498849 +*END + +*D_NET *2306 0.0134966 +*CONN +*I *2473:gpio_analog[5] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_analog[5] I *D chip_io_alt +*CAP +1 *2473:gpio_analog[5] 0.00169641 +2 *2474:mprj_gpio_analog[5] 0.000173258 +3 *2306:10 0.00625536 +4 *2306:9 0.00473221 +5 *2473:gpio_analog[5] *2473:gpio_noesd[5] 0 +6 *2473:gpio_analog[5] *2359:10 0 +7 *2474:mprj_io_slow_sel[12] *2306:9 0 +8 *1889:30 *2306:9 0.000436901 +9 *2131:22 *2306:9 0.000202487 +*RES +1 *2474:mprj_gpio_analog[5] *2306:9 13.2936 +2 *2306:9 *2306:10 121.627 +3 *2306:10 *2473:gpio_analog[5] 25.0205 +*END + +*D_NET *2307 0.0133395 +*CONN +*I *2473:gpio_analog[6] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_analog[6] I *D chip_io_alt +*CAP +1 *2473:gpio_analog[6] 0.00185845 +2 *2474:mprj_gpio_analog[6] 0.000293167 +3 *2307:10 0.00588304 +4 *2307:9 0.00431775 +5 *2473:gpio_analog[6] *2473:gpio_noesd[6] 0 +6 *2474:mprj_io_slow_sel[13] *2307:9 0.000216644 +7 *1997:13 *2307:9 0.000770417 +*RES +1 *2474:mprj_gpio_analog[6] *2307:9 18.6919 +2 *2307:9 *2307:10 110.535 +3 *2307:10 *2473:gpio_analog[6] 21.6981 +*END + +*D_NET *2308 0.0170935 +*CONN +*I *2473:gpio_analog[7] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_analog[7] I *D chip_io_alt +*CAP +1 *2473:gpio_analog[7] 0.0016178 +2 *2474:mprj_gpio_analog[7] 0.000387751 +3 *2308:13 0.00368609 +4 *2308:12 0.00206829 +5 *2308:10 0.00362532 +6 *2308:9 0.00401307 +7 *2474:mprj_io_analog_en[14] *2308:9 0.000180791 +8 *2474:mprj_io_slow_sel[14] *2308:9 0.00065866 +9 *1895:8 *2308:9 0.00045549 +10 *1998:7 *2308:9 0.000400265 +*RES +1 *2474:mprj_gpio_analog[7] *2308:9 27.2656 +2 *2308:9 *2308:10 96.1152 +3 *2308:10 *2308:12 4.5 +4 *2308:12 *2308:13 58.5139 +5 *2308:13 *2473:gpio_analog[7] 9.26186 +*END + +*D_NET *2309 0.0148987 +*CONN +*I *2473:gpio_analog[8] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_analog[8] I *D chip_io_alt +*CAP +1 *2473:gpio_analog[8] 0.00168757 +2 *2474:mprj_gpio_analog[8] 0.000345609 +3 *2309:14 0.005105 +4 *2309:13 0.00403631 +5 *2309:10 0.000964495 +6 *2473:gpio_analog[8] *2473:gpio_noesd[8] 0 +7 *2473:gpio_analog[8] *2327:15 0 +8 *2309:10 *2327:11 0.000171079 +9 *2474:mprj_io_analog_sel[15] *2309:14 0 +10 *2474:mprj_io_dm[45] *2309:13 0.000353381 +11 *2474:mprj_io_dm[46] *2309:10 8.17577e-05 +12 *2474:mprj_io_dm[46] *2309:13 0.000107729 +13 *471:16 *2309:13 1.87125e-05 +14 *489:14 *2309:13 0 +15 *2053:14 *2309:13 0.000805888 +16 *2161:17 *2309:13 0.00122114 +*RES +1 *2474:mprj_gpio_analog[8] *2309:10 18.6087 +2 *2309:10 *2309:13 37.8912 +3 *2309:13 *2309:14 91.6784 +4 *2309:14 *2473:gpio_analog[8] 15.2116 +*END + +*D_NET *2310 0.0223825 +*CONN +*I *2473:gpio_analog[9] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_analog[9] I *D chip_io_alt +*CAP +1 *2473:gpio_analog[9] 0.00144202 +2 *2474:mprj_gpio_analog[9] 0.000477486 +3 *2310:17 0.00200953 +4 *2310:16 0.000567503 +5 *2310:14 0.00389243 +6 *2310:13 0.00436992 +7 *2473:gpio_analog[9] *2473:gpio_noesd[9] 0 +8 *2310:17 *2328:23 0.00296335 +9 *2310:17 *2336:16 0.00400051 +10 *2310:17 *2363:10 0.000502815 +11 *1811:8 *2310:13 0.00151273 +12 *1900:8 *2310:13 0.000510264 +13 *1901:8 *2310:13 0.00013391 +14 *2162:16 *2310:14 0 +*RES +1 *2474:mprj_gpio_analog[9] *2310:13 41.7805 +2 *2310:13 *2310:14 102.216 +3 *2310:14 *2310:16 4.5 +4 *2310:16 *2310:17 63.9122 +5 *2310:17 *2473:gpio_analog[9] 8.30074 +*END + +*D_NET *2311 0.0208103 +*CONN +*I *2473:gpio_noesd[0] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_noesd[0] I *D chip_io_alt +*CAP +1 *2473:gpio_noesd[0] 0.000832844 +2 *2474:mprj_gpio_noesd[0] 0.000408903 +3 *2311:17 0.00221759 +4 *2311:16 0.00138475 +5 *2311:14 0.00483592 +6 *2311:13 0.00524482 +7 *2474:mprj_io_analog_en[7] *2311:13 4.65615e-06 +8 *2474:mprj_io_dm[21] *2311:13 5.481e-05 +9 *2474:mprj_io_dm[23] *2311:14 0 +10 *2474:mprj_io_holdover[7] *2311:14 0 +11 *2125:20 *2311:13 0.0015418 +12 *2126:16 *2311:13 0.000165067 +13 *2153:16 *2311:13 0.00153821 +14 *2293:9 *2311:13 0.000152576 +15 *2293:16 *2473:gpio_noesd[0] 0.000334189 +16 *2293:16 *2311:17 0.00209413 +*RES +1 *2474:mprj_gpio_noesd[0] *2311:13 42.9896 +2 *2311:13 *2311:14 126.064 +3 *2311:14 *2311:16 4.5 +4 *2311:16 *2311:17 51.0394 +5 *2311:17 *2473:gpio_noesd[0] 6.97546 +*END + +*D_NET *2312 0.0264325 +*CONN +*I *2473:gpio_noesd[10] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_noesd[10] I *D chip_io_alt +*CAP +1 *2473:gpio_noesd[10] 0.00136892 +2 *2474:mprj_gpio_noesd[10] 0.00064879 +3 *2312:10 0.00234875 +4 *2312:9 0.00162862 +5 *2473:gpio_noesd[10] *2473:io_in[17] 1.66626e-05 +6 *2312:10 *2337:17 0.00735098 +7 *2473:io_in_3v3[17] *2473:gpio_noesd[10] 0.000488429 +8 *2474:mprj_io_analog_en[17] *2312:9 9.29258e-05 +9 *2474:mprj_io_analog_pol[17] *2312:9 0.00019711 +10 *2474:mprj_io_analog_sel[17] *2312:9 0.000171064 +11 *2474:mprj_io_dm[51] *2312:9 0.000132378 +12 *2474:mprj_io_dm[53] *2312:9 0.00019711 +13 *2474:mprj_io_inp_dis[17] *2312:9 9.29258e-05 +14 *2474:mprj_io_out[17] *2312:10 0 +15 *1947:23 *2312:10 0.0003295 +16 *1974:22 *2312:9 0 +17 *1974:24 *2312:9 0.00222963 +18 *2028:10 *2312:10 0.00913874 +*RES +1 *2474:mprj_gpio_noesd[10] *2312:9 41.2376 +2 *2312:9 *2312:10 98.3336 +3 *2312:10 *2473:gpio_noesd[10] 13.8873 +*END + +*D_NET *2313 0.0177812 +*CONN +*I *2473:gpio_noesd[11] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_noesd[11] I *D chip_io_alt +*CAP +1 *2473:gpio_noesd[11] 0.00168394 +2 *2474:mprj_gpio_noesd[11] 0.000907095 +3 *2313:19 0.00380748 +4 *2313:18 0.00212354 +5 *2313:16 0.00363236 +6 *2313:15 0.00453946 +7 *2473:gpio_analog[11] *2473:gpio_noesd[11] 0 +8 *2473:gpio_analog[11] *2313:19 0 +9 *2473:io_in_3v3[18] *2473:gpio_noesd[11] 0 +10 *2474:mprj_io_analog_en[18] *2313:15 0 +11 *107:51 *2313:15 0.000152131 +12 *1786:18 *2313:15 0 +13 *1813:16 *2313:16 1.19726e-05 +14 *1840:27 *2313:15 0.000113119 +15 *1909:15 *2313:16 0.000143859 +16 *2137:10 *2313:15 0 +17 *2295:13 *2313:15 0.000666233 +*RES +1 *2474:mprj_gpio_noesd[11] *2313:15 43.3916 +2 *2313:15 *2313:16 95.5606 +3 *2313:16 *2313:18 4.5 +4 *2313:18 *2313:19 59.7597 +5 *2313:19 *2473:gpio_noesd[11] 9.02407 +*END + +*D_NET *2314 0.021646 +*CONN +*I *2473:gpio_noesd[12] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_noesd[12] I *D chip_io_alt +*CAP +1 *2473:gpio_noesd[12] 0.00160035 +2 *2474:mprj_gpio_noesd[12] 0.000546084 +3 *2314:23 0.00205466 +4 *2314:22 0.000454307 +5 *2314:20 0.00402073 +6 *2314:19 0.00456682 +7 *2314:23 *2339:16 0.0034407 +8 *2314:23 *2366:10 0.00344537 +9 *2473:gpio_analog[12] *2473:gpio_noesd[12] 0 +10 *2473:io_in_3v3[19] *2473:gpio_noesd[12] 0 +11 *2474:mprj_io_dm[58] *2314:19 0.000138011 +12 *2474:mprj_io_slow_sel[19] *2314:19 0.00019711 +13 *2474:mprj_io_slow_sel[19] *2314:20 0 +14 *474:42 *2314:19 0.000116362 +15 *475:16 *2314:19 0 +16 *1911:18 *2314:19 0.000856481 +17 *2296:13 *2314:19 0.000208984 +*RES +1 *2474:mprj_gpio_noesd[12] *2314:19 37.2917 +2 *2314:19 *2314:20 103.325 +3 *2314:20 *2314:22 4.5 +4 *2314:22 *2314:23 55.1919 +5 *2314:23 *2473:gpio_noesd[12] 8.31404 +*END + +*D_NET *2315 0.0257481 +*CONN +*I *2473:gpio_noesd[13] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_noesd[13] I *D chip_io_alt +*CAP +1 *2473:gpio_noesd[13] 0.00158807 +2 *2474:mprj_gpio_noesd[13] 0.00108371 +3 *2315:19 0.00327408 +4 *2315:18 0.00168601 +5 *2315:16 0.00223168 +6 *2315:15 0.00223168 +7 *2315:13 0.00108371 +8 *2473:gpio_analog[13] *2473:gpio_noesd[13] 0 +9 *2473:io_in_3v3[20] *2473:gpio_noesd[13] 0 +10 *2474:mprj_io_dm[61] *2315:13 9.4338e-05 +11 *474:48 *2315:13 0 +12 *1915:8 *2315:13 0.00110558 +13 *2086:13 *2315:13 0.000129446 +14 *2113:16 *2315:13 0.000137648 +15 *2297:12 *2315:13 0.000148615 +16 *2297:14 *2315:16 0.00921915 +17 *2297:17 *2315:19 0.00173435 +*RES +1 *2474:mprj_gpio_noesd[13] *2315:13 46.501 +2 *2315:13 *2315:15 4.5 +3 *2315:15 *2315:16 97.779 +4 *2315:16 *2315:18 4.5 +5 *2315:18 *2315:19 63.9122 +6 *2315:19 *2473:gpio_noesd[13] 8.42878 +*END + +*D_NET *2316 0.0153674 +*CONN +*I *2473:gpio_noesd[14] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_noesd[14] I *D chip_io_alt +*CAP +1 *2473:gpio_noesd[14] 0.00186349 +2 *2474:mprj_gpio_noesd[14] 0.000640894 +3 *2316:14 0.00541284 +4 *2316:13 0.00419024 +5 *2473:gpio_analog[14] *2473:gpio_noesd[14] 0 +6 *2474:mprj_io_analog_en[21] *2316:13 9.7442e-05 +7 *2474:mprj_io_dm[63] *2316:13 9.19632e-06 +8 *2474:mprj_io_dm[65] *2316:14 0 +9 *2474:mprj_io_holdover[21] *2316:13 0.000986711 +10 *2474:mprj_io_oeb[21] *2316:13 0.000856496 +11 *2474:mprj_io_out[21] *2316:14 0.000296309 +12 *2087:8 *2316:13 0 +13 *2298:21 *2316:13 0.00101381 +*RES +1 *2474:mprj_gpio_noesd[14] *2316:13 48.047 +2 *2316:13 *2316:14 95.5606 +3 *2316:14 *2473:gpio_noesd[14] 14.1571 +*END + +*D_NET *2317 0.0146454 +*CONN +*I *2473:gpio_noesd[15] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_noesd[15] I *D chip_io_alt +*CAP +1 *2473:gpio_noesd[15] 0.00216223 +2 *2474:mprj_gpio_noesd[15] 0.000725288 +3 *2317:14 0.00514019 +4 *2317:12 0.00370325 +5 *2473:gpio_analog[15] *2473:gpio_noesd[15] 7.93703e-05 +6 *2474:mprj_io_analog_en[22] *2317:12 4.35659e-05 +7 *2474:mprj_io_analog_pol[22] *2317:12 0.000107031 +8 *2474:mprj_io_dm[66] *2317:12 7.22422e-05 +9 *2474:mprj_io_inp_dis[22] *2317:12 8.1645e-05 +10 *2474:mprj_io_out[22] *2317:12 0.000140955 +11 *2474:mprj_io_out[22] *2317:14 0 +12 *477:26 *2317:12 0.000139306 +13 *1791:19 *2317:12 0 +14 *2115:8 *2317:12 0 +15 *2299:21 *2317:12 3.0329e-05 +16 *2299:24 *2317:14 0.00221995 +*RES +1 *2474:mprj_gpio_noesd[15] *2317:12 28.1924 +2 *2317:12 *2317:14 96.6698 +3 *2317:14 *2473:gpio_noesd[15] 24.4769 +*END + +*D_NET *2318 0.0148748 +*CONN +*I *2473:gpio_noesd[16] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_noesd[16] I *D chip_io_alt +*CAP +1 *2473:gpio_noesd[16] 0.00188738 +2 *2474:mprj_gpio_noesd[16] 0.000757683 +3 *2318:10 0.00557646 +4 *2318:9 0.00444677 +5 *2473:gpio_analog[16] *2473:gpio_noesd[16] 4.88112e-06 +6 *2474:mprj_io_analog_sel[23] *2318:9 0.000528062 +7 *2474:mprj_io_dm[69] *2318:9 0.000417632 +8 *2474:mprj_io_dm[71] *2318:10 0 +9 *2474:mprj_io_holdover[23] *2318:10 0 +10 *2474:mprj_io_inp_dis[23] *2318:9 0.000405758 +11 *2474:mprj_io_vtrip_sel[23] *2318:9 0.000308715 +12 *110:87 *2473:gpio_noesd[16] 1.7794e-05 +13 *495:49 *2473:gpio_noesd[16] 0.000523689 +14 *1846:8 *2318:9 0 +*RES +1 *2474:mprj_gpio_noesd[16] *2318:9 33.4944 +2 *2318:9 *2318:10 95.5606 +3 *2318:10 *2473:gpio_noesd[16] 19.21 +*END + +*D_NET *2319 0.0244674 +*CONN +*I *2473:gpio_noesd[17] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_noesd[17] I *D chip_io_alt +*CAP +1 *2473:gpio_noesd[17] 0.00209377 +2 *2474:mprj_gpio_noesd[17] 0.000407322 +3 *2319:14 0.00558372 +4 *2319:13 0.00348994 +5 *2319:11 0.000755917 +6 *2319:10 0.00116324 +7 *2473:gpio_noesd[17] *2473:io_in[24] 0.000536397 +8 *2473:gpio_noesd[17] *2399:10 0 +9 *2473:io_in_3v3[24] *2473:gpio_noesd[17] 0 +10 *2474:mprj_io_analog_en[24] *2319:10 0 +11 *2474:mprj_io_dm[73] *2319:10 0 +12 *494:20 *2319:11 0.000495892 +13 *1820:14 *2319:11 0.00434582 +14 *1927:15 *2319:10 0.00019711 +15 *2063:8 *2319:11 0.000337479 +16 *2090:8 *2319:11 0.00506077 +*RES +1 *2474:mprj_gpio_noesd[17] *2319:10 19.5785 +2 *2319:10 *2319:11 81.5604 +3 *2319:11 *2319:13 4.5 +4 *2319:13 *2319:14 90.5692 +5 *2319:14 *2473:gpio_noesd[17] 22.3141 +*END + +*D_NET *2320 0.0260047 +*CONN +*I *2473:gpio_noesd[1] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_noesd[1] I *D chip_io_alt +*CAP +1 *2473:gpio_noesd[1] 0.0019278 +2 *2474:mprj_gpio_noesd[1] 0.000318884 +3 *2320:21 0.00356234 +4 *2320:18 0.00163455 +5 *2320:16 0.00254042 +6 *2320:15 0.00285931 +7 *2473:gpio_noesd[1] *2473:io_in[8] 0 +8 *2320:21 *2355:16 0 +9 *2473:gpio_analog[1] *2473:gpio_noesd[1] 0 +10 *2473:io_in_3v3[8] *2473:gpio_noesd[1] 0 +11 *2474:mprj_io_analog_en[8] *2320:15 6.38108e-05 +12 *2474:mprj_io_dm[24] *2320:15 0.000111722 +13 *1965:8 *2320:15 0.000173198 +14 *2019:11 *2320:15 0.00108964 +15 *2302:15 *2320:15 0.00126387 +16 *2302:16 *2320:16 0.0104591 +*RES +1 *2474:mprj_gpio_noesd[1] *2320:15 36.5728 +2 *2320:15 *2320:16 111.09 +3 *2320:16 *2320:18 4.5 +4 *2320:18 *2320:21 49.4251 +5 *2320:21 *2473:gpio_noesd[1] 6.30878 +*END + +*D_NET *2321 0.0206045 +*CONN +*I *2473:gpio_noesd[2] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_noesd[2] I *D chip_io_alt +*CAP +1 *2473:gpio_noesd[2] 0.00128072 +2 *2474:mprj_gpio_noesd[2] 0.000622644 +3 *2321:17 0.00331205 +4 *2321:16 0.00203133 +5 *2321:14 0.00471184 +6 *2321:13 0.00533448 +7 *2473:gpio_analog[2] *2473:gpio_noesd[2] 0 +8 *2473:io_in_3v3[9] *2473:gpio_noesd[2] 0 +9 *2474:mprj_io_analog_en[9] *2321:13 0.000110297 +10 *2474:mprj_io_analog_sel[9] *2321:13 5.66111e-05 +11 *2474:mprj_io_dm[27] *2321:13 0 +12 *101:21 *2321:14 3.6695e-05 +13 *1879:44 *2321:13 0.00193517 +14 *2156:17 *2321:13 0.000231536 +15 *2303:13 *2321:13 0.000941127 +*RES +1 *2474:mprj_gpio_noesd[2] *2321:13 48.1864 +2 *2321:13 *2321:14 124.955 +3 *2321:14 *2321:16 4.5 +4 *2321:16 *2321:17 56.4377 +5 *2321:17 *2473:gpio_noesd[2] 7.63062 +*END + +*D_NET *2322 0.0195168 +*CONN +*I *2473:gpio_noesd[3] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_noesd[3] I *D chip_io_alt +*CAP +1 *2473:gpio_noesd[3] 0.00115813 +2 *2474:mprj_gpio_noesd[3] 0.000531812 +3 *2322:17 0.00238809 +4 *2322:14 0.00597398 +5 *2322:13 0.00527584 +6 *2473:gpio_analog[3] *2473:gpio_noesd[3] 0 +7 *2473:gpio_analog[3] *2322:17 0.00159927 +8 *2473:io_in_3v3[10] *2473:gpio_noesd[3] 0 +9 *2474:mprj_io_analog_en[10] *2322:13 0.000101437 +10 *2474:mprj_io_analog_pol[10] *2322:13 0 +11 *2474:mprj_io_dm[32] *2322:13 0.00158069 +12 *2474:mprj_io_inp_dis[10] *2322:13 0.000280625 +13 *2103:18 *2322:13 0.000123379 +14 *2304:19 *2322:13 0.000503531 +*RES +1 *2474:mprj_gpio_noesd[3] *2322:13 42.3728 +2 *2322:13 *2322:14 126.618 +3 *2322:14 *2322:17 48.0648 +4 *2322:17 *2473:gpio_noesd[3] 7.43939 +*END + +*D_NET *2323 0.0249001 +*CONN +*I *2473:gpio_noesd[4] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_noesd[4] I *D chip_io_alt +*CAP +1 *2473:gpio_noesd[4] 0.00128478 +2 *2474:mprj_gpio_noesd[4] 0.000445118 +3 *2323:17 0.00243367 +4 *2323:12 0.00394287 +5 *2323:11 0.0032391 +6 *2323:17 *2359:10 0.00085443 +7 *2473:io_in_3v3[11] *2473:gpio_noesd[4] 0 +8 *2474:mprj_io_out[11] *2323:11 0.000128423 +9 *1889:12 *2323:11 6.51149e-06 +10 *1889:18 *2323:11 0.0012032 +11 *1996:32 *2323:11 0.000144289 +12 *2050:18 *2323:11 0.000416432 +13 *2131:21 *2323:12 5.22351e-05 +14 *2305:14 *2323:12 0.0107491 +*RES +1 *2474:mprj_gpio_noesd[4] *2323:11 27.6625 +2 *2323:11 *2323:12 121.072 +3 *2323:12 *2323:17 49.3574 +4 *2323:17 *2473:gpio_noesd[4] 4.87708 +*END + +*D_NET *2324 0.0197967 +*CONN +*I *2473:gpio_noesd[5] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_noesd[5] I *D chip_io_alt +*CAP +1 *2473:gpio_noesd[5] 0.00163005 +2 *2474:mprj_gpio_noesd[5] 0.000843104 +3 *2324:19 0.00362476 +4 *2324:18 0.0019947 +5 *2324:16 0.00398566 +6 *2324:15 0.00398566 +7 *2324:13 0.000843104 +8 *2473:gpio_noesd[5] *2473:io_in[12] 0 +9 *2324:19 *2332:14 0 +10 *2324:19 *2386:10 0 +11 *2473:gpio_analog[5] *2473:gpio_noesd[5] 0 +12 *2473:io_in_3v3[12] *2473:gpio_noesd[5] 0 +13 *2474:mprj_io_analog_en[12] *2324:13 0.000162075 +14 *2474:mprj_io_analog_pol[12] *2324:13 0 +15 *2474:mprj_io_dm[36] *2324:13 0.000185867 +16 *1807:20 *2324:13 0.000215495 +17 *1889:30 *2324:13 0.000426723 +18 *1942:40 *2324:13 0.00163428 +19 *1969:17 *2324:13 0.00026521 +20 *2104:14 *2324:13 0 +*RES +1 *2474:mprj_gpio_noesd[5] *2324:13 47.0673 +2 *2324:13 *2324:15 4.5 +3 *2324:15 *2324:16 109.426 +4 *2324:16 *2324:18 4.5 +5 *2324:18 *2324:19 56.0224 +6 *2324:19 *2473:gpio_noesd[5] 9.43479 +*END + +*D_NET *2325 0.0198741 +*CONN +*I *2473:gpio_noesd[6] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_noesd[6] I *D chip_io_alt +*CAP +1 *2473:gpio_noesd[6] 0.00135607 +2 *2474:mprj_gpio_noesd[6] 0.00037263 +3 *2325:13 0.00267954 +4 *2325:12 0.00132348 +5 *2325:10 0.0042183 +6 *2325:9 0.00459093 +7 *2325:13 *2360:10 0.00129532 +8 *2473:gpio_analog[6] *2473:gpio_noesd[6] 0 +9 *2474:mprj_io_analog_en[13] *2325:9 0.000202472 +10 *2474:mprj_io_analog_pol[13] *2325:9 0.000176426 +11 *2474:mprj_io_dm[39] *2325:9 0.00021358 +12 *2474:mprj_io_dm[39] *2325:10 0.000213674 +13 *2474:mprj_io_dm[40] *2325:9 0 +14 *2474:mprj_io_inp_dis[13] *2325:9 0.000827576 +15 *2474:mprj_io_inp_dis[13] *2325:10 2.81824e-05 +16 *1997:13 *2325:9 0.00172394 +17 *2024:11 *2325:13 0.000651922 +*RES +1 *2474:mprj_gpio_noesd[6] *2325:9 34.0562 +2 *2325:9 *2325:10 116.636 +3 *2325:10 *2325:12 4.5 +4 *2325:12 *2325:13 51.4546 +5 *2325:13 *2473:gpio_noesd[6] 8.53852 +*END + +*D_NET *2326 0.0203616 +*CONN +*I *2473:gpio_noesd[7] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_noesd[7] I *D chip_io_alt +*CAP +1 *2473:gpio_noesd[7] 0.00148588 +2 *2474:mprj_gpio_noesd[7] 0.000820715 +3 *2326:14 0.00349026 +4 *2326:13 0.0028251 +5 *2473:io_in_3v3[14] *2473:gpio_noesd[7] 0 +6 *2474:mprj_io_dm[43] *2326:13 0 +7 *2474:mprj_io_holdover[14] *2326:14 0.000776313 +8 *2474:mprj_io_inp_dis[14] *2326:13 0.000869504 +9 *2474:mprj_io_oeb[14] *2326:13 0.000754914 +10 *485:23 *2326:13 0.00032133 +11 *2025:9 *2326:13 3.31733e-05 +12 *2025:10 *2326:14 0.00898443 +13 *2106:17 *2326:13 0 +14 *2106:21 *2326:13 0 +*RES +1 *2474:mprj_gpio_noesd[7] *2326:13 42.2879 +2 *2326:13 *2326:14 97.2244 +3 *2326:14 *2473:gpio_noesd[7] 13.5369 +*END + +*D_NET *2327 0.0180866 +*CONN +*I *2473:gpio_noesd[8] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_noesd[8] I *D chip_io_alt +*CAP +1 *2473:gpio_noesd[8] 0.00141863 +2 *2474:mprj_gpio_noesd[8] 0.0005499 +3 *2327:15 0.00355678 +4 *2327:14 0.00213814 +5 *2327:12 0.00391997 +6 *2327:11 0.00446987 +7 *2473:gpio_analog[8] *2473:gpio_noesd[8] 0 +8 *2473:gpio_analog[8] *2327:15 0 +9 *2474:mprj_io_analog_en[15] *2327:11 0 +10 *2474:mprj_io_dm[46] *2327:11 0.00019711 +11 *2474:mprj_io_slow_sel[15] *2327:11 0.00019711 +12 *1783:18 *2327:11 0 +13 *1999:7 *2327:11 1.83854e-05 +14 *2107:14 *2327:11 0.000925194 +15 *2134:8 *2327:11 0.000524464 +16 *2309:10 *2327:11 0.000171079 +*RES +1 *2474:mprj_gpio_noesd[8] *2327:11 32.6883 +2 *2327:11 *2327:12 102.77 +3 *2327:12 *2327:14 4.5 +4 *2327:14 *2327:15 60.1749 +5 *2327:15 *2473:gpio_noesd[8] 8.36393 +*END + +*D_NET *2328 0.0211616 +*CONN +*I *2473:gpio_noesd[9] I *D user_analog_project_wrapper +*I *2474:mprj_gpio_noesd[9] I *D chip_io_alt +*CAP +1 *2473:gpio_noesd[9] 0.00148243 +2 *2474:mprj_gpio_noesd[9] 0.000756271 +3 *2328:23 0.00193417 +4 *2328:22 0.000451735 +5 *2328:20 0.00346001 +6 *2328:19 0.00421629 +7 *2328:23 *2336:16 0.0001982 +8 *2328:23 *2363:10 0.00338008 +9 *2473:gpio_analog[9] *2473:gpio_noesd[9] 0 +10 *2473:io_in_3v3[16] *2473:gpio_noesd[9] 0 +11 *2474:mprj_io_dm[48] *2328:19 0.000188784 +12 *2474:mprj_io_dm[49] *2328:19 0 +13 *518:8 *2328:19 0.0010388 +14 *1973:8 *2328:19 8.35615e-06 +15 *1973:16 *2328:19 0.000124349 +16 *2054:8 *2328:19 0.000863062 +17 *2081:19 *2328:20 8.79845e-05 +18 *2108:8 *2328:19 7.77309e-06 +19 *2310:17 *2328:23 0.00296335 +*RES +1 *2474:mprj_gpio_noesd[9] *2328:19 45.391 +2 *2328:19 *2328:20 93.3422 +3 *2328:20 *2328:22 4.5 +4 *2328:22 *2328:23 53.9461 +5 *2328:23 *2473:gpio_noesd[9] 8.45538 +*END + +*D_NET *2329 0.131996 +*CONN +*I *2473:io_in[0] I *D user_analog_project_wrapper +*I *2444:user_gpio_in O *D gpio_control_block +*CAP +1 *2473:io_in[0] 0.00139688 +2 *2444:user_gpio_in 0.00110785 +3 *2329:19 0.00509935 +4 *2329:18 0.00370247 +5 *2329:16 0.0111611 +6 *2329:15 0.0111611 +7 *2329:13 0.00110785 +8 *2473:io_in[0] *2383:12 0 +9 *2329:13 *2444:user_gpio_oeb 1.53776e-05 +10 *2329:13 *2444:user_gpio_out 4.97448e-06 +11 *2329:13 *2356:22 1.6594e-05 +12 *2329:13 *2383:22 0.00154198 +13 *2329:16 *2367:16 0.0393728 +14 *2329:16 *2383:16 0.0561562 +15 *2444:serial_load_out *2329:13 9.52305e-06 +16 *2471:mgmt_gpio_in[13] *2329:16 0 +17 *2471:mgmt_gpio_in[5] *2329:16 0 +18 *2473:io_in_3v3[0] *2473:io_in[0] 0 +19 *499:15 *2329:13 0 +20 *1565:19 *2329:13 0.000141764 +*RES +1 *2444:user_gpio_in *2329:13 46.4919 +2 *2329:13 *2329:15 4.5 +3 *2329:15 *2329:16 900.853 +4 *2329:16 *2329:18 4.5 +5 *2329:18 *2329:19 96.6698 +6 *2329:19 *2473:io_in[0] 15.2015 +*END + +*D_NET *2330 0.0557653 +*CONN +*I *2473:io_in[10] I *D user_analog_project_wrapper +*I *2451:user_gpio_in O *D gpio_control_block +*CAP +1 *2473:io_in[10] 0.000930771 +2 *2451:user_gpio_in 0.000288289 +3 *2330:14 0.00986972 +4 *2330:13 0.00893895 +5 *2330:11 0.00282494 +6 *2330:10 0.00311322 +7 *2473:io_in[10] *2384:9 0 +8 *2330:10 *2451:user_gpio_oeb 0.00010456 +9 *2330:11 *2357:13 0.00385655 +10 *2330:14 *2331:16 0.0073461 +11 *2330:14 *2357:10 0.00462595 +12 *2330:14 *2382:10 0.00738148 +13 *2451:serial_data_in *2330:10 1.5714e-05 +14 *2451:serial_data_in *2330:11 0.00142299 +15 *2473:io_in_3v3[10] *2473:io_in[10] 0.00152279 +16 *101:10 *2330:10 0.000196723 +17 *468:13 *2330:10 7.92492e-05 +18 *479:52 *2330:10 0.000184601 +19 *479:52 *2330:11 0.00304351 +20 *2129:13 *2330:11 1.92172e-05 +*RES +1 *2451:user_gpio_in *2330:10 18.4296 +2 *2330:10 *2330:11 124.4 +3 *2330:11 *2330:13 4.5 +4 *2330:13 *2330:14 387.394 +5 *2330:14 *2473:io_in[10] 8.27746 +*END + +*D_NET *2331 0.067331 +*CONN +*I *2473:io_in[11] I *D user_analog_project_wrapper +*I *2452:user_gpio_in O *D gpio_control_block +*CAP +1 *2473:io_in[11] 0.000862234 +2 *2452:user_gpio_in 0.000453678 +3 *2331:16 0.00684479 +4 *2331:15 0.00598255 +5 *2331:13 0.00412936 +6 *2331:12 0.00458304 +7 *2473:io_in[11] *2385:9 0 +8 *2331:16 *2357:10 0.00816823 +9 *2331:16 *2358:10 0.00476355 +10 *2331:16 *2359:10 0.00630307 +11 *2331:16 *2386:10 0.00625456 +12 *2473:io_in_3v3[11] *2473:io_in[11] 0.00368994 +13 *102:13 *2331:13 0.0032252 +14 *102:17 *2331:13 0.000695917 +15 *468:54 *2331:13 0.00317984 +16 *2130:10 *2331:12 0.000848949 +17 *2330:14 *2331:16 0.0073461 +*RES +1 *2452:user_gpio_in *2331:12 21.8747 +2 *2331:12 *2331:13 141.593 +3 *2331:13 *2331:15 4.5 +4 *2331:15 *2331:16 387.394 +5 *2331:16 *2473:io_in[11] 8.53852 +*END + +*D_NET *2332 0.053424 +*CONN +*I *2473:io_in[12] I *D user_analog_project_wrapper +*I *2453:user_gpio_in O *D gpio_control_block +*CAP +1 *2473:io_in[12] 0.00113616 +2 *2453:user_gpio_in 0.000122782 +3 *2332:14 0.0076494 +4 *2332:13 0.00651324 +5 *2332:11 0.00232706 +6 *2332:10 0.00244984 +7 *2473:io_in[12] *2386:9 0 +8 *2332:10 *2453:user_gpio_oeb 0.000219418 +9 *2332:11 *2359:13 5.99529e-06 +10 *2332:11 *2386:13 0.00508193 +11 *2332:14 *2358:10 0.00728864 +12 *2332:14 *2385:10 0.00666772 +13 *2332:14 *2386:10 0.00774209 +14 *2453:serial_load *2332:11 0 +15 *2473:gpio_noesd[5] *2473:io_in[12] 0 +16 *2473:io_in_3v3[12] *2473:io_in[12] 0.000921588 +17 *470:11 *2332:10 7.79528e-05 +18 *470:11 *2332:11 0.00126666 +19 *470:15 *2332:11 0.00105742 +20 *2022:11 *2332:14 0.00284146 +21 *2131:10 *2332:10 5.46727e-05 +22 *2324:19 *2332:14 0 +*RES +1 *2453:user_gpio_in *2332:10 11.0316 +2 *2332:10 *2332:11 121.627 +3 *2332:11 *2332:13 4.5 +4 *2332:13 *2332:14 370.784 +5 *2332:14 *2473:io_in[12] 8.72642 +*END + +*D_NET *2333 0.0351167 +*CONN +*I *2473:io_in[13] I *D user_analog_project_wrapper +*I *2454:user_gpio_in O *D gpio_control_block +*CAP +1 *2473:io_in[13] 0.00135346 +2 *2454:user_gpio_in 0.000254443 +3 *2333:14 0.00508083 +4 *2333:13 0.00372737 +5 *2333:11 0.00261705 +6 *2333:10 0.00287149 +7 *2473:io_in[13] *2387:9 0 +8 *2333:10 *2454:user_gpio_oeb 0.000728047 +9 *2333:11 *2360:13 0.00388033 +10 *2333:14 *2387:10 0.0102959 +11 *2454:serial_data_in *2333:11 0.000654439 +12 *2454:serial_load *2333:10 0 +13 *2473:io_in_3v3[13] *2473:io_in[13] 0 +14 *470:40 *2333:10 0.000272862 +15 *470:40 *2333:11 0.00318552 +16 *2024:11 *2333:14 0.000194974 +*RES +1 *2454:user_gpio_in *2333:10 19.2601 +2 *2333:10 *2333:11 124.4 +3 *2333:11 *2333:13 4.5 +4 *2333:13 *2333:14 171.047 +5 *2333:14 *2473:io_in[13] 8.52855 +*END + +*D_NET *2334 0.0319651 +*CONN +*I *2473:io_in[14] I *D user_analog_project_wrapper +*I *2461:user_gpio_in O *D gpio_control_block +*CAP +1 *2473:io_in[14] 0.00139274 +2 *2461:user_gpio_in 0.0027243 +3 *2334:14 0.00731548 +4 *2334:13 0.00864703 +5 *2473:io_in[14] *2388:12 0 +6 *2334:13 *2461:user_gpio_oeb 5.36925e-05 +7 *2461:serial_clock *2334:13 0.000103658 +8 *2461:serial_data_in *2334:13 0.000139498 +9 *2461:serial_load *2334:13 0.0115385 +10 *2473:io_in_3v3[14] *2473:io_in[14] 0 +11 *467:12 *2334:13 5.02212e-05 +*RES +1 *2461:user_gpio_in *2334:13 39.2716 +2 *2334:13 *2334:14 166.272 +3 *2334:14 *2473:io_in[14] 8.42878 +*END + +*D_NET *2335 0.0288368 +*CONN +*I *2473:io_in[15] I *D user_analog_project_wrapper +*I *2462:user_gpio_in O *D gpio_control_block +*CAP +1 *2473:io_in[15] 0.00180939 +2 *2462:user_gpio_in 0.000898188 +3 *2335:19 0.00350519 +4 *2335:18 0.00169579 +5 *2335:16 0.00613911 +6 *2335:15 0.0070373 +7 *2335:15 *2462:user_gpio_oeb 1.29018e-05 +8 *2335:15 *2362:19 0 +9 *2473:io_in_3v3[15] *2473:io_in[15] 9.3612e-05 +10 *100:70 *2335:15 1.1573e-05 +11 *100:71 *2335:15 0.000150769 +12 *471:15 *2335:15 1.29018e-05 +13 *2026:10 *2335:19 0.00747011 +*RES +1 *2462:user_gpio_in *2335:15 36.9903 +2 *2335:15 *2335:16 172.916 +3 *2335:16 *2335:18 4.5 +4 *2335:18 *2335:19 78.9225 +5 *2335:19 *2473:io_in[15] 20.1265 +*END + +*D_NET *2336 0.0389306 +*CONN +*I *2473:io_in[16] I *D user_analog_project_wrapper +*I *2463:user_gpio_in O *D gpio_control_block +*CAP +1 *2473:io_in[16] 0.00141684 +2 *2463:user_gpio_in 0.00252785 +3 *2336:16 0.00390696 +4 *2336:15 0.00501796 +5 *2473:io_in[16] *2390:9 0 +6 *2336:15 *2463:user_gpio_oeb 0.010236 +7 *2336:15 *2463:user_gpio_out 4.42985e-05 +8 *2336:16 *2463:user_gpio_oeb 4.28941e-05 +9 *2336:16 *2363:10 0.00211857 +10 *2336:16 *2390:10 0.00519571 +11 *2473:io_in_3v3[16] *2473:io_in[16] 0 +12 *471:16 *2336:15 0 +13 *471:23 *2336:15 0.00105852 +14 *472:7 *2336:15 0.00160176 +15 *472:8 *2336:15 0.000150805 +16 *489:26 *2336:15 0.00141018 +17 *517:15 *2336:15 0 +18 *518:8 *2336:15 3.5534e-06 +19 *2310:17 *2336:16 0.00400051 +20 *2328:23 *2336:16 0.0001982 +*RES +1 *2463:user_gpio_in *2336:15 33.8871 +2 *2336:15 *2336:16 171.255 +3 *2336:16 *2473:io_in[16] 8.23256 +*END + +*D_NET *2337 0.0347999 +*CONN +*I *2473:io_in[17] I *D user_analog_project_wrapper +*I *2464:user_gpio_in O *D gpio_control_block +*CAP +1 *2473:io_in[17] 0.00201629 +2 *2464:user_gpio_in 0.000995052 +3 *2337:17 0.00370901 +4 *2337:16 0.00169273 +5 *2337:14 0.00370721 +6 *2337:13 0.00470226 +7 *2473:io_in[17] *2391:13 0 +8 *2337:13 *2464:user_gpio_oeb 5.36925e-05 +9 *2337:13 *2364:19 0 +10 *2473:gpio_noesd[10] *2473:io_in[17] 1.66626e-05 +11 *2473:io_in_3v3[17] *2473:io_in[17] 0.000122042 +12 *471:24 *2337:14 9.64545e-05 +13 *472:20 *2337:14 0.0102791 +14 *473:7 *2337:13 5.83673e-05 +15 *2312:10 *2337:17 0.00735098 +*RES +1 *2464:user_gpio_in *2337:13 37.0568 +2 *2337:13 *2337:14 169.179 +3 *2337:14 *2337:16 4.5 +4 *2337:16 *2337:17 77.8133 +5 *2337:17 *2473:io_in[17] 25.405 +*END + +*D_NET *2338 0.0267982 +*CONN +*I *2473:io_in[18] I *D user_analog_project_wrapper +*I *2465:user_gpio_in O *D gpio_control_block +*CAP +1 *2473:io_in[18] 0.00198862 +2 *2465:user_gpio_in 0.000111704 +3 *2338:17 0.00292449 +4 *2338:14 0.00712755 +5 *2338:13 0.00619168 +6 *2338:11 0.00207854 +7 *2338:10 0.00219025 +8 *2338:10 *2465:user_gpio_oeb 4.31243e-06 +9 *2338:10 *2465:user_gpio_out 0 +10 *2465:serial_clock *2338:11 0 +11 *2465:serial_load *2338:10 7.86825e-06 +12 *2473:io_in_3v3[18] *2473:io_in[18] 0 +13 *106:31 *2338:11 0 +14 *474:13 *2338:10 4.2387e-05 +15 *2029:10 *2338:17 0.00413078 +*RES +1 *2465:user_gpio_in *2338:10 8.54006 +2 *2338:10 *2338:11 55.6292 +3 *2338:11 *2338:13 4.5 +4 *2338:13 *2338:14 174.992 +5 *2338:14 *2338:17 47.9279 +6 *2338:17 *2473:io_in[18] 19.6502 +*END + +*D_NET *2339 0.0351293 +*CONN +*I *2473:io_in[19] I *D user_analog_project_wrapper +*I *2466:user_gpio_in O *D gpio_control_block +*CAP +1 *2473:io_in[19] 0.00150747 +2 *2466:user_gpio_in 0.00307474 +3 *2339:16 0.00558704 +4 *2339:15 0.00715431 +5 *2473:io_in[19] *2393:15 0 +6 *2339:15 *2466:user_gpio_oeb 0.0108367 +7 *2339:16 *2366:10 0.00349059 +8 *2466:resetn *2339:15 0 +9 *2466:serial_data_in *2339:15 0 +10 *2473:io_in_3v3[19] *2473:io_in[19] 0 +11 *475:15 *2339:15 3.77653e-05 +12 *475:16 *2339:15 0 +13 *492:21 *2339:15 0 +14 *520:15 *2339:15 0 +15 *2314:23 *2339:16 0.0034407 +*RES +1 *2466:user_gpio_in *2339:15 35.5481 +2 *2339:15 *2339:16 169.594 +3 *2339:16 *2473:io_in[19] 8.28079 +*END + +*D_NET *2340 0.0846448 +*CONN +*I *2473:io_in[1] I *D user_analog_project_wrapper +*I *2445:user_gpio_in O *D gpio_control_block +*CAP +1 *2473:io_in[1] 0.00163012 +2 *2445:user_gpio_in 0.00120383 +3 *2340:17 0.00461687 +4 *2340:16 0.00298675 +5 *2340:14 0.0144891 +6 *2340:13 0.0144891 +7 *2340:11 0.00120383 +8 *2473:io_in[1] *2375:10 0.000130145 +9 *2340:11 *2445:user_gpio_oeb 0.00025922 +10 *2340:11 *2367:21 0.00131953 +11 *2340:14 *2367:16 0.0410658 +12 *2445:serial_load_out *2340:11 3.84497e-05 +13 *2471:mgmt_gpio_in[13] *2340:14 0 +14 *2471:mgmt_gpio_in[16] *2340:14 0 +15 *2473:io_in_3v3[1] *2473:io_in[1] 0 +16 *503:13 *2340:11 0 +17 *2031:18 *2340:17 0.00121204 +*RES +1 *2445:user_gpio_in *2340:11 46.3398 +2 *2340:11 *2340:13 4.5 +3 *2340:13 *2340:14 658.761 +4 *2340:14 *2340:16 4.5 +5 *2340:16 *2340:17 91.1238 +6 *2340:17 *2473:io_in[1] 17.3385 +*END + +*D_NET *2341 0.0274535 +*CONN +*I *2473:io_in[20] I *D user_analog_project_wrapper +*I *2467:user_gpio_in O *D gpio_control_block +*CAP +1 *2473:io_in[20] 0.00212065 +2 *2467:user_gpio_in 8.8148e-05 +3 *2341:19 0.00308922 +4 *2341:16 0.0069558 +5 *2341:15 0.00598723 +6 *2341:13 0.00168743 +7 *2341:12 0.00177558 +8 *2473:io_in[20] *2395:15 0 +9 *2341:12 *2467:user_gpio_oeb 0 +10 *2467:serial_clock *2341:12 1.1573e-05 +11 *2473:io_in_3v3[20] *2473:io_in[20] 9.69513e-05 +12 *108:36 *2341:13 0.00138917 +13 *476:7 *2341:12 2.12195e-05 +14 *2032:14 *2341:19 0.00423054 +*RES +1 *2467:user_gpio_in *2341:12 8.47354 +2 *2341:12 *2341:13 57.293 +3 *2341:13 *2341:15 4.5 +4 *2341:15 *2341:16 169.179 +5 *2341:16 *2341:19 49.0371 +6 *2341:19 *2473:io_in[20] 24.3838 +*END + +*D_NET *2342 0.0343236 +*CONN +*I *2473:io_in[21] I *D user_analog_project_wrapper +*I *2468:user_gpio_in O *D gpio_control_block +*CAP +1 *2473:io_in[21] 0.00112302 +2 *2468:user_gpio_in 0.00279899 +3 *2342:14 0.00546322 +4 *2342:13 0.0071392 +5 *2473:io_in[21] *2396:15 0.000363771 +6 *2342:13 *2468:user_gpio_oeb 0.0115434 +7 *2342:14 *2369:10 0.00517523 +8 *2468:serial_data_in *2342:13 0.000274219 +9 *2473:io_in_3v3[21] *2473:io_in[21] 0.000360677 +10 *477:7 *2342:13 8.18368e-05 +11 *2033:13 *2342:14 0 +*RES +1 *2468:user_gpio_in *2342:13 37.6106 +2 *2342:13 *2342:14 171.255 +3 *2342:14 *2473:io_in[21] 7.89335 +*END + +*D_NET *2343 0.0268648 +*CONN +*I *2473:io_in[22] I *D user_analog_project_wrapper +*I *2469:user_gpio_in O *D gpio_control_block +*CAP +1 *2473:io_in[22] 0.00145537 +2 *2469:user_gpio_in 8.14238e-05 +3 *2343:19 0.0031436 +4 *2343:18 0.00168823 +5 *2343:16 0.00603199 +6 *2343:15 0.00603199 +7 *2343:13 0.00219785 +8 *2343:12 0.00227927 +9 *2473:io_in[22] *2397:15 0 +10 *2343:12 *2469:user_gpio_oeb 0 +11 *2473:io_in_3v3[22] *2473:io_in[22] 0.00387569 +12 *478:10 *2343:12 2.12195e-05 +13 *2034:11 *2473:io_in[22] 5.81406e-05 +*RES +1 *2469:user_gpio_in *2343:12 8.47354 +2 *2343:12 *2343:13 57.293 +3 *2343:13 *2343:15 4.5 +4 *2343:15 *2343:16 170.84 +5 *2343:16 *2343:18 4.5 +6 *2343:18 *2343:19 45.6463 +7 *2343:19 *2473:io_in[22] 22.1778 +*END + +*D_NET *2344 0.0416388 +*CONN +*I *2473:io_in[23] I *D user_analog_project_wrapper +*I *2470:user_gpio_in O *D gpio_control_block +*CAP +1 *2473:io_in[23] 0.00169059 +2 *2470:user_gpio_in 0.00126782 +3 *2344:19 0.00332931 +4 *2344:18 0.00163872 +5 *2344:16 0.00142616 +6 *2344:15 0.00269398 +7 *2473:io_in[23] *2398:12 0 +8 *2344:15 *2470:user_gpio_oeb 1.29018e-05 +9 *2344:16 *2371:16 0.0110148 +10 *2344:16 *2398:16 0.0110112 +11 *2344:19 *2398:13 0.00666057 +12 *2473:io_in_3v3[23] *2473:io_in[23] 0.000411455 +13 *111:19 *2344:15 4.15559e-05 +14 *111:39 *2344:15 0.000313296 +15 *479:15 *2344:15 1.29018e-05 +16 *496:20 *2344:15 1.66771e-05 +17 *2035:11 *2473:io_in[23] 9.69513e-05 +*RES +1 *2470:user_gpio_in *2344:15 43.204 +2 *2344:15 *2344:16 176.238 +3 *2344:16 *2344:18 4.5 +4 *2344:18 *2344:19 72.8219 +5 *2344:19 *2473:io_in[23] 16.7579 +*END + +*D_NET *2345 0.0201264 +*CONN +*I *2473:io_in[24] I *D user_analog_project_wrapper +*I *2446:user_gpio_in O *D gpio_control_block +*CAP +1 *2473:io_in[24] 0.00257898 +2 *2446:user_gpio_in 0.00431605 +3 *2345:13 0.00689503 +4 *2473:io_in[24] *2399:9 0 +5 *2473:io_in[24] *2399:10 0 +6 *2345:13 *2446:user_gpio_oeb 5.73349e-05 +7 *2446:serial_data_in *2345:13 1.31158e-05 +8 *2446:serial_load_out *2345:13 0.000185151 +9 *2473:gpio_analog[17] *2473:io_in[24] 4.62112e-05 +10 *2473:gpio_noesd[17] *2473:io_in[24] 0.000536397 +11 *2473:io_in_3v3[24] *2473:io_in[24] 0.00126211 +12 *112:26 *2345:13 0.00269229 +13 *497:28 *2345:13 0.00154377 +14 *513:13 *2345:13 0 +15 *514:14 *2345:13 0 +*RES +1 *2446:user_gpio_in *2345:13 38.5832 +2 *2345:13 *2473:io_in[24] 43.9332 +*END + +*D_NET *2346 0.0378077 +*CONN +*I *2473:io_in[25] I *D user_analog_project_wrapper +*I *2447:user_gpio_in O *D gpio_control_block +*CAP +1 *2473:io_in[25] 0.00185436 +2 *2447:user_gpio_in 0.00120496 +3 *2346:19 0.0045105 +4 *2346:18 0.00265613 +5 *2346:16 0.00153079 +6 *2346:15 0.00273574 +7 *2346:15 *2447:user_gpio_oeb 8.62976e-06 +8 *2346:15 *2373:19 0 +9 *2473:io_in_3v3[25] *2473:io_in[25] 0 +10 *2473:io_in_3v3[25] *2346:19 0.000861684 +11 *109:52 *2473:io_in[25] 0 +12 *1559:31 *2346:16 0.0112243 +13 *1560:29 *2346:16 0.0112207 +*RES +1 *2447:user_gpio_in *2346:15 40.9856 +2 *2346:15 *2346:16 180.39 +3 *2346:16 *2346:18 4.5 +4 *2346:18 *2346:19 75.0403 +5 *2346:19 *2473:io_in[25] 14.0286 +*END + +*D_NET *2347 0.0633336 +*CONN +*I *2473:io_in[26] I *D user_analog_project_wrapper +*I *2448:user_gpio_in O *D gpio_control_block +*CAP +1 *2473:io_in[26] 0.00172715 +2 *2448:user_gpio_in 0.000409613 +3 *2347:16 0.0103373 +4 *2347:15 0.00861018 +5 *2347:13 0.00233161 +6 *2347:12 0.00274123 +7 *2473:io_in[26] *2401:9 0 +8 *2347:12 *2448:user_gpio_oeb 0.000752472 +9 *2347:13 *2374:13 0.0102015 +10 *2347:16 *2374:10 0.0238834 +11 *2448:serial_load_out *2347:12 7.93861e-05 +12 *2473:io_in_3v3[26] *2473:io_in[26] 0 +13 *900:7 *2347:16 0 +14 *1167:9 *2347:16 0.00180761 +15 *2036:11 *2347:16 0.000452049 +*RES +1 *2448:user_gpio_in *2347:12 22.5921 +2 *2347:12 *2347:13 107.762 +3 *2347:13 *2347:15 4.5 +4 *2347:15 *2347:16 400.266 +5 *2347:16 *2473:io_in[26] 8.37557 +*END + +*D_NET *2348 0.0620714 +*CONN +*I *2473:io_in[2] I *D user_analog_project_wrapper +*I *2455:user_gpio_in O *D gpio_control_block +*CAP +1 *2473:io_in[2] 0.00162472 +2 *2455:user_gpio_in 0.00028064 +3 *2348:14 0.00969258 +4 *2348:13 0.00806786 +5 *2348:11 0.00320635 +6 *2348:10 0.00348699 +7 *2473:io_in[2] *2402:9 0 +8 *2348:10 *2455:user_gpio_oeb 0.000608337 +9 *2348:11 *2375:13 2.18041e-06 +10 *2348:11 *2402:13 0.00505428 +11 *2348:14 *2402:10 0.00453221 +12 *2348:14 *2403:10 0.00850736 +13 *2455:serial_load_out *2348:10 3.84497e-05 +14 *2473:io_in_3v3[2] *2473:io_in[2] 0 +15 *2040:23 *2348:14 0.0169694 +*RES +1 *2455:user_gpio_in *2348:10 17.5991 +2 *2348:10 *2348:11 122.736 +3 *2348:11 *2348:13 4.5 +4 *2348:13 *2348:14 423.105 +5 *2348:14 *2473:io_in[2] 8.66324 +*END + +*D_NET *2349 0.031052 +*CONN +*I *2473:io_in[3] I *D user_analog_project_wrapper +*I *2456:user_gpio_in O *D gpio_control_block +*CAP +1 *2473:io_in[3] 0.00156962 +2 *2456:user_gpio_in 8.75145e-05 +3 *2349:14 0.00441324 +4 *2349:13 0.00284362 +5 *2349:11 0.00210508 +6 *2349:10 0.00219259 +7 *2473:io_in[3] *2403:9 0 +8 *2349:10 *2456:user_gpio_oeb 2.12195e-05 +9 *2349:10 *2456:user_gpio_out 4.59232e-05 +10 *2349:11 *2376:13 0.00499931 +11 *2349:11 *2403:13 0.00290471 +12 *2349:14 *2376:10 0.00491499 +13 *2349:14 *2403:10 0.00494038 +14 *2456:serial_load *2349:10 0 +15 *2473:io_in_3v3[3] *2473:io_in[3] 0 +16 *105:30 *2349:10 1.38068e-05 +*RES +1 *2456:user_gpio_in *2349:10 8.87882 +2 *2349:10 *2349:11 121.072 +3 *2349:11 *2349:13 4.5 +4 *2349:13 *2349:14 167.31 +5 *2349:14 *2473:io_in[3] 8.75303 +*END + +*D_NET *2350 0.0220997 +*CONN +*I *2473:io_in[4] I *D user_analog_project_wrapper +*I *2457:user_gpio_in O *D gpio_control_block +*CAP +1 *2473:io_in[4] 0.00141724 +2 *2457:user_gpio_in 0.000132683 +3 *2350:16 0.00310836 +4 *2350:15 0.00169112 +5 *2350:13 0.00310789 +6 *2350:12 0.00324057 +7 *2473:io_in[4] *2404:9 0 +8 *2350:12 *2457:user_gpio_oeb 0.000231826 +9 *2350:12 *2457:user_gpio_out 0.000216082 +10 *2350:13 *2377:13 2.20702e-05 +11 *2350:13 *2404:13 0.00516663 +12 *2350:16 *2377:10 0.00330086 +13 *2350:16 *2404:10 0.000162183 +14 *2457:serial_load *2350:12 0 +15 *2457:serial_load_out *2350:12 1.53622e-05 +16 *2457:serial_load_out *2350:13 0.000286826 +*RES +1 *2457:user_gpio_in *2350:12 11.7956 +2 *2350:12 *2350:13 125.509 +3 *2350:13 *2350:15 4.5 +4 *2350:15 *2350:16 69.7257 +5 *2350:16 *2473:io_in[4] 8.28744 +*END + +*D_NET *2351 0.0360622 +*CONN +*I *2473:io_in[5] I *D user_analog_project_wrapper +*I *2458:user_gpio_in O *D gpio_control_block +*CAP +1 *2473:io_in[5] 0.00152318 +2 *2458:user_gpio_in 0.000288296 +3 *2351:14 0.00546187 +4 *2351:13 0.0039387 +5 *2351:11 0.00159709 +6 *2351:10 0.00188539 +7 *2473:io_in[5] *2405:9 0 +8 *2351:10 *2458:user_gpio_oeb 0.000556245 +9 *2351:10 *2458:user_gpio_out 2.04806e-05 +10 *2351:11 *2378:13 0.0051676 +11 *2351:11 *2405:13 0.0051219 +12 *2351:14 *2378:10 0.0101423 +13 *2351:14 *2405:10 0.000296518 +14 *2458:serial_data_in *2351:11 2.42138e-05 +15 *2458:serial_load_out *2351:10 3.84497e-05 +16 *2042:17 *2351:14 0 +*RES +1 *2458:user_gpio_in *2351:10 17.5991 +2 *2351:10 *2351:11 124.4 +3 *2351:11 *2351:13 4.5 +4 *2351:13 *2351:14 176.861 +5 *2351:14 *2473:io_in[5] 8.45206 +*END + +*D_NET *2352 0.0403611 +*CONN +*I *2473:io_in[6] I *D user_analog_project_wrapper +*I *2459:user_gpio_in O *D gpio_control_block +*CAP +1 *2473:io_in[6] 0.0015383 +2 *2459:user_gpio_in 0.00013638 +3 *2352:14 0.00571205 +4 *2352:13 0.00417375 +5 *2352:11 0.00278249 +6 *2352:10 0.00291887 +7 *2473:io_in[6] *2406:9 0 +8 *2352:10 *2459:user_gpio_oeb 2.12195e-05 +9 *2352:11 *2406:13 0.0116003 +10 *2352:14 *2406:10 0.0113898 +11 *2459:serial_load_out *2352:10 4.70618e-05 +12 *2473:io_in_3v3[6] *2473:io_in[6] 0 +13 *2473:io_in_3v3[6] *2352:14 0 +14 *476:31 *2352:10 2.5204e-05 +15 *476:34 *2352:10 1.5714e-05 +*RES +1 *2459:user_gpio_in *2352:10 8.61655 +2 *2352:10 *2352:11 123.291 +3 *2352:11 *2352:13 4.5 +4 *2352:13 *2352:14 188.488 +5 *2352:14 *2473:io_in[6] 8.52356 +*END + +*D_NET *2353 0.0708763 +*CONN +*I *2473:io_in[7] I *D user_analog_project_wrapper +*I *2460:user_gpio_in O *D gpio_control_block +*CAP +1 *2473:io_in[7] 0.00102467 +2 *2460:user_gpio_in 0.000101274 +3 *2353:14 0.00387584 +4 *2353:13 0.00285117 +5 *2353:11 0.00163127 +6 *2353:10 0.00173255 +7 *2473:io_in[7] *2407:9 0 +8 *2353:10 *2460:user_gpio_oeb 2.71319e-05 +9 *2353:10 *2460:user_gpio_out 5.30145e-05 +10 *2353:11 *2380:13 0.00513068 +11 *2353:11 *2407:13 0.00513309 +12 *2353:14 *2380:10 0.0227234 +13 *2353:14 *2407:10 0.0227755 +14 *2460:serial_load_out *2353:10 3.74656e-05 +15 *2473:io_in_3v3[7] *2473:io_in[7] 0.00366812 +16 *495:85 *2353:10 9.3612e-05 +17 *2125:8 *2353:10 1.75925e-05 +*RES +1 *2460:user_gpio_in *2353:10 9.70932 +2 *2353:10 *2353:11 123.845 +3 *2353:11 *2353:13 4.5 +4 *2353:13 *2353:14 363.309 +5 *2353:14 *2473:io_in[7] 8.52522 +*END + +*D_NET *2354 0.0724693 +*CONN +*I *2473:io_in[8] I *D user_analog_project_wrapper +*I *2449:user_gpio_in O *D gpio_control_block +*CAP +1 *2473:io_in[8] 0.00108569 +2 *2449:user_gpio_in 0.000488625 +3 *2354:16 0.00440925 +4 *2354:15 0.00332356 +5 *2354:13 0.00503361 +6 *2354:12 0.00552223 +7 *2473:io_in[8] *2408:9 0 +8 *2354:16 *2380:10 0.000507721 +9 *2354:16 *2381:10 0.0228567 +10 *2354:16 *2408:10 0.0229052 +11 *2473:gpio_noesd[1] *2473:io_in[8] 0 +12 *2473:io_in_3v3[8] *2473:io_in[8] 0.00362715 +13 *1964:10 *2354:12 0.000364561 +14 *2072:15 *2354:13 0.00227755 +15 *2099:15 *2354:12 0 +16 *2126:15 *2354:12 6.75696e-05 +*RES +1 *2449:user_gpio_in *2354:12 21.8181 +2 *2354:12 *2354:13 139.929 +3 *2354:13 *2354:15 4.5 +4 *2354:15 *2354:16 382.411 +5 *2354:16 *2473:io_in[8] 8.71312 +*END + +*D_NET *2355 0.0452548 +*CONN +*I *2473:io_in[9] I *D user_analog_project_wrapper +*I *2450:user_gpio_in O *D gpio_control_block +*CAP +1 *2473:io_in[9] 0.00143363 +2 *2450:user_gpio_in 9.04494e-05 +3 *2355:16 0.0106134 +4 *2355:15 0.00917972 +5 *2355:13 0.00313039 +6 *2355:12 0.00322084 +7 *2473:io_in[9] *2409:9 0 +8 *2355:12 *2450:user_gpio_oeb 2.29355e-05 +9 *2355:12 *2450:user_gpio_out 2.01653e-05 +10 *2355:13 *2382:13 1.00937e-05 +11 *2355:13 *2409:13 0.00501388 +12 *2355:16 *2409:10 0.0112627 +13 *2450:serial_load_out *2355:12 1.29141e-05 +14 *2473:io_in_3v3[9] *2473:io_in[9] 0.00092763 +15 *2303:17 *2355:16 0.000316067 +16 *2320:21 *2355:16 0 +*RES +1 *2450:user_gpio_in *2355:12 8.47354 +2 *2355:12 *2355:13 121.072 +3 *2355:13 *2355:15 4.5 +4 *2355:15 *2355:16 369.953 +5 *2355:16 *2473:io_in[9] 9.07728 +*END + +*D_NET *2356 0.112326 +*CONN +*I *2444:user_gpio_oeb I *D gpio_control_block +*I *2473:io_oeb[0] O *D user_analog_project_wrapper +*CAP +1 *2444:user_gpio_oeb 5.54405e-05 +2 *2473:io_oeb[0] 0.00121698 +3 *2356:22 0.00106536 +4 *2356:16 0.0208783 +5 *2356:15 0.0198684 +6 *2356:13 0.00362018 +7 *2356:12 0.00483716 +8 *2444:user_gpio_oeb *2444:user_gpio_out 9.87699e-05 +9 *2356:16 *2367:16 0.000209616 +10 *2356:16 *2383:16 0.0566448 +11 *2356:22 *2383:22 0.00155912 +12 *2444:serial_load_out *2444:user_gpio_oeb 0 +13 *2444:serial_load_out *2356:22 0.000117185 +14 *2473:io_in_3v3[1] *2356:12 0 +15 *100:96 *2356:22 0 +16 *101:37 *2356:16 0 +17 *112:36 *2356:16 5.1493e-06 +18 *479:37 *2356:16 2.55661e-06 +19 *487:18 *2356:16 0 +20 *497:37 *2356:16 0.00189096 +21 *1565:19 *2356:22 0.000223678 +22 *2329:13 *2444:user_gpio_oeb 1.53776e-05 +23 *2329:13 *2356:22 1.6594e-05 +*RES +1 *2473:io_oeb[0] *2356:12 14.5667 +2 *2356:12 *2356:13 95.006 +3 *2356:13 *2356:15 4.5 +4 *2356:15 *2356:16 919.539 +5 *2356:16 *2356:22 47.8123 +6 *2356:22 *2444:user_gpio_oeb 3.58495 +*END + +*D_NET *2357 0.0691812 +*CONN +*I *2451:user_gpio_oeb I *D gpio_control_block +*I *2473:io_oeb[10] O *D user_analog_project_wrapper +*CAP +1 *2451:user_gpio_oeb 0.000392097 +2 *2473:io_oeb[10] 0.001482 +3 *2357:13 0.00176887 +4 *2357:12 0.00137677 +5 *2357:10 0.00439667 +6 *2357:9 0.00587867 +7 *2357:9 *2384:9 0 +8 *2357:10 *2358:10 0.00713505 +9 *2357:10 *2382:10 0.00733297 +10 *2357:10 *2384:10 0.00556489 +11 *2357:10 *2409:10 0 +12 *2357:13 *2384:13 0.00355278 +13 *101:10 *2451:user_gpio_oeb 0 +14 *468:13 *2451:user_gpio_oeb 0.000289124 +15 *468:13 *2357:13 0.00308242 +16 *468:17 *2357:13 0.000695917 +17 *479:52 *2451:user_gpio_oeb 7.77309e-06 +18 *479:52 *2357:13 0.00309016 +19 *2046:11 *2357:10 0.00637973 +20 *2330:10 *2451:user_gpio_oeb 0.00010456 +21 *2330:11 *2357:13 0.00385655 +22 *2330:14 *2357:10 0.00462595 +23 *2331:16 *2357:10 0.00816823 +*RES +1 *2473:io_oeb[10] *2357:9 8.58675 +2 *2357:9 *2357:10 399.851 +3 *2357:10 *2357:12 4.5 +4 *2357:12 *2357:13 124.955 +5 *2357:13 *2451:user_gpio_oeb 18.5527 +*END + +*D_NET *2358 0.0610437 +*CONN +*I *2452:user_gpio_oeb I *D gpio_control_block +*I *2473:io_oeb[11] O *D user_analog_project_wrapper +*CAP +1 *2452:user_gpio_oeb 0.00016365 +2 *2473:io_oeb[11] 0.001332 +3 *2358:13 0.00323581 +4 *2358:12 0.00307216 +5 *2358:10 0.00425904 +6 *2358:9 0.00559104 +7 *2452:user_gpio_oeb *2452:user_gpio_out 5.00858e-05 +8 *2358:10 *2384:10 0.0066704 +9 *2358:10 *2385:10 0.00501251 +10 *2358:10 *2386:10 0.00733715 +11 *2358:13 *2385:13 0.00513262 +12 *469:12 *2452:user_gpio_oeb 0 +13 *2076:14 *2452:user_gpio_oeb 0 +14 *2331:16 *2358:10 0.00476355 +15 *2332:14 *2358:10 0.00728864 +16 *2357:10 *2358:10 0.00713505 +*RES +1 *2473:io_oeb[11] *2358:9 8.28245 +2 *2358:9 *2358:10 388.224 +3 *2358:10 *2358:12 4.5 +4 *2358:12 *2358:13 122.736 +5 *2358:13 *2452:user_gpio_oeb 9.29407 +*END + +*D_NET *2359 0.0502125 +*CONN +*I *2453:user_gpio_oeb I *D gpio_control_block +*I *2473:io_oeb[12] O *D user_analog_project_wrapper +*CAP +1 *2453:user_gpio_oeb 0.000193164 +2 *2473:io_oeb[12] 0.00131216 +3 *2359:13 0.00288771 +4 *2359:12 0.00269454 +5 *2359:10 0.00902898 +6 *2359:9 0.0103411 +7 *2453:user_gpio_oeb *2453:user_gpio_out 0.000437959 +8 *2359:9 *2386:9 0 +9 *2359:10 *2386:10 0.00818139 +10 *2359:13 *2386:13 0.00512684 +11 *2453:serial_load *2359:13 0.00113281 +12 *2473:gpio_analog[5] *2359:10 0 +13 *1889:8 *2453:user_gpio_oeb 2.04806e-05 +14 *1942:11 *2359:13 0.000313481 +15 *2023:11 *2359:10 0.0010787 +16 *2131:10 *2453:user_gpio_oeb 8.01847e-05 +17 *2323:17 *2359:10 0.00085443 +18 *2331:16 *2359:10 0.00630307 +19 *2332:10 *2453:user_gpio_oeb 0.000219418 +20 *2332:11 *2359:13 5.99529e-06 +*RES +1 *2473:io_oeb[12] *2359:9 8.35063 +2 *2359:9 *2359:10 387.394 +3 *2359:10 *2359:12 4.5 +4 *2359:12 *2359:13 123.291 +5 *2359:13 *2453:user_gpio_oeb 15.2606 +*END + +*D_NET *2360 0.0325813 +*CONN +*I *2454:user_gpio_oeb I *D gpio_control_block +*I *2473:io_oeb[13] O *D user_analog_project_wrapper +*CAP +1 *2454:user_gpio_oeb 0.00045419 +2 *2473:io_oeb[13] 0.00143462 +3 *2360:13 0.0031812 +4 *2360:12 0.00272701 +5 *2360:10 0.00331876 +6 *2360:9 0.00475338 +7 *2454:user_gpio_oeb *2454:user_gpio_out 4.31122e-05 +8 *2360:9 *2387:9 0 +9 *2360:10 *2387:10 0.00206896 +10 *2454:serial_clock *2360:13 0 +11 *2454:serial_data_in *2454:user_gpio_oeb 1.66771e-05 +12 *470:40 *2454:user_gpio_oeb 7.77309e-06 +13 *470:40 *2360:13 0.00313465 +14 *2024:11 *2360:10 0.00553722 +15 *2325:13 *2360:10 0.00129532 +16 *2333:10 *2454:user_gpio_oeb 0.000728047 +17 *2333:11 *2360:13 0.00388033 +*RES +1 *2473:io_oeb[13] *2360:9 8.71478 +2 *2360:9 *2360:10 156.098 +3 *2360:10 *2360:12 4.5 +4 *2360:12 *2360:13 122.182 +5 *2360:13 *2454:user_gpio_oeb 22.6586 +*END + +*D_NET *2361 0.0277387 +*CONN +*I *2461:user_gpio_oeb I *D gpio_control_block +*I *2473:io_oeb[14] O *D user_analog_project_wrapper +*CAP +1 *2461:user_gpio_oeb 4.98012e-05 +2 *2473:io_oeb[14] 0.0018967 +3 *2361:22 0.00149774 +4 *2361:16 0.00811386 +5 *2361:15 0.00666593 +6 *2361:13 0.00152538 +7 *2361:12 0.00342208 +8 *2461:user_gpio_oeb *2461:user_gpio_out 5.36925e-05 +9 *2361:12 *2388:12 0.00015038 +10 *2361:13 *2388:13 0.00430945 +11 *2361:22 *2388:19 0 +12 *2334:13 *2461:user_gpio_oeb 5.36925e-05 +*RES +1 *2473:io_oeb[14] *2361:12 23.892 +2 *2361:12 *2361:13 61.1752 +3 *2361:13 *2361:15 4.5 +4 *2361:15 *2361:16 187.45 +5 *2361:16 *2361:22 48.9243 +6 *2361:22 *2461:user_gpio_oeb 3.66144 +*END + +*D_NET *2362 0.0266564 +*CONN +*I *2462:user_gpio_oeb I *D gpio_control_block +*I *2473:io_oeb[15] O *D user_analog_project_wrapper +*CAP +1 *2462:user_gpio_oeb 8.83668e-05 +2 *2473:io_oeb[15] 0.00147502 +3 *2362:19 0.0021726 +4 *2362:18 0.00208423 +5 *2362:16 0.00681874 +6 *2362:15 0.00807488 +7 *2362:12 0.00273116 +8 *2462:user_gpio_oeb *2462:user_gpio_out 0 +9 *2362:12 *2389:15 0.000736232 +10 *2362:15 *2389:15 0.00245069 +11 *100:70 *2462:user_gpio_oeb 1.1573e-05 +12 *2335:15 *2462:user_gpio_oeb 1.29018e-05 +13 *2335:15 *2362:19 0 +*RES +1 *2473:io_oeb[15] *2362:12 17.3394 +2 *2362:12 *2362:15 49.5917 +3 *2362:15 *2362:16 192.433 +4 *2362:16 *2362:18 4.5 +5 *2362:18 *2362:19 57.293 +6 *2362:19 *2462:user_gpio_oeb 8.47354 +*END + +*D_NET *2363 0.0423135 +*CONN +*I *2463:user_gpio_oeb I *D gpio_control_block +*I *2473:io_oeb[16] O *D user_analog_project_wrapper +*CAP +1 *2463:user_gpio_oeb 0.00164765 +2 *2473:io_oeb[16] 0.0013921 +3 *2363:10 0.00644008 +4 *2363:9 0.00618453 +5 *2463:user_gpio_oeb *2463:user_gpio_out 0.0103395 +6 *2363:10 *2390:10 0 +7 *2473:io_in_3v3[16] *2363:10 0 +8 *472:7 *2463:user_gpio_oeb 2.9322e-05 +9 *2310:17 *2363:10 0.000502815 +10 *2328:23 *2363:10 0.00338008 +11 *2336:15 *2463:user_gpio_oeb 0.010236 +12 *2336:16 *2463:user_gpio_oeb 4.28941e-05 +13 *2336:16 *2363:10 0.00211857 +*RES +1 *2473:io_oeb[16] *2363:9 8.16605 +2 *2363:9 *2363:10 186.326 +3 *2363:10 *2463:user_gpio_oeb 23.2004 +*END + +*D_NET *2364 0.0269139 +*CONN +*I *2464:user_gpio_oeb I *D gpio_control_block +*I *2473:io_oeb[17] O *D user_analog_project_wrapper +*CAP +1 *2464:user_gpio_oeb 8.86403e-05 +2 *2473:io_oeb[17] 0.00202698 +3 *2364:19 0.00217088 +4 *2364:18 0.00208224 +5 *2364:16 0.00667489 +6 *2364:15 0.00789761 +7 *2364:12 0.0032497 +8 *2464:user_gpio_oeb *2464:user_gpio_out 6.19346e-06 +9 *2364:12 *2391:13 0 +10 *2364:15 *2391:13 0.00266312 +11 *2364:19 *2391:19 0 +12 *2337:13 *2464:user_gpio_oeb 5.36925e-05 +13 *2337:13 *2364:19 0 +*RES +1 *2473:io_oeb[17] *2364:12 23.1097 +2 *2364:12 *2364:15 49.5917 +3 *2364:15 *2364:16 188.28 +4 *2364:16 *2364:18 4.5 +5 *2364:18 *2364:19 55.6292 +6 *2364:19 *2464:user_gpio_oeb 8.54006 +*END + +*D_NET *2365 0.0377689 +*CONN +*I *2465:user_gpio_oeb I *D gpio_control_block +*I *2473:io_oeb[18] O *D user_analog_project_wrapper +*CAP +1 *2465:user_gpio_oeb 0.000192211 +2 *2473:io_oeb[18] 0.00242286 +3 *2365:19 0.00186655 +4 *2365:18 0.00167434 +5 *2365:16 0.00438465 +6 *2365:15 0.00680751 +7 *2465:user_gpio_oeb *2465:user_gpio_out 2.269e-05 +8 *2365:15 *2392:15 0.000753084 +9 *2365:19 *2392:19 0.00690676 +10 *2465:serial_clock *2365:19 0 +11 *2465:serial_load *2465:user_gpio_oeb 0.000130155 +12 *1552:27 *2365:16 0.0126038 +13 *2338:10 *2465:user_gpio_oeb 4.31243e-06 +*RES +1 *2473:io_oeb[18] *2365:15 43.961 +2 *2365:15 *2365:16 201.568 +3 *2365:16 *2365:18 4.5 +4 *2365:18 *2365:19 74.4857 +5 *2365:19 *2465:user_gpio_oeb 10.6063 +*END + +*D_NET *2366 0.0369646 +*CONN +*I *2466:user_gpio_oeb I *D gpio_control_block +*I *2473:io_oeb[19] O *D user_analog_project_wrapper +*CAP +1 *2466:user_gpio_oeb 0.00290859 +2 *2473:io_oeb[19] 0.00147528 +3 *2366:10 0.00762304 +4 *2366:9 0.00618972 +5 *2466:user_gpio_oeb *2466:user_gpio_out 6.5075e-07 +6 *2366:10 *2393:15 0 +7 *2466:serial_data_in *2466:user_gpio_oeb 0.000799259 +8 *520:15 *2466:user_gpio_oeb 0.000195457 +9 *2296:17 *2366:10 0 +10 *2314:23 *2366:10 0.00344537 +11 *2339:15 *2466:user_gpio_oeb 0.0108367 +12 *2339:16 *2366:10 0.00349059 +*RES +1 *2473:io_oeb[19] *2366:9 8.13778 +2 *2366:9 *2366:10 187.034 +3 *2366:10 *2466:user_gpio_oeb 37.0561 +*END + +*D_NET *2367 0.109181 +*CONN +*I *2445:user_gpio_oeb I *D gpio_control_block +*I *2473:io_oeb[1] O *D user_analog_project_wrapper +*CAP +1 *2445:user_gpio_oeb 0.000186348 +2 *2473:io_oeb[1] 0.00134113 +3 *2367:21 0.000824565 +4 *2367:16 0.00682467 +5 *2367:15 0.00618645 +6 *2367:13 0.00315785 +7 *2367:12 0.00449898 +8 *2445:user_gpio_oeb *2445:user_gpio_out 0.000477761 +9 *2367:12 *2394:16 0.000388476 +10 *2367:13 *2394:17 0.00153414 +11 *2367:16 *2383:16 0.000210876 +12 *2367:21 *2394:27 0.00131953 +13 *2471:mgmt_gpio_in[13] *2367:16 0 +14 *2473:io_in_3v3[2] *2367:12 0 +15 *497:37 *2367:16 0 +16 *503:13 *2367:21 3.62662e-06 +17 *2329:16 *2367:16 0.0393728 +18 *2340:11 *2445:user_gpio_oeb 0.00025922 +19 *2340:11 *2367:21 0.00131953 +20 *2340:14 *2367:16 0.0410658 +21 *2356:16 *2367:16 0.000209616 +*RES +1 *2473:io_oeb[1] *2367:12 17.7096 +2 *2367:12 *2367:13 97.2244 +3 *2367:13 *2367:15 4.5 +4 *2367:15 *2367:16 672.464 +5 *2367:16 *2367:21 43.5542 +6 *2367:21 *2445:user_gpio_oeb 10.6841 +*END + +*D_NET *2368 0.0349685 +*CONN +*I *2467:user_gpio_oeb I *D gpio_control_block +*I *2473:io_oeb[20] O *D user_analog_project_wrapper +*CAP +1 *2467:user_gpio_oeb 0.000158181 +2 *2473:io_oeb[20] 0.00183817 +3 *2368:19 0.00202161 +4 *2368:18 0.00186342 +5 *2368:16 0.00420637 +6 *2368:15 0.00513109 +7 *2368:12 0.00276288 +8 *2467:user_gpio_oeb *2467:user_gpio_out 1.75765e-05 +9 *2368:12 *2395:15 0 +10 *2368:15 *2395:15 0.00165828 +11 *2368:19 *2395:19 0.00316567 +12 *108:22 *2467:user_gpio_oeb 6.23451e-05 +13 *1556:27 *2368:16 0.0120829 +14 *2341:12 *2467:user_gpio_oeb 0 +*RES +1 *2473:io_oeb[20] *2368:12 20.2636 +2 *2368:12 *2368:15 37.3904 +3 *2368:15 *2368:16 193.263 +4 *2368:16 *2368:18 4.5 +5 *2368:18 *2368:19 75.0403 +6 *2368:19 *2467:user_gpio_oeb 10.2476 +*END + +*D_NET *2369 0.0354936 +*CONN +*I *2468:user_gpio_oeb I *D gpio_control_block +*I *2473:io_oeb[21] O *D user_analog_project_wrapper +*CAP +1 *2468:user_gpio_oeb 0.00308743 +2 *2473:io_oeb[21] 0.00155805 +3 *2369:10 0.00778536 +4 *2369:9 0.00625599 +5 *2468:user_gpio_oeb *2468:user_gpio_out 5.02212e-05 +6 *2369:9 *2396:15 0 +7 *2468:resetn *2468:user_gpio_oeb 0 +8 *2468:serial_data_in *2468:user_gpio_oeb 3.45837e-05 +9 *494:11 *2468:user_gpio_oeb 0 +10 *495:14 *2468:user_gpio_oeb 3.27616e-06 +11 *2033:13 *2369:10 0 +12 *2342:13 *2468:user_gpio_oeb 0.0115434 +13 *2342:14 *2369:10 0.00517523 +*RES +1 *2473:io_oeb[21] *2369:9 8.29409 +2 *2369:9 *2369:10 181.221 +3 *2369:10 *2468:user_gpio_oeb 41.0856 +*END + +*D_NET *2370 0.0380131 +*CONN +*I *2469:user_gpio_oeb I *D gpio_control_block +*I *2473:io_oeb[22] O *D user_analog_project_wrapper +*CAP +1 *2469:user_gpio_oeb 0.000176248 +2 *2473:io_oeb[22] 0.00177687 +3 *2370:19 0.00190595 +4 *2370:18 0.0017297 +5 *2370:16 0.00422066 +6 *2370:15 0.00527497 +7 *2370:12 0.00283117 +8 *2469:user_gpio_oeb *2469:user_gpio_out 5.72783e-05 +9 *2370:12 *2397:15 0 +10 *2370:15 *2397:15 0.000918989 +11 *2370:19 *2397:19 0.00691892 +12 *1556:27 *2370:16 0.0122023 +13 *2034:11 *2370:12 0 +14 *2343:12 *2469:user_gpio_oeb 0 +*RES +1 *2473:io_oeb[22] *2370:12 18.6259 +2 *2370:12 *2370:15 37.3904 +3 *2370:15 *2370:16 194.924 +4 *2370:16 *2370:18 4.5 +5 *2370:18 *2370:19 75.0403 +6 *2370:19 *2469:user_gpio_oeb 10.2476 +*END + +*D_NET *2371 0.0388498 +*CONN +*I *2470:user_gpio_oeb I *D gpio_control_block +*I *2473:io_oeb[23] O *D user_analog_project_wrapper +*CAP +1 *2470:user_gpio_oeb 0.00124433 +2 *2473:io_oeb[23] 0.0018212 +3 *2371:16 0.00296075 +4 *2371:15 0.00171642 +5 *2371:13 0.00271127 +6 *2371:12 0.00453247 +7 *2470:user_gpio_oeb *2470:user_gpio_out 1.29018e-05 +8 *2371:12 *2398:12 0 +9 *2371:16 *2398:16 7.90402e-05 +10 *109:40 *2371:16 9.33066e-05 +11 *111:19 *2470:user_gpio_oeb 3.77925e-05 +12 *111:39 *2470:user_gpio_oeb 0.000307782 +13 *479:16 *2371:16 0.0119264 +14 *496:20 *2470:user_gpio_oeb 1.66771e-05 +15 *497:16 *2371:16 0.000353784 +16 *2035:11 *2371:12 8.10016e-06 +17 *2344:15 *2470:user_gpio_oeb 1.29018e-05 +18 *2344:16 *2371:16 0.0110148 +*RES +1 *2473:io_oeb[23] *2371:12 13.8944 +2 *2371:12 *2371:13 73.3765 +3 *2371:13 *2371:15 4.5 +4 *2371:15 *2371:16 195.755 +5 *2371:16 *2470:user_gpio_oeb 42.6494 +*END + +*D_NET *2372 0.0223693 +*CONN +*I *2446:user_gpio_oeb I *D gpio_control_block +*I *2473:io_oeb[24] O *D user_analog_project_wrapper +*CAP +1 *2446:user_gpio_oeb 0.000216204 +2 *2473:io_oeb[24] 0.00276885 +3 *2372:29 0 +4 *2372:16 0.00191853 +5 *2372:15 0.00170232 +6 *2372:13 0.00186766 +7 *2372:12 0.00463651 +8 *2446:user_gpio_oeb *2446:user_gpio_out 6.68838e-05 +9 *2372:16 *2399:13 0.00689088 +10 *2446:mgmt_gpio_out *2372:12 0 +11 *513:13 *2446:user_gpio_oeb 0.000119658 +12 *1556:27 *2372:13 0.0021245 +13 *2345:13 *2446:user_gpio_oeb 5.73349e-05 +*RES +1 *2473:io_oeb[24] *2372:12 45.2282 +2 *2372:12 *2372:13 71.1791 +3 *2372:13 *2372:15 4.5 +4 *2372:15 *2372:16 73.3765 +5 *2372:16 *2446:user_gpio_oeb 11.5233 +6 *2473:io_oeb[24] *2372:29 0.0498849 +*END + +*D_NET *2373 0.0300291 +*CONN +*I *2447:user_gpio_oeb I *D gpio_control_block +*I *2473:io_oeb[25] O *D user_analog_project_wrapper +*CAP +1 *2447:user_gpio_oeb 0.000115206 +2 *2473:io_oeb[25] 0.00192617 +3 *2373:19 0.00160212 +4 *2373:18 0.00148691 +5 *2373:16 0.00360881 +6 *2373:15 0.00527726 +7 *2373:12 0.00359463 +8 *2447:user_gpio_oeb *2447:user_gpio_out 4.45577e-05 +9 *2373:12 *2400:15 0 +10 *2373:19 *2400:19 0.00235394 +11 *2473:io_in_3v3[26] *2373:12 0 +12 *109:52 *2373:12 0 +13 *1571:13 *2373:16 0.0100108 +14 *2346:15 *2447:user_gpio_oeb 8.62976e-06 +15 *2346:15 *2373:19 0 +*RES +1 *2473:io_oeb[25] *2373:12 14.3246 +2 *2373:12 *2373:15 49.5917 +3 *2373:15 *2373:16 161.289 +4 *2373:16 *2373:18 4.5 +5 *2373:18 *2373:19 57.8476 +6 *2373:19 *2447:user_gpio_oeb 8.58662 +*END + +*D_NET *2374 0.0671194 +*CONN +*I *2448:user_gpio_oeb I *D gpio_control_block +*I *2473:io_oeb[26] O *D user_analog_project_wrapper +*CAP +1 *2448:user_gpio_oeb 0.000199916 +2 *2473:io_oeb[26] 0.00173283 +3 *2374:13 0.00253153 +4 *2374:12 0.00233161 +5 *2374:10 0.00475168 +6 *2374:9 0.00648452 +7 *2448:user_gpio_oeb *2448:user_gpio_out 1.57435e-05 +8 *2374:9 *2401:9 0 +9 *2374:10 *2401:10 0.00784862 +10 *2448:serial_load_out *2448:user_gpio_oeb 0.000752866 +11 *2478:la_input[2] *2374:10 0 +12 *477:29 *2374:9 0 +13 *2036:11 *2374:10 0.00563266 +14 *2347:12 *2448:user_gpio_oeb 0.000752472 +15 *2347:13 *2374:13 0.0102015 +16 *2347:16 *2374:10 0.0238834 +*RES +1 *2473:io_oeb[26] *2374:9 8.36892 +2 *2374:9 *2374:10 384.902 +3 *2374:10 *2374:12 4.5 +4 *2374:12 *2374:13 107.762 +5 *2374:13 *2448:user_gpio_oeb 20.5159 +*END + +*D_NET *2375 0.062363 +*CONN +*I *2455:user_gpio_oeb I *D gpio_control_block +*I *2473:io_oeb[2] O *D user_analog_project_wrapper +*CAP +1 *2455:user_gpio_oeb 0.000297616 +2 *2473:io_oeb[2] 0.00154968 +3 *2375:13 0.00405443 +4 *2375:12 0.00375681 +5 *2375:10 0.00977165 +6 *2375:9 0.0113213 +7 *2455:user_gpio_oeb *2455:user_gpio_out 0.000820315 +8 *2375:9 *2402:9 0 +9 *2375:10 *2383:12 0.000104759 +10 *2375:10 *2402:10 0.0267591 +11 *2375:13 *2402:13 0.00293393 +12 *2473:io_in[1] *2375:10 0.000130145 +13 *2473:io_in_3v3[0] *2375:10 0 +14 *2473:io_in_3v3[3] *2375:9 0 +15 *105:60 *2455:user_gpio_oeb 3.24019e-05 +16 *2040:23 *2375:10 0.00022036 +17 *2348:10 *2455:user_gpio_oeb 0.000608337 +18 *2348:11 *2375:13 2.18041e-06 +*RES +1 *2473:io_oeb[2] *2375:9 8.477 +2 *2375:9 *2375:10 439.3 +3 *2375:10 *2375:12 4.5 +4 *2375:12 *2375:13 123.845 +5 *2375:13 *2455:user_gpio_oeb 22.2434 +*END + +*D_NET *2376 0.0296969 +*CONN +*I *2456:user_gpio_oeb I *D gpio_control_block +*I *2473:io_oeb[3] O *D user_analog_project_wrapper +*CAP +1 *2456:user_gpio_oeb 7.96671e-05 +2 *2473:io_oeb[3] 0.00169764 +3 *2376:13 0.00322088 +4 *2376:12 0.00314121 +5 *2376:10 0.00494456 +6 *2376:9 0.0066422 +7 *2456:user_gpio_oeb *2456:user_gpio_out 3.30793e-05 +8 *2376:9 *2403:9 0 +9 *2376:10 *2403:10 0 +10 *2376:13 *2403:13 2.18041e-06 +11 *2473:io_in_3v3[4] *2376:9 0 +12 *2349:10 *2456:user_gpio_oeb 2.12195e-05 +13 *2349:11 *2376:13 0.00499931 +14 *2349:14 *2376:10 0.00491499 +*RES +1 *2473:io_oeb[3] *2376:9 9.06232 +2 *2376:9 *2376:10 179.768 +3 *2376:10 *2376:12 4.5 +4 *2376:12 *2376:13 121.072 +5 *2376:13 *2456:user_gpio_oeb 8.47354 +*END + +*D_NET *2377 0.0292021 +*CONN +*I *2457:user_gpio_oeb I *D gpio_control_block +*I *2473:io_oeb[4] O *D user_analog_project_wrapper +*CAP +1 *2457:user_gpio_oeb 0.000311979 +2 *2473:io_oeb[4] 0.00156748 +3 *2377:13 0.00275352 +4 *2377:12 0.00244155 +5 *2377:10 0.000604512 +6 *2377:9 0.00217199 +7 *2457:user_gpio_oeb *2457:user_gpio_out 9.98425e-05 +8 *2377:9 *2404:9 0 +9 *2377:10 *2404:10 0.00160253 +10 *2377:13 *2404:13 0.0115994 +11 *2457:serial_load *2377:13 0.00247411 +12 *2457:serial_load_out *2457:user_gpio_oeb 2.04189e-05 +13 *2350:12 *2457:user_gpio_oeb 0.000231826 +14 *2350:13 *2377:13 2.20702e-05 +15 *2350:16 *2377:10 0.00330086 +*RES +1 *2473:io_oeb[4] *2377:9 8.54684 +2 *2377:9 *2377:10 52.7004 +3 *2377:10 *2377:12 4.5 +4 *2377:12 *2377:13 124.4 +5 *2377:13 *2457:user_gpio_oeb 15.6093 +*END + +*D_NET *2378 0.039214 +*CONN +*I *2458:user_gpio_oeb I *D gpio_control_block +*I *2473:io_oeb[5] O *D user_analog_project_wrapper +*CAP +1 *2458:user_gpio_oeb 0.000220174 +2 *2473:io_oeb[5] 0.00160065 +3 *2378:13 0.00333277 +4 *2378:12 0.0031126 +5 *2378:10 0.00130856 +6 *2378:9 0.00290922 +7 *2458:user_gpio_oeb *2458:user_gpio_out 0.000727776 +8 *2378:10 *2405:10 0.0100938 +9 *2458:serial_load *2378:13 0 +10 *109:70 *2378:10 4.23937e-05 +11 *2351:10 *2458:user_gpio_oeb 0.000556245 +12 *2351:11 *2378:13 0.0051676 +13 *2351:14 *2378:10 0.0101423 +*RES +1 *2473:io_oeb[5] *2378:9 8.59839 +2 *2378:9 *2378:10 162.742 +3 *2378:10 *2378:12 4.5 +4 *2378:12 *2378:13 123.291 +5 *2378:13 *2458:user_gpio_oeb 19.3366 +*END + +*D_NET *2379 0.0350201 +*CONN +*I *2459:user_gpio_oeb I *D gpio_control_block +*I *2473:io_oeb[6] O *D user_analog_project_wrapper +*CAP +1 *2459:user_gpio_oeb 0.000112858 +2 *2473:io_oeb[6] 0.00160094 +3 *2379:13 0.00290811 +4 *2379:12 0.00279526 +5 *2379:10 0.00440698 +6 *2379:9 0.00600792 +7 *2459:user_gpio_oeb *2459:user_gpio_out 7.3828e-05 +8 *2379:9 *2406:9 0 +9 *2379:10 *2406:10 0.00532936 +10 *2379:13 *2406:13 0.0116913 +11 *494:36 *2459:user_gpio_oeb 7.22572e-05 +12 *2352:10 *2459:user_gpio_oeb 2.12195e-05 +*RES +1 *2473:io_oeb[6] *2379:9 8.7098 +2 *2379:9 *2379:10 175.2 +3 *2379:10 *2379:12 4.5 +4 *2379:12 *2379:13 123.845 +5 *2379:13 *2459:user_gpio_oeb 9.30405 +*END + +*D_NET *2380 0.0583138 +*CONN +*I *2460:user_gpio_oeb I *D gpio_control_block +*I *2473:io_oeb[7] O *D user_analog_project_wrapper +*CAP +1 *2460:user_gpio_oeb 7.38807e-05 +2 *2473:io_oeb[7] 0.00159306 +3 *2380:13 0.00326046 +4 *2380:12 0.00318658 +5 *2380:10 0.006585 +6 *2380:9 0.00817806 +7 *2460:user_gpio_oeb *2460:user_gpio_out 5.29561e-05 +8 *2380:9 *2407:9 0 +9 *2380:10 *2407:10 0.000194974 +10 *2380:10 *2408:10 0.00678325 +11 *110:118 *2380:13 0 +12 *495:85 *2460:user_gpio_oeb 1.66771e-05 +13 *2353:10 *2460:user_gpio_oeb 2.71319e-05 +14 *2353:11 *2380:13 0.00513068 +15 *2353:14 *2380:10 0.0227234 +16 *2354:16 *2380:10 0.000507721 +*RES +1 *2473:io_oeb[7] *2380:9 8.64495 +2 *2380:9 *2380:10 376.597 +3 *2380:10 *2380:12 4.5 +4 *2380:12 *2380:13 123.291 +5 *2380:13 *2460:user_gpio_oeb 8.46357 +*END + +*D_NET *2381 0.062255 +*CONN +*I *2449:user_gpio_oeb I *D gpio_control_block +*I *2473:io_oeb[8] O *D user_analog_project_wrapper +*CAP +1 *2449:user_gpio_oeb 9.02497e-05 +2 *2473:io_oeb[8] 0.00164111 +3 *2381:13 0.00324182 +4 *2381:12 0.00315157 +5 *2381:10 0.00527577 +6 *2381:9 0.00691688 +7 *2449:user_gpio_oeb *2449:user_gpio_out 2.80929e-05 +8 *2381:9 *2408:9 0 +9 *2381:10 *2408:10 0.000194974 +10 *2381:10 *2409:10 0.00683534 +11 *2381:13 *2408:13 0.00505554 +12 *2449:serial_load_out *2449:user_gpio_oeb 6.78021e-06 +13 *1963:8 *2449:user_gpio_oeb 0 +14 *2044:11 *2381:10 0.00680691 +15 *2302:19 *2381:10 0.000153257 +16 *2354:16 *2381:10 0.0228567 +*RES +1 *2473:io_oeb[8] *2381:9 8.83285 +2 *2381:9 *2381:10 379.089 +3 *2381:10 *2381:12 4.5 +4 *2381:12 *2381:13 121.627 +5 *2381:13 *2449:user_gpio_oeb 8.46357 +*END + +*D_NET *2382 0.0578773 +*CONN +*I *2450:user_gpio_oeb I *D gpio_control_block +*I *2473:io_oeb[9] O *D user_analog_project_wrapper +*CAP +1 *2450:user_gpio_oeb 0.000218772 +2 *2473:io_oeb[9] 0.00144444 +3 *2382:13 0.00305173 +4 *2382:12 0.00283296 +5 *2382:10 0.00749399 +6 *2382:9 0.00893843 +7 *2450:user_gpio_oeb *2450:user_gpio_out 0.000104742 +8 *2382:9 *2409:9 0 +9 *2382:10 *2408:10 0.00246211 +10 *2382:10 *2409:10 0 +11 *2382:13 *2409:13 0.00506711 +12 *2450:serial_load_out *2382:13 0.00132642 +13 *479:40 *2382:13 0.000207277 +14 *1879:8 *2450:user_gpio_oeb 0 +15 *2045:11 *2382:10 0.00668599 +16 *2302:19 *2382:10 0.00329586 +17 *2330:14 *2382:10 0.00738148 +18 *2355:12 *2450:user_gpio_oeb 2.29355e-05 +19 *2355:13 *2382:13 1.00937e-05 +20 *2357:10 *2382:10 0.00733297 +*RES +1 *2473:io_oeb[9] *2382:9 8.35894 +2 *2382:9 *2382:10 387.394 +3 *2382:10 *2382:12 4.5 +4 *2382:12 *2382:13 125.509 +5 *2382:13 *2450:user_gpio_oeb 12.6261 +*END + +*D_NET *2383 0.143089 +*CONN +*I *2444:user_gpio_out I *D gpio_control_block +*I *2473:io_out[0] O *D user_analog_project_wrapper +*CAP +1 *2444:user_gpio_out 0.000104713 +2 *2473:io_out[0] 0.00160403 +3 *2383:22 0.000697865 +4 *2383:16 0.0081799 +5 *2383:15 0.00758675 +6 *2383:13 0.00343394 +7 *2383:12 0.00503797 +8 *2444:user_gpio_oeb *2444:user_gpio_out 9.87699e-05 +9 *2473:io_in[0] *2383:12 0 +10 *100:96 *2383:22 0 +11 *1565:19 *2383:22 0.000121985 +12 *2329:13 *2444:user_gpio_out 4.97448e-06 +13 *2329:13 *2383:22 0.00154198 +14 *2329:16 *2383:16 0.0561562 +15 *2356:16 *2383:16 0.0566448 +16 *2356:22 *2383:22 0.00155912 +17 *2367:16 *2383:16 0.000210876 +18 *2375:10 *2383:12 0.000104759 +*RES +1 *2473:io_out[0] *2383:12 16.4997 +2 *2383:12 *2383:13 89.46 +3 *2383:13 *2383:15 4.5 +4 *2383:15 *2383:16 908.743 +5 *2383:16 *2383:22 47.8123 +6 *2383:22 *2444:user_gpio_out 3.78449 +*END + +*D_NET *2384 0.0610079 +*CONN +*I *2451:user_gpio_out I *D gpio_control_block +*I *2473:io_out[10] O *D user_analog_project_wrapper +*CAP +1 *2451:user_gpio_out 0.000401074 +2 *2473:io_out[10] 0.00156859 +3 *2384:13 0.00403932 +4 *2384:12 0.00363825 +5 *2384:10 0.00659607 +6 *2384:9 0.00816466 +7 *2384:10 *2385:10 0.00662189 +8 *2384:10 *2409:10 0.00328717 +9 *2473:io_in[10] *2384:9 0 +10 *468:13 *2384:13 0.00309016 +11 *468:17 *2384:13 0.000691706 +12 *1994:51 *2451:user_gpio_out 0.000744765 +13 *2046:11 *2384:10 0.00637613 +14 *2303:17 *2384:10 0 +15 *2357:9 *2384:9 0 +16 *2357:10 *2384:10 0.00556489 +17 *2357:13 *2384:13 0.00355278 +18 *2358:10 *2384:10 0.0066704 +*RES +1 *2473:io_out[10] *2384:9 8.77465 +2 *2384:9 *2384:10 391.546 +3 *2384:10 *2384:12 4.5 +4 *2384:12 *2384:13 139.929 +5 *2384:13 *2451:user_gpio_out 20.2137 +*END + +*D_NET *2385 0.0545586 +*CONN +*I *2452:user_gpio_out I *D gpio_control_block +*I *2473:io_out[11] O *D user_analog_project_wrapper +*CAP +1 *2452:user_gpio_out 0.000149792 +2 *2473:io_out[11] 0.00150118 +3 *2385:13 0.00321884 +4 *2385:12 0.00306905 +5 *2385:10 0.00708477 +6 *2385:9 0.00858595 +7 *2452:user_gpio_oeb *2452:user_gpio_out 5.00858e-05 +8 *2473:io_in[11] *2385:9 0 +9 *469:12 *2452:user_gpio_out 0 +10 *2021:11 *2385:10 0.00644227 +11 *2022:11 *2385:10 0.000522281 +12 *2305:17 *2385:10 0.000499606 +13 *2332:14 *2385:10 0.00666772 +14 *2358:10 *2385:10 0.00501251 +15 *2358:13 *2385:13 0.00513262 +16 *2384:10 *2385:10 0.00662189 +*RES +1 *2473:io_out[11] *2385:9 8.80957 +2 *2385:9 *2385:10 377.428 +3 *2385:10 *2385:12 4.5 +4 *2385:12 *2385:13 122.736 +5 *2385:13 *2452:user_gpio_out 9.41712 +*END + +*D_NET *2386 0.0575144 +*CONN +*I *2453:user_gpio_out I *D gpio_control_block +*I *2473:io_out[12] O *D user_analog_project_wrapper +*CAP +1 *2453:user_gpio_out 0.000261577 +2 *2473:io_out[12] 0.00141595 +3 *2386:13 0.00174193 +4 *2386:12 0.00148035 +5 *2386:10 0.00497013 +6 *2386:9 0.00638607 +7 *2453:user_gpio_oeb *2453:user_gpio_out 0.000437959 +8 *2473:io_in[12] *2386:9 0 +9 *1889:8 *2453:user_gpio_out 0.000530922 +10 *2023:11 *2386:10 0.000565545 +11 *2131:10 *2453:user_gpio_out 0 +12 *2324:19 *2386:10 0 +13 *2331:16 *2386:10 0.00625456 +14 *2332:11 *2386:13 0.00508193 +15 *2332:14 *2386:10 0.00774209 +16 *2358:10 *2386:10 0.00733715 +17 *2359:9 *2386:9 0 +18 *2359:10 *2386:10 0.00818139 +19 *2359:13 *2386:13 0.00512684 +*RES +1 *2473:io_out[12] *2386:9 8.6516 +2 *2386:9 *2386:10 378.258 +3 *2386:10 *2386:12 4.5 +4 *2386:12 *2386:13 121.627 +5 *2386:13 *2453:user_gpio_out 16.9981 +*END + +*D_NET *2387 0.0343695 +*CONN +*I *2454:user_gpio_out I *D gpio_control_block +*I *2473:io_out[13] O *D user_analog_project_wrapper +*CAP +1 *2454:user_gpio_out 8.52904e-05 +2 *2473:io_out[13] 0.00137079 +3 *2387:13 0.00451558 +4 *2387:12 0.00443029 +5 *2387:10 0.00209344 +6 *2387:9 0.00346423 +7 *2454:user_gpio_oeb *2454:user_gpio_out 4.31122e-05 +8 *2473:io_in[13] *2387:9 0 +9 *2024:11 *2387:10 0.00600188 +10 *2333:14 *2387:10 0.0102959 +11 *2360:9 *2387:9 0 +12 *2360:10 *2387:10 0.00206896 +*RES +1 *2473:io_out[13] *2387:9 8.52689 +2 *2387:9 *2387:10 179.768 +3 *2387:10 *2387:12 4.5 +4 *2387:12 *2387:13 123.845 +5 *2387:13 *2454:user_gpio_out 8.87882 +*END + +*D_NET *2388 0.0273915 +*CONN +*I *2461:user_gpio_out I *D gpio_control_block +*I *2473:io_out[14] O *D user_analog_project_wrapper +*CAP +1 *2461:user_gpio_out 9.14287e-05 +2 *2473:io_out[14] 0.00159879 +3 *2388:19 0.00209342 +4 *2388:18 0.00200199 +5 *2388:16 0.00677499 +6 *2388:15 0.00677499 +7 *2388:13 0.000971769 +8 *2388:12 0.00257056 +9 *2461:user_gpio_oeb *2461:user_gpio_out 5.36925e-05 +10 *2473:io_in[14] *2388:12 0 +11 *2361:12 *2388:12 0.00015038 +12 *2361:13 *2388:13 0.00430945 +13 *2361:22 *2388:19 0 +*RES +1 *2473:io_out[14] *2388:12 15.9607 +2 *2388:12 *2388:13 45.6463 +3 *2388:13 *2388:15 4.5 +4 *2388:15 *2388:16 190.356 +5 *2388:16 *2388:18 4.5 +6 *2388:18 *2388:19 55.6292 +7 *2388:19 *2461:user_gpio_out 8.54006 +*END + +*D_NET *2389 0.0266088 +*CONN +*I *2462:user_gpio_out I *D gpio_control_block +*I *2473:io_out[15] O *D user_analog_project_wrapper +*CAP +1 *2462:user_gpio_out 0.000119648 +2 *2473:io_out[15] 0.00188758 +3 *2389:19 0.00279331 +4 *2389:18 0.00267367 +5 *2389:16 0.00703004 +6 *2389:15 0.00891762 +7 *2462:user_gpio_oeb *2462:user_gpio_out 0 +8 *2362:12 *2389:15 0.000736232 +9 *2362:15 *2389:15 0.00245069 +*RES +1 *2473:io_out[15] *2389:15 44.0733 +2 *2389:15 *2389:16 198.246 +3 *2389:16 *2389:18 4.5 +4 *2389:18 *2389:19 73.9311 +5 *2389:19 *2462:user_gpio_out 8.58662 +*END + +*D_NET *2390 0.0340805 +*CONN +*I *2463:user_gpio_out I *D gpio_control_block +*I *2473:io_out[16] O *D user_analog_project_wrapper +*CAP +1 *2463:user_gpio_out 0.00323386 +2 *2473:io_out[16] 0.00135002 +3 *2390:10 0.00790049 +4 *2390:9 0.00601665 +5 *2463:user_gpio_oeb *2463:user_gpio_out 0.0103395 +6 *2473:io_in[16] *2390:9 0 +7 *472:8 *2463:user_gpio_out 0 +8 *2336:15 *2463:user_gpio_out 4.42985e-05 +9 *2336:16 *2390:10 0.00519571 +10 *2363:10 *2390:10 0 +*RES +1 *2473:io_out[16] *2390:9 8.04466 +2 *2390:9 *2390:10 180.39 +3 *2390:10 *2463:user_gpio_out 37.3621 +*END + +*D_NET *2391 0.0335623 +*CONN +*I *2464:user_gpio_out I *D gpio_control_block +*I *2473:io_out[17] O *D user_analog_project_wrapper +*CAP +1 *2464:user_gpio_out 0.000114142 +2 *2473:io_out[17] 0.00233569 +3 *2391:19 0.00288387 +4 *2391:18 0.00276973 +5 *2391:16 0.00419986 +6 *2391:15 0.00419986 +7 *2391:13 0.00233569 +8 *2464:user_gpio_oeb *2464:user_gpio_out 6.19346e-06 +9 *2473:io_in[17] *2391:13 0 +10 *1552:27 *2391:16 0.0120542 +11 *2364:12 *2391:13 0 +12 *2364:15 *2391:13 0.00266312 +13 *2364:19 *2391:19 0 +*RES +1 *2473:io_out[17] *2391:13 46.0225 +2 *2391:13 *2391:15 4.5 +3 *2391:15 *2391:16 192.848 +4 *2391:16 *2391:18 4.5 +5 *2391:18 *2391:19 74.4857 +6 *2391:19 *2464:user_gpio_out 8.58662 +*END + +*D_NET *2392 0.0298566 +*CONN +*I *2465:user_gpio_out I *D gpio_control_block +*I *2473:io_out[18] O *D user_analog_project_wrapper +*CAP +1 *2465:user_gpio_out 0.0001439 +2 *2473:io_out[18] 0.00175519 +3 *2392:19 0.0023296 +4 *2392:18 0.0021857 +5 *2392:16 0.00699398 +6 *2392:15 0.00874917 +7 *2465:serial_clock *2392:19 0 +8 *2465:serial_load *2465:user_gpio_out 1.64979e-05 +9 *2465:user_gpio_oeb *2465:user_gpio_out 2.269e-05 +10 *106:31 *2392:19 0 +11 *2338:10 *2465:user_gpio_out 0 +12 *2365:15 *2392:15 0.000753084 +13 *2365:19 *2392:19 0.00690676 +*RES +1 *2473:io_out[18] *2392:15 27.8887 +2 *2392:15 *2392:16 197.416 +3 *2392:16 *2392:18 4.5 +4 *2392:18 *2392:19 88.9054 +5 *2392:19 *2465:user_gpio_out 8.95531 +*END + +*D_NET *2393 0.0251221 +*CONN +*I *2466:user_gpio_out I *D gpio_control_block +*I *2473:io_out[19] O *D user_analog_project_wrapper +*CAP +1 *2466:user_gpio_out 0.000106291 +2 *2473:io_out[19] 0.00244758 +3 *2393:19 0.0032571 +4 *2393:18 0.00315081 +5 *2393:16 0.00658263 +6 *2393:15 0.00903021 +7 *2466:serial_load *2466:user_gpio_out 0 +8 *2466:serial_load *2393:19 0.000243133 +9 *2466:user_gpio_oeb *2466:user_gpio_out 6.5075e-07 +10 *2473:io_in[19] *2393:15 0 +11 *474:17 *2393:19 0.000215716 +12 *520:15 *2466:user_gpio_out 8.79706e-05 +13 *2366:10 *2393:15 0 +*RES +1 *2473:io_out[19] *2393:15 39.1902 +2 *2393:15 *2393:16 185.789 +3 *2393:16 *2393:18 4.5 +4 *2393:18 *2393:19 88.9054 +5 *2393:19 *2466:user_gpio_out 8.54006 +*END + +*D_NET *2394 0.0917369 +*CONN +*I *2445:user_gpio_out I *D gpio_control_block +*I *2473:io_out[1] O *D user_analog_project_wrapper +*CAP +1 *2445:user_gpio_out 0.000400122 +2 *2473:io_out[1] 0.00162528 +3 *2394:27 0.0021278 +4 *2394:26 0.00172767 +5 *2394:24 0.00447329 +6 *2394:22 0.00452533 +7 *2394:20 0.00566321 +8 *2394:19 0.00561116 +9 *2394:17 0.00165402 +10 *2394:16 0.00327929 +11 *2445:serial_clock_out *2394:27 0 +12 *2445:user_gpio_oeb *2445:user_gpio_out 0.000477761 +13 *470:16 *2394:20 0 +14 *471:46 *2445:user_gpio_out 0 +15 *503:13 *2394:27 9.91731e-05 +16 *503:14 *2445:user_gpio_out 0 +17 *525:8 *2394:24 0.0139445 +18 *2031:15 *2394:20 0.025779 +19 *2031:15 *2394:24 7.44441e-06 +20 *2039:11 *2394:20 0.00119953 +21 *2039:11 *2394:24 0.00410849 +22 *2039:15 *2394:20 0.0111892 +23 *2222:24 *2394:24 0.000602507 +24 *2367:12 *2394:16 0.000388476 +25 *2367:13 *2394:17 0.00153414 +26 *2367:21 *2394:27 0.00131953 +*RES +1 *2473:io_out[1] *2394:16 14.4115 +2 *2394:16 *2394:17 60.6206 +3 *2394:17 *2394:19 4.5 +4 *2394:19 *2394:20 430.995 +5 *2394:20 *2394:22 1.29461 +6 *2394:22 *2394:24 243.716 +7 *2394:24 *2394:26 4.5 +8 *2394:26 *2394:27 53.4107 +9 *2394:27 *2445:user_gpio_out 18.5826 +*END + +*D_NET *2395 0.0276262 +*CONN +*I *2467:user_gpio_out I *D gpio_control_block +*I *2473:io_out[20] O *D user_analog_project_wrapper +*CAP +1 *2467:user_gpio_out 0.000140082 +2 *2473:io_out[20] 0.00195629 +3 *2395:19 0.00256088 +4 *2395:18 0.00242079 +5 *2395:16 0.00686939 +6 *2395:15 0.00882567 +7 *2467:user_gpio_oeb *2467:user_gpio_out 1.75765e-05 +8 *2473:io_in[20] *2395:15 0 +9 *108:22 *2467:user_gpio_out 1.1573e-05 +10 *2368:12 *2395:15 0 +11 *2368:15 *2395:15 0.00165828 +12 *2368:19 *2395:19 0.00316567 +*RES +1 *2473:io_out[20] *2395:15 41.7943 +2 *2395:15 *2395:16 193.679 +3 *2395:16 *2395:18 4.5 +4 *2395:18 *2395:19 90.5692 +5 *2395:19 *2467:user_gpio_out 8.58662 +*END + +*D_NET *2396 0.0250043 +*CONN +*I *2468:user_gpio_out I *D gpio_control_block +*I *2473:io_out[21] O *D user_analog_project_wrapper +*CAP +1 *2468:user_gpio_out 0.000107087 +2 *2473:io_out[21] 0.00212163 +3 *2396:19 0.00342246 +4 *2396:18 0.00331538 +5 *2396:16 0.00675108 +6 *2396:15 0.00887271 +7 *2468:user_gpio_oeb *2468:user_gpio_out 5.02212e-05 +8 *2473:io_in[21] *2396:15 0.000363771 +9 *2473:io_in_3v3[21] *2396:15 0 +10 *2033:13 *2396:15 0 +11 *2369:9 *2396:15 0 +*RES +1 *2473:io_out[21] *2396:15 30.4781 +2 *2396:15 *2396:16 190.772 +3 *2396:16 *2396:18 4.5 +4 *2396:18 *2396:19 88.9054 +5 *2396:19 *2468:user_gpio_out 8.54006 +*END + +*D_NET *2397 0.0306212 +*CONN +*I *2469:user_gpio_out I *D gpio_control_block +*I *2473:io_out[22] O *D user_analog_project_wrapper +*CAP +1 *2469:user_gpio_out 0.000132019 +2 *2473:io_out[22] 0.00214256 +3 *2397:19 0.00236376 +4 *2397:18 0.00223175 +5 *2397:16 0.00685669 +6 *2397:15 0.00899925 +7 *2469:user_gpio_oeb *2469:user_gpio_out 5.72783e-05 +8 *2473:io_in[22] *2397:15 0 +9 *478:10 *2469:user_gpio_out 0 +10 *2370:12 *2397:15 0 +11 *2370:15 *2397:15 0.000918989 +12 *2370:19 *2397:19 0.00691892 +*RES +1 *2473:io_out[22] *2397:15 28.2043 +2 *2397:15 *2397:16 194.094 +3 *2397:16 *2397:18 4.5 +4 *2397:18 *2397:19 88.9054 +5 *2397:19 *2469:user_gpio_out 8.95531 +*END + +*D_NET *2398 0.038996 +*CONN +*I *2470:user_gpio_out I *D gpio_control_block +*I *2473:io_out[23] O *D user_analog_project_wrapper +*CAP +1 *2470:user_gpio_out 0.00141198 +2 *2473:io_out[23] 0.00215546 +3 *2398:16 0.00436329 +4 *2398:15 0.00295132 +5 *2398:13 0.00151317 +6 *2398:12 0.00366862 +7 *2470:user_gpio_oeb *2470:user_gpio_out 1.29018e-05 +8 *2473:io_in[23] *2398:12 0 +9 *109:40 *2398:16 0 +10 *111:39 *2470:user_gpio_out 0 +11 *496:20 *2470:user_gpio_out 1.66771e-05 +12 *497:16 *2398:16 0.00486939 +13 *2035:11 *2398:12 0.000282459 +14 *2344:16 *2398:16 0.0110112 +15 *2344:19 *2398:13 0.00666057 +16 *2371:12 *2398:12 0 +17 *2371:16 *2398:16 7.90402e-05 +*RES +1 *2473:io_out[23] *2398:12 23.3708 +2 *2398:12 *2398:13 70.0488 +3 *2398:13 *2398:15 4.5 +4 *2398:15 *2398:16 181.636 +5 *2398:16 *2470:user_gpio_out 43.7586 +*END + +*D_NET *2399 0.021034 +*CONN +*I *2446:user_gpio_out I *D gpio_control_block +*I *2473:io_out[24] O *D user_analog_project_wrapper +*CAP +1 *2446:user_gpio_out 9.52439e-05 +2 *2473:io_out[24] 0.00179802 +3 *2399:13 0.00235391 +4 *2399:12 0.00225867 +5 *2399:10 0.00217104 +6 *2399:9 0.00396906 +7 *2446:mgmt_gpio_out *2399:9 0.000594812 +8 *2446:user_gpio_oeb *2446:user_gpio_out 6.68838e-05 +9 *2473:gpio_noesd[17] *2399:10 0 +10 *2473:io_in[24] *2399:9 0 +11 *2473:io_in[24] *2399:10 0 +12 *479:28 *2399:13 0.000437454 +13 *513:13 *2399:13 0.00039806 +14 *2372:16 *2399:13 0.00689088 +*RES +1 *2473:io_out[24] *2399:9 9.53123 +2 *2399:9 *2399:10 60.1749 +3 *2399:10 *2399:12 4.5 +4 *2399:12 *2399:13 97.2244 +5 *2399:13 *2446:user_gpio_out 8.54006 +*END + +*D_NET *2400 0.0305423 +*CONN +*I *2447:user_gpio_out I *D gpio_control_block +*I *2473:io_out[25] O *D user_analog_project_wrapper +*CAP +1 *2447:user_gpio_out 0.000130092 +2 *2473:io_out[25] 0.00303741 +3 *2400:19 0.0022009 +4 *2400:18 0.00207081 +5 *2400:16 0.00369796 +6 *2400:15 0.00673536 +7 *2447:user_gpio_oeb *2447:user_gpio_out 4.45577e-05 +8 *1556:27 *2400:16 0.0102713 +9 *2373:12 *2400:15 0 +10 *2373:19 *2400:19 0.00235394 +*RES +1 *2473:io_out[25] *2400:15 46.3115 +2 *2400:15 *2400:16 165.441 +3 *2400:16 *2400:18 4.5 +4 *2400:18 *2400:19 73.3765 +5 *2400:19 *2447:user_gpio_out 8.54006 +*END + +*D_NET *2401 0.0500119 +*CONN +*I *2448:user_gpio_out I *D gpio_control_block +*I *2473:io_out[26] O *D user_analog_project_wrapper +*CAP +1 *2448:user_gpio_out 0.000140164 +2 *2473:io_out[26] 0.00165859 +3 *2401:16 0.0013619 +4 *2401:13 0.00481882 +5 *2401:12 0.00359709 +6 *2401:10 0.00890679 +7 *2401:9 0.0105654 +8 *2448:serial_load_out *2448:user_gpio_out 0 +9 *2448:serial_load_out *2401:16 0.00163095 +10 *2448:user_gpio_oeb *2448:user_gpio_out 1.57435e-05 +11 *2473:io_in[26] *2401:9 0 +12 *2478:la_input[2] *2401:10 0 +13 *515:8 *2401:16 0 +14 *605:14 *2401:13 0.00336945 +15 *2036:11 *2401:10 0.00609841 +16 *2037:7 *2401:16 0 +17 *2374:9 *2401:9 0 +18 *2374:10 *2401:10 0.00784862 +*RES +1 *2473:io_out[26] *2401:9 8.18102 +2 *2401:9 *2401:10 358.741 +3 *2401:10 *2401:12 4.5 +4 *2401:12 *2401:13 107.762 +5 *2401:13 *2401:16 48.0648 +6 *2401:16 *2448:user_gpio_out 3.74791 +*END + +*D_NET *2402 0.0750698 +*CONN +*I *2455:user_gpio_out I *D gpio_control_block +*I *2473:io_out[2] O *D user_analog_project_wrapper +*CAP +1 *2455:user_gpio_out 0.000322793 +2 *2473:io_out[2] 0.00160471 +3 *2402:13 0.00248171 +4 *2402:12 0.00215891 +5 *2402:10 0.00424615 +6 *2402:9 0.00585085 +7 *2455:user_gpio_oeb *2455:user_gpio_out 0.000820315 +8 *2473:io_in[2] *2402:9 0 +9 *105:60 *2455:user_gpio_out 0.000922886 +10 *2040:23 *2402:10 0.017382 +11 *2348:11 *2402:13 0.00505428 +12 *2348:14 *2402:10 0.00453221 +13 *2375:9 *2402:9 0 +14 *2375:10 *2402:10 0.0267591 +15 *2375:13 *2402:13 0.00293393 +*RES +1 *2473:io_out[2] *2402:9 8.58841 +2 *2402:9 *2402:10 430.58 +3 *2402:10 *2402:12 4.5 +4 *2402:12 *2402:13 122.736 +5 *2402:13 *2455:user_gpio_out 23.5656 +*END + +*D_NET *2403 0.0336001 +*CONN +*I *2456:user_gpio_out I *D gpio_control_block +*I *2473:io_out[3] O *D user_analog_project_wrapper +*CAP +1 *2456:user_gpio_out 0.000271227 +2 *2473:io_out[3] 0.00163497 +3 *2403:13 0.00397563 +4 *2403:12 0.0037044 +5 *2403:10 0.00264669 +6 *2403:9 0.00428166 +7 *2456:user_gpio_oeb *2456:user_gpio_out 3.30793e-05 +8 *2473:io_in[3] *2403:9 0 +9 *105:30 *2456:user_gpio_out 0 +10 *2040:23 *2403:10 0.000651922 +11 *2348:14 *2403:10 0.00850736 +12 *2349:10 *2456:user_gpio_out 4.59232e-05 +13 *2349:11 *2403:13 0.00290471 +14 *2349:14 *2403:10 0.00494038 +15 *2376:9 *2403:9 0 +16 *2376:10 *2403:10 0 +17 *2376:13 *2403:13 2.18041e-06 +*RES +1 *2473:io_out[3] *2403:9 8.79128 +2 *2403:9 *2403:10 174.369 +3 *2403:10 *2403:12 4.5 +4 *2403:12 *2403:13 122.736 +5 *2403:13 *2456:user_gpio_out 13.1178 +*END + +*D_NET *2404 0.0284378 +*CONN +*I *2457:user_gpio_out I *D gpio_control_block +*I *2473:io_out[4] O *D user_analog_project_wrapper +*CAP +1 *2457:user_gpio_out 0.000354976 +2 *2473:io_out[4] 0.00159017 +3 *2404:13 0.00164929 +4 *2404:12 0.00129431 +5 *2404:10 0.00154651 +6 *2404:9 0.00313668 +7 *2457:serial_load *2404:13 1.92172e-05 +8 *2457:user_gpio_oeb *2457:user_gpio_out 9.98425e-05 +9 *2473:io_in[4] *2404:9 0 +10 *2350:12 *2457:user_gpio_out 0.000216082 +11 *2350:13 *2404:13 0.00516663 +12 *2350:16 *2404:10 0.000162183 +13 *2377:9 *2404:9 0 +14 *2377:10 *2404:10 0.00160253 +15 *2377:13 *2404:13 0.0115994 +*RES +1 *2473:io_out[4] *2404:9 8.6649 +2 *2404:9 *2404:10 60.5902 +3 *2404:10 *2404:12 4.5 +4 *2404:12 *2404:13 124.4 +5 *2404:13 *2457:user_gpio_out 17.6091 +*END + +*D_NET *2405 0.036149 +*CONN +*I *2458:user_gpio_out I *D gpio_control_block +*I *2473:io_out[5] O *D user_analog_project_wrapper +*CAP +1 *2458:user_gpio_out 0.000346911 +2 *2473:io_out[5] 0.00137467 +3 *2405:13 0.0032042 +4 *2405:12 0.00285729 +5 *2405:10 0.00330646 +6 *2405:9 0.00468113 +7 *2458:serial_data_in *2458:user_gpio_out 8.11463e-06 +8 *2458:serial_data_in *2405:13 0.00140694 +9 *2458:user_gpio_oeb *2458:user_gpio_out 0.000727776 +10 *2473:io_in[5] *2405:9 0 +11 *109:70 *2405:10 0.00193095 +12 *495:64 *2458:user_gpio_out 0 +13 *507:10 *2458:user_gpio_out 0.000771902 +14 *2042:17 *2405:10 0 +15 *2351:10 *2458:user_gpio_out 2.04806e-05 +16 *2351:11 *2405:13 0.0051219 +17 *2351:14 *2405:10 0.000296518 +18 *2378:10 *2405:10 0.0100938 +*RES +1 *2473:io_out[5] *2405:9 8.30074 +2 *2405:9 *2405:10 171.047 +3 *2405:10 *2405:12 4.5 +4 *2405:12 *2405:13 122.182 +5 *2405:13 *2458:user_gpio_out 23.5656 +*END + +*D_NET *2406 0.0499839 +*CONN +*I *2459:user_gpio_out I *D gpio_control_block +*I *2473:io_out[6] O *D user_analog_project_wrapper +*CAP +1 *2459:user_gpio_out 0.000220649 +2 *2473:io_out[6] 0.00152893 +3 *2406:13 0.00121301 +4 *2406:12 0.000992366 +5 *2406:10 0.00216664 +6 *2406:9 0.00369557 +7 *2459:user_gpio_oeb *2459:user_gpio_out 7.3828e-05 +8 *2473:io_in[6] *2406:9 0 +9 *494:36 *2459:user_gpio_out 8.21013e-05 +10 *2352:11 *2406:13 0.0116003 +11 *2352:14 *2406:10 0.0113898 +12 *2379:9 *2406:9 0 +13 *2379:10 *2406:10 0.00532936 +14 *2379:13 *2406:13 0.0116913 +*RES +1 *2473:io_out[6] *2406:9 8.5219 +2 *2406:9 *2406:10 182.259 +3 *2406:10 *2406:12 4.5 +4 *2406:12 *2406:13 125.509 +5 *2406:13 *2459:user_gpio_out 12.2108 +*END + +*D_NET *2407 0.0545501 +*CONN +*I *2460:user_gpio_out I *D gpio_control_block +*I *2473:io_out[7] O *D user_analog_project_wrapper +*CAP +1 *2460:user_gpio_out 0.000199406 +2 *2473:io_out[7] 0.00156846 +3 *2407:13 0.00340149 +4 *2407:12 0.00320208 +5 *2407:10 0.00802662 +6 *2407:9 0.00959508 +7 *2460:serial_load_out *2460:user_gpio_out 0 +8 *2460:user_gpio_oeb *2460:user_gpio_out 5.29561e-05 +9 *2473:io_in[7] *2407:9 0 +10 *477:44 *2460:user_gpio_out 9.51799e-07 +11 *495:85 *2460:user_gpio_out 8.36854e-05 +12 *2125:8 *2460:user_gpio_out 0.000262806 +13 *2353:10 *2460:user_gpio_out 5.30145e-05 +14 *2353:11 *2407:13 0.00513309 +15 *2353:14 *2407:10 0.0227755 +16 *2380:9 *2407:9 0 +17 *2380:10 *2407:10 0.000194974 +*RES +1 *2473:io_out[7] *2407:9 8.52689 +2 *2407:9 *2407:10 370.784 +3 *2407:10 *2407:12 4.5 +4 *2407:12 *2407:13 123.845 +5 *2407:13 *2460:user_gpio_out 12.6926 +*END + +*D_NET *2408 0.05981 +*CONN +*I *2449:user_gpio_out I *D gpio_control_block +*I *2473:io_out[8] O *D user_analog_project_wrapper +*CAP +1 *2449:user_gpio_out 0.000169707 +2 *2473:io_out[8] 0.00161653 +3 *2408:13 0.00341933 +4 *2408:12 0.00324962 +5 *2408:10 0.00548446 +6 *2408:9 0.00710099 +7 *2449:serial_load_out *2449:user_gpio_out 0 +8 *2449:user_gpio_oeb *2449:user_gpio_out 2.80929e-05 +9 *2473:io_in[8] *2408:9 0 +10 *1963:8 *2449:user_gpio_out 0 +11 *2302:19 *2408:10 0.00134023 +12 *2354:16 *2408:10 0.0229052 +13 *2380:10 *2408:10 0.00678325 +14 *2381:9 *2408:9 0 +15 *2381:10 *2408:10 0.000194974 +16 *2381:13 *2408:13 0.00505554 +17 *2382:10 *2408:10 0.00246211 +*RES +1 *2473:io_out[8] *2408:9 8.71478 +2 *2408:9 *2408:10 372.445 +3 *2408:10 *2408:12 4.5 +4 *2408:12 *2408:13 123.845 +5 *2408:13 *2449:user_gpio_out 10.1911 +*END + +*D_NET *2409 0.0527558 +*CONN +*I *2450:user_gpio_out I *D gpio_control_block +*I *2473:io_out[9] O *D user_analog_project_wrapper +*CAP +1 *2450:user_gpio_out 0.000286333 +2 *2473:io_out[9] 0.00168182 +3 *2409:13 0.00187885 +4 *2409:12 0.00159252 +5 *2409:10 0.00702167 +6 *2409:9 0.00870349 +7 *2450:user_gpio_oeb *2450:user_gpio_out 0.000104742 +8 *2473:io_in[9] *2409:9 0 +9 *1879:8 *2450:user_gpio_out 0 +10 *2302:19 *2409:10 0 +11 *2303:17 *2409:10 0 +12 *2355:12 *2450:user_gpio_out 2.01653e-05 +13 *2355:13 *2409:13 0.00501388 +14 *2355:16 *2409:10 0.0112627 +15 *2357:10 *2409:10 0 +16 *2381:10 *2409:10 0.00683534 +17 *2382:9 *2409:9 0 +18 *2382:10 *2409:10 0 +19 *2382:13 *2409:13 0.00506711 +20 *2384:10 *2409:10 0.00328717 +*RES +1 *2473:io_out[9] *2409:9 8.88938 +2 *2409:9 *2409:10 378.258 +3 *2409:10 *2409:12 4.5 +4 *2409:12 *2409:13 121.627 +5 *2409:13 *2450:user_gpio_out 14.3636 +*END + +*D_NET *2410 0.0948361 +*CONN +*I *2478:irq[0] I *D mgmt_core_wrapper +*I *2472:user_irq[0] O *D mgmt_protect +*CAP +1 *2478:irq[0] 0.002087 +2 *2472:user_irq[0] 0.00113591 +3 *2410:14 0.00592535 +4 *2410:13 0.00383834 +5 *2410:11 0.0317874 +6 *2410:10 0.0329233 +7 *2478:irq[0] *2478:irq[1] 0.000698004 +8 *2478:irq[0] *2478:irq[2] 0.00425297 +9 *2410:14 *2411:14 0.00328339 +10 *1612:10 *2478:irq[0] 0.000324592 +11 *1670:11 *2410:14 0.00230193 +12 *1721:10 *2478:irq[0] 0.000346541 +13 *1734:7 *2410:14 0 +14 *1741:10 *2478:irq[0] 0.00593133 +*RES +1 *2472:user_irq[0] *2410:10 16.0581 +2 *2410:10 *2410:11 890.862 +3 *2410:11 *2410:13 4.5 +4 *2410:13 *2410:14 147.793 +5 *2410:14 *2478:irq[0] 41.4214 +*END + +*D_NET *2411 0.0958947 +*CONN +*I *2478:irq[1] I *D mgmt_core_wrapper +*I *2472:user_irq[1] O *D mgmt_protect +*CAP +1 *2478:irq[1] 0.00421854 +2 *2472:user_irq[1] 0.00120893 +3 *2411:14 0.00859695 +4 *2411:13 0.00437841 +5 *2411:11 0.0317364 +6 *2411:10 0.0329454 +7 *2478:irq[1] *2478:irq[2] 0.00473142 +8 *2478:irq[0] *2478:irq[1] 0.000698004 +9 *1587:10 *2478:irq[1] 0.000388665 +10 *1588:10 *2478:irq[1] 0.000329156 +11 *1589:31 *2478:irq[1] 0.000206867 +12 *1591:14 *2478:irq[1] 0.000184687 +13 *1602:23 *2411:14 0 +14 *1670:11 *2411:14 0.00222106 +15 *1727:10 *2478:irq[1] 0.00036442 +16 *1729:25 *2478:irq[1] 0.000193655 +17 *1737:25 *2478:irq[1] 0.000183925 +18 *1741:10 *2478:irq[1] 2.48636e-05 +19 *2410:14 *2411:14 0.00328339 +*RES +1 *2472:user_irq[1] *2411:10 18.1344 +2 *2411:10 *2411:11 889.753 +3 *2411:11 *2411:13 4.5 +4 *2411:13 *2411:14 163.157 +5 *2411:14 *2478:irq[1] 43.3062 +*END + +*D_NET *2412 0.0954512 +*CONN +*I *2478:irq[2] I *D mgmt_core_wrapper +*I *2472:user_irq[2] O *D mgmt_protect +*CAP +1 *2478:irq[2] 0.00212382 +2 *2472:user_irq[2] 0.00131117 +3 *2412:14 0.00871324 +4 *2412:13 0.00658941 +5 *2412:11 0.0323296 +6 *2412:10 0.0336408 +7 *2478:irq[0] *2478:irq[2] 0.00425297 +8 *2478:irq[1] *2478:irq[2] 0.00473142 +9 *2478:mprj_dat_i[30] *2412:14 3.92579e-05 +10 *1723:25 *2478:irq[2] 0.00036298 +11 *1725:10 *2478:irq[2] 0.000342696 +12 *1736:9 *2412:14 0 +13 *1741:10 *2478:irq[2] 0.0010138 +*RES +1 *2472:user_irq[2] *2412:10 21.0412 +2 *2412:10 *2412:11 906.391 +3 *2412:11 *2412:13 4.5 +4 *2412:13 *2412:14 181.013 +5 *2412:14 *2478:irq[2] 40.8694 +*END + +*D_NET *2413 0.311965 +*CONN +*I *2472:user_irq_core[0] I *D mgmt_protect +*I *2473:user_irq[0] O *D user_analog_project_wrapper +*CAP +1 *2472:user_irq_core[0] 0.00159412 +2 *2473:user_irq[0] 0.00205067 +3 *2413:8 0.0268085 +4 *2413:7 0.0252143 +5 *2413:5 0.00205067 +6 *2472:user_irq_core[0] *2472:user_irq_core[1] 0 +7 *2413:8 *2415:10 0.0312377 +8 *1103:8 *2413:8 0.0613514 +9 *1400:8 *2413:8 0.146097 +10 *1402:8 *2413:8 0.0155613 +11 *1646:7 *2472:user_irq_core[0] 0 +*RES +1 *2473:user_irq[0] *2413:5 54.8988 +2 *2413:5 *2413:7 3.36879 +3 *2413:7 *2413:8 195.635 +4 *2413:8 *2472:user_irq_core[0] 32.5219 +*END + +*D_NET *2414 0.28882 +*CONN +*I *2472:user_irq_core[1] I *D mgmt_protect +*I *2473:user_irq[1] O *D user_analog_project_wrapper +*CAP +1 *2472:user_irq_core[1] 0.00265048 +2 *2473:user_irq[1] 0.00144366 +3 *2414:14 0.00276005 +4 *2414:8 0.0140155 +5 *2414:7 0.0153496 +6 *2472:user_irq_core[1] *2472:user_irq_core[2] 0 +7 *2472:user_irq_core[0] *2472:user_irq_core[1] 0 +8 *1007:8 *2414:8 0.0602489 +9 *1019:8 *2414:8 0.000639908 +10 *1075:5 *2472:user_irq_core[1] 0 +11 *1100:8 *2414:8 0.0459994 +12 *1100:8 *2414:14 1.67988e-05 +13 *1401:10 *2414:8 1.67988e-05 +14 *1401:10 *2414:14 0.0011774 +15 *1401:12 *2414:8 0.128107 +16 *1403:8 *2414:8 0.0152772 +17 *1459:8 *2414:14 0.00111656 +18 *1646:7 *2472:user_irq_core[1] 0 +*RES +1 *2473:user_irq[1] *2414:7 42.5811 +2 *2414:7 *2414:8 1410.53 +3 *2414:8 *2414:14 17.4488 +4 *2414:14 *2472:user_irq_core[1] 46.3861 +*END + +*D_NET *2415 0.255192 +*CONN +*I *2472:user_irq_core[2] I *D mgmt_protect +*I *2473:user_irq[2] O *D user_analog_project_wrapper +*CAP +1 *2472:user_irq_core[2] 0.00159047 +2 *2473:user_irq[2] 5.43965e-05 +3 *2415:10 0.0272258 +4 *2415:9 0.0256353 +5 *2415:7 0.00131631 +6 *2415:5 0.00137071 +7 *2472:user_irq_core[1] *2472:user_irq_core[2] 0 +8 *1075:5 *2472:user_irq_core[2] 4.59193e-05 +9 *1092:8 *2415:10 0.00061538 +10 *1402:8 *2415:10 0.131446 +11 *1475:8 *2415:10 0.0314182 +12 *1551:23 *2415:7 0.0032363 +13 *2413:8 *2415:10 0.0312377 +*RES +1 *2473:user_irq[2] *2415:5 0.647305 +2 *2415:5 *2415:7 54.7766 +3 *2415:7 *2415:9 4.5 +4 *2415:9 *2415:10 1427.72 +5 *2415:10 *2472:user_irq_core[2] 33.8608 +*END diff --git a/spef/caravel_clocking/caravel_clocking.nom.spef b/spef/caravel_clocking/caravel_clocking.nom.spef new file mode 100644 index 00000000..94bef2e8 --- /dev/null +++ b/spef/caravel_clocking/caravel_clocking.nom.spef @@ -0,0 +1,11338 @@ +*SPEF "ieee 1481-1999" +*DESIGN "caravel_clocking" +*DATE "11:11:11 Fri 11 11, 1111" +*VENDOR "OpenRCX" +*PROGRAM "Parallel Extraction" +*VERSION "1.0" +*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE" +*DIVIDER / +*DELIMITER : +*BUS_DELIMITER [] +*T_UNIT 1 NS +*C_UNIT 1 PF +*R_UNIT 1 OHM +*L_UNIT 1 HENRY + +*NAME_MAP +*3 core_clk +*4 ext_clk +*5 ext_clk_sel +*6 ext_reset +*7 pll_clk +*8 pll_clk90 +*9 resetb +*10 resetb_sync +*11 sel2[0] +*12 sel2[1] +*13 sel2[2] +*14 sel[0] +*15 sel[1] +*16 sel[2] +*17 user_clk +*18 _000_ +*19 _001_ +*20 _002_ +*21 _003_ +*22 _004_ +*23 _005_ +*24 _006_ +*25 _007_ +*26 _008_ +*27 _009_ +*28 _010_ +*29 _011_ +*30 _012_ +*31 _013_ +*32 _014_ +*33 _015_ +*34 _016_ +*35 _017_ +*36 _018_ +*37 _019_ +*38 _020_ +*39 _021_ +*40 _022_ +*41 _023_ +*42 _024_ +*43 _025_ +*44 _026_ +*45 _027_ +*46 _028_ +*47 _029_ +*48 _030_ +*49 _031_ +*50 _032_ +*51 _033_ +*52 _034_ +*53 _035_ +*54 _036_ +*55 _037_ +*56 _038_ +*57 _039_ +*58 _040_ +*59 _041_ +*60 _042_ +*61 _043_ +*62 _044_ +*63 _045_ +*64 _046_ +*65 _047_ +*66 _048_ +*67 _049_ +*68 _050_ +*69 _051_ +*70 _052_ +*71 _053_ +*72 _054_ +*73 _055_ +*74 _056_ +*75 _057_ +*76 _058_ +*77 _059_ +*78 _060_ +*79 _061_ +*80 _062_ +*81 _063_ +*82 _064_ +*83 _065_ +*84 _066_ +*85 _067_ +*86 _068_ +*87 _069_ +*88 _070_ +*89 _071_ +*90 _072_ +*91 _073_ +*92 _074_ +*93 _075_ +*94 _076_ +*95 _077_ +*96 _078_ +*97 _088_ +*98 _089_ +*99 _090_ +*100 _091_ +*101 _092_ +*102 _093_ +*103 _094_ +*104 _095_ +*105 _096_ +*106 _097_ +*107 _098_ +*108 _099_ +*109 _100_ +*110 _101_ +*111 _102_ +*112 _103_ +*113 _104_ +*114 _105_ +*115 _106_ +*116 _107_ +*117 _108_ +*118 _109_ +*119 _110_ +*120 _111_ +*121 _112_ +*122 _113_ +*123 _114_ +*124 _115_ +*125 _116_ +*126 _117_ +*127 _118_ +*128 _119_ +*129 _120_ +*130 _121_ +*131 _122_ +*132 _123_ +*133 _124_ +*134 _125_ +*135 _126_ +*136 _127_ +*137 _128_ +*138 _129_ +*139 _130_ +*140 _131_ +*141 _132_ +*142 _133_ +*143 _134_ +*144 _135_ +*145 _136_ +*146 _137_ +*147 _138_ +*148 _139_ +*149 _140_ +*150 _141_ +*151 _142_ +*152 _143_ +*153 _144_ +*154 _145_ +*155 _146_ +*156 _147_ +*157 _148_ +*158 _149_ +*159 _150_ +*160 _151_ +*161 _152_ +*162 _153_ +*163 _154_ +*164 _155_ +*165 _156_ +*166 _157_ +*167 _158_ +*168 _159_ +*169 _160_ +*170 _161_ +*171 _162_ +*172 _163_ +*173 _164_ +*174 _165_ +*175 _166_ +*176 _167_ +*177 _168_ +*178 _169_ +*179 _170_ +*180 _171_ +*181 _172_ +*182 _173_ +*183 _174_ +*184 _175_ +*185 _176_ +*186 _177_ +*187 _178_ +*188 _179_ +*189 _180_ +*190 _181_ +*191 _182_ +*192 _183_ +*193 _184_ +*194 _185_ +*195 _186_ +*196 _187_ +*197 _188_ +*198 _189_ +*199 _190_ +*200 _191_ +*201 _192_ +*202 _193_ +*203 _194_ +*204 _195_ +*205 _196_ +*206 _197_ +*207 _198_ +*208 _199_ +*209 _200_ +*210 _201_ +*211 _202_ +*212 _203_ +*213 _204_ +*214 _205_ +*215 _206_ +*216 _207_ +*217 _208_ +*218 _209_ +*219 _210_ +*220 _211_ +*221 _212_ +*222 _213_ +*223 _214_ +*224 clknet_0_ext_clk +*225 clknet_0_pll_clk +*226 clknet_0_pll_clk90 +*227 clknet_1_0_0_ext_clk +*228 clknet_1_0_0_pll_clk +*229 clknet_1_0_0_pll_clk90 +*230 clknet_1_1_0_ext_clk +*231 clknet_1_1_0_pll_clk +*232 clknet_1_1_0_pll_clk90 +*233 divider\.even_0\.N\[0\] +*234 divider\.even_0\.N\[1\] +*235 divider\.even_0\.N\[2\] +*236 divider\.even_0\.counter\[0\] +*237 divider\.even_0\.counter\[1\] +*238 divider\.even_0\.counter\[2\] +*239 divider\.even_0\.out_counter +*240 divider\.odd_0\.counter2\[0\] +*241 divider\.odd_0\.counter2\[1\] +*242 divider\.odd_0\.counter2\[2\] +*243 divider\.odd_0\.counter\[0\] +*244 divider\.odd_0\.counter\[1\] +*245 divider\.odd_0\.counter\[2\] +*246 divider\.odd_0\.initial_begin\[0\] +*247 divider\.odd_0\.initial_begin\[1\] +*248 divider\.odd_0\.initial_begin\[2\] +*249 divider\.odd_0\.old_N\[0\] +*250 divider\.odd_0\.old_N\[1\] +*251 divider\.odd_0\.old_N\[2\] +*252 divider\.odd_0\.out_counter +*253 divider\.odd_0\.out_counter2 +*254 divider\.odd_0\.rst_pulse +*255 divider\.out +*256 divider\.syncNp\[0\] +*257 divider\.syncNp\[1\] +*258 divider\.syncNp\[2\] +*259 divider2\.even_0\.N\[0\] +*260 divider2\.even_0\.N\[1\] +*261 divider2\.even_0\.N\[2\] +*262 divider2\.even_0\.counter\[0\] +*263 divider2\.even_0\.counter\[1\] +*264 divider2\.even_0\.counter\[2\] +*265 divider2\.even_0\.out_counter +*266 divider2\.odd_0\.counter2\[0\] +*267 divider2\.odd_0\.counter2\[1\] +*268 divider2\.odd_0\.counter2\[2\] +*269 divider2\.odd_0\.counter\[0\] +*270 divider2\.odd_0\.counter\[1\] +*271 divider2\.odd_0\.counter\[2\] +*272 divider2\.odd_0\.initial_begin\[0\] +*273 divider2\.odd_0\.initial_begin\[1\] +*274 divider2\.odd_0\.initial_begin\[2\] +*275 divider2\.odd_0\.old_N\[0\] +*276 divider2\.odd_0\.old_N\[1\] +*277 divider2\.odd_0\.old_N\[2\] +*278 divider2\.odd_0\.out_counter +*279 divider2\.odd_0\.out_counter2 +*280 divider2\.odd_0\.rst_pulse +*281 divider2\.out +*282 divider2\.syncNp\[0\] +*283 divider2\.syncNp\[1\] +*284 divider2\.syncNp\[2\] +*285 ext_clk_syncd +*286 ext_clk_syncd_pre +*287 net1 +*288 net10 +*289 net11 +*290 net12 +*291 net13 +*292 net14 +*293 net15 +*294 net16 +*295 net17 +*296 net18 +*297 net19 +*298 net2 +*299 net20 +*300 net21 +*301 net22 +*302 net23 +*303 net24 +*304 net25 +*305 net26 +*306 net27 +*307 net28 +*308 net29 +*309 net3 +*310 net30 +*311 net31 +*312 net32 +*313 net33 +*314 net34 +*315 net35 +*316 net36 +*317 net37 +*318 net38 +*319 net4 +*320 net5 +*321 net6 +*322 net7 +*323 net8 +*324 net9 +*325 pll_clk_sel +*326 reset_delay\[0\] +*327 reset_delay\[1\] +*328 reset_delay\[2\] +*329 use_pll_first +*330 use_pll_second +*331 ANTENNA__283__A2 +*332 ANTENNA__322__A +*333 ANTENNA__323__B_N +*334 ANTENNA__347__S +*335 ANTENNA__349__B +*336 ANTENNA__421__A1 +*337 ANTENNA__422__A1 +*338 ANTENNA__425__A1 +*339 ANTENNA__439__D +*340 ANTENNA__445__SET_B +*341 ANTENNA__446__SET_B +*342 ANTENNA__447__SET_B +*343 ANTENNA__448__RESET_B +*344 ANTENNA__449__RESET_B +*345 ANTENNA__450__RESET_B +*346 ANTENNA__451__RESET_B +*347 ANTENNA__452__SET_B +*348 ANTENNA__453__RESET_B +*349 ANTENNA__454__RESET_B +*350 ANTENNA__455__SET_B +*351 ANTENNA__456__RESET_B +*352 ANTENNA__457__RESET_B +*353 ANTENNA__458__SET_B +*354 ANTENNA__459__RESET_B +*355 ANTENNA__460__RESET_B +*356 ANTENNA__461__SET_B +*357 ANTENNA__462__RESET_B +*358 ANTENNA__463__SET_B +*359 ANTENNA__464__RESET_B +*360 ANTENNA__465__SET_B +*361 ANTENNA__466__RESET_B +*362 ANTENNA__467__RESET_B +*363 ANTENNA__468__SET_B +*364 ANTENNA__469__RESET_B +*365 ANTENNA__470__RESET_B +*366 ANTENNA__471__SET_B +*367 ANTENNA__472__RESET_B +*368 ANTENNA__473__SET_B +*369 ANTENNA__474__RESET_B +*370 ANTENNA__475__RESET_B +*371 ANTENNA__476__SET_B +*372 ANTENNA__477__RESET_B +*373 ANTENNA__478__SET_B +*374 ANTENNA__479__SET_B +*375 ANTENNA__480__RESET_B +*376 ANTENNA__481__SET_B +*377 ANTENNA__482__RESET_B +*378 ANTENNA__483__SET_B +*379 ANTENNA__484__RESET_B +*380 ANTENNA__485__SET_B +*381 ANTENNA__486__RESET_B +*382 ANTENNA__487__RESET_B +*383 ANTENNA__488__SET_B +*384 ANTENNA__489__RESET_B +*385 ANTENNA__490__SET_B +*386 ANTENNA__491__RESET_B +*387 ANTENNA__492__SET_B +*388 ANTENNA__493__RESET_B +*389 ANTENNA__494__RESET_B +*390 ANTENNA_clkbuf_0_ext_clk_A +*391 ANTENNA_clkbuf_0_pll_clk90_A +*392 ANTENNA_clkbuf_0_pll_clk_A +*393 ANTENNA_input1_A +*394 ANTENNA_input2_A +*395 ANTENNA_input3_A +*396 ANTENNA_input4_A +*397 ANTENNA_input5_A +*398 ANTENNA_input6_A +*399 ANTENNA_input7_A +*400 ANTENNA_input8_A +*401 ANTENNA_input9_A +*402 FILLER_0_101 +*403 FILLER_0_105 +*404 FILLER_0_11 +*405 FILLER_0_113 +*406 FILLER_0_122 +*407 FILLER_0_131 +*408 FILLER_0_142 +*409 FILLER_0_144 +*410 FILLER_0_157 +*411 FILLER_0_165 +*412 FILLER_0_17 +*413 FILLER_0_170 +*414 FILLER_0_189 +*415 FILLER_0_196 +*416 FILLER_0_25 +*417 FILLER_0_29 +*418 FILLER_0_3 +*419 FILLER_0_40 +*420 FILLER_0_61 +*421 FILLER_0_66 +*422 FILLER_0_83 +*423 FILLER_10_116 +*424 FILLER_10_118 +*425 FILLER_10_141 +*426 FILLER_10_151 +*427 FILLER_10_166 +*428 FILLER_10_170 +*429 FILLER_10_196 +*430 FILLER_10_3 +*431 FILLER_10_37 +*432 FILLER_10_40 +*433 FILLER_10_7 +*434 FILLER_10_73 +*435 FILLER_10_92 +*436 FILLER_11_101 +*437 FILLER_11_105 +*438 FILLER_11_117 +*439 FILLER_11_125 +*440 FILLER_11_131 +*441 FILLER_11_143 +*442 FILLER_11_155 +*443 FILLER_11_157 +*444 FILLER_11_193 +*445 FILLER_11_201 +*446 FILLER_11_25 +*447 FILLER_11_30 +*448 FILLER_11_42 +*449 FILLER_11_49 +*450 FILLER_11_53 +*451 FILLER_11_75 +*452 FILLER_11_79 +*453 FILLER_12_11 +*454 FILLER_12_116 +*455 FILLER_12_139 +*456 FILLER_12_14 +*457 FILLER_12_144 +*458 FILLER_12_152 +*459 FILLER_12_159 +*460 FILLER_12_196 +*461 FILLER_12_3 +*462 FILLER_12_38 +*463 FILLER_12_40 +*464 FILLER_12_59 +*465 FILLER_12_7 +*466 FILLER_12_92 +*467 FILLER_13_101 +*468 FILLER_13_105 +*469 FILLER_13_126 +*470 FILLER_13_138 +*471 FILLER_13_146 +*472 FILLER_13_15 +*473 FILLER_13_180 +*474 FILLER_13_19 +*475 FILLER_13_190 +*476 FILLER_13_3 +*477 FILLER_13_34 +*478 FILLER_13_49 +*479 FILLER_13_61 +*480 FILLER_13_68 +*481 FILLER_14_11 +*482 FILLER_14_116 +*483 FILLER_14_132 +*484 FILLER_14_142 +*485 FILLER_14_146 +*486 FILLER_14_170 +*487 FILLER_14_196 +*488 FILLER_14_3 +*489 FILLER_14_36 +*490 FILLER_14_40 +*491 FILLER_14_52 +*492 FILLER_14_89 +*493 FILLER_14_92 +*494 FILLER_15_113 +*495 FILLER_15_146 +*496 FILLER_15_160 +*497 FILLER_15_192 +*498 FILLER_15_196 +*499 FILLER_15_53 +*500 FILLER_15_59 +*501 FILLER_15_70 +*502 FILLER_15_79 +*503 FILLER_16_104 +*504 FILLER_16_127 +*505 FILLER_16_142 +*506 FILLER_16_165 +*507 FILLER_16_196 +*508 FILLER_16_3 +*509 FILLER_16_34 +*510 FILLER_16_38 +*511 FILLER_16_40 +*512 FILLER_16_50 +*513 FILLER_16_62 +*514 FILLER_16_66 +*515 FILLER_16_78 +*516 FILLER_16_88 +*517 FILLER_16_9 +*518 FILLER_17_102 +*519 FILLER_17_105 +*520 FILLER_17_135 +*521 FILLER_17_157 +*522 FILLER_17_181 +*523 FILLER_17_191 +*524 FILLER_17_199 +*525 FILLER_17_47 +*526 FILLER_17_51 +*527 FILLER_17_75 +*528 FILLER_17_79 +*529 FILLER_18_138 +*530 FILLER_18_165 +*531 FILLER_18_170 +*532 FILLER_18_196 +*533 FILLER_18_3 +*534 FILLER_18_38 +*535 FILLER_18_45 +*536 FILLER_18_57 +*537 FILLER_18_87 +*538 FILLER_18_9 +*539 FILLER_18_94 +*540 FILLER_19_101 +*541 FILLER_19_105 +*542 FILLER_19_11 +*543 FILLER_19_112 +*544 FILLER_19_116 +*545 FILLER_19_120 +*546 FILLER_19_128 +*547 FILLER_19_14 +*548 FILLER_19_140 +*549 FILLER_19_144 +*550 FILLER_19_154 +*551 FILLER_19_160 +*552 FILLER_19_166 +*553 FILLER_19_178 +*554 FILLER_19_188 +*555 FILLER_19_192 +*556 FILLER_19_20 +*557 FILLER_19_24 +*558 FILLER_19_27 +*559 FILLER_19_3 +*560 FILLER_19_47 +*561 FILLER_19_51 +*562 FILLER_19_53 +*563 FILLER_19_66 +*564 FILLER_19_72 +*565 FILLER_19_82 +*566 FILLER_1_127 +*567 FILLER_1_148 +*568 FILLER_1_155 +*569 FILLER_1_25 +*570 FILLER_1_3 +*571 FILLER_1_45 +*572 FILLER_1_49 +*573 FILLER_1_77 +*574 FILLER_2_10 +*575 FILLER_2_115 +*576 FILLER_2_125 +*577 FILLER_2_131 +*578 FILLER_2_141 +*579 FILLER_2_147 +*580 FILLER_2_151 +*581 FILLER_2_170 +*582 FILLER_2_196 +*583 FILLER_2_3 +*584 FILLER_2_38 +*585 FILLER_2_42 +*586 FILLER_2_50 +*587 FILLER_2_60 +*588 FILLER_2_82 +*589 FILLER_3_12 +*590 FILLER_3_131 +*591 FILLER_3_137 +*592 FILLER_3_147 +*593 FILLER_3_166 +*594 FILLER_3_172 +*595 FILLER_3_199 +*596 FILLER_3_20 +*597 FILLER_3_3 +*598 FILLER_3_77 +*599 FILLER_3_95 +*600 FILLER_3_99 +*601 FILLER_4_100 +*602 FILLER_4_107 +*603 FILLER_4_11 +*604 FILLER_4_115 +*605 FILLER_4_118 +*606 FILLER_4_131 +*607 FILLER_4_14 +*608 FILLER_4_3 +*609 FILLER_4_34 +*610 FILLER_4_47 +*611 FILLER_4_57 +*612 FILLER_4_7 +*613 FILLER_4_83 +*614 FILLER_4_92 +*615 FILLER_5_103 +*616 FILLER_5_108 +*617 FILLER_5_120 +*618 FILLER_5_197 +*619 FILLER_5_201 +*620 FILLER_5_25 +*621 FILLER_5_51 +*622 FILLER_5_76 +*623 FILLER_5_83 +*624 FILLER_5_99 +*625 FILLER_6_11 +*626 FILLER_6_113 +*627 FILLER_6_125 +*628 FILLER_6_131 +*629 FILLER_6_137 +*630 FILLER_6_168 +*631 FILLER_6_17 +*632 FILLER_6_196 +*633 FILLER_6_25 +*634 FILLER_6_28 +*635 FILLER_6_3 +*636 FILLER_6_37 +*637 FILLER_6_56 +*638 FILLER_6_64 +*639 FILLER_6_66 +*640 FILLER_6_78 +*641 FILLER_6_84 +*642 FILLER_7_105 +*643 FILLER_7_125 +*644 FILLER_7_129 +*645 FILLER_7_131 +*646 FILLER_7_155 +*647 FILLER_7_187 +*648 FILLER_7_200 +*649 FILLER_7_27 +*650 FILLER_7_3 +*651 FILLER_7_50 +*652 FILLER_7_53 +*653 FILLER_7_67 +*654 FILLER_7_75 +*655 FILLER_7_82 +*656 FILLER_7_90 +*657 FILLER_7_98 +*658 FILLER_8_12 +*659 FILLER_8_127 +*660 FILLER_8_131 +*661 FILLER_8_170 +*662 FILLER_8_3 +*663 FILLER_8_37 +*664 FILLER_8_55 +*665 FILLER_8_90 +*666 FILLER_8_92 +*667 FILLER_9_103 +*668 FILLER_9_14 +*669 FILLER_9_151 +*670 FILLER_9_18 +*671 FILLER_9_190 +*672 FILLER_9_3 +*673 FILLER_9_43 +*674 FILLER_9_62 +*675 FILLER_9_68 +*676 FILLER_9_72 +*677 FILLER_9_77 +*678 FILLER_9_79 +*679 FILLER_9_87 +*680 FILLER_9_97 +*681 PHY_0 +*682 PHY_1 +*683 PHY_10 +*684 PHY_11 +*685 PHY_12 +*686 PHY_13 +*687 PHY_14 +*688 PHY_15 +*689 PHY_16 +*690 PHY_17 +*691 PHY_18 +*692 PHY_19 +*693 PHY_2 +*694 PHY_20 +*695 PHY_21 +*696 PHY_22 +*697 PHY_23 +*698 PHY_24 +*699 PHY_25 +*700 PHY_26 +*701 PHY_27 +*702 PHY_28 +*703 PHY_29 +*704 PHY_3 +*705 PHY_30 +*706 PHY_31 +*707 PHY_32 +*708 PHY_33 +*709 PHY_34 +*710 PHY_35 +*711 PHY_36 +*712 PHY_37 +*713 PHY_38 +*714 PHY_39 +*715 PHY_4 +*716 PHY_5 +*717 PHY_6 +*718 PHY_7 +*719 PHY_8 +*720 PHY_9 +*721 TAP_100 +*722 TAP_101 +*723 TAP_102 +*724 TAP_103 +*725 TAP_104 +*726 TAP_105 +*727 TAP_106 +*728 TAP_107 +*729 TAP_108 +*730 TAP_109 +*731 TAP_110 +*732 TAP_111 +*733 TAP_112 +*734 TAP_113 +*735 TAP_114 +*736 TAP_115 +*737 TAP_116 +*738 TAP_117 +*739 TAP_118 +*740 TAP_119 +*741 TAP_120 +*742 TAP_121 +*743 TAP_122 +*744 TAP_123 +*745 TAP_124 +*746 TAP_125 +*747 TAP_126 +*748 TAP_127 +*749 TAP_128 +*750 TAP_129 +*751 TAP_130 +*752 TAP_131 +*753 TAP_132 +*754 TAP_133 +*755 TAP_134 +*756 TAP_135 +*757 TAP_136 +*758 TAP_137 +*759 TAP_138 +*760 TAP_139 +*761 TAP_140 +*762 TAP_141 +*763 TAP_142 +*764 TAP_143 +*765 TAP_144 +*766 TAP_145 +*767 TAP_146 +*768 TAP_147 +*769 TAP_148 +*770 TAP_149 +*771 TAP_150 +*772 TAP_151 +*773 TAP_152 +*774 TAP_153 +*775 TAP_154 +*776 TAP_155 +*777 TAP_156 +*778 TAP_157 +*779 TAP_158 +*780 TAP_159 +*781 TAP_160 +*782 TAP_161 +*783 TAP_162 +*784 TAP_163 +*785 TAP_164 +*786 TAP_165 +*787 TAP_166 +*788 TAP_167 +*789 TAP_168 +*790 TAP_169 +*791 TAP_170 +*792 TAP_171 +*793 TAP_172 +*794 TAP_173 +*795 TAP_174 +*796 TAP_175 +*797 TAP_176 +*798 TAP_177 +*799 TAP_178 +*800 TAP_179 +*801 TAP_180 +*802 TAP_181 +*803 TAP_182 +*804 TAP_183 +*805 TAP_184 +*806 TAP_185 +*807 TAP_186 +*808 TAP_187 +*809 TAP_188 +*810 TAP_189 +*811 TAP_190 +*812 TAP_191 +*813 TAP_192 +*814 TAP_193 +*815 TAP_194 +*816 TAP_195 +*817 TAP_196 +*818 TAP_197 +*819 TAP_198 +*820 TAP_199 +*821 TAP_200 +*822 TAP_201 +*823 TAP_202 +*824 TAP_203 +*825 TAP_204 +*826 TAP_40 +*827 TAP_41 +*828 TAP_42 +*829 TAP_43 +*830 TAP_44 +*831 TAP_45 +*832 TAP_46 +*833 TAP_47 +*834 TAP_48 +*835 TAP_49 +*836 TAP_50 +*837 TAP_51 +*838 TAP_52 +*839 TAP_53 +*840 TAP_54 +*841 TAP_55 +*842 TAP_56 +*843 TAP_57 +*844 TAP_58 +*845 TAP_59 +*846 TAP_60 +*847 TAP_61 +*848 TAP_62 +*849 TAP_63 +*850 TAP_64 +*851 TAP_65 +*852 TAP_66 +*853 TAP_67 +*854 TAP_68 +*855 TAP_69 +*856 TAP_70 +*857 TAP_71 +*858 TAP_72 +*859 TAP_73 +*860 TAP_74 +*861 TAP_75 +*862 TAP_76 +*863 TAP_77 +*864 TAP_78 +*865 TAP_79 +*866 TAP_80 +*867 TAP_81 +*868 TAP_82 +*869 TAP_83 +*870 TAP_84 +*871 TAP_85 +*872 TAP_86 +*873 TAP_87 +*874 TAP_88 +*875 TAP_89 +*876 TAP_90 +*877 TAP_91 +*878 TAP_92 +*879 TAP_93 +*880 TAP_94 +*881 TAP_95 +*882 TAP_96 +*883 TAP_97 +*884 TAP_98 +*885 TAP_99 +*886 _216_ +*887 _217_ +*888 _218_ +*889 _219_ +*890 _220_ +*891 _221_ +*892 _222_ +*893 _223_ +*894 _224_ +*895 _225_ +*896 _226_ +*897 _227_ +*898 _228_ +*899 _229_ +*900 _230_ +*901 _231_ +*902 _232_ +*903 _233_ +*904 _234_ +*905 _235_ +*906 _236_ +*907 _237_ +*908 _238_ +*909 _239_ +*910 _240_ +*911 _241_ +*912 _242_ +*913 _243_ +*914 _244_ +*915 _245_ +*916 _246_ +*917 _247__1 +*918 _248_ +*919 _249_ +*920 _250_ +*921 _251_ +*922 _252__2 +*923 _253_ +*924 _254_ +*925 _255_ +*926 _256_ +*927 _257_ +*928 _258_ +*929 _259_ +*930 _260_ +*931 _261_ +*932 _262_ +*933 _263_ +*934 _264_ +*935 _265_ +*936 _266_ +*937 _267__3 +*938 _268_ +*939 _269_ +*940 _270_ +*941 _271_ +*942 _272_ +*943 _273_ +*944 _274_ +*945 _275_ +*946 _276_ +*947 _277_ +*948 _278_ +*949 _279_ +*950 _280_ +*951 _281_ +*952 _282_ +*953 _283_ +*954 _284_ +*955 _285_ +*956 _286_ +*957 _287_ +*958 _288_ +*959 _289_ +*960 _290_ +*961 _291_ +*962 _292_ +*963 _293_ +*964 _294_ +*965 _295_ +*966 _296_ +*967 _297_ +*968 _298_ +*969 _299_ +*970 _300__4 +*971 _301_ +*972 _302_ +*973 _303_ +*974 _304_ +*975 _305__5 +*976 _306_ +*977 _307_ +*978 _308_ +*979 _309_ +*980 _310_ +*981 _311_ +*982 _312_ +*983 _313_ +*984 _314_ +*985 _315_ +*986 _316_ +*987 _317_ +*988 _318_ +*989 _319_ +*990 _320_ +*991 _321_ +*992 _322_ +*993 _323_ +*994 _324_ +*995 _325_ +*996 _326_ +*997 _327_ +*998 _328_ +*999 _329_ +*1000 _330_ +*1001 _331_ +*1002 _332_ +*1003 _333__6 +*1004 _334_ +*1005 _335_ +*1006 _336_ +*1007 _337_ +*1008 _338_ +*1009 _339_ +*1010 _340_ +*1011 _341_ +*1012 _342_ +*1013 _343_ +*1014 _344__9 +*1015 _345__8 +*1016 _346__7 +*1017 _347_ +*1018 _348_ +*1019 _349_ +*1020 _350_ +*1021 _351_ +*1022 _352_ +*1023 _353_ +*1024 _354_ +*1025 _355_ +*1026 _356_ +*1027 _357_ +*1028 _358_ +*1029 _359_ +*1030 _360_ +*1031 _361_ +*1032 _362_ +*1033 _363_ +*1034 _364_ +*1035 _365_ +*1036 _366_ +*1037 _367_ +*1038 _368_ +*1039 _369_ +*1040 _370_ +*1041 _371_ +*1042 _372_ +*1043 _373_ +*1044 _374_ +*1045 _375_ +*1046 _376_ +*1047 _377_ +*1048 _378_ +*1049 _379_ +*1050 _380_ +*1051 _381_ +*1052 _382_ +*1053 _383_ +*1054 _384_ +*1055 _385_ +*1056 _386_ +*1057 _387_ +*1058 _388_ +*1059 _389_ +*1060 _390_ +*1061 _391_ +*1062 _392_ +*1063 _393_ +*1064 _394_ +*1065 _395_ +*1066 _396__13 +*1067 _397_ +*1068 _398_ +*1069 _399_ +*1070 _400_ +*1071 _401_ +*1072 _402_ +*1073 _403_ +*1074 _404_ +*1075 _405_ +*1076 _406_ +*1077 _407_ +*1078 _408_ +*1079 _409_ +*1080 _410_ +*1081 _411_ +*1082 _412_ +*1083 _413_ +*1084 _414_ +*1085 _415_ +*1086 _416_ +*1087 _417_ +*1088 _418_ +*1089 _419_ +*1090 _420_ +*1091 _421_ +*1092 _422_ +*1093 _423_ +*1094 _424_ +*1095 _425_ +*1096 _426_ +*1097 _427_ +*1098 _428_ +*1099 _429_ +*1100 _430_ +*1101 _431_ +*1102 _432_ +*1103 _433_ +*1104 _434_ +*1105 _435_ +*1106 _436_ +*1107 _437_ +*1108 _438_ +*1109 _439_ +*1110 _440_ +*1111 _441_ +*1112 _442_ +*1113 _443_ +*1114 _444_ +*1115 _445_ +*1116 _446_ +*1117 _447_ +*1118 _448_ +*1119 _449_ +*1120 _450_ +*1121 _451_ +*1122 _452_ +*1123 _453_ +*1124 _454_ +*1125 _455_ +*1126 _456_ +*1127 _457_ +*1128 _458_ +*1129 _459_ +*1130 _460_ +*1131 _461_ +*1132 _462_ +*1133 _463_ +*1134 _464_ +*1135 _465_ +*1136 _466_ +*1137 _467_ +*1138 _468_ +*1139 _469_ +*1140 _470_ +*1141 _471_ +*1142 _472_ +*1143 _473_ +*1144 _474_ +*1145 _475_ +*1146 _476_ +*1147 _477_ +*1148 _478_ +*1149 _479_ +*1150 _480_ +*1151 _481_ +*1152 _482_ +*1153 _483_ +*1154 _484_ +*1155 _485_ +*1156 _486_ +*1157 _487_ +*1158 _488_ +*1159 _489_ +*1160 _490_ +*1161 _491_ +*1162 _492_ +*1163 _493_ +*1164 _494_ +*1165 clkbuf_0_ext_clk +*1166 clkbuf_0_pll_clk +*1167 clkbuf_0_pll_clk90 +*1168 clkbuf_1_0_0_ext_clk +*1169 clkbuf_1_0_0_pll_clk +*1170 clkbuf_1_0_0_pll_clk90 +*1171 clkbuf_1_1_0_ext_clk +*1172 clkbuf_1_1_0_pll_clk +*1173 clkbuf_1_1_0_pll_clk90 +*1174 hold1 +*1175 hold2 +*1176 hold3 +*1177 input1 +*1178 input2 +*1179 input3 +*1180 input4 +*1181 input5 +*1182 input6 +*1183 input7 +*1184 input8 +*1185 input9 +*1186 output10 +*1187 output11 +*1188 output12 +*1189 rebuffer10 +*1190 rebuffer11 +*1191 rebuffer12 +*1192 rebuffer13 +*1193 rebuffer14 +*1194 rebuffer16 +*1195 rebuffer5 +*1196 rebuffer6 +*1197 rebuffer7 +*1198 rebuffer9 +*1199 split15 +*1200 split4 +*1201 split8 + +*PORTS +core_clk O +ext_clk I +ext_clk_sel I +ext_reset I +pll_clk I +pll_clk90 I +resetb I +resetb_sync O +sel2[0] I +sel2[1] I +sel2[2] I +sel[0] I +sel[1] I +sel[2] I +user_clk O + +*D_NET *3 0.000410762 +*CONN +*P core_clk O +*I *1186:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 core_clk 0.000205381 +2 *1186:X 0.000205381 +*RES +1 *1186:X core_clk 17.8118 +*END + +*D_NET *4 0.00817965 +*CONN +*P ext_clk I +*I *1165:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *390:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 ext_clk 0.000830856 +2 *1165:A 8.04104e-05 +3 *390:DIODE 0 +4 *4:11 0.00265291 +5 *4:7 0.00340335 +6 *1165:A *145:54 7.79978e-05 +7 *1165:A *146:66 0.000113968 +8 *1165:A *271:30 3.31733e-05 +9 *4:7 *897:A2 0 +10 *4:7 *933:A 0 +11 *4:7 *1054:B 0 +12 *4:7 *1101:A0 0 +13 *4:7 *52:28 7.14629e-05 +14 *4:7 *159:10 6.15948e-05 +15 *4:11 *383:DIODE 1.28022e-05 +16 *4:11 *898:A 0.00017933 +17 *4:11 *901:A 0.000138783 +18 *4:11 *911:C 1.88914e-05 +19 *4:11 *1076:A0 2.19341e-05 +20 *4:11 *1078:S 0 +21 *4:11 *1158:D 0.000213674 +22 *4:11 *1158:CLK 2.78496e-05 +23 *4:11 *1174:A 1.64983e-05 +24 *4:11 *140:7 6.08467e-05 +25 *4:11 *270:21 2.85303e-06 +26 *4:11 *271:30 0.000160467 +*RES +1 ext_clk *4:7 23.8947 +2 *4:7 *4:11 46.0058 +3 *4:11 *390:DIODE 13.7491 +4 *4:11 *1165:A 16.4439 +*END + +*D_NET *5 0.000614444 +*CONN +*P ext_clk_sel I +*I *1177:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *393:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 ext_clk_sel 0.000206753 +2 *1177:A 1.23676e-05 +3 *393:DIODE 8.81011e-05 +4 *5:7 0.000307222 +5 *393:DIODE *240:9 0 +6 *1177:A *240:9 0 +*RES +1 ext_clk_sel *5:7 4.12039 +2 *5:7 *393:DIODE 15.7888 +3 *5:7 *1177:A 14.1278 +*END + +*D_NET *6 0.00124179 +*CONN +*P ext_reset I +*I *1178:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *394:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 ext_reset 0.00035395 +2 *1178:A 0 +3 *394:DIODE 0.00016568 +4 *6:11 0.00051963 +5 *394:DIODE *1063:A 6.94431e-05 +6 *394:DIODE *1187:A 6.97525e-05 +7 *6:11 *8:7 6.33375e-05 +*RES +1 ext_reset *6:11 13.9241 +2 *6:11 *394:DIODE 13.8789 +3 *6:11 *1178:A 9.24915 +*END + +*D_NET *7 0.00835294 +*CONN +*P pll_clk I +*I *392:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1166:A I *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 pll_clk 0.00151471 +2 *392:DIODE 0 +3 *1166:A 0.000101139 +4 *7:16 0.00182734 +5 *7:15 0.00324091 +6 *7:15 *1020:B 0 +7 *7:15 *1079:A0 1.63652e-05 +8 *7:15 *1079:A1 0 +9 *7:15 *1116:D 0.000132229 +10 *7:15 *1160:SET_B 6.74667e-05 +11 *7:15 *1160:CLK 0 +12 *7:15 *1175:A 1.74019e-05 +13 *7:15 *259:6 0 +14 *7:15 *259:44 0 +15 *7:15 *281:10 0 +16 *7:15 *309:800 0 +17 *7:15 *309:804 0 +18 *7:16 *1163:CLK 7.40813e-05 +19 *7:16 *232:32 0.00112263 +20 *7:16 *263:27 0.000223747 +21 *7:16 *309:313 3.44712e-06 +22 *7:16 *309:318 1.14753e-05 +*RES +1 pll_clk *7:15 41.2132 +2 *7:15 *7:16 29.0082 +3 *7:16 *1166:A 11.9257 +4 *7:16 *392:DIODE 9.24915 +*END + +*D_NET *8 0.0100684 +*CONN +*P pll_clk90 I +*I *391:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1167:A I *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 pll_clk90 0.00072442 +2 *391:DIODE 0 +3 *1167:A 0.000253489 +4 *8:10 0.00266893 +5 *8:7 0.00313986 +6 *1167:A *1075:A0 6.78596e-05 +7 *1167:A *1076:A0 0.000169728 +8 *1167:A *260:29 0.000368568 +9 *1167:A *260:40 6.50727e-05 +10 *8:7 *1132:CLK 0 +11 *8:7 *12:11 9.38662e-05 +12 *8:7 *13:11 0.000110265 +13 *8:7 *321:8 6.53429e-05 +14 *8:10 *385:DIODE 0.000171273 +15 *8:10 *895:A1 0.000523576 +16 *8:10 *1027:A2_N 0.000153949 +17 *8:10 *1131:D 0.000182064 +18 *8:10 *260:13 0.00107374 +19 *8:10 *260:29 3.93119e-05 +20 *8:10 *260:175 0.000114584 +21 *8:10 *281:49 1.92098e-05 +22 *6:11 *8:7 6.33375e-05 +*RES +1 pll_clk90 *8:7 23.8947 +2 *8:7 *8:10 41.3541 +3 *8:10 *1167:A 17.737 +4 *8:10 *391:DIODE 9.24915 +*END + +*D_NET *9 0.00139105 +*CONN +*P resetb I +*I *395:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1179:A I *D sky130_fd_sc_hd__buf_12 +*CAP +1 resetb 0.000486385 +2 *395:DIODE 0 +3 *1179:A 6.95301e-05 +4 *9:5 0.000555915 +5 *1179:A *936:A 0 +6 *1179:A *938:A 6.50727e-05 +7 *1179:A *938:B 6.92705e-05 +8 *9:5 *936:A 0 +9 *9:5 *939:A 8.13394e-05 +10 *9:5 *939:C 6.35347e-05 +11 *9:5 *940:A 0 +*RES +1 resetb *9:5 12.7507 +2 *9:5 *1179:A 15.8893 +3 *9:5 *395:DIODE 13.7491 +*END + +*D_NET *10 0.0013232 +*CONN +*P resetb_sync O +*I *1187:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 resetb_sync 0.000530311 +2 *1187:X 0.000530311 +3 resetb_sync *255:28 0.000162834 +4 resetb_sync *288:21 6.78831e-05 +5 resetb_sync *288:29 3.18656e-05 +*RES +1 *1187:X resetb_sync 23.3578 +*END + +*D_NET *11 0.000637917 +*CONN +*P sel2[0] I +*I *1180:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *396:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 sel2[0] 0.0002352 +2 *1180:A 0 +3 *396:DIODE 8.37589e-05 +4 *11:10 0.000318959 +*RES +1 sel2[0] *11:10 8.999 +2 *11:10 *396:DIODE 11.0817 +3 *11:10 *1180:A 9.24915 +*END + +*D_NET *12 0.000819029 +*CONN +*P sel2[1] I +*I *1181:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *397:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 sel2[1] 0.000275387 +2 *1181:A 0 +3 *397:DIODE 7.21531e-05 +4 *12:11 0.00034754 +5 *397:DIODE *1128:D 3.00829e-05 +6 *8:7 *12:11 9.38662e-05 +*RES +1 sel2[1] *12:11 10.8917 +2 *12:11 *397:DIODE 11.0817 +3 *12:11 *1181:A 9.24915 +*END + +*D_NET *13 0.000880133 +*CONN +*P sel2[2] I +*I *1182:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *398:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 sel2[2] 0.000298504 +2 *1182:A 0 +3 *398:DIODE 8.64303e-05 +4 *13:11 0.000384934 +5 *8:7 *13:11 0.000110265 +*RES +1 sel2[2] *13:11 11.3069 +2 *13:11 *398:DIODE 11.0817 +3 *13:11 *1182:A 9.24915 +*END + +*D_NET *14 0.00144208 +*CONN +*P sel[0] I +*I *399:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1183:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 sel[0] 0.000498941 +2 *399:DIODE 0.000121561 +3 *1183:A 0 +4 *14:8 0.000620502 +5 *399:DIODE *994:B 9.75684e-05 +6 *399:DIODE *234:17 0 +7 *399:DIODE *305:12 9.98296e-05 +8 *14:8 *994:B 3.67528e-06 +9 *14:8 *994:C 0 +10 *14:8 *1062:A 0 +11 *14:8 *234:17 0 +*RES +1 sel[0] *14:8 5.86493 +2 *14:8 *1183:A 13.7491 +3 *14:8 *399:DIODE 17.135 +*END + +*D_NET *15 0.000762539 +*CONN +*P sel[1] I +*I *1184:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *400:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 sel[1] 0.00027249 +2 *1184:A 0 +3 *400:DIODE 8.24262e-05 +4 *15:10 0.000354916 +5 *400:DIODE *1122:D 1.43698e-05 +6 *15:10 *1062:A 3.83371e-05 +*RES +1 sel[1] *15:10 10.2448 +2 *15:10 *400:DIODE 11.0817 +3 *15:10 *1184:A 9.24915 +*END + +*D_NET *16 0.000874127 +*CONN +*P sel[2] I +*I *1185:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *401:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 sel[2] 0.000329378 +2 *1185:A 0 +3 *401:DIODE 5.73017e-05 +4 *16:10 0.00038668 +5 *401:DIODE *1127:D 6.92705e-05 +6 *401:DIODE *324:13 3.14978e-05 +7 *16:10 *1127:CLK 0 +8 *16:10 *324:13 0 +*RES +1 sel[2] *16:10 11.4905 +2 *16:10 *401:DIODE 11.0817 +3 *16:10 *1185:A 9.24915 +*END + +*D_NET *17 0.000380793 +*CONN +*P user_clk O +*I *1188:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 user_clk 0.000158056 +2 *1188:X 0.000158056 +3 user_clk *309:739 6.46815e-05 +*RES +1 *1188:X user_clk 17.2572 +*END + +*D_NET *18 0.00646079 +*CONN +*I *910:A2 I *D sky130_fd_sc_hd__a21bo_1 +*I *912:A2 I *D sky130_fd_sc_hd__a21bo_1 +*I *1067:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *900:B1 I *D sky130_fd_sc_hd__o21a_1 +*I *914:A2 I *D sky130_fd_sc_hd__a21bo_1 +*I *899:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *910:A2 4.83015e-05 +2 *912:A2 2.17984e-05 +3 *1067:A0 0.000457373 +4 *900:B1 0 +5 *914:A2 0 +6 *899:Y 0.000203763 +7 *18:42 0.000546358 +8 *18:24 0.000722287 +9 *18:19 0.000877465 +10 *18:9 0.000340056 +11 *910:A2 *912:A1 0.000205985 +12 *910:A2 *1170:A 0.00033061 +13 *912:A2 *912:A1 0.000165521 +14 *912:A2 *1170:A 0.000165521 +15 *1067:A0 *900:A2 1.63255e-05 +16 *1067:A0 *1097:A0 0.000263116 +17 *1067:A0 *1161:D 0.000107496 +18 *1067:A0 *280:25 0.0002205 +19 *1067:A0 *280:33 0.000137651 +20 *1067:A0 *280:39 6.08467e-05 +21 *18:9 *899:B 1.41976e-05 +22 *18:9 *906:A 0.000184753 +23 *18:9 *914:A1 6.51459e-05 +24 *18:9 *914:B1_N 6.36477e-05 +25 *18:19 *906:A 0.00025527 +26 *18:19 *914:A1 5.46833e-05 +27 *18:19 *146:7 2.57365e-05 +28 *18:19 *309:630 0.000206696 +29 *18:24 *1114:CLK 3.54581e-05 +30 *18:24 *280:25 0.000295063 +31 *18:42 *912:A1 0 +32 *18:42 *1103:A0 6.55651e-05 +33 *18:42 *1114:D 0 +34 *18:42 *1114:CLK 0 +35 *18:42 *1158:D 0 +36 *18:42 *269:19 0 +37 *18:42 *270:21 0.000269551 +38 *18:42 *280:25 3.40476e-05 +*RES +1 *899:Y *18:9 15.5668 +2 *18:9 *914:A2 9.24915 +3 *18:9 *18:19 5.35313 +4 *18:19 *18:24 16.2303 +5 *18:24 *900:B1 9.24915 +6 *18:24 *1067:A0 22.1979 +7 *18:19 *18:42 21.2483 +8 *18:42 *912:A2 11.0817 +9 *18:42 *910:A2 12.7456 +*END + +*D_NET *19 0.0135672 +*CONN +*I *998:B1 I *D sky130_fd_sc_hd__o21a_1 +*I *963:A2 I *D sky130_fd_sc_hd__a21bo_1 +*I *965:A2 I *D sky130_fd_sc_hd__a21bo_1 +*I *1068:A0 I *D sky130_fd_sc_hd__mux2_2 +*I *967:A2 I *D sky130_fd_sc_hd__a21bo_1 +*I *961:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *998:B1 0.000253046 +2 *963:A2 0 +3 *965:A2 0.000330898 +4 *1068:A0 6.96778e-05 +5 *967:A2 5.08727e-05 +6 *961:Y 0 +7 *19:52 0.000805682 +8 *19:40 0.000820222 +9 *19:21 0.0011988 +10 *19:4 0.000985858 +11 *965:A2 *965:A1 0.000228593 +12 *965:A2 *983:B1 3.1218e-05 +13 *965:A2 *1146:D 0.000152185 +14 *965:A2 *1146:CLK 0 +15 *967:A2 *967:B1_N 3.60933e-06 +16 *967:A2 *233:68 4.77858e-05 +17 *967:A2 *244:33 0 +18 *998:B1 *980:C 0.000119821 +19 *998:B1 *984:A1 0.000583113 +20 *998:B1 *984:A2 6.08467e-05 +21 *998:B1 *48:25 0.00015661 +22 *998:B1 *48:43 0.000308614 +23 *998:B1 *233:44 0.0014936 +24 *998:B1 *235:15 1.37189e-05 +25 *1068:A0 *1068:S 6.92705e-05 +26 *1068:A0 *254:77 0.000171273 +27 *1068:A0 *254:81 0.000114523 +28 *19:21 *984:A2 7.41833e-06 +29 *19:21 *176:38 0 +30 *19:21 *192:14 0.000873926 +31 *19:21 *192:21 0.000303381 +32 *19:21 *192:31 0.000179067 +33 *19:21 *192:43 0.00016553 +34 *19:21 *233:44 0.000160617 +35 *19:21 *234:33 1.91391e-05 +36 *19:21 *254:41 7.68279e-05 +37 *19:21 *254:49 0.000362198 +38 *19:21 *254:59 0.000572574 +39 *19:40 *951:B 0 +40 *19:40 *963:A1 0.000117376 +41 *19:40 *963:B1_N 6.92705e-05 +42 *19:40 *966:B 1.36815e-05 +43 *19:40 *967:B1_N 1.3286e-05 +44 *19:40 *1093:A1 6.50727e-05 +45 *19:40 *1093:S 0.000368581 +46 *19:40 *49:16 0 +47 *19:40 *176:16 3.94335e-05 +48 *19:40 *179:16 0 +49 *19:40 *233:68 0.000121252 +50 *19:40 *234:45 0.000910472 +51 *19:40 *235:50 0.000179042 +52 *19:40 *305:84 0.000118485 +53 *19:52 *983:A2 0 +54 *19:52 *983:B1 1.70077e-05 +55 *19:52 *1041:A 5.17071e-05 +56 *19:52 *1041:B 6.92705e-05 +57 *19:52 *1042:B 0.000122083 +58 *19:52 *1094:S 0 +59 *19:52 *233:82 0 +60 *19:52 *234:45 0.00016553 +61 *19:52 *235:50 7.16893e-05 +62 *19:52 *254:73 0.000215254 +63 *19:52 *254:77 4.81834e-05 +*RES +1 *961:Y *19:4 9.24915 +2 *19:4 *19:21 45.4145 +3 *19:21 *967:A2 14.7506 +4 *19:21 *19:40 23.2404 +5 *19:40 *19:52 20.9217 +6 *19:52 *1068:A0 12.191 +7 *19:52 *965:A2 27.7542 +8 *19:40 *963:A2 9.24915 +9 *19:4 *998:B1 27.2376 +*END + +*D_NET *20 0.00515224 +*CONN +*I *920:S I *D sky130_fd_sc_hd__mux2_1 +*I *915:S I *D sky130_fd_sc_hd__mux2_1 +*I *918:S I *D sky130_fd_sc_hd__mux2_1 +*I *1067:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *920:S 0.000270075 +2 *915:S 0.000205431 +3 *918:S 0.000307483 +4 *1067:X 0 +5 *20:7 0.00107033 +6 *20:4 0.000827489 +7 *915:S *915:A0 0.000110458 +8 *915:S *915:A1 5.51483e-06 +9 *915:S *1051:B 2.10465e-05 +10 *915:S *1052:A 2.86829e-05 +11 *915:S *1052:B 0.00013832 +12 *915:S *154:9 5.04829e-06 +13 *915:S *274:8 0.000114659 +14 *915:S *309:10 3.87022e-06 +15 *915:S *309:12 2.1896e-05 +16 *918:S *1047:A 0.000208621 +17 *918:S *1051:B 0.000127261 +18 *918:S *1098:A0 0.000159038 +19 *918:S *272:15 2.75725e-05 +20 *918:S *309:12 1.22047e-05 +21 *918:S *309:17 5.80222e-05 +22 *920:S *920:A1 0.000262037 +23 *920:S *1067:S 3.00174e-06 +24 *920:S *259:94 3.7635e-06 +25 *920:S *280:39 4.89251e-05 +26 *20:7 *918:A1 6.3657e-05 +27 *20:7 *1049:A1 6.27782e-05 +28 *20:7 *1049:A2 6.50586e-05 +29 *20:7 *1051:A 0.000203756 +30 *20:7 *1098:A0 0.000135477 +31 *20:7 *1098:A1 2.09463e-05 +32 *20:7 *280:39 0.000559815 +*RES +1 *1067:X *20:4 9.24915 +2 *20:4 *20:7 21.3069 +3 *20:7 *918:S 23.8035 +4 *20:7 *915:S 19.9053 +5 *20:4 *920:S 25.9269 +*END + +*D_NET *21 0.00353835 +*CONN +*I *968:S I *D sky130_fd_sc_hd__mux2_1 +*I *971:S I *D sky130_fd_sc_hd__mux2_1 +*I *973:S I *D sky130_fd_sc_hd__mux2_1 +*I *1068:X O *D sky130_fd_sc_hd__mux2_2 +*CAP +1 *968:S 0.000306416 +2 *971:S 0.000102151 +3 *973:S 0.000208088 +4 *1068:X 0.000200124 +5 *21:17 0.000513897 +6 *21:7 0.00051354 +7 *968:S *968:A1 0.000117376 +8 *968:S *976:B 0 +9 *968:S *1032:A2 5.41227e-05 +10 *968:S *1082:A0 0.000120594 +11 *968:S *1082:S 0 +12 *968:S *228:15 6.93809e-05 +13 *968:S *308:17 0.000181416 +14 *971:S *971:A1 3.16131e-05 +15 *971:S *1081:A0 2.20457e-05 +16 *971:S *308:7 6.08467e-05 +17 *973:S *973:A1 3.16131e-05 +18 *973:S *1029:B 0.000195139 +19 *973:S *1080:A0 2.20457e-05 +20 *973:S *1080:A1 3.20069e-06 +21 *973:S *1080:S 0 +22 *973:S *254:89 0 +23 *973:S *309:119 3.51113e-05 +24 *21:7 *254:81 0.000474209 +25 *21:7 *309:107 5.07314e-05 +26 *21:7 *309:119 2.65667e-05 +27 *21:17 *1029:B 0.000168546 +28 *21:17 *254:89 0 +29 *21:17 *308:17 2.95757e-05 +*RES +1 *1068:X *21:7 21.0193 +2 *21:7 *973:S 20.184 +3 *21:7 *21:17 3.493 +4 *21:17 *971:S 16.691 +5 *21:17 *968:S 23.506 +*END + +*D_NET *22 0.000419023 +*CONN +*I *990:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1095:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *990:A0 0.000150037 +2 *1095:X 0.000150037 +3 *990:A0 *1044:B 1.07248e-05 +4 *990:A0 *1095:S 2.18898e-05 +5 *990:A0 *187:12 1.36606e-05 +6 *990:A0 *314:11 7.26748e-05 +*RES +1 *1095:X *990:A0 30.8842 +*END + +*D_NET *23 0.0011165 +*CONN +*I *988:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1096:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *988:A0 0.000302834 +2 *1096:X 0.000302834 +3 *988:A0 *1044:A 7.50872e-05 +4 *988:A0 *1044:B 3.00073e-05 +5 *988:A0 *236:11 2.29454e-05 +6 *988:A0 *237:13 0.00031772 +7 *988:A0 *237:25 6.50727e-05 +*RES +1 *1096:X *988:A0 33.5207 +*END + +*D_NET *24 0.00140561 +*CONN +*I *1008:B I *D sky130_fd_sc_hd__nand2_1 +*I *1084:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *1008:B 0.00035719 +2 *1084:X 0.00035719 +3 *1008:B *1008:A 0.00031242 +4 *1008:B *1009:B1 3.1218e-05 +5 *1008:B *1083:A1 0 +6 *1008:B *1084:A0 0.000116806 +7 *1008:B *240:19 0.000230786 +*RES +1 *1084:X *1008:B 39.3555 +*END + +*D_NET *25 0.000709592 +*CONN +*I *1004:B I *D sky130_fd_sc_hd__nand2_1 +*I *1086:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *1004:B 0.000223268 +2 *1086:X 0.000223268 +3 *1004:B *1003:A 1.02689e-05 +4 *1004:B *48:43 8.79582e-05 +5 *1004:B *231:57 0 +6 *1004:B *233:44 0.000164829 +*RES +1 *1086:X *1004:B 32.1515 +*END + +*D_NET *26 0.000765943 +*CONN +*I *1000:B I *D sky130_fd_sc_hd__nand2_1 +*I *1088:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *1000:B 0.000127754 +2 *1088:X 0.000127754 +3 *1000:B *1005:A 3.58044e-05 +4 *1000:B *192:43 5.56461e-05 +5 *1000:B *203:25 0.000370801 +6 *1000:B *254:41 4.81849e-05 +*RES +1 *1088:X *1000:B 24.0926 +*END + +*D_NET *27 0.000521048 +*CONN +*I *967:A1 I *D sky130_fd_sc_hd__a21bo_1 +*I *1090:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *967:A1 0.000108898 +2 *1090:X 0.000108898 +3 *967:A1 *1145:D 0.000122378 +4 *967:A1 *233:68 0.000116755 +5 *967:A1 *254:59 6.41184e-05 +*RES +1 *1090:X *967:A1 22.5975 +*END + +*D_NET *28 0.00135166 +*CONN +*I *965:A1 I *D sky130_fd_sc_hd__a21bo_1 +*I *1092:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *965:A1 0.000363182 +2 *1092:X 0.000363182 +3 *965:A1 *965:B1_N 0.000333392 +4 *965:A1 *983:B1 1.79807e-05 +5 *965:A1 *1041:A 4.53353e-05 +6 *965:A2 *965:A1 0.000228593 +*RES +1 *1092:X *965:A1 38.7824 +*END + +*D_NET *29 0.00120032 +*CONN +*I *963:A1 I *D sky130_fd_sc_hd__a21bo_1 +*I *1094:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *963:A1 0.000282627 +2 *1094:X 0.000282627 +3 *963:A1 *372:DIODE 0.000114584 +4 *963:A1 *1094:S 0.000288523 +5 *963:A1 *235:50 0.000114584 +6 *19:40 *963:A1 0.000117376 +*RES +1 *1094:X *963:A1 35.6236 +*END + +*D_NET *30 0.000348266 +*CONN +*I *973:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1080:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *973:A1 0.000158326 +2 *1080:X 0.000158326 +3 *973:S *973:A1 3.16131e-05 +*RES +1 *1080:X *973:A1 20.8855 +*END + +*D_NET *31 0.000348266 +*CONN +*I *971:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1081:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *971:A1 0.000158326 +2 *1081:X 0.000158326 +3 *971:S *971:A1 3.16131e-05 +*RES +1 *1081:X *971:A1 20.8855 +*END + +*D_NET *32 0.000345831 +*CONN +*I *968:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1082:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *968:A1 0.000102907 +2 *1082:X 0.000102907 +3 *968:A1 *228:15 1.9503e-05 +4 *968:A1 *248:15 3.13805e-06 +5 *968:S *968:A1 0.000117376 +*RES +1 *1082:X *968:A1 21.4642 +*END + +*D_NET *33 0.00112828 +*CONN +*I *888:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1079:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *888:A0 0.000208799 +2 *1079:X 0.000208799 +3 *888:A0 *374:DIODE 0.000110458 +4 *888:A0 *1162:CLK 5.41227e-05 +5 *888:A0 *232:40 0.000138027 +6 *888:A0 *232:49 0.000148017 +7 *888:A0 *259:44 4.77444e-05 +8 *888:A0 *262:45 0.000212309 +*RES +1 *1079:X *888:A0 34.7608 +*END + +*D_NET *34 0.000664467 +*CONN +*I *886:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1104:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *886:A0 0.000307692 +2 *1104:X 0.000307692 +3 *886:A0 *886:S 4.90834e-05 +*RES +1 *1104:X *886:A0 23.1039 +*END + +*D_NET *35 0.000678747 +*CONN +*I *942:B I *D sky130_fd_sc_hd__nand2_1 +*I *1072:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *942:B 0.000165144 +2 *1072:X 0.000165144 +3 *942:B *1052:A 5.03545e-06 +4 *942:B *1072:A0 3.92275e-05 +5 *942:B *1072:S 6.50727e-05 +6 *942:B *309:10 0.000239124 +*RES +1 *1072:X *942:B 32.5452 +*END + +*D_NET *36 0.00233077 +*CONN +*I *938:B I *D sky130_fd_sc_hd__nand2_1 +*I *1074:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *938:B 0.000672894 +2 *1074:X 0.000672894 +3 *938:B *934:B 0.000175154 +4 *938:B *938:A 0.000233797 +5 *938:B *940:A 1.31657e-05 +6 *938:B *1053:A 0 +7 *938:B *161:17 0.000315388 +8 *938:B *266:24 2.39189e-05 +9 *938:B *309:7 6.08467e-05 +10 *938:B *309:8 9.34409e-05 +11 *1179:A *938:B 6.92705e-05 +*RES +1 *1074:X *938:B 43.5571 +*END + +*D_NET *37 0.00186166 +*CONN +*I *934:B I *D sky130_fd_sc_hd__nand2_1 +*I *1101:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *934:B 0.000470416 +2 *1101:X 0.000470416 +3 *934:B *1053:A 8.96342e-05 +4 *934:B *1101:S 2.65831e-05 +5 *934:B *145:14 7.6719e-06 +6 *934:B *161:17 0.000107496 +7 *934:B *266:15 7.621e-05 +8 *934:B *266:24 7.01935e-06 +9 *934:B *309:580 7.23857e-05 +10 *934:B *309:591 0.000358671 +11 *938:B *934:B 0.000175154 +*RES +1 *1101:X *934:B 40.4465 +*END + +*D_NET *38 0.000878536 +*CONN +*I *914:A1 I *D sky130_fd_sc_hd__a21bo_1 +*I *1103:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *914:A1 0.000165111 +2 *1103:X 0.000165111 +3 *914:A1 *1157:D 0.00015901 +4 *914:A1 *280:25 6.08467e-05 +5 *914:A1 *309:630 0.000208627 +6 *18:9 *914:A1 6.51459e-05 +7 *18:19 *914:A1 5.46833e-05 +*RES +1 *1103:X *914:A1 24.2372 +*END + +*D_NET *39 0.00162556 +*CONN +*I *912:A1 I *D sky130_fd_sc_hd__a21bo_1 +*I *1076:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *912:A1 0.000438082 +2 *1076:X 0.000438082 +3 *912:A1 *1059:A 2.18704e-05 +4 *912:A1 *1158:D 0.000168313 +5 *912:A1 *1170:A 2.29454e-05 +6 *912:A1 *269:19 9.02e-05 +7 *912:A1 *270:21 7.45615e-05 +8 *910:A2 *912:A1 0.000205985 +9 *912:A2 *912:A1 0.000165521 +10 *18:42 *912:A1 0 +*RES +1 *1076:X *912:A1 41.1587 +*END + +*D_NET *40 0.000874943 +*CONN +*I *910:A1 I *D sky130_fd_sc_hd__a21bo_1 +*I *1078:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *910:A1 0.000189488 +2 *1078:X 0.000189488 +3 *910:A1 *909:A 9.52449e-05 +4 *910:A1 *909:B 1.17054e-05 +5 *910:A1 *1058:B 0.00016553 +6 *910:A1 *1170:A 6.08467e-05 +7 *910:A1 *93:15 0.000162639 +*RES +1 *1078:X *910:A1 25.5393 +*END + +*D_NET *41 0.00146076 +*CONN +*I *920:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1097:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *920:A1 0.000423112 +2 *1097:X 0.000423112 +3 *920:A1 *1067:S 0.000115934 +4 *920:A1 *1097:A0 0 +5 *920:A1 *259:94 5.82465e-05 +6 *920:A1 *272:8 0 +7 *920:A1 *272:9 4.66889e-05 +8 *920:A1 *280:33 0.000121537 +9 *920:A1 *280:39 1.00981e-05 +10 *920:S *920:A1 0.000262037 +*RES +1 *1097:X *920:A1 37.0115 +*END + +*D_NET *42 0.000742595 +*CONN +*I *918:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1098:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *918:A1 0.000205014 +2 *1098:X 0.000205014 +3 *918:A1 *273:10 0.000193823 +4 *918:A1 *273:21 7.50872e-05 +5 *20:7 *918:A1 6.3657e-05 +*RES +1 *1098:X *918:A1 32.9604 +*END + +*D_NET *43 0.000709607 +*CONN +*I *915:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1099:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *915:A1 0.000253035 +2 *1099:X 0.000253035 +3 *915:A1 *915:A0 8.85947e-05 +4 *915:A1 *154:9 0.000109427 +5 *915:S *915:A1 5.51483e-06 +*RES +1 *1099:X *915:A1 22.5493 +*END + +*D_NET *44 0.000223169 +*CONN +*I *1105:S I *D sky130_fd_sc_hd__mux2_1 +*I *1019:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *1105:S 5.45481e-05 +2 *1019:Y 5.45481e-05 +3 *1105:S *1019:A 5.70364e-05 +4 *1105:S *231:37 5.70364e-05 +*RES +1 *1019:Y *1105:S 29.7455 +*END + +*D_NET *45 0.00225721 +*CONN +*I *1107:S I *D sky130_fd_sc_hd__mux2_1 +*I *1020:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *1107:S 0.000884016 +2 *1020:Y 0.000884016 +3 *1107:S *284:11 0.000489179 +*RES +1 *1020:Y *1107:S 44.0525 +*END + +*D_NET *46 0.00159485 +*CONN +*I *1096:S I *D sky130_fd_sc_hd__mux2_1 +*I *1095:S I *D sky130_fd_sc_hd__mux2_1 +*I *1021:Y O *D sky130_fd_sc_hd__nor3b_1 +*CAP +1 *1096:S 0 +2 *1095:S 0.000344597 +3 *1021:Y 3.08813e-05 +4 *46:5 0.000375478 +5 *1095:S *1044:B 0.000200236 +6 *1095:S *1095:A0 0.000122858 +7 *1095:S *1095:A1 6.46815e-05 +8 *1095:S *1096:A0 3.31733e-05 +9 *1095:S *1096:A1 0.000122378 +10 *1095:S *187:12 4.61271e-05 +11 *1095:S *314:11 0.000126335 +12 *46:5 *1096:A1 0.000106215 +13 *990:A0 *1095:S 2.18898e-05 +*RES +1 *1021:Y *46:5 10.5271 +2 *46:5 *1095:S 29.284 +3 *46:5 *1096:S 9.24915 +*END + +*D_NET *47 0.00210927 +*CONN +*I *1194:A I *D sky130_fd_sc_hd__dlygate4sd1_1 +*I *1068:S I *D sky130_fd_sc_hd__mux2_2 +*I *977:X O *D sky130_fd_sc_hd__o211a_1 +*CAP +1 *1194:A 0.000217077 +2 *1068:S 0.000136339 +3 *977:X 4.51842e-05 +4 *47:7 0.0003986 +5 *1068:S *983:B1 0 +6 *1068:S *1146:CLK 0.000320272 +7 *1068:S *233:82 0.000200221 +8 *1194:A *1146:CLK 0.000250598 +9 *1194:A *233:82 0.000123582 +10 *1194:A *233:97 0.000287278 +11 *47:7 *234:47 6.08467e-05 +12 *1068:A0 *1068:S 6.92705e-05 +*RES +1 *977:X *47:7 14.4725 +2 *47:7 *1068:S 20.0418 +3 *47:7 *1194:A 21.3947 +*END + +*D_NET *48 0.00756783 +*CONN +*I *1083:S I *D sky130_fd_sc_hd__mux2_1 +*I *1085:S I *D sky130_fd_sc_hd__mux2_1 +*I *1087:S I *D sky130_fd_sc_hd__mux2_1 +*I *980:C I *D sky130_fd_sc_hd__nand3_1 +*I *979:Y O *D sky130_fd_sc_hd__nor3b_2 +*CAP +1 *1083:S 0.000566481 +2 *1085:S 0 +3 *1087:S 0 +4 *980:C 0.000425542 +5 *979:Y 0.00026207 +6 *48:43 0.00111055 +7 *48:25 0.000640229 +8 *48:10 0.000783772 +9 *980:C *984:A1 0.000583113 +10 *980:C *984:A2 6.08467e-05 +11 *980:C *984:B1_N 9.75356e-05 +12 *980:C *985:B 7.58217e-06 +13 *980:C *234:33 3.31733e-05 +14 *1083:S *1009:A1 6.2497e-05 +15 *1083:S *1009:B1 0.000317693 +16 *1083:S *1083:A1 1.27193e-05 +17 *1083:S *1085:A0 0 +18 *1083:S *1135:SET_B 0.000114594 +19 *1083:S *203:45 0.000126743 +20 *1083:S *231:91 7.246e-05 +21 *1083:S *241:5 0.000353438 +22 *1083:S *309:234 0 +23 *1083:S *309:263 3.74039e-05 +24 *48:10 *982:A 0 +25 *48:10 *984:A1 0.000236602 +26 *48:10 *1087:A0 0.000318901 +27 *48:10 *231:57 0 +28 *48:25 *235:15 4.44214e-05 +29 *48:43 *1085:A1 6.08467e-05 +30 *48:43 *1086:A0 6.92705e-05 +31 *48:43 *231:57 4.69495e-06 +32 *48:43 *231:81 4.17531e-06 +33 *48:43 *231:91 0.000142522 +34 *48:43 *235:15 0.000108506 +35 *48:43 *254:25 0.000217951 +36 *48:43 *317:27 1.84952e-05 +37 *998:B1 *980:C 0.000119821 +38 *998:B1 *48:25 0.00015661 +39 *998:B1 *48:43 0.000308614 +40 *1004:B *48:43 8.79582e-05 +*RES +1 *979:Y *48:10 25.797 +2 *48:10 *980:C 30.6481 +3 *48:10 *48:25 3.54464 +4 *48:25 *1087:S 9.24915 +5 *48:25 *48:43 25.1509 +6 *48:43 *1085:S 9.24915 +7 *48:43 *1083:S 35.6902 +*END + +*D_NET *49 0.00456918 +*CONN +*I *1089:S I *D sky130_fd_sc_hd__mux2_1 +*I *1091:S I *D sky130_fd_sc_hd__mux2_1 +*I *1093:S I *D sky130_fd_sc_hd__mux2_1 +*I *1022:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *1089:S 0 +2 *1091:S 0.000355518 +3 *1093:S 8.66611e-05 +4 *1022:Y 0 +5 *49:16 0.000861268 +6 *49:4 0.000592411 +7 *1091:S *336:DIODE 4.33655e-05 +8 *1091:S *370:DIODE 0.000219875 +9 *1091:S *965:B1_N 0.000171273 +10 *1091:S *1089:A1 6.92705e-05 +11 *1091:S *1090:A0 3.14978e-05 +12 *1091:S *1091:A1 3.14978e-05 +13 *1093:S *179:11 0.000307332 +14 *1093:S *179:16 0.000216467 +15 *1093:S *234:45 0.000106567 +16 *1093:S *235:50 4.56667e-05 +17 *49:16 *951:B 1.8099e-05 +18 *49:16 *1089:A0 5.19205e-05 +19 *49:16 *1089:A1 0 +20 *49:16 *1090:A0 0 +21 *49:16 *1145:D 5.82465e-05 +22 *49:16 *172:8 0 +23 *49:16 *172:15 0 +24 *49:16 *179:11 0.000271044 +25 *49:16 *234:45 0.000108266 +26 *49:16 *243:39 3.69999e-05 +27 *49:16 *309:154 0.00049518 +28 *49:16 *309:498 2.21765e-05 +29 *19:40 *1093:S 0.000368581 +30 *19:40 *49:16 0 +*RES +1 *1022:Y *49:4 9.24915 +2 *49:4 *1093:S 16.0732 +3 *49:4 *49:16 25.4009 +4 *49:16 *1091:S 21.6192 +5 *49:16 *1089:S 9.24915 +*END + +*D_NET *50 0.00374206 +*CONN +*I *1079:S I *D sky130_fd_sc_hd__mux2_1 +*I *947:A1 I *D sky130_fd_sc_hd__a21o_1 +*I *1104:S I *D sky130_fd_sc_hd__mux2_1 +*I *948:A I *D sky130_fd_sc_hd__nand3_1 +*I *944:Y O *D sky130_fd_sc_hd__nor3b_2 +*CAP +1 *1079:S 0 +2 *947:A1 0.00013784 +3 *1104:S 0.000213753 +4 *948:A 7.87798e-05 +5 *944:Y 0.000462755 +6 *50:25 0.000351593 +7 *50:15 0.000331129 +8 *50:9 0.000715104 +9 *947:A1 *948:B 0.000178049 +10 *947:A1 *948:C 1.14782e-05 +11 *947:A1 *949:B 3.88852e-05 +12 *948:A *948:B 3.57621e-05 +13 *948:A *948:C 1.37189e-05 +14 *1104:S *886:S 0.000117376 +15 *1104:S *948:B 3.06627e-05 +16 *1104:S *948:C 2.22714e-05 +17 *1104:S *232:40 8.36586e-06 +18 *1104:S *232:49 0.000180417 +19 *1104:S *259:20 2.15348e-05 +20 *50:9 *374:DIODE 0.000202269 +21 *50:9 *1130:RESET_B 1.73177e-05 +22 *50:9 *309:827 0.000310023 +23 *50:15 *374:DIODE 0.000231918 +24 *50:15 *948:B 3.10541e-05 +*RES +1 *944:Y *50:9 30.5087 +2 *50:9 *50:15 7.57154 +3 *50:15 *948:A 11.5158 +4 *50:15 *50:25 4.5 +5 *50:25 *1104:S 20.809 +6 *50:25 *947:A1 18.1459 +7 *50:9 *1079:S 9.24915 +*END + +*D_NET *51 0.00260132 +*CONN +*I *927:A I *D sky130_fd_sc_hd__nand3_1 +*I *1067:S I *D sky130_fd_sc_hd__mux2_1 +*I *924:X O *D sky130_fd_sc_hd__o211a_1 +*CAP +1 *927:A 0.000193227 +2 *1067:S 0.000281857 +3 *924:X 5.0318e-05 +4 *51:7 0.000525401 +5 *927:A *927:B 1.07248e-05 +6 *927:A *933:A 0 +7 *927:A *1100:A1 5.04238e-05 +8 *927:A *1100:S 9.2346e-06 +9 *927:A *140:11 0.000167047 +10 *927:A *140:33 0.000187019 +11 *927:A *261:95 0.000332399 +12 *927:A *261:135 0.000107643 +13 *1067:S *897:B1 9.94169e-05 +14 *1067:S *930:A1 5.47065e-05 +15 *1067:S *140:11 7.45478e-05 +16 *1067:S *259:94 0.000185026 +17 *1067:S *261:95 5.42307e-05 +18 *1067:S *280:33 5.58904e-05 +19 *1067:S *280:39 2.16355e-05 +20 *51:7 *261:95 2.16355e-05 +21 *920:A1 *1067:S 0.000115934 +22 *920:S *1067:S 3.00174e-06 +*RES +1 *924:X *51:7 14.4725 +2 *51:7 *1067:S 24.0819 +3 *51:7 *927:A 22.6404 +*END + +*D_NET *52 0.00565732 +*CONN +*I *1073:S I *D sky130_fd_sc_hd__mux2_1 +*I *1100:S I *D sky130_fd_sc_hd__mux2_1 +*I *927:C I *D sky130_fd_sc_hd__nand3_1 +*I *1071:S I *D sky130_fd_sc_hd__mux2_1 +*I *926:Y O *D sky130_fd_sc_hd__nor3b_2 +*CAP +1 *1073:S 0.000119777 +2 *1100:S 0.000116405 +3 *927:C 0 +4 *1071:S 0.000121529 +5 *926:Y 0.00042632 +6 *52:28 0.000350793 +7 *52:22 0.000794074 +8 *52:8 0.000987756 +9 *1071:S *1072:A0 0 +10 *1071:S *1072:A1 4.17531e-06 +11 *1071:S *1072:S 6.3657e-05 +12 *1071:S *259:151 1.78942e-05 +13 *1071:S *309:10 0 +14 *1073:S *1073:A1 0.000208627 +15 *1073:S *161:17 1.4106e-05 +16 *1100:S *927:B 0.000151741 +17 *1100:S *933:A 5.47652e-05 +18 *1100:S *1100:A1 1.32509e-05 +19 *1100:S *1101:A1 4.95892e-05 +20 *1100:S *145:14 1.43848e-05 +21 *52:8 *926:C_N 5.35113e-05 +22 *52:8 *1053:A 5.1674e-06 +23 *52:8 *266:24 0.000333575 +24 *52:8 *267:33 8.66023e-05 +25 *52:22 *1073:A0 8.2836e-05 +26 *52:22 *1073:A1 3.51569e-05 +27 *52:22 *1074:A1 0.000159032 +28 *52:22 *161:17 6.00782e-06 +29 *52:28 *1101:A0 0.00042665 +30 *52:28 *1101:A1 0.000613431 +31 *52:28 *1101:S 1.92336e-05 +32 *52:28 *145:14 6.34953e-05 +33 *52:28 *159:10 0.000183074 +34 *927:A *1100:S 9.2346e-06 +35 *4:7 *52:28 7.14629e-05 +*RES +1 *926:Y *52:8 23.9184 +2 *52:8 *1071:S 16.7198 +3 *52:8 *52:22 11.9028 +4 *52:22 *52:28 20.4262 +5 *52:28 *927:C 9.24915 +6 *52:28 *1100:S 22.8808 +7 *52:22 *1073:S 12.7697 +*END + +*D_NET *53 0.00253152 +*CONN +*I *1077:S I *D sky130_fd_sc_hd__mux2_1 +*I *1075:S I *D sky130_fd_sc_hd__mux2_1 +*I *1102:S I *D sky130_fd_sc_hd__mux2_1 +*I *1023:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *1077:S 0.000163353 +2 *1075:S 0 +3 *1102:S 0.000180704 +4 *1023:Y 7.76017e-05 +5 *53:8 0.000232431 +6 *53:7 0.000292681 +7 *1077:S *908:B 3.31882e-05 +8 *1077:S *1075:A0 4.71765e-06 +9 *1077:S *1119:D 0.000192902 +10 *1077:S *329:8 4.9e-05 +11 *1102:S *902:B 0.000210988 +12 *1102:S *1075:A0 8.01546e-06 +13 *1102:S *1102:A0 0.000117376 +14 *1102:S *1102:A1 6.36477e-05 +15 *1102:S *1173:A 3.66984e-05 +16 *1102:S *259:58 0.000361439 +17 *1102:S *260:40 2.652e-05 +18 *1102:S *329:8 0.00021405 +19 *53:7 *55:14 0.000109427 +20 *53:8 *1075:A0 3.06815e-05 +21 *53:8 *329:8 0.0001261 +*RES +1 *1023:Y *53:7 15.0271 +2 *53:7 *53:8 2.24725 +3 *53:8 *1102:S 22.263 +4 *53:8 *1075:S 13.7491 +5 *53:7 *1077:S 18.4879 +*END + +*D_NET *54 0.000565929 +*CONN +*I *1025:A2_N I *D sky130_fd_sc_hd__o2bb2ai_2 +*I *1105:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *1025:A2_N 0.000177203 +2 *1105:X 0.000177203 +3 *1025:A2_N *305:79 0.000116 +4 *1025:A2_N *309:171 6.3657e-05 +5 *1025:A2_N *310:20 3.18656e-05 +*RES +1 *1105:X *1025:A2_N 31.7469 +*END + +*D_NET *55 0.00436348 +*CONN +*I *1069:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1070:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1106:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *1069:A0 0.00034788 +2 *1070:A0 6.43896e-05 +3 *1106:X 0.000413248 +4 *55:14 0.000825518 +5 *1069:A0 *908:B 7.54269e-06 +6 *1069:A0 *1069:S 0.000322047 +7 *1069:A0 *1070:S 0.000536476 +8 *1069:A0 *1160:D 5.04829e-06 +9 *1069:A0 *146:66 9.24241e-05 +10 *1069:A0 *255:28 0.000456146 +11 *1069:A0 *261:20 0.000260325 +12 *1069:A0 *288:21 1.65872e-05 +13 *1070:A0 *908:B 3.50287e-05 +14 *1070:A0 *1160:D 0 +15 *1070:A0 *261:20 0.000111802 +16 *55:14 *901:A 2.17834e-05 +17 *55:14 *902:A 0.000110458 +18 *55:14 *904:C 4.69465e-05 +19 *55:14 *908:A 1.00114e-05 +20 *55:14 *1023:A 2.58616e-05 +21 *55:14 *1106:A0 1.29211e-05 +22 *55:14 *1106:S 0.00015901 +23 *55:14 *1129:CLK 4.38222e-05 +24 *55:14 *142:21 0.000268573 +25 *55:14 *281:21 6.02065e-05 +26 *53:7 *55:14 0.000109427 +*RES +1 *1106:X *55:14 30.7157 +2 *55:14 *1070:A0 11.5158 +3 *55:14 *1069:A0 33.8546 +*END + +*D_NET *56 0.00171333 +*CONN +*I *1027:A2_N I *D sky130_fd_sc_hd__o2bb2ai_2 +*I *1107:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *1027:A2_N 0.000331891 +2 *1107:X 0.000331891 +3 *1027:A2_N *1128:D 0.000197019 +4 *1027:A2_N *1131:D 8.608e-05 +5 *1027:A2_N *1131:SET_B 4.70567e-05 +6 *1027:A2_N *260:13 0.000267328 +7 *1027:A2_N *260:175 9.90819e-05 +8 *1027:A2_N *281:49 0.000155116 +9 *1027:A2_N *309:812 4.39142e-05 +10 *8:10 *1027:A2_N 0.000153949 +*RES +1 *1107:X *1027:A2_N 41.4993 +*END + +*D_NET *57 0.000514075 +*CONN +*I *1080:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1028:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *1080:A0 0.00020141 +2 *1028:Y 0.00020141 +3 *1080:A0 *1029:B 8.92089e-05 +4 *973:S *1080:A0 2.20457e-05 +*RES +1 *1028:Y *1080:A0 31.1629 +*END + +*D_NET *58 0.000587674 +*CONN +*I *1080:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1029:Y O *D sky130_fd_sc_hd__xnor2_1 +*CAP +1 *1080:A1 0.000232536 +2 *1029:Y 0.000232536 +3 *1080:A1 *1029:B 5.65845e-05 +4 *1080:A1 *309:119 6.28168e-05 +5 *973:S *1080:A1 3.20069e-06 +*RES +1 *1029:Y *1080:A1 32.1327 +*END + +*D_NET *59 0.000954075 +*CONN +*I *1081:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1030:Y O *D sky130_fd_sc_hd__xnor2_1 +*CAP +1 *1081:A0 0.00032051 +2 *1030:Y 0.00032051 +3 *1081:A0 *1029:A 0.000117376 +4 *1081:A0 *308:7 7.98425e-06 +5 *1081:A0 *308:17 6.65668e-05 +6 *1081:A0 *313:24 9.90819e-05 +7 *971:S *1081:A0 2.20457e-05 +*RES +1 *1030:Y *1081:A0 33.1348 +*END + +*D_NET *60 0.000305231 +*CONN +*I *1081:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1033:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *1081:A1 0.000118236 +2 *1033:Y 0.000118236 +3 *1081:A1 *308:7 6.87578e-05 +*RES +1 *1033:Y *1081:A1 21.4401 +*END + +*D_NET *61 0.00157658 +*CONN +*I *1082:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1035:X O *D sky130_fd_sc_hd__xor2_1 +*CAP +1 *1082:A0 0.000593687 +2 *1035:X 0.000593687 +3 *1082:A0 *1029:A 2.84786e-05 +4 *1082:A0 *1030:A 2.23124e-05 +5 *1082:A0 *1031:B 2.07365e-05 +6 *1082:A0 *1032:A2 0.000111722 +7 *1082:A0 *313:24 1.9503e-05 +8 *1082:A0 *314:11 6.5862e-05 +9 *968:S *1082:A0 0.000120594 +*RES +1 *1035:X *1082:A0 38.094 +*END + +*D_NET *62 0.000977824 +*CONN +*I *1033:B I *D sky130_fd_sc_hd__nor2_1 +*I *1082:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1032:X O *D sky130_fd_sc_hd__o21a_1 +*CAP +1 *1033:B 0.000216326 +2 *1082:A1 8.42377e-05 +3 *1032:X 0 +4 *62:4 0.000300564 +5 *1033:B *983:C1 0 +6 *1033:B *1032:B1 8.28203e-05 +7 *1033:B *1033:A 5.04734e-05 +8 *1033:B *1082:S 0.00014964 +9 *1033:B *187:12 0 +10 *1033:B *314:11 5.1674e-06 +11 *1082:A1 *228:15 8.85947e-05 +*RES +1 *1032:X *62:4 9.24915 +2 *62:4 *1082:A1 11.6364 +3 *62:4 *1033:B 25.0642 +*END + +*D_NET *63 0.000732725 +*CONN +*I *1083:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1009:A1 I *D sky130_fd_sc_hd__o21ai_1 +*I *1007:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *1083:A0 0 +2 *1009:A1 8.918e-05 +3 *1007:Y 0.000123968 +4 *63:8 0.000213148 +5 *1009:A1 *1009:B1 0.000167076 +6 *1009:A1 *1084:A0 5.07314e-05 +7 *63:8 *1009:B1 2.61242e-05 +8 *1083:S *1009:A1 6.2497e-05 +*RES +1 *1007:Y *63:8 20.9116 +2 *63:8 *1009:A1 12.7456 +3 *63:8 *1083:A0 9.24915 +*END + +*D_NET *64 0.0012535 +*CONN +*I *1084:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1083:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *1084:A0 0.000358958 +2 *1083:X 0.000358958 +3 *1084:A0 *1009:B1 5.51483e-06 +4 *1084:A0 *1083:A1 0 +5 *1084:A0 *1108:CLK 0.000118166 +6 *1084:A0 *1134:D 9.96342e-05 +7 *1084:A0 *203:45 2.65667e-05 +8 *1084:A0 *233:24 0.000118166 +9 *1008:B *1084:A0 0.000116806 +10 *1009:A1 *1084:A0 5.07314e-05 +*RES +1 *1083:X *1084:A0 37.148 +*END + +*D_NET *65 0.00063236 +*CONN +*I *1085:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1036:Y O *D sky130_fd_sc_hd__xnor2_1 +*CAP +1 *1085:A0 0.000244813 +2 *1036:Y 0.000244813 +3 *1085:A0 *1036:A 1.79672e-05 +4 *1085:A0 *1135:CLK 0 +5 *1085:A0 *231:91 6.50727e-05 +6 *1085:A0 *309:234 5.96936e-05 +7 *1083:S *1085:A0 0 +*RES +1 *1036:Y *1085:A0 32.9604 +*END + +*D_NET *66 0.000522315 +*CONN +*I *1086:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1085:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *1086:A0 0.000150742 +2 *1085:X 0.000150742 +3 *1086:A0 *953:B1 1.07248e-05 +4 *1086:A0 *1085:A1 2.72403e-05 +5 *1086:A0 *234:33 4.40531e-05 +6 *1086:A0 *254:25 6.48865e-05 +7 *1086:A0 *317:27 4.65545e-06 +8 *48:43 *1086:A0 6.92705e-05 +*RES +1 *1085:X *1086:A0 30.8842 +*END + +*D_NET *67 0.00175352 +*CONN +*I *1087:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1038:X O *D sky130_fd_sc_hd__xor2_1 +*CAP +1 *1087:A0 0.000472721 +2 *1038:X 0.000472721 +3 *1087:A0 *982:B 0.000128064 +4 *1087:A0 *1037:A 2.63056e-05 +5 *1087:A0 *1037:B 2.41483e-05 +6 *1087:A0 *1038:B 0.00016763 +7 *1087:A0 *231:57 0 +8 *1087:A0 *240:32 0.000143032 +9 *48:10 *1087:A0 0.000318901 +*RES +1 *1038:X *1087:A0 39.192 +*END + +*D_NET *68 0.000872458 +*CONN +*I *1088:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1087:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *1088:A0 0.00024294 +2 *1087:X 0.00024294 +3 *1088:A0 *982:A 0.000161252 +4 *1088:A0 *984:A1 0.000145984 +5 *1088:A0 *1088:A1 1.56949e-05 +6 *1088:A0 *233:44 6.36477e-05 +*RES +1 *1087:X *1088:A0 33.132 +*END + +*D_NET *69 0.000637925 +*CONN +*I *1089:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1039:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *1089:A0 0.000238663 +2 *1039:Y 0.000238663 +3 *1089:A0 *1039:A 9.06988e-05 +4 *1089:A0 *1090:A0 1.79807e-05 +5 *49:16 *1089:A0 5.19205e-05 +*RES +1 *1039:Y *1089:A0 32.548 +*END + +*D_NET *70 0.00090843 +*CONN +*I *1090:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1089:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *1090:A0 0.000330498 +2 *1089:X 0.000330498 +3 *1090:A0 *370:DIODE 7.58739e-05 +4 *1090:A0 *1145:D 6.23875e-05 +5 *1090:A0 *243:39 5.96936e-05 +6 *1089:A0 *1090:A0 1.79807e-05 +7 *1091:S *1090:A0 3.14978e-05 +8 *49:16 *1090:A0 0 +*RES +1 *1089:X *1090:A0 34.7931 +*END + +*D_NET *71 0.000948135 +*CONN +*I *1091:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1040:Y O *D sky130_fd_sc_hd__xnor2_1 +*CAP +1 *1091:A0 0.000325716 +2 *1040:Y 0.000325716 +3 *1091:A0 *965:B1_N 0.000118166 +4 *1091:A0 *1189:A 0.000120584 +5 *1091:A0 *305:93 5.79544e-05 +*RES +1 *1040:Y *1091:A0 35.1761 +*END + +*D_NET *72 0.000886056 +*CONN +*I *1092:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1091:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *1092:A0 0.000356423 +2 *1091:X 0.000356423 +3 *1092:A0 *965:B1_N 3.16131e-05 +4 *1092:A0 *983:B1 0 +5 *1092:A0 *1041:A 7.29011e-05 +6 *1092:A0 *1041:B 6.36477e-05 +7 *1092:A0 *1092:A1 5.04829e-06 +*RES +1 *1091:X *1092:A0 35.069 +*END + +*D_NET *73 0.000601304 +*CONN +*I *1093:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1042:X O *D sky130_fd_sc_hd__xor2_1 +*CAP +1 *1093:A0 0.00013882 +2 *1042:X 0.00013882 +3 *1093:A0 *1094:S 0 +4 *1093:A0 *234:45 0.000113968 +5 *1093:A0 *245:8 0.000101148 +6 *1093:A0 *305:89 8.37812e-05 +7 *1093:A0 *317:36 2.47663e-05 +*RES +1 *1042:X *1093:A0 31.4388 +*END + +*D_NET *74 0.000765387 +*CONN +*I *1094:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1093:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *1094:A0 0.000152672 +2 *1093:X 0.000152672 +3 *1094:A0 *963:B1_N 9.40857e-05 +4 *1094:A0 *1094:S 0.000263976 +5 *1094:A0 *1147:RESET_B 2.46935e-05 +6 *1094:A0 *235:50 6.50586e-05 +7 *1094:A0 *245:8 1.22289e-05 +*RES +1 *1093:X *1094:A0 32.9604 +*END + +*D_NET *75 0.000576125 +*CONN +*I *1095:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1043:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *1095:A0 0.000199221 +2 *1043:Y 0.000199221 +3 *1095:A0 *236:27 4.25952e-05 +4 *1095:A0 *314:11 1.22289e-05 +5 *1095:S *1095:A0 0.000122858 +*RES +1 *1043:Y *1095:A0 31.9934 +*END + +*D_NET *76 0.000646337 +*CONN +*I *1096:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1044:Y O *D sky130_fd_sc_hd__xnor2_1 +*CAP +1 *1096:A0 0.000202893 +2 *1044:Y 0.000202893 +3 *1096:A0 *1044:B 4.8345e-05 +4 *1096:A0 *272:15 0.000159032 +5 *1095:S *1096:A0 3.31733e-05 +*RES +1 *1044:Y *1096:A0 31.9934 +*END + +*D_NET *77 0.00142992 +*CONN +*I *1097:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1045:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *1097:A0 0.000312263 +2 *1045:Y 0.000312263 +3 *1097:A0 *228:8 9.56977e-05 +4 *1097:A0 *229:10 0.00016418 +5 *1097:A0 *229:15 2.01653e-05 +6 *1097:A0 *259:94 4.84848e-05 +7 *1097:A0 *272:8 0.000102527 +8 *1097:A0 *280:33 0.000111222 +9 *920:A1 *1097:A0 0 +10 *1067:A0 *1097:A0 0.000263116 +*RES +1 *1045:Y *1097:A0 38.1179 +*END + +*D_NET *78 0.00022119 +*CONN +*I *1097:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1046:Y O *D sky130_fd_sc_hd__xnor2_1 +*CAP +1 *1097:A1 0.000110595 +2 *1046:Y 0.000110595 +*RES +1 *1046:Y *1097:A1 29.7455 +*END + +*D_NET *79 0.00188515 +*CONN +*I *1098:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1047:Y O *D sky130_fd_sc_hd__xnor2_1 +*CAP +1 *1098:A0 0.000415478 +2 *1047:Y 0.000415478 +3 *1098:A0 *923:B 2.03171e-05 +4 *1098:A0 *1047:A 4.61271e-05 +5 *1098:A0 *1051:A 6.08467e-05 +6 *1098:A0 *1051:B 0.000328031 +7 *1098:A0 *273:10 0.000194077 +8 *1098:A0 *273:21 0.000110279 +9 *918:S *1098:A0 0.000159038 +10 *20:7 *1098:A0 0.000135477 +*RES +1 *1047:Y *1098:A0 40.4756 +*END + +*D_NET *80 0.00138991 +*CONN +*I *1098:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1050:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *1098:A1 0.000409544 +2 *1050:Y 0.000409544 +3 *1098:A1 *1049:B1 0.000101301 +4 *1098:A1 *1050:B 0.000154993 +5 *1098:A1 *82:8 0.000255283 +6 *1098:A1 *280:39 3.83021e-05 +7 *20:7 *1098:A1 2.09463e-05 +*RES +1 *1050:Y *1098:A1 36.8427 +*END + +*D_NET *81 0.000617673 +*CONN +*I *1099:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1052:X O *D sky130_fd_sc_hd__xor2_1 +*CAP +1 *1099:A0 0.000250836 +2 *1052:X 0.000250836 +3 *1099:A0 *923:B 5.23435e-05 +4 *1099:A0 *154:9 6.3657e-05 +*RES +1 *1052:X *1099:A0 32.4381 +*END + +*D_NET *82 0.00249583 +*CONN +*I *1050:B I *D sky130_fd_sc_hd__nor2_1 +*I *1099:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1049:X O *D sky130_fd_sc_hd__o21a_1 +*CAP +1 *1050:B 0.000159466 +2 *1099:A1 0.000265222 +3 *1049:X 9.93288e-05 +4 *82:8 0.000524016 +5 *1050:B *1049:B1 1.64895e-05 +6 *1050:B *1050:A 6.27718e-05 +7 *1050:B *259:139 0 +8 *1050:B *260:83 7.40248e-05 +9 *1050:B *261:119 2.58616e-05 +10 *1099:A1 *924:C1 3.54295e-05 +11 *1099:A1 *154:9 0.000559663 +12 *82:8 *1049:B1 6.66484e-05 +13 *82:8 *260:83 0.000119367 +14 *82:8 *280:39 7.72637e-05 +15 *1098:A1 *1050:B 0.000154993 +16 *1098:A1 *82:8 0.000255283 +*RES +1 *1049:X *82:8 18.3836 +2 *82:8 *1099:A1 21.1278 +3 *82:8 *1050:B 18.7961 +*END + +*D_NET *83 0.00132561 +*CONN +*I *1071:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *943:A1 I *D sky130_fd_sc_hd__o21ai_1 +*I *941:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *1071:A0 0.000109489 +2 *943:A1 7.57157e-05 +3 *941:Y 8.53079e-05 +4 *83:8 0.000270513 +5 *943:A1 *943:A2 4.23874e-05 +6 *943:A1 *1072:A1 5.04829e-06 +7 *943:A1 *1072:S 2.65667e-05 +8 *1071:A0 *1072:A0 0.000253916 +9 *1071:A0 *1072:A1 0.000108132 +10 *1071:A0 *1072:S 0.000206129 +11 *83:8 *941:A 0.000142408 +12 *83:8 *943:B1 0 +*RES +1 *941:Y *83:8 20.9116 +2 *83:8 *943:A1 11.6364 +3 *83:8 *1071:A0 14.964 +*END + +*D_NET *84 0.000791756 +*CONN +*I *1072:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1071:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *1072:A0 0.000120243 +2 *1071:X 0.000120243 +3 *1072:A0 *1072:A1 0.000258128 +4 *942:B *1072:A0 3.92275e-05 +5 *1071:A0 *1072:A0 0.000253916 +6 *1071:S *1072:A0 0 +*RES +1 *1071:X *1072:A0 32.2721 +*END + +*D_NET *85 0.00143052 +*CONN +*I *1073:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1053:Y O *D sky130_fd_sc_hd__xnor2_1 +*CAP +1 *1073:A0 0.000164511 +2 *1053:Y 0.000164511 +3 *1073:A0 *1073:A1 0.000254262 +4 *1073:A0 *1074:A1 6.04829e-05 +5 *1073:A0 *161:17 0.000703919 +6 *52:22 *1073:A0 8.2836e-05 +*RES +1 *1053:Y *1073:A0 27.4202 +*END + +*D_NET *86 0.00060008 +*CONN +*I *1074:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1073:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *1074:A0 0.000170935 +2 *1073:X 0.000170935 +3 *1074:A0 *161:17 0.00025821 +*RES +1 *1073:X *1074:A0 21.4401 +*END + +*D_NET *87 0.00130014 +*CONN +*I *1100:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1055:X O *D sky130_fd_sc_hd__xor2_1 +*CAP +1 *1100:A0 0.00065007 +2 *1055:X 0.00065007 +*RES +1 *1055:X *1100:A0 38.5065 +*END + +*D_NET *88 0.00104227 +*CONN +*I *1101:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1100:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *1101:A0 0.000164624 +2 *1100:X 0.000164624 +3 *1101:A0 *933:A 3.40805e-05 +4 *1101:A0 *1101:S 0.000115934 +5 *1101:A0 *145:14 0.000136352 +6 *4:7 *1101:A0 0 +7 *52:28 *1101:A0 0.00042665 +*RES +1 *1100:X *1101:A0 34.7664 +*END + +*D_NET *89 0.000509303 +*CONN +*I *1102:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1056:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *1102:A0 3.96097e-05 +2 *1056:Y 3.96097e-05 +3 *1102:A0 *1102:A1 4.60884e-05 +4 *1102:A0 *1173:A 0.00026662 +5 *1102:S *1102:A0 0.000117376 +*RES +1 *1056:Y *1102:A0 21.4401 +*END + +*D_NET *90 0.00182242 +*CONN +*I *1103:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1102:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *1103:A0 0.000419157 +2 *1102:X 0.000419157 +3 *1103:A0 *1076:A0 3.74005e-05 +4 *1103:A0 *1103:A1 6.27718e-05 +5 *1103:A0 *1103:S 2.08274e-05 +6 *1103:A0 *280:11 0.000562494 +7 *1103:A0 *280:17 0.000221195 +8 *1103:A0 *280:25 1.38544e-05 +9 *18:42 *1103:A0 6.55651e-05 +*RES +1 *1102:X *1103:A0 32.0258 +*END + +*D_NET *91 0.00214512 +*CONN +*I *1075:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1057:Y O *D sky130_fd_sc_hd__xnor2_1 +*CAP +1 *1075:A0 0.000392252 +2 *1057:Y 0.000392252 +3 *1075:A0 *901:A 1.60055e-05 +4 *1075:A0 *1078:S 0 +5 *1075:A0 *1106:S 0.000267394 +6 *1075:A0 *261:89 0.000360959 +7 *1075:A0 *270:38 0.000604978 +8 *1077:S *1075:A0 4.71765e-06 +9 *1102:S *1075:A0 8.01546e-06 +10 *1167:A *1075:A0 6.78596e-05 +11 *53:8 *1075:A0 3.06815e-05 +*RES +1 *1057:Y *1075:A0 41.4511 +*END + +*D_NET *92 0.00224685 +*CONN +*I *1076:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1075:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *1076:A0 0.000824083 +2 *1075:X 0.000824083 +3 *1076:A0 *1173:A 0.000176594 +4 *1076:A0 *231:21 0.000112312 +5 *1076:A0 *260:40 1.79386e-05 +6 *1076:A0 *280:11 6.27782e-05 +7 *1103:A0 *1076:A0 3.74005e-05 +8 *1167:A *1076:A0 0.000169728 +9 *4:11 *1076:A0 2.19341e-05 +*RES +1 *1075:X *1076:A0 42.2844 +*END + +*D_NET *93 0.004716 +*CONN +*I *1077:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1059:X O *D sky130_fd_sc_hd__xor2_1 +*CAP +1 *1077:A0 5.0298e-05 +2 *1059:X 0.00148122 +3 *93:15 0.00153152 +4 *1077:A0 *1078:A0 0 +5 *93:15 *901:B 3.92299e-05 +6 *93:15 *911:C 0.000159354 +7 *93:15 *1058:B 9.19951e-05 +8 *93:15 *1078:A0 7.51745e-05 +9 *93:15 *1170:A 0.000840504 +10 *93:15 *145:8 0 +11 *93:15 *146:21 0.000181802 +12 *93:15 *222:15 6.08467e-05 +13 *93:15 *261:89 2.58757e-05 +14 *93:15 *309:623 1.55462e-05 +15 *910:A1 *93:15 0.000162639 +*RES +1 *1059:X *93:15 48.6149 +2 *93:15 *1077:A0 10.6477 +*END + +*D_NET *94 0.00100028 +*CONN +*I *1078:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1077:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *1078:A0 0.00015122 +2 *1077:X 0.00015122 +3 *1078:A0 *901:B 3.72292e-05 +4 *1078:A0 *1058:A 6.08467e-05 +5 *1078:A0 *1058:B 0.000264586 +6 *1078:A0 *261:79 0.00026 +7 *1077:A0 *1078:A0 0 +8 *93:15 *1078:A0 7.51745e-05 +*RES +1 *1077:X *1078:A0 25.3947 +*END + +*D_NET *95 0.000630762 +*CONN +*I *1079:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1060:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *1079:A0 0.000201426 +2 *1060:Y 0.000201426 +3 *1079:A0 *262:37 0.000211546 +4 *7:15 *1079:A0 1.63652e-05 +*RES +1 *1060:Y *1079:A0 31.3022 +*END + +*D_NET *96 0.000431411 +*CONN +*I *1104:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1061:Y O *D sky130_fd_sc_hd__xnor2_1 +*CAP +1 *1104:A0 0.000215705 +2 *1061:Y 0.000215705 +3 *1104:A0 *255:28 0 +*RES +1 *1061:Y *1104:A0 32.2371 +*END + +*D_NET *97 0.00142693 +*CONN +*I *1114:D I *D sky130_fd_sc_hd__dfxtp_1 +*I *1018:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *1114:D 0.000516204 +2 *1018:X 0.000516204 +3 *1114:D *1059:A 4.64935e-05 +4 *1114:D *1158:D 9.29777e-05 +5 *1114:D *1159:D 0 +6 *1114:D *1186:A 6.3657e-05 +7 *1114:D *146:36 5.47736e-05 +8 *1114:D *222:15 4.84045e-05 +9 *1114:D *309:619 5.85383e-05 +10 *1114:D *309:623 2.96831e-05 +11 *18:42 *1114:D 0 +*RES +1 *1018:X *1114:D 40.5563 +*END + +*D_NET *98 0.00079379 +*CONN +*I *1133:D I *D sky130_fd_sc_hd__dfstp_1 +*I *1013:Y O *D sky130_fd_sc_hd__xnor2_1 +*CAP +1 *1133:D 0.000145294 +2 *1013:Y 0.000145294 +3 *1133:D *892:B 0.000206696 +4 *1133:D *900:A1 0.000160047 +5 *1133:D *1133:CLK 0.000136459 +*RES +1 *1013:Y *1133:D 32.548 +*END + +*D_NET *99 0.000237613 +*CONN +*I *1134:D I *D sky130_fd_sc_hd__dfrtn_1 +*I *1009:Y O *D sky130_fd_sc_hd__o21ai_1 +*CAP +1 *1134:D 6.89894e-05 +2 *1009:Y 6.89894e-05 +3 *1084:A0 *1134:D 9.96342e-05 +*RES +1 *1009:Y *1134:D 29.3303 +*END + +*D_NET *100 0.000592593 +*CONN +*I *1135:D I *D sky130_fd_sc_hd__dfstp_1 +*I *1006:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *1135:D 5.55601e-05 +2 *1006:Y 5.55601e-05 +3 *1135:D *360:DIODE 0.000256692 +4 *1135:D *1006:A 2.99287e-05 +5 *1135:D *241:5 2.65831e-05 +6 *1135:D *241:9 0.000168269 +*RES +1 *1006:Y *1135:D 23.1039 +*END + +*D_NET *101 0.000505109 +*CONN +*I *1136:D I *D sky130_fd_sc_hd__dfrtn_1 +*I *1002:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *1136:D 0.000163937 +2 *1002:Y 0.000163937 +3 *1136:D *1001:A 5.53934e-05 +4 *1136:D *1089:A1 0 +5 *1136:D *1136:RESET_B 0.000120636 +6 *1136:D *231:64 1.20637e-06 +*RES +1 *1002:Y *1136:D 32.6874 +*END + +*D_NET *102 0.000315706 +*CONN +*I *1137:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *998:X O *D sky130_fd_sc_hd__o21a_1 +*CAP +1 *1137:D 9.64684e-05 +2 *998:X 9.64684e-05 +3 *1137:D *1109:CLK 1.65872e-05 +4 *1137:D *231:54 5.04829e-06 +5 *1137:D *231:57 0.000101133 +*RES +1 *998:X *1137:D 30.0537 +*END + +*D_NET *103 0.000622077 +*CONN +*I *1138:D I *D sky130_fd_sc_hd__dfstp_2 +*I *991:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *1138:D 0.000300952 +2 *991:X 0.000300952 +3 *1138:D *989:A 2.01727e-05 +*RES +1 *991:X *1138:D 32.6874 +*END + +*D_NET *104 0.000429527 +*CONN +*I *1139:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *989:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *1139:D 0.000182234 +2 *989:X 0.000182234 +3 *1139:D *989:A 6.50586e-05 +*RES +1 *989:X *1139:D 22.5734 +*END + +*D_NET *105 0.000423015 +*CONN +*I *1140:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *987:X O *D sky130_fd_sc_hd__xor2_1 +*CAP +1 *1140:D 7.69065e-05 +2 *987:X 7.69065e-05 +3 *1140:D *1012:C 0.000213516 +4 *1140:D *238:9 5.56856e-05 +*RES +1 *987:X *1140:D 22.1876 +*END + +*D_NET *106 0.00202794 +*CONN +*I *1141:D I *D sky130_fd_sc_hd__dfstp_1 +*I *985:Y O *D sky130_fd_sc_hd__nand3_1 +*CAP +1 *1141:D 0.00055299 +2 *985:Y 0.00055299 +3 *1141:D *366:DIODE 6.64392e-05 +4 *1141:D *954:C 3.73806e-05 +5 *1141:D *978:A 0 +6 *1141:D *235:28 0.000681402 +7 *1141:D *253:5 9.46208e-05 +8 *1141:D *309:212 4.21215e-05 +*RES +1 *985:Y *1141:D 39.5114 +*END + +*D_NET *107 0.000555806 +*CONN +*I *1142:D I *D sky130_fd_sc_hd__dfrtn_1 +*I *974:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *1142:D 0.000268919 +2 *974:X 0.000268919 +3 *1142:D *974:A 1.79672e-05 +4 *1142:D *1143:D 0 +5 *1142:D *247:14 0 +*RES +1 *974:X *1142:D 33.3757 +*END + +*D_NET *108 0.000534852 +*CONN +*I *1143:D I *D sky130_fd_sc_hd__dfstp_1 +*I *972:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *1143:D 0.000267426 +2 *972:X 0.000267426 +3 *1143:D *1143:CLK 0 +4 *1143:D *228:28 0 +5 *1142:D *1143:D 0 +*RES +1 *972:X *1143:D 33.791 +*END + +*D_NET *109 0.000373418 +*CONN +*I *1144:D I *D sky130_fd_sc_hd__dfrtn_1 +*I *969:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *1144:D 0.000186709 +2 *969:X 0.000186709 +3 *1144:D *969:A 0 +*RES +1 *969:X *1144:D 31.0235 +*END + +*D_NET *110 0.000958989 +*CONN +*I *1145:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *967:X O *D sky130_fd_sc_hd__a21bo_1 +*CAP +1 *1145:D 0.000124404 +2 *967:X 0.000124404 +3 *1145:D *243:39 0.000143032 +4 *1145:D *254:59 0.000324137 +5 *967:A1 *1145:D 0.000122378 +6 *1090:A0 *1145:D 6.23875e-05 +7 *49:16 *1145:D 5.82465e-05 +*RES +1 *967:X *1145:D 33.6572 +*END + +*D_NET *111 0.000625931 +*CONN +*I *1146:D I *D sky130_fd_sc_hd__dfstp_1 +*I *965:X O *D sky130_fd_sc_hd__a21bo_1 +*CAP +1 *1146:D 0.000236873 +2 *965:X 0.000236873 +3 *965:A2 *1146:D 0.000152185 +*RES +1 *965:X *1146:D 32.1327 +*END + +*D_NET *112 0.0006284 +*CONN +*I *1147:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *963:X O *D sky130_fd_sc_hd__a21bo_1 +*CAP +1 *1147:D 0.000182576 +2 *963:X 0.000182576 +3 *1147:D *1195:A 0.000164243 +4 *1147:D *228:13 9.90059e-05 +5 *1147:D *233:97 0 +*RES +1 *963:X *1147:D 33.7966 +*END + +*D_NET *113 0.000472827 +*CONN +*I *1148:D I *D sky130_fd_sc_hd__dfstp_1 +*I *960:Y O *D sky130_fd_sc_hd__nand3_1 +*CAP +1 *1148:D 9.35376e-05 +2 *960:Y 9.35376e-05 +3 *1148:D *960:A 2.58616e-05 +4 *1148:D *177:42 6.17321e-05 +5 *1148:D *318:10 0.000198158 +*RES +1 *960:Y *1148:D 22.7422 +*END + +*D_NET *114 0.000981841 +*CONN +*I *1149:D I *D sky130_fd_sc_hd__dfstp_1 +*I *949:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *1149:D 0.000236543 +2 *949:Y 0.000236543 +3 *1149:D *947:A2 2.16355e-05 +4 *1149:D *949:A 6.5568e-05 +5 *1149:D *949:B 0.000260888 +6 *1149:D *168:9 0.000160663 +*RES +1 *949:Y *1149:D 25.8769 +*END + +*D_NET *115 0.000923879 +*CONN +*I *1150:D I *D sky130_fd_sc_hd__dfrtn_1 +*I *943:Y O *D sky130_fd_sc_hd__o21ai_1 +*CAP +1 *1150:D 0.000441659 +2 *943:Y 0.000441659 +3 *1150:D *229:29 3.71173e-05 +4 *1150:D *229:39 3.44412e-06 +*RES +1 *943:Y *1150:D 34.9058 +*END + +*D_NET *116 0.000486366 +*CONN +*I *1151:D I *D sky130_fd_sc_hd__dfstp_1 +*I *940:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *1151:D 0.000190831 +2 *940:Y 0.000190831 +3 *1151:D *940:A 3.30805e-05 +4 *1151:D *1151:CLK 3.30578e-05 +5 *1151:D *1152:CLK_N 3.85659e-05 +*RES +1 *940:Y *1151:D 31.5781 +*END + +*D_NET *117 0.000385871 +*CONN +*I *1152:D I *D sky130_fd_sc_hd__dfrtn_1 +*I *936:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *1152:D 0.000120629 +2 *936:Y 0.000120629 +3 *1152:D *936:A 0.000144613 +*RES +1 *936:Y *1152:D 30.4689 +*END + +*D_NET *118 0.000208745 +*CONN +*I *1153:D I *D sky130_fd_sc_hd__dfstp_1 +*I *932:Y O *D sky130_fd_sc_hd__nand3_1 +*CAP +1 *1153:D 0.000104372 +2 *932:Y 0.000104372 +*RES +1 *932:Y *1153:D 29.7455 +*END + +*D_NET *119 0.000278394 +*CONN +*I *1154:D I *D sky130_fd_sc_hd__dfrtn_1 +*I *921:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *1154:D 0.000132367 +2 *921:X 0.000132367 +3 *1154:D *272:15 1.36606e-05 +4 *1154:D *309:37 0 +*RES +1 *921:X *1154:D 30.0537 +*END + +*D_NET *120 0.000639167 +*CONN +*I *1155:D I *D sky130_fd_sc_hd__dfstp_1 +*I *919:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *1155:D 0.000190905 +2 *919:X 0.000190905 +3 *1155:D *917:A 0 +4 *1155:D *1155:CLK 6.50727e-05 +5 *1155:D *229:17 0.000192284 +*RES +1 *919:X *1155:D 33.0196 +*END + +*D_NET *121 0.000351796 +*CONN +*I *1156:D I *D sky130_fd_sc_hd__dfrtn_1 +*I *916:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *1156:D 0.000161959 +2 *916:X 0.000161959 +3 *1156:D *229:29 2.78772e-05 +*RES +1 *916:X *1156:D 30.6083 +*END + +*D_NET *122 0.0012012 +*CONN +*I *1157:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *914:X O *D sky130_fd_sc_hd__a21bo_1 +*CAP +1 *1157:D 0.00044021 +2 *914:X 0.00044021 +3 *1157:D *913:A 7.26606e-05 +4 *1157:D *1114:CLK 6.93171e-05 +5 *1157:D *269:13 1.97895e-05 +6 *914:A1 *1157:D 0.00015901 +*RES +1 *914:X *1157:D 34.871 +*END + +*D_NET *123 0.00184073 +*CONN +*I *1158:D I *D sky130_fd_sc_hd__dfstp_1 +*I *912:X O *D sky130_fd_sc_hd__a21bo_1 +*CAP +1 *1158:D 0.000516291 +2 *912:X 0.000516291 +3 *1158:D *383:DIODE 4.66876e-05 +4 *1158:D *911:C 5.23236e-05 +5 *1158:D *1114:CLK 0.000166255 +6 *1158:D *1170:A 1.7883e-05 +7 *1158:D *309:623 5.00379e-05 +8 *912:A1 *1158:D 0.000168313 +9 *1114:D *1158:D 9.29777e-05 +10 *4:11 *1158:D 0.000213674 +11 *18:42 *1158:D 0 +*RES +1 *912:X *1158:D 41.7242 +*END + +*D_NET *124 0.000638104 +*CONN +*I *1159:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *910:X O *D sky130_fd_sc_hd__a21bo_1 +*CAP +1 *1159:D 0.000157463 +2 *910:X 0.000157463 +3 *1159:D *1017:A0 0 +4 *1159:D *1059:A 1.58114e-05 +5 *1159:D *145:54 0.000139098 +6 *1159:D *146:36 0.000168269 +7 *1114:D *1159:D 0 +*RES +1 *910:X *1159:D 33.7966 +*END + +*D_NET *125 0.000735354 +*CONN +*I *1160:D I *D sky130_fd_sc_hd__dfstp_1 +*I *908:Y O *D sky130_fd_sc_hd__nand3_1 +*CAP +1 *1160:D 0.000173643 +2 *908:Y 0.000173643 +3 *1160:D *908:C 0.000111708 +4 *1160:D *1160:CLK 0.000228593 +5 *1160:D *261:20 3.76697e-05 +6 *1160:D *309:928 5.04829e-06 +7 *1069:A0 *1160:D 5.04829e-06 +8 *1070:A0 *1160:D 0 +*RES +1 *908:Y *1160:D 24.0926 +*END + +*D_NET *126 0.0019156 +*CONN +*I *1161:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *900:X O *D sky130_fd_sc_hd__o21a_1 +*CAP +1 *1161:D 0.000560919 +2 *900:X 0.000560919 +3 *1161:D *138:9 0.000561233 +4 *1161:D *260:47 9.29919e-05 +5 *1161:D *269:19 3.20407e-05 +6 *1067:A0 *1161:D 0.000107496 +*RES +1 *900:X *1161:D 37.8181 +*END + +*D_NET *127 0.000367991 +*CONN +*I *1162:D I *D sky130_fd_sc_hd__dfstp_1 +*I *889:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *1162:D 0.000143184 +2 *889:X 0.000143184 +3 *1162:D *1162:CLK 0 +4 *1162:D *309:366 5.31465e-05 +5 *1162:D *325:11 2.84758e-05 +*RES +1 *889:X *1162:D 31.4388 +*END + +*D_NET *128 0.00060187 +*CONN +*I *1163:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *887:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *1163:D 0.000151842 +2 *887:X 0.000151842 +3 *1163:D *1163:CLK 5.04829e-06 +4 *1163:D *232:32 5.73392e-05 +5 *1163:D *309:313 0.000164829 +6 *1163:D *329:8 7.09685e-05 +*RES +1 *887:X *1163:D 32.4086 +*END + +*D_NET *129 0.0019862 +*CONN +*I *1164:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *1065:X O *D sky130_fd_sc_hd__xor2_1 +*CAP +1 *1164:D 0.000616965 +2 *1065:X 0.000616965 +3 *1164:D *944:A 0.000199203 +4 *1164:D *944:C_N 0.000206696 +5 *1164:D *1065:A 0 +6 *1164:D *1065:B 0 +7 *1164:D *1199:A 1.41976e-05 +8 *1164:D *259:18 0.000159103 +9 *1164:D *262:8 0 +10 *1164:D *262:37 0.000143892 +11 *1164:D *325:11 2.9178e-05 +*RES +1 *1065:X *1164:D 45.049 +*END + +*D_NET *130 0.000421824 +*CONN +*I *887:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *886:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *887:A 0.000128982 +2 *886:X 0.000128982 +3 *887:A *138:9 0.00016386 +*RES +1 *886:X *887:A 22.4287 +*END + +*D_NET *131 0.000473316 +*CONN +*I *889:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *888:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *889:A 0.000122361 +2 *888:X 0.000122361 +3 *889:A *263:27 0.000228593 +*RES +1 *888:X *889:A 22.5975 +*END + +*D_NET *132 0.00069328 +*CONN +*I *892:A I *D sky130_fd_sc_hd__nand3_1 +*I *890:X O *D sky130_fd_sc_hd__or2b_1 +*CAP +1 *892:A 0.000197485 +2 *890:X 0.000197485 +3 *892:A *892:B 6.27718e-05 +4 *892:A *1013:A 3.00174e-06 +5 *892:A *1133:CLK 5.23577e-05 +6 *892:A *1169:A 6.08467e-05 +7 *892:A *231:21 0.000119333 +8 *892:A *260:53 0 +*RES +1 *890:X *892:A 33.791 +*END + +*D_NET *133 0.00156058 +*CONN +*I *892:B I *D sky130_fd_sc_hd__nand3_1 +*I *891:X O *D sky130_fd_sc_hd__or2b_1 +*CAP +1 *892:B 0.000300341 +2 *891:X 0.000300341 +3 *892:B *890:B_N 6.50586e-05 +4 *892:B *900:A1 0.000179951 +5 *892:B *1013:A 0.000165511 +6 *892:B *1148:CLK 7.26606e-05 +7 *892:B *1169:A 5.76219e-05 +8 *892:B *260:53 0.000149628 +9 *892:A *892:B 6.27718e-05 +10 *1133:D *892:B 0.000206696 +*RES +1 *891:X *892:B 38.8359 +*END + +*D_NET *134 0.00202876 +*CONN +*I *900:A1 I *D sky130_fd_sc_hd__o21a_1 +*I *892:Y O *D sky130_fd_sc_hd__nand3_1 +*CAP +1 *900:A1 0.000607732 +2 *892:Y 0.000607732 +3 *900:A1 *890:B_N 6.27718e-05 +4 *900:A1 *1013:A 1.00901e-05 +5 *900:A1 *1013:B 3.10193e-05 +6 *900:A1 *1114:CLK 0 +7 *900:A1 *1148:CLK 0.000119367 +8 *900:A1 *1169:A 2.77625e-06 +9 *900:A1 *138:9 0.000105124 +10 *900:A1 *231:21 0 +11 *900:A1 *259:89 6.34658e-05 +12 *900:A1 *276:8 7.86847e-05 +13 *892:B *900:A1 0.000179951 +14 *1133:D *900:A1 0.000160047 +*RES +1 *892:Y *900:A1 42.6912 +*END + +*D_NET *135 0.000239457 +*CONN +*I *896:A1 I *D sky130_fd_sc_hd__o21ai_1 +*I *893:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *896:A1 3.72871e-05 +2 *893:Y 3.72871e-05 +3 *896:A1 *893:B 4.04393e-05 +4 *896:A1 *261:29 0.000124443 +*RES +1 *893:Y *896:A1 20.355 +*END + +*D_NET *136 0.000276919 +*CONN +*I *896:A2 I *D sky130_fd_sc_hd__o21ai_1 +*I *894:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *896:A2 9.38556e-05 +2 *894:X 9.38556e-05 +3 *896:A2 *1113:D 1.31891e-05 +4 *896:A2 *138:9 7.60183e-05 +*RES +1 *894:X *896:A2 29.6384 +*END + +*D_NET *137 0.00176953 +*CONN +*I *904:C I *D sky130_fd_sc_hd__nand3b_1 +*I *896:B1 I *D sky130_fd_sc_hd__o21ai_1 +*I *895:X O *D sky130_fd_sc_hd__o21a_1 +*CAP +1 *904:C 0.00032411 +2 *896:B1 0.000154424 +3 *895:X 0.000104551 +4 *137:7 0.000583085 +5 *896:B1 *255:28 0 +6 *896:B1 *259:58 0.000185373 +7 *896:B1 *261:29 1.00766e-05 +8 *904:C *901:A 5.11656e-06 +9 *904:C *904:A_N 1.05106e-05 +10 *904:C *904:B 1.75779e-05 +11 *904:C *907:A2 2.57986e-05 +12 *904:C *142:21 2.16355e-05 +13 *904:C *255:28 0 +14 *904:C *259:58 2.24484e-05 +15 *904:C *261:22 6.26431e-05 +16 *904:C *261:29 2.97007e-05 +17 *137:7 *259:58 0.00016553 +18 *55:14 *904:C 4.69465e-05 +*RES +1 *895:X *137:7 15.5817 +2 *137:7 *896:B1 17.6896 +3 *137:7 *904:C 21.4927 +*END + +*D_NET *138 0.00356595 +*CONN +*I *900:A2 I *D sky130_fd_sc_hd__o21a_1 +*I *896:Y O *D sky130_fd_sc_hd__o21ai_1 +*CAP +1 *900:A2 1.57841e-05 +2 *896:Y 0.00119412 +3 *138:9 0.00120991 +4 *138:9 *386:DIODE 0.000154914 +5 *138:9 *890:B_N 2.60765e-05 +6 *138:9 *260:47 4.25818e-05 +7 *887:A *138:9 0.00016386 +8 *896:A2 *138:9 7.60183e-05 +9 *900:A1 *138:9 0.000105124 +10 *1067:A0 *900:A2 1.63255e-05 +11 *1161:D *138:9 0.000561233 +*RES +1 *896:Y *138:9 49.6383 +2 *138:9 *900:A2 9.82786 +*END + +*D_NET *139 0.00198774 +*CONN +*I *906:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *899:A I *D sky130_fd_sc_hd__nand2_2 +*I *897:Y O *D sky130_fd_sc_hd__o21ai_1 +*CAP +1 *906:A 0.000353259 +2 *899:A 0 +3 *897:Y 0.000122773 +4 *139:8 0.000476032 +5 *906:A *899:B 2.63971e-05 +6 *906:A *914:B1_N 2.57847e-05 +7 *906:A *140:11 2.58616e-05 +8 *906:A *146:7 6.08467e-05 +9 *139:8 *897:B1 0.000317682 +10 *139:8 *229:8 6.05806e-05 +11 *139:8 *229:10 7.85016e-05 +12 *18:9 *906:A 0.000184753 +13 *18:19 *906:A 0.00025527 +*RES +1 *897:Y *139:8 23.8184 +2 *139:8 *899:A 9.24915 +3 *139:8 *906:A 18.8703 +*END + +*D_NET *140 0.00391871 +*CONN +*I *911:B I *D sky130_fd_sc_hd__nand3_1 +*I *905:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *933:B I *D sky130_fd_sc_hd__nand2_1 +*I *899:B I *D sky130_fd_sc_hd__nand2_2 +*I *913:B I *D sky130_fd_sc_hd__nand3_1 +*I *898:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *911:B 0.000218657 +2 *905:A 0 +3 *933:B 7.5983e-05 +4 *899:B 4.52616e-05 +5 *913:B 0.000117716 +6 *898:Y 2.77422e-05 +7 *140:42 0.000392541 +8 *140:33 0.000368323 +9 *140:11 0.000412447 +10 *140:7 0.000395668 +11 *911:B *911:A 6.92705e-05 +12 *911:B *1170:A 4.33819e-05 +13 *911:B *145:8 0.000255988 +14 *911:B *145:14 7.92757e-06 +15 *911:B *261:89 2.92544e-05 +16 *913:B *382:DIODE 6.53312e-05 +17 *913:B *897:B1 8.94093e-05 +18 *913:B *913:C 0.000114594 +19 *913:B *229:10 0.000189867 +20 *933:B *897:A2 1.99054e-05 +21 *140:11 *897:A2 0 +22 *140:11 *930:A1 1.37421e-05 +23 *140:11 *261:95 0.000109427 +24 *140:33 *897:A2 0 +25 *140:42 *897:A2 6.7671e-06 +26 *140:42 *145:8 6.64392e-05 +27 *140:42 *261:89 0.000227148 +28 *906:A *899:B 2.63971e-05 +29 *906:A *140:11 2.58616e-05 +30 *927:A *140:11 0.000167047 +31 *927:A *140:33 0.000187019 +32 *1067:S *140:11 7.45478e-05 +33 *4:11 *140:7 6.08467e-05 +34 *18:9 *899:B 1.41976e-05 +*RES +1 *898:Y *140:7 14.4725 +2 *140:7 *140:11 11.7653 +3 *140:11 *913:B 23.5748 +4 *140:11 *899:B 10.5513 +5 *140:7 *140:33 7.993 +6 *140:33 *933:B 11.1059 +7 *140:33 *140:42 4.60562 +8 *140:42 *905:A 9.24915 +9 *140:42 *911:B 24.7162 +*END + +*D_NET *141 0.000546704 +*CONN +*I *902:A I *D sky130_fd_sc_hd__nand2_1 +*I *901:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *902:A 0.000143196 +2 *901:Y 0.000143196 +3 *902:A *902:B 5.22654e-06 +4 *902:A *142:8 7.73661e-05 +5 *902:A *261:22 3.66496e-05 +6 *902:A *261:29 3.06126e-05 +7 *902:A *329:8 0 +8 *55:14 *902:A 0.000110458 +*RES +1 *901:Y *902:A 31.4388 +*END + +*D_NET *142 0.00182002 +*CONN +*I *907:A2 I *D sky130_fd_sc_hd__o21bai_1 +*I *904:A_N I *D sky130_fd_sc_hd__nand3b_1 +*I *1023:A I *D sky130_fd_sc_hd__clkinv_4 +*I *902:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *907:A2 0.000103774 +2 *904:A_N 1.0342e-05 +3 *1023:A 1.24734e-05 +4 *902:Y 0.000100454 +5 *142:21 0.000224857 +6 *142:8 0.000223669 +7 *904:A_N *901:A 4.76161e-06 +8 *904:A_N *904:B 3.32824e-07 +9 *907:A2 *904:B 0.000178697 +10 *907:A2 *907:B1_N 3.13805e-06 +11 *907:A2 *1027:B1 0.000110458 +12 *907:A2 *281:21 0.000130599 +13 *1023:A *901:A 4.85742e-05 +14 *142:8 *901:B 3.00174e-06 +15 *142:8 *1119:D 8.62474e-06 +16 *142:8 *261:22 1.57723e-05 +17 *142:8 *329:8 2.49682e-05 +18 *142:21 *901:A 0.000123007 +19 *142:21 *901:B 6.27718e-05 +20 *902:A *142:8 7.73661e-05 +21 *904:C *904:A_N 1.05106e-05 +22 *904:C *907:A2 2.57986e-05 +23 *904:C *142:21 2.16355e-05 +24 *55:14 *1023:A 2.58616e-05 +25 *55:14 *142:21 0.000268573 +*RES +1 *902:Y *142:8 20.9116 +2 *142:8 *1023:A 9.97254 +3 *142:8 *142:21 5.01555 +4 *142:21 *904:A_N 9.82786 +5 *142:21 *907:A2 13.8789 +*END + +*D_NET *143 0.00166618 +*CONN +*I *907:B1_N I *D sky130_fd_sc_hd__o21bai_1 +*I *904:B I *D sky130_fd_sc_hd__nand3b_1 +*I *903:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *907:B1_N 1.61763e-05 +2 *904:B 0.000174841 +3 *903:Y 0.000251879 +4 *143:5 0.000442897 +5 *904:B *908:A 3.84478e-05 +6 *904:B *1027:B1 4.01825e-05 +7 *143:5 *1026:A 0.000365572 +8 *143:5 *1027:B1 7.36563e-05 +9 *143:5 *1107:A1 6.27782e-05 +10 *904:A_N *904:B 3.32824e-07 +11 *904:C *904:B 1.75779e-05 +12 *907:A2 *904:B 0.000178697 +13 *907:A2 *907:B1_N 3.13805e-06 +*RES +1 *903:Y *143:5 16.6278 +2 *143:5 *904:B 15.1328 +3 *143:5 *907:B1_N 9.82786 +*END + +*D_NET *144 0.000393908 +*CONN +*I *908:A I *D sky130_fd_sc_hd__nand3_1 +*I *904:Y O *D sky130_fd_sc_hd__nand3b_1 +*CAP +1 *908:A 0.000115011 +2 *904:Y 0.000115011 +3 *908:A *146:66 4.57412e-05 +4 *908:A *281:21 6.96846e-05 +5 *904:B *908:A 3.84478e-05 +6 *55:14 *908:A 1.00114e-05 +*RES +1 *904:Y *908:A 30.4689 +*END + +*D_NET *145 0.013652 +*CONN +*I *908:B I *D sky130_fd_sc_hd__nand3_1 +*I *909:B I *D sky130_fd_sc_hd__nand3_1 +*I *932:C I *D sky130_fd_sc_hd__nand3_1 +*I *939:B I *D sky130_fd_sc_hd__nand3_1 +*I *935:B I *D sky130_fd_sc_hd__nand3_1 +*I *905:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *908:B 0.000899497 +2 *909:B 0.000364154 +3 *932:C 2.98868e-05 +4 *939:B 0.000633823 +5 *935:B 0 +6 *905:X 0.000313414 +7 *145:54 0.00232157 +8 *145:34 0.00125176 +9 *145:14 0.00167437 +10 *145:8 0.00151791 +11 *908:B *901:B 0.000370801 +12 *908:B *1070:S 6.94804e-05 +13 *908:B *1119:D 5.01524e-05 +14 *908:B *1129:CLK 6.3657e-05 +15 *908:B *146:66 0.000780627 +16 *908:B *261:20 1.31166e-05 +17 *908:B *261:77 1.65872e-05 +18 *908:B *281:21 0.000161252 +19 *909:B *901:A 2.91462e-05 +20 *909:B *909:A 1.05106e-05 +21 *909:B *909:C 0.00021217 +22 *909:B *1058:B 3.54024e-05 +23 *909:B *1120:D 4.82337e-05 +24 *909:B *261:89 3.00174e-06 +25 *909:B *271:30 0.000169093 +26 *932:C *1159:CLK 2.57847e-05 +27 *939:B *940:B 3.5063e-05 +28 *939:B *1053:A 3.20264e-05 +29 *939:B *1152:CLK_N 3.64303e-05 +30 *145:8 *911:C 0 +31 *145:8 *261:89 3.71813e-06 +32 *145:14 *932:A 0.000222149 +33 *145:14 *1053:A 0.000173578 +34 *145:14 *1101:A1 2.07087e-05 +35 *145:14 *1101:S 0.000370829 +36 *145:14 *1151:SET_B 0 +37 *145:14 *1170:A 1.41976e-05 +38 *145:14 *229:7 5.52874e-05 +39 *145:14 *309:7 2.65667e-05 +40 *145:14 *309:564 0 +41 *145:14 *309:580 6.23875e-05 +42 *145:14 *309:591 0.00016053 +43 *145:34 *925:A 8.61152e-06 +44 *145:34 *309:623 0 +45 *145:54 *925:A 6.27718e-05 +46 *145:54 *1059:A 7.98171e-06 +47 *145:54 *1120:D 2.14433e-05 +48 *145:54 *1159:CLK 0.000199827 +49 *145:54 *146:36 3.03057e-05 +50 *145:54 *146:66 0.00016295 +51 *145:54 *271:30 2.24484e-05 +52 *910:A1 *909:B 1.17054e-05 +53 *911:B *145:8 0.000255988 +54 *911:B *145:14 7.92757e-06 +55 *934:B *145:14 7.6719e-06 +56 *1069:A0 *908:B 7.54269e-06 +57 *1070:A0 *908:B 3.50287e-05 +58 *1077:S *908:B 3.31882e-05 +59 *1100:S *145:14 1.43848e-05 +60 *1101:A0 *145:14 0.000136352 +61 *1159:D *145:54 0.000139098 +62 *1165:A *145:54 7.79978e-05 +63 *52:28 *145:14 6.34953e-05 +64 *93:15 *145:8 0 +65 *140:42 *145:8 6.64392e-05 +*RES +1 *905:X *145:8 19.7687 +2 *145:8 *145:14 36.6491 +3 *145:14 *935:B 13.7491 +4 *145:14 *939:B 23.9537 +5 *145:8 *145:34 7.993 +6 *145:34 *932:C 9.97254 +7 *145:34 *145:54 28.1397 +8 *145:54 *909:B 21.8719 +9 *145:54 *908:B 43.6894 +*END + +*D_NET *146 0.0125382 +*CONN +*I *907:A1 I *D sky130_fd_sc_hd__o21bai_1 +*I *1027:B1 I *D sky130_fd_sc_hd__o2bb2ai_2 +*I *909:A I *D sky130_fd_sc_hd__nand3_1 +*I *911:A I *D sky130_fd_sc_hd__nand3_1 +*I *913:A I *D sky130_fd_sc_hd__nand3_1 +*I *906:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *907:A1 0 +2 *1027:B1 0.000364204 +3 *909:A 0.000126883 +4 *911:A 5.30061e-05 +5 *913:A 0.000288944 +6 *906:X 8.25634e-05 +7 *146:66 0.00144284 +8 *146:36 0.00191889 +9 *146:21 0.000977588 +10 *146:7 0.00058272 +11 *909:A *910:B1_N 6.27718e-05 +12 *909:A *1017:A0 0.000169078 +13 *909:A *231:21 6.74667e-05 +14 *911:A *912:B1_N 5.9036e-05 +15 *911:A *1170:A 0.00027103 +16 *913:A *382:DIODE 0.000363885 +17 *913:A *383:DIODE 1.67877e-05 +18 *913:A *913:C 5.28741e-05 +19 *913:A *1114:CLK 3.25282e-05 +20 *913:A *269:13 0.000106549 +21 *913:A *309:630 6.97803e-05 +22 *913:A *309:631 3.37311e-05 +23 *913:A *309:633 5.46384e-06 +24 *1027:B1 *385:DIODE 0 +25 *1027:B1 *1026:A 2.57847e-05 +26 *1027:B1 *1027:A1_N 6.08467e-05 +27 *1027:B1 *255:28 2.10465e-05 +28 *1027:B1 *259:44 0.000263107 +29 *1027:B1 *260:13 0.000151292 +30 *1027:B1 *281:21 0.000613299 +31 *146:21 *383:DIODE 1.38872e-05 +32 *146:21 *911:C 9.58242e-05 +33 *146:21 *309:623 0.000380909 +34 *146:21 *309:630 9.60366e-05 +35 *146:36 *912:B1_N 1.65872e-05 +36 *146:36 *1059:A 0.000107496 +37 *146:36 *1159:CLK 2.65831e-05 +38 *146:36 *1170:A 0.000107496 +39 *146:36 *309:623 0.000143047 +40 *146:66 *1017:A0 4.55115e-05 +41 *146:66 *1059:A 0.000163428 +42 *146:66 *1070:S 6.84074e-06 +43 *146:66 *1129:D 3.82228e-05 +44 *146:66 *1129:CLK 0.000123543 +45 *146:66 *1171:A 0.000140887 +46 *146:66 *231:21 1.87469e-05 +47 *146:66 *255:28 0.000214357 +48 *146:66 *271:30 0.000314044 +49 *146:66 *281:21 1.13102e-05 +50 *904:B *1027:B1 4.01825e-05 +51 *906:A *146:7 6.08467e-05 +52 *907:A2 *1027:B1 0.000110458 +53 *908:A *146:66 4.57412e-05 +54 *908:B *146:66 0.000780627 +55 *909:B *909:A 1.05106e-05 +56 *910:A1 *909:A 9.52449e-05 +57 *911:B *911:A 6.92705e-05 +58 *1069:A0 *146:66 9.24241e-05 +59 *1114:D *146:36 5.47736e-05 +60 *1157:D *913:A 7.26606e-05 +61 *1159:D *146:36 0.000168269 +62 *1165:A *146:66 0.000113968 +63 *18:19 *146:7 2.57365e-05 +64 *93:15 *146:21 0.000181802 +65 *143:5 *1027:B1 7.36563e-05 +66 *145:54 *146:36 3.03057e-05 +67 *145:54 *146:66 0.00016295 +*RES +1 *906:X *146:7 15.0271 +2 *146:7 *913:A 24.3662 +3 *146:7 *146:21 13.3913 +4 *146:21 *911:A 12.191 +5 *146:21 *146:36 27.0376 +6 *146:36 *909:A 18.6836 +7 *146:36 *146:66 41.9863 +8 *146:66 *1027:B1 36.5942 +9 *146:66 *907:A1 13.7491 +*END + +*D_NET *147 0.000430081 +*CONN +*I *908:C I *D sky130_fd_sc_hd__nand3_1 +*I *907:Y O *D sky130_fd_sc_hd__o21bai_1 +*CAP +1 *908:C 0.000110083 +2 *907:Y 0.000110083 +3 *908:C *1026:B 0 +4 *908:C *255:28 9.82083e-05 +5 *1160:D *908:C 0.000111708 +*RES +1 *907:Y *908:C 30.6083 +*END + +*D_NET *148 0.000148563 +*CONN +*I *910:B1_N I *D sky130_fd_sc_hd__a21bo_1 +*I *909:Y O *D sky130_fd_sc_hd__nand3_1 +*CAP +1 *910:B1_N 4.28958e-05 +2 *909:Y 4.28958e-05 +3 *909:A *910:B1_N 6.27718e-05 +*RES +1 *909:Y *910:B1_N 19.2217 +*END + +*D_NET *149 0.000398249 +*CONN +*I *912:B1_N I *D sky130_fd_sc_hd__a21bo_1 +*I *911:Y O *D sky130_fd_sc_hd__nand3_1 +*CAP +1 *912:B1_N 0.000161313 +2 *911:Y 0.000161313 +3 *911:A *912:B1_N 5.9036e-05 +4 *146:36 *912:B1_N 1.65872e-05 +*RES +1 *911:Y *912:B1_N 20.8855 +*END + +*D_NET *150 0.000602432 +*CONN +*I *914:B1_N I *D sky130_fd_sc_hd__a21bo_1 +*I *913:Y O *D sky130_fd_sc_hd__nand3_1 +*CAP +1 *914:B1_N 0.000197812 +2 *913:Y 0.000197812 +3 *914:B1_N *382:DIODE 0.000117376 +4 *906:A *914:B1_N 2.57847e-05 +5 *18:9 *914:B1_N 6.36477e-05 +*RES +1 *913:Y *914:B1_N 31.7469 +*END + +*D_NET *151 0.000630206 +*CONN +*I *916:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *915:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *916:A 0.000315103 +2 *915:X 0.000315103 +*RES +1 *915:X *916:A 23.538 +*END + +*D_NET *152 0.00109169 +*CONN +*I *919:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *918:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *919:A 0.000402795 +2 *918:X 0.000402795 +3 *919:A *1047:A 2.30636e-05 +4 *919:A *1047:B 0.000263038 +*RES +1 *918:X *919:A 28.5294 +*END + +*D_NET *153 0.00019821 +*CONN +*I *921:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *920:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *921:A 7.26679e-05 +2 *920:X 7.26679e-05 +3 *921:A *272:15 5.28741e-05 +*RES +1 *920:X *921:A 20.7649 +*END + +*D_NET *154 0.00298634 +*CONN +*I *924:C1 I *D sky130_fd_sc_hd__o211a_1 +*I *930:C1 I *D sky130_fd_sc_hd__o211ai_4 +*I *923:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *924:C1 0.00024 +2 *930:C1 0 +3 *923:Y 0.000266655 +4 *154:9 0.000506655 +5 *924:C1 *1049:A1 2.57986e-05 +6 *924:C1 *259:97 2.57986e-05 +7 *924:C1 *259:99 4.87301e-05 +8 *924:C1 *259:120 0.000157906 +9 *924:C1 *259:139 2.94331e-05 +10 *924:C1 *260:89 0.00015607 +11 *924:C1 *260:119 0.000244787 +12 *924:C1 *260:130 4.01357e-05 +13 *154:9 *923:B 0.000160047 +14 *154:9 *1099:S 0.00021377 +15 *154:9 *159:10 6.46815e-05 +16 *154:9 *260:89 3.26503e-05 +17 *915:A1 *154:9 0.000109427 +18 *915:S *154:9 5.04829e-06 +19 *1099:A0 *154:9 6.3657e-05 +20 *1099:A1 *924:C1 3.54295e-05 +21 *1099:A1 *154:9 0.000559663 +*RES +1 *923:Y *154:9 20.1483 +2 *154:9 *930:C1 9.24915 +3 *154:9 *924:C1 26.9265 +*END + +*D_NET *155 0.00162478 +*CONN +*I *931:B1_N I *D sky130_fd_sc_hd__o21bai_1 +*I *927:B I *D sky130_fd_sc_hd__nand3_1 +*I *925:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *931:B1_N 0 +2 *927:B 0.000309669 +3 *925:Y 0.00019713 +4 *155:5 0.000506799 +5 *927:B *931:A2 0.000217951 +6 *927:B *932:A 7.92757e-06 +7 *927:B *1100:A1 5.79399e-05 +8 *927:B *1159:CLK 2.57986e-05 +9 *155:5 *1159:CLK 0.000139101 +10 *927:A *927:B 1.07248e-05 +11 *1100:S *927:B 0.000151741 +*RES +1 *925:Y *155:5 12.7456 +2 *155:5 *927:B 24.9627 +3 *155:5 *931:B1_N 9.24915 +*END + +*D_NET *156 0.00117396 +*CONN +*I *932:A I *D sky130_fd_sc_hd__nand3_1 +*I *927:Y O *D sky130_fd_sc_hd__nand3_1 +*CAP +1 *932:A 0.000246 +2 *927:Y 0.000246 +3 *932:A *922:A 2.20021e-05 +4 *932:A *932:B 0.000161243 +5 *932:A *1100:A1 3.19611e-05 +6 *932:A *1159:CLK 0.000114594 +7 *932:A *229:83 0.000122083 +8 *927:B *932:A 7.92757e-06 +9 *145:14 *932:A 0.000222149 +*RES +1 *927:Y *932:A 34.3806 +*END + +*D_NET *157 0.000304285 +*CONN +*I *929:A I *D sky130_fd_sc_hd__nand2_1 +*I *928:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *929:A 0.000112612 +2 *928:Y 0.000112612 +3 *929:A *928:A 2.65831e-05 +4 *929:A *929:B 1.88907e-05 +5 *929:A *931:A1 2.65667e-05 +6 *929:A *159:41 7.02172e-06 +*RES +1 *928:Y *929:A 21.4642 +*END + +*D_NET *158 0.00120503 +*CONN +*I *931:A1 I *D sky130_fd_sc_hd__o21bai_1 +*I *929:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *931:A1 0.000266984 +2 *929:Y 0.000266984 +3 *931:A1 *931:A2 0.000134849 +4 *931:A1 *1100:A1 0.00016386 +5 *931:A1 *159:41 0.000345783 +6 *929:A *931:A1 2.65667e-05 +*RES +1 *929:Y *931:A1 28.8429 +*END + +*D_NET *159 0.00789186 +*CONN +*I *931:A2 I *D sky130_fd_sc_hd__o21bai_1 +*I *933:A I *D sky130_fd_sc_hd__nand2_1 +*I *935:A I *D sky130_fd_sc_hd__nand3_1 +*I *939:A I *D sky130_fd_sc_hd__nand3_1 +*I *930:Y O *D sky130_fd_sc_hd__o211ai_4 +*CAP +1 *931:A2 0.000163355 +2 *933:A 0.000240878 +3 *935:A 1.43292e-05 +4 *939:A 0.00037645 +5 *930:Y 0.000518245 +6 *159:41 0.000784136 +7 *159:17 0.000933859 +8 *159:10 0.00144123 +9 *935:A *935:C 1.05512e-05 +10 *935:A *267:19 1.02267e-05 +11 *939:A *939:C 0.00030181 +12 *939:A *940:A 5.72365e-05 +13 *939:A *940:B 6.27782e-05 +14 *939:A *1152:CLK_N 6.27782e-05 +15 *939:A *267:19 0.00020358 +16 *159:10 *1049:B1 0.000183 +17 *159:10 *1101:S 4.98796e-05 +18 *159:10 *260:89 0.000185616 +19 *159:10 *260:102 0.000348807 +20 *159:10 *280:42 1.59362e-06 +21 *159:10 *280:51 1.35449e-05 +22 *159:10 *280:71 7.03112e-06 +23 *159:10 *309:591 0 +24 *159:17 *929:B 4.88955e-05 +25 *159:17 *935:C 2.45352e-05 +26 *159:17 *266:27 0.000572395 +27 *159:17 *267:19 1.80122e-05 +28 *159:17 *267:33 6.89584e-06 +29 *159:41 *929:B 6.50727e-05 +30 *927:A *933:A 0 +31 *927:B *931:A2 0.000217951 +32 *929:A *159:41 7.02172e-06 +33 *931:A1 *931:A2 0.000134849 +34 *931:A1 *159:41 0.000345783 +35 *1100:S *933:A 5.47652e-05 +36 *1101:A0 *933:A 3.40805e-05 +37 *4:7 *933:A 0 +38 *4:7 *159:10 6.15948e-05 +39 *9:5 *939:A 8.13394e-05 +40 *52:28 *159:10 0.000183074 +41 *154:9 *159:10 6.46815e-05 +*RES +1 *930:Y *159:10 34.5079 +2 *159:10 *159:17 10.3687 +3 *159:17 *939:A 30.3988 +4 *159:17 *935:A 9.82786 +5 *159:10 *159:41 8.12615 +6 *159:41 *933:A 24.2337 +7 *159:41 *931:A2 14.8434 +*END + +*D_NET *160 0.000395547 +*CONN +*I *932:B I *D sky130_fd_sc_hd__nand3_1 +*I *931:Y O *D sky130_fd_sc_hd__o21bai_1 +*CAP +1 *932:B 0.000105679 +2 *931:Y 0.000105679 +3 *932:B *1159:CLK 2.29454e-05 +4 *932:A *932:B 0.000161243 +*RES +1 *931:Y *932:B 21.4642 +*END + +*D_NET *161 0.00631029 +*CONN +*I *938:A I *D sky130_fd_sc_hd__nand2_1 +*I *934:A I *D sky130_fd_sc_hd__nand2_1 +*I *943:A2 I *D sky130_fd_sc_hd__o21ai_1 +*I *942:A I *D sky130_fd_sc_hd__nand2_1 +*I *933:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *938:A 0.000235187 +2 *934:A 0 +3 *943:A2 0.000243376 +4 *942:A 9.23208e-05 +5 *933:Y 0.00074855 +6 *161:20 0.000408874 +7 *161:18 0.000137212 +8 *161:17 0.00104777 +9 *938:A *936:A 6.92005e-05 +10 *938:A *309:8 5.37082e-05 +11 *938:A *309:564 2.24484e-05 +12 *942:A *941:A 0.000230415 +13 *942:A *309:10 0.000230415 +14 *943:A2 *1072:S 0.000164815 +15 *161:17 *897:A2 9.44631e-06 +16 *161:17 *1053:A 0.000113758 +17 *161:17 *1073:A1 2.30636e-05 +18 *161:17 *266:24 0.000136276 +19 *161:17 *309:7 0.000155038 +20 *161:17 *309:580 4.66492e-05 +21 *161:18 *936:A 7.26748e-05 +22 *161:18 *309:8 8.12683e-05 +23 *161:18 *309:10 3.20264e-05 +24 *161:20 *941:A 6.51423e-05 +25 *161:20 *309:10 0.000144269 +26 *934:B *161:17 0.000107496 +27 *938:B *938:A 0.000233797 +28 *938:B *161:17 0.000315388 +29 *943:A1 *943:A2 4.23874e-05 +30 *1073:A0 *161:17 0.000703919 +31 *1073:S *161:17 1.4106e-05 +32 *1074:A0 *161:17 0.00025821 +33 *1179:A *938:A 6.50727e-05 +34 *52:22 *161:17 6.00782e-06 +*RES +1 *933:Y *161:17 44.938 +2 *161:17 *161:18 2.24725 +3 *161:18 *161:20 2.6625 +4 *161:20 *942:A 18.0727 +5 *161:20 *943:A2 17.8002 +6 *161:18 *934:A 13.7491 +7 *161:17 *938:A 22.014 +*END + +*D_NET *162 0.00169281 +*CONN +*I *936:A I *D sky130_fd_sc_hd__nand2_1 +*I *934:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *936:A 0.000475043 +2 *934:Y 0.000475043 +3 *936:A *936:B 0.000304613 +4 *936:A *1150:RESET_B 6.27718e-05 +5 *936:A *1151:SET_B 3.66496e-05 +6 *936:A *309:564 5.22071e-05 +7 *938:A *936:A 6.92005e-05 +8 *1152:D *936:A 0.000144613 +9 *1179:A *936:A 0 +10 *9:5 *936:A 0 +11 *161:18 *936:A 7.26748e-05 +*RES +1 *934:Y *936:A 41.7371 +*END + +*D_NET *163 0.00111837 +*CONN +*I *936:B I *D sky130_fd_sc_hd__nand2_1 +*I *935:Y O *D sky130_fd_sc_hd__nand3_1 +*CAP +1 *936:B 0.000324714 +2 *935:Y 0.000324714 +3 *936:B *1151:SET_B 6.27718e-05 +4 *936:B *267:19 0.000101553 +5 *936:A *936:B 0.000304613 +*RES +1 *935:Y *936:B 35.87 +*END + +*D_NET *164 0.000590279 +*CONN +*I *940:A I *D sky130_fd_sc_hd__nand2_1 +*I *938:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *940:A 0.000243398 +2 *938:Y 0.000243398 +3 *940:A *229:39 0 +4 *938:B *940:A 1.31657e-05 +5 *939:A *940:A 5.72365e-05 +6 *1151:D *940:A 3.30805e-05 +7 *9:5 *940:A 0 +*RES +1 *938:Y *940:A 33.791 +*END + +*D_NET *165 0.000276317 +*CONN +*I *940:B I *D sky130_fd_sc_hd__nand2_1 +*I *939:Y O *D sky130_fd_sc_hd__nand3_1 +*CAP +1 *940:B 7.88314e-05 +2 *939:Y 7.88314e-05 +3 *940:B *1152:CLK_N 2.08133e-05 +4 *939:A *940:B 6.27782e-05 +5 *939:B *940:B 3.5063e-05 +*RES +1 *939:Y *940:B 20.9337 +*END + +*D_NET *166 0.000942416 +*CONN +*I *943:B1 I *D sky130_fd_sc_hd__o21ai_1 +*I *942:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *943:B1 0.000471208 +2 *942:Y 0.000471208 +3 *943:B1 *941:A 0 +4 *83:8 *943:B1 0 +*RES +1 *942:Y *943:B1 35.321 +*END + +*D_NET *167 0.00225467 +*CONN +*I *1027:A1_N I *D sky130_fd_sc_hd__o2bb2ai_2 +*I *947:A2 I *D sky130_fd_sc_hd__a21o_1 +*I *948:B I *D sky130_fd_sc_hd__nand3_1 +*I *945:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *1027:A1_N 8.04014e-05 +2 *947:A2 4.44879e-05 +3 *948:B 0.000119102 +4 *945:Y 0 +5 *167:6 0.000272 +6 *167:4 0.000188812 +7 *947:A2 *168:9 6.08467e-05 +8 *948:B *948:C 0.000114584 +9 *948:B *232:49 0.000191861 +10 *948:B *232:56 2.1667e-05 +11 *1027:A1_N *259:44 6.49003e-05 +12 *1027:A1_N *260:13 0.000470585 +13 *1027:A1_N *281:10 6.50727e-05 +14 *1027:A1_N *281:49 4.23874e-05 +15 *167:6 *232:56 0.000159954 +16 *947:A1 *948:B 0.000178049 +17 *948:A *948:B 3.57621e-05 +18 *1027:B1 *1027:A1_N 6.08467e-05 +19 *1104:S *948:B 3.06627e-05 +20 *1149:D *947:A2 2.16355e-05 +21 *50:15 *948:B 3.10541e-05 +*RES +1 *945:Y *167:4 9.24915 +2 *167:4 *167:6 7.57775 +3 *167:6 *948:B 19.5141 +4 *167:6 *947:A2 15.0513 +5 *167:4 *1027:A1_N 14.4094 +*END + +*D_NET *168 0.00379384 +*CONN +*I *948:C I *D sky130_fd_sc_hd__nand3_1 +*I *947:B1 I *D sky130_fd_sc_hd__a21o_1 +*I *946:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *948:C 0.000262571 +2 *947:B1 0 +3 *946:Y 0.00128259 +4 *168:9 0.00154516 +5 *948:C *949:B 9.35846e-05 +6 *168:9 *1149:SET_B 5.70605e-05 +7 *168:9 *265:5 0.000169317 +8 *947:A1 *948:C 1.14782e-05 +9 *947:A2 *168:9 6.08467e-05 +10 *948:A *948:C 1.37189e-05 +11 *948:B *948:C 0.000114584 +12 *1104:S *948:C 2.22714e-05 +13 *1149:D *168:9 0.000160663 +*RES +1 *946:Y *168:9 30.101 +2 *168:9 *947:B1 9.24915 +3 *168:9 *948:C 24.9599 +*END + +*D_NET *169 0.000105186 +*CONN +*I *949:A I *D sky130_fd_sc_hd__nand2_1 +*I *947:X O *D sky130_fd_sc_hd__a21o_1 +*CAP +1 *949:A 1.98089e-05 +2 *947:X 1.98089e-05 +3 *1149:D *949:A 6.5568e-05 +*RES +1 *947:X *949:A 19.2217 +*END + +*D_NET *170 0.000827784 +*CONN +*I *949:B I *D sky130_fd_sc_hd__nand2_1 +*I *948:Y O *D sky130_fd_sc_hd__nand3_1 +*CAP +1 *949:B 0.000217213 +2 *948:Y 0.000217213 +3 *947:A1 *949:B 3.88852e-05 +4 *948:C *949:B 9.35846e-05 +5 *1149:D *949:B 0.000260888 +*RES +1 *948:Y *949:B 33.8153 +*END + +*D_NET *171 0.000214375 +*CONN +*I *951:A I *D sky130_fd_sc_hd__nand2_1 +*I *950:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *951:A 6.26032e-05 +2 *950:Y 6.26032e-05 +3 *951:A *950:A 6.1478e-06 +4 *951:A *950:B 7.35866e-05 +5 *951:A *966:B 7.02172e-06 +6 *951:A *233:68 2.41274e-06 +*RES +1 *950:Y *951:A 20.355 +*END + +*D_NET *172 0.00148721 +*CONN +*I *959:A2 I *D sky130_fd_sc_hd__o21bai_1 +*I *954:A_N I *D sky130_fd_sc_hd__nand3b_1 +*I *1022:A I *D sky130_fd_sc_hd__clkinv_4 +*I *951:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *959:A2 0.000121027 +2 *954:A_N 9.73673e-05 +3 *1022:A 0 +4 *951:Y 0.000151539 +5 *172:15 0.000342931 +6 *172:8 0.000276075 +7 *954:A_N *959:B1_N 6.27782e-05 +8 *954:A_N *1011:A 5.23577e-05 +9 *954:A_N *173:5 9.43286e-05 +10 *954:A_N *309:154 2.10465e-05 +11 *959:A2 *959:B1_N 0.000112361 +12 *959:A2 *960:A 5.31465e-05 +13 *959:A2 *960:C 2.58757e-05 +14 *959:A2 *1011:A 1.75816e-05 +15 *172:8 *951:B 7.34948e-06 +16 *172:8 *233:68 1.00937e-05 +17 *172:8 *305:84 0 +18 *172:15 *1011:A 4.13547e-05 +19 *172:15 *305:84 0 +20 *172:15 *309:154 0 +21 *49:16 *172:8 0 +22 *49:16 *172:15 0 +*RES +1 *951:Y *172:8 16.7198 +2 *172:8 *1022:A 13.7491 +3 *172:8 *172:15 3.07775 +4 *172:15 *954:A_N 25.5832 +5 *172:15 *959:A2 17.6924 +*END + +*D_NET *173 0.000972527 +*CONN +*I *954:B I *D sky130_fd_sc_hd__nand3b_1 +*I *959:B1_N I *D sky130_fd_sc_hd__o21bai_1 +*I *952:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *954:B 1.90687e-05 +2 *959:B1_N 5.29173e-05 +3 *952:Y 0.000211094 +4 *173:5 0.00028308 +5 *954:B *954:C 1.02267e-05 +6 *173:5 *954:C 0.000126672 +7 *954:A_N *959:B1_N 6.27782e-05 +8 *954:A_N *173:5 9.43286e-05 +9 *959:A2 *959:B1_N 0.000112361 +*RES +1 *952:Y *173:5 15.5186 +2 *173:5 *959:B1_N 11.0817 +3 *173:5 *954:B 9.82786 +*END + +*D_NET *174 0.0062814 +*CONN +*I *954:C I *D sky130_fd_sc_hd__nand3b_1 +*I *997:B1 I *D sky130_fd_sc_hd__o21ai_1 +*I *953:X O *D sky130_fd_sc_hd__o21a_1 +*CAP +1 *954:C 0.00224191 +2 *997:B1 0 +3 *953:X 0.000373609 +4 *174:11 0.00261552 +5 *954:C *366:DIODE 0.000248741 +6 *954:C *952:A 4.89251e-05 +7 *954:C *997:A1 4.87439e-05 +8 *954:C *997:A2 1.9503e-05 +9 *954:C *1024:B 2.26713e-06 +10 *954:C *253:5 1.89746e-05 +11 *954:C *309:208 6.46424e-05 +12 *174:11 *953:B1 3.03567e-05 +13 *174:11 *996:A 0.000122978 +14 *174:11 *996:B 0.000122978 +15 *174:11 *997:A2 0.000115154 +16 *174:11 *305:62 2.26957e-05 +17 *174:11 *305:70 1.4091e-06 +18 *174:11 *317:27 8.72115e-06 +19 *954:B *954:C 1.02267e-05 +20 *1141:D *954:C 3.73806e-05 +21 *173:5 *954:C 0.000126672 +*RES +1 *953:X *174:11 26.7953 +2 *174:11 *997:B1 9.24915 +3 *174:11 *954:C 44.5268 +*END + +*D_NET *175 0.000518586 +*CONN +*I *960:A I *D sky130_fd_sc_hd__nand3_1 +*I *954:Y O *D sky130_fd_sc_hd__nand3b_1 +*CAP +1 *960:A 0.000178747 +2 *954:Y 0.000178747 +3 *960:A *1148:CLK 8.36586e-06 +4 *960:A *177:42 2.58616e-05 +5 *960:A *305:84 4.78554e-05 +6 *959:A2 *960:A 5.31465e-05 +7 *1148:D *960:A 2.58616e-05 +*RES +1 *954:Y *960:A 31.7469 +*END + +*D_NET *176 0.0056155 +*CONN +*I *961:B I *D sky130_fd_sc_hd__nand2_2 +*I *999:B I *D sky130_fd_sc_hd__nand2_1 +*I *956:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *966:C I *D sky130_fd_sc_hd__nand3_1 +*I *964:C I *D sky130_fd_sc_hd__nand3_1 +*I *955:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *961:B 7.20617e-05 +2 *999:B 0 +3 *956:A 0.000272876 +4 *966:C 2.38514e-05 +5 *964:C 5.68678e-05 +6 *955:Y 0 +7 *176:38 0.000494549 +8 *176:33 0.000341963 +9 *176:16 0.000758493 +10 *176:4 0.000726002 +11 *956:A *999:A 4.80635e-06 +12 *956:A *192:21 1.41291e-05 +13 *956:A *203:9 0.00030513 +14 *956:A *203:25 2.91008e-06 +15 *961:B *1192:A 6.41177e-05 +16 *961:B *233:44 2.74378e-05 +17 *961:B *233:46 1.60116e-05 +18 *961:B *234:33 0.000426726 +19 *961:B *254:41 0.000205985 +20 *964:C *950:A 5.95369e-05 +21 *964:C *950:B 0.000269504 +22 *964:C *964:B 1.51284e-05 +23 *964:C *966:A 0.000118792 +24 *966:C *950:A 3.15947e-05 +25 *966:C *950:B 5.94675e-05 +26 *176:16 *957:A1 7.86825e-06 +27 *176:16 *966:B 3.0676e-05 +28 *176:16 *1198:A 1.66626e-05 +29 *176:16 *178:7 1.37189e-05 +30 *176:16 *233:46 4.87439e-05 +31 *176:16 *234:33 0.000161243 +32 *176:16 *317:36 0.000129495 +33 *176:33 *233:46 1.37531e-05 +34 *176:33 *234:33 0.000375848 +35 *176:33 *254:41 0.000113968 +36 *176:33 *254:49 0.000213725 +37 *176:38 *958:A 6.07797e-05 +38 *176:38 *961:A 1.94839e-05 +39 *176:38 *1001:A 0 +40 *176:38 *179:8 2.1558e-06 +41 *176:38 *254:41 0 +42 *19:21 *176:38 0 +43 *19:40 *176:16 3.94335e-05 +*RES +1 *955:Y *176:4 9.24915 +2 *176:4 *176:16 19.9399 +3 *176:16 *964:C 12.7697 +4 *176:16 *966:C 10.5513 +5 *176:4 *176:33 4.05102 +6 *176:33 *176:38 13.3235 +7 *176:38 *956:A 14.8675 +8 *176:38 *999:B 9.24915 +9 *176:33 *961:B 14.4335 +*END + +*D_NET *177 0.00963695 +*CONN +*I *960:B I *D sky130_fd_sc_hd__nand3_1 +*I *962:C I *D sky130_fd_sc_hd__nand3_1 +*I *985:C I *D sky130_fd_sc_hd__nand3_1 +*I *1005:B I *D sky130_fd_sc_hd__nand3_1 +*I *1001:B I *D sky130_fd_sc_hd__nand3_1 +*I *956:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *960:B 1.39234e-05 +2 *962:C 0.000348051 +3 *985:C 0 +4 *1005:B 0.000617184 +5 *1001:B 0.00018167 +6 *956:X 0.0001371 +7 *177:42 0.00193874 +8 *177:32 0.00187797 +9 *177:19 0.00102337 +10 *177:8 0.000423755 +11 *962:C *373:DIODE 7.18098e-05 +12 *962:C *960:C 6.36477e-05 +13 *962:C *305:130 2.44934e-05 +14 *1001:B *1001:C 0.000116755 +15 *1001:B *1002:A 0.000212154 +16 *1001:B *242:8 4.47713e-05 +17 *1005:B *1005:A 0.0009236 +18 *1005:B *1006:B 3.75603e-05 +19 *1005:B *241:25 1.49001e-05 +20 *1005:B *242:8 1.07248e-05 +21 *1005:B *242:23 1.00981e-05 +22 *177:8 *1001:A 0 +23 *177:8 *1002:A 0.000154024 +24 *177:8 *192:43 0.000116755 +25 *177:8 *203:9 6.78596e-05 +26 *177:8 *203:25 1.92172e-05 +27 *177:32 *984:A2 0.000150384 +28 *177:32 *984:B1_N 9.57557e-06 +29 *177:32 *985:B 9.60216e-05 +30 *177:32 *1005:A 0.000243501 +31 *177:42 *952:A 6.0471e-05 +32 *177:42 *978:A 3.44935e-05 +33 *177:42 *1024:A 0.000195493 +34 *177:42 *1024:B 0.000262139 +35 *177:42 *1025:B1 0 +36 *177:42 *305:130 1.21461e-06 +37 *177:42 *318:10 4.59192e-05 +38 *960:A *177:42 2.58616e-05 +39 *1148:D *177:42 6.17321e-05 +*RES +1 *956:X *177:8 19.143 +2 *177:8 *1001:B 19.837 +3 *177:8 *177:19 2.41823 +4 *177:19 *1005:B 26.0932 +5 *177:19 *177:32 9.92941 +6 *177:32 *985:C 13.7491 +7 *177:32 *177:42 32.1435 +8 *177:42 *962:C 25.7102 +9 *177:42 *960:B 9.82786 +*END + +*D_NET *178 0.00257396 +*CONN +*I *961:A I *D sky130_fd_sc_hd__nand2_2 +*I *958:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *957:Y O *D sky130_fd_sc_hd__o21ai_1 +*CAP +1 *961:A 0.000614171 +2 *958:A 0.000103313 +3 *957:Y 0.000123812 +4 *178:7 0.000841295 +5 *958:A *1089:A1 3.67528e-06 +6 *958:A *179:8 9.60216e-05 +7 *958:A *315:8 1.67033e-05 +8 *961:A *179:8 5.23737e-05 +9 *961:A *234:33 2.02035e-05 +10 *961:A *317:36 8.2744e-05 +11 *178:7 *233:46 0.000365048 +12 *178:7 *315:8 0.000160617 +13 *176:16 *178:7 1.37189e-05 +14 *176:38 *958:A 6.07797e-05 +15 *176:38 *961:A 1.94839e-05 +*RES +1 *957:Y *178:7 18.3548 +2 *178:7 *958:A 16.8269 +3 *178:7 *961:A 22.9657 +*END + +*D_NET *179 0.00800829 +*CONN +*I *1025:B1 I *D sky130_fd_sc_hd__o2bb2ai_2 +*I *962:A I *D sky130_fd_sc_hd__nand3_1 +*I *959:A1 I *D sky130_fd_sc_hd__o21bai_1 +*I *966:A I *D sky130_fd_sc_hd__nand3_1 +*I *964:A I *D sky130_fd_sc_hd__nand3_1 +*I *958:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *1025:B1 0.000742334 +2 *962:A 0.000246616 +3 *959:A1 0 +4 *966:A 2.42034e-05 +5 *964:A 0.000158668 +6 *958:X 0.000299384 +7 *179:39 0.000362255 +8 *179:16 0.000394991 +9 *179:11 0.000592044 +10 *179:8 0.001306 +11 *962:A *962:B 4.03096e-05 +12 *964:A *317:36 2.26985e-05 +13 *966:A *950:A 0.000114594 +14 *966:A *966:B 1.77537e-06 +15 *1025:B1 *980:A 0.000257021 +16 *1025:B1 *1025:B2 0.000113309 +17 *1025:B1 *235:28 0.000701281 +18 *1025:B1 *318:10 4.67453e-05 +19 *179:8 *254:49 1.42919e-05 +20 *179:8 *309:154 0 +21 *179:8 *315:8 2.99929e-05 +22 *179:11 *1011:A 0.00042448 +23 *179:11 *234:45 1.34424e-05 +24 *179:11 *235:28 0.000485826 +25 *179:11 *235:50 0.000268366 +26 *179:16 *1195:A 0 +27 *179:16 *235:50 0.000213676 +28 *179:39 *1195:A 0 +29 *179:39 *305:84 6.97908e-05 +30 *958:A *179:8 9.60216e-05 +31 *961:A *179:8 5.23737e-05 +32 *964:C *966:A 0.000118792 +33 *1093:S *179:11 0.000307332 +34 *1093:S *179:16 0.000216467 +35 *19:40 *179:16 0 +36 *49:16 *179:11 0.000271044 +37 *176:38 *179:8 2.1558e-06 +38 *177:42 *1025:B1 0 +*RES +1 *958:X *179:8 25.0642 +2 *179:8 *179:11 12.9488 +3 *179:11 *179:16 10.5878 +4 *179:16 *964:A 16.546 +5 *179:16 *966:A 15.4058 +6 *179:11 *179:39 12.0778 +7 *179:39 *959:A1 9.24915 +8 *179:39 *962:A 15.0122 +9 *179:8 *1025:B1 35.0737 +*END + +*D_NET *180 0.000534093 +*CONN +*I *960:C I *D sky130_fd_sc_hd__nand3_1 +*I *959:Y O *D sky130_fd_sc_hd__o21bai_1 +*CAP +1 *960:C 0.000170409 +2 *959:Y 0.000170409 +3 *960:C *305:84 8.96342e-05 +4 *960:C *305:130 1.41181e-05 +5 *959:A2 *960:C 2.58757e-05 +6 *962:C *960:C 6.36477e-05 +*RES +1 *959:Y *960:C 31.3317 +*END + +*D_NET *181 0.00108198 +*CONN +*I *963:B1_N I *D sky130_fd_sc_hd__a21bo_1 +*I *962:Y O *D sky130_fd_sc_hd__nand3_1 +*CAP +1 *963:B1_N 0.000137146 +2 *962:Y 0.000137146 +3 *963:B1_N *962:B 0.000211546 +4 *963:B1_N *1094:S 2.04806e-05 +5 *963:B1_N *1147:RESET_B 7.92757e-06 +6 *963:B1_N *1195:A 0.000206696 +7 *963:B1_N *235:50 6.50586e-05 +8 *963:B1_N *245:8 0.000132627 +9 *1094:A0 *963:B1_N 9.40857e-05 +10 *19:40 *963:B1_N 6.92705e-05 +*RES +1 *962:Y *963:B1_N 33.826 +*END + +*D_NET *182 0.00246698 +*CONN +*I *965:B1_N I *D sky130_fd_sc_hd__a21bo_1 +*I *964:Y O *D sky130_fd_sc_hd__nand3_1 +*CAP +1 *965:B1_N 0.000642916 +2 *964:Y 0.000642916 +3 *965:B1_N *1040:B 3.92918e-05 +4 *965:B1_N *1041:B 7.02493e-05 +5 *965:B1_N *1091:A1 2.20702e-05 +6 *965:B1_N *243:6 0.000169063 +7 *965:B1_N *244:22 9.2346e-06 +8 *965:B1_N *244:33 3.37319e-05 +9 *965:B1_N *305:89 8.45896e-06 +10 *965:B1_N *305:93 0.000114447 +11 *965:B1_N *317:36 6.01588e-05 +12 *965:A1 *965:B1_N 0.000333392 +13 *1091:A0 *965:B1_N 0.000118166 +14 *1091:S *965:B1_N 0.000171273 +15 *1092:A0 *965:B1_N 3.16131e-05 +*RES +1 *964:Y *965:B1_N 47.5111 +*END + +*D_NET *183 0.000446214 +*CONN +*I *967:B1_N I *D sky130_fd_sc_hd__a21bo_1 +*I *966:Y O *D sky130_fd_sc_hd__nand3_1 +*CAP +1 *967:B1_N 0.000135772 +2 *966:Y 0.000135772 +3 *967:B1_N *966:B 9.89388e-06 +4 *967:B1_N *233:68 3.18806e-05 +5 *967:B1_N *244:33 0 +6 *967:B1_N *254:59 0.000116 +7 *967:A2 *967:B1_N 3.60933e-06 +8 *19:40 *967:B1_N 1.3286e-05 +*RES +1 *966:Y *967:B1_N 31.0235 +*END + +*D_NET *184 0.00167045 +*CONN +*I *969:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *968:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *969:A 0.00057702 +2 *968:X 0.00057702 +3 *969:A *369:DIODE 6.2645e-05 +4 *969:A *1144:RESET_B 1.62526e-05 +5 *969:A *1144:CLK_N 1.34285e-05 +6 *969:A *228:15 3.21024e-05 +7 *969:A *228:28 0 +8 *969:A *248:15 0.000257968 +9 *969:A *309:65 2.17699e-05 +10 *969:A *309:77 0.000112241 +11 *1144:D *969:A 0 +*RES +1 *968:X *969:A 40.5054 +*END + +*D_NET *185 0.00104448 +*CONN +*I *972:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *971:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *972:A 0.000421054 +2 *971:X 0.000421054 +3 *972:A *1034:A 6.5807e-05 +4 *972:A *1034:B 0.000122378 +5 *972:A *247:26 1.41853e-05 +*RES +1 *971:X *972:A 28.6982 +*END + +*D_NET *186 0.00129538 +*CONN +*I *974:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *973:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *974:A 0.00058004 +2 *973:X 0.00058004 +3 *974:A *1143:SET_B 0.000117333 +4 *1142:D *974:A 1.79672e-05 +*RES +1 *973:X *974:A 37.5394 +*END + +*D_NET *187 0.00305206 +*CONN +*I *977:C1 I *D sky130_fd_sc_hd__o211a_1 +*I *983:C1 I *D sky130_fd_sc_hd__o211ai_4 +*I *976:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *977:C1 0 +2 *983:C1 0.000528886 +3 *976:Y 0.000487519 +4 *187:12 0.00101641 +5 *983:C1 *983:A1 0 +6 *983:C1 *1042:A 0 +7 *983:C1 *1042:B 2.16355e-05 +8 *983:C1 *1197:A 0.000168973 +9 *983:C1 *233:82 4.83699e-05 +10 *983:C1 *313:22 3.31882e-05 +11 *187:12 *976:B 2.99287e-05 +12 *187:12 *1032:A2 7.73661e-05 +13 *187:12 *1032:B1 0.000189666 +14 *187:12 *1095:A1 0.00016195 +15 *187:12 *313:8 0.000143161 +16 *187:12 *313:22 8.52198e-05 +17 *990:A0 *187:12 1.36606e-05 +18 *1033:B *983:C1 0 +19 *1033:B *187:12 0 +20 *1095:S *187:12 4.61271e-05 +*RES +1 *976:Y *187:12 28.4313 +2 *187:12 *983:C1 26.0328 +3 *187:12 *977:C1 13.7491 +*END + +*D_NET *188 0.00109025 +*CONN +*I *984:B1_N I *D sky130_fd_sc_hd__o21bai_1 +*I *980:B I *D sky130_fd_sc_hd__nand3_1 +*I *978:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *984:B1_N 5.84028e-05 +2 *980:B 0.00013389 +3 *978:Y 0.000185712 +4 *188:5 0.000378005 +5 *980:B *980:A 3.07159e-05 +6 *980:B *985:A 5.40485e-05 +7 *984:B1_N *984:A2 5.22654e-06 +8 *984:B1_N *985:B 2.99929e-05 +9 *984:B1_N *234:33 1.2693e-05 +10 *188:5 *985:A 2.41274e-06 +11 *188:5 *985:B 6.50586e-05 +12 *188:5 *235:28 2.69811e-05 +13 *980:C *984:B1_N 9.75356e-05 +14 *177:32 *984:B1_N 9.57557e-06 +*RES +1 *978:Y *188:5 12.191 +2 *188:5 *980:B 11.6605 +3 *188:5 *984:B1_N 20.4964 +*END + +*D_NET *189 0.000711928 +*CONN +*I *985:A I *D sky130_fd_sc_hd__nand3_1 +*I *980:Y O *D sky130_fd_sc_hd__nand3_1 +*CAP +1 *985:A 0.000127938 +2 *980:Y 0.000127938 +3 *985:A *980:A 0.000130087 +4 *985:A *235:28 0.000269504 +5 *980:B *985:A 5.40485e-05 +6 *188:5 *985:A 2.41274e-06 +*RES +1 *980:Y *985:A 24.3096 +*END + +*D_NET *190 0.00127958 +*CONN +*I *982:A I *D sky130_fd_sc_hd__nand2_1 +*I *981:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *982:A 0.000366314 +2 *981:Y 0.000366314 +3 *982:A *982:B 6.50727e-05 +4 *982:A *984:A1 4.97617e-05 +5 *982:A *1005:A 0 +6 *982:A *1088:A1 0 +7 *982:A *203:25 4.69495e-06 +8 *982:A *254:25 5.98402e-05 +9 *982:A *254:41 0.000206327 +10 *1088:A0 *982:A 0.000161252 +11 *48:10 *982:A 0 +*RES +1 *981:Y *982:A 37.334 +*END + +*D_NET *191 0.00240639 +*CONN +*I *984:A1 I *D sky130_fd_sc_hd__o21bai_1 +*I *982:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *984:A1 0.000368181 +2 *982:Y 0.000368181 +3 *984:A1 *982:B 6.50727e-05 +4 *984:A1 *1088:A1 6.38502e-06 +5 *980:C *984:A1 0.000583113 +6 *982:A *984:A1 4.97617e-05 +7 *998:B1 *984:A1 0.000583113 +8 *1088:A0 *984:A1 0.000145984 +9 *48:10 *984:A1 0.000236602 +*RES +1 *982:Y *984:A1 40.8937 +*END + +*D_NET *192 0.0102957 +*CONN +*I *1005:A I *D sky130_fd_sc_hd__nand3_1 +*I *984:A2 I *D sky130_fd_sc_hd__o21bai_1 +*I *1001:A I *D sky130_fd_sc_hd__nand3_1 +*I *999:A I *D sky130_fd_sc_hd__nand2_1 +*I *983:Y O *D sky130_fd_sc_hd__o211ai_4 +*CAP +1 *1005:A 0.00033846 +2 *984:A2 0.000136134 +3 *1001:A 0.000357662 +4 *999:A 1.52328e-05 +5 *983:Y 0.00118001 +6 *192:43 0.000542944 +7 *192:31 0.000472675 +8 *192:21 0.000239014 +9 *192:14 0.00135713 +10 *984:A2 *234:33 0 +11 *999:A *203:9 0 +12 *1001:A *1002:A 6.3657e-05 +13 *1001:A *1002:B 6.64392e-05 +14 *1001:A *1089:A1 0 +15 *1005:A *979:A 0.00032688 +16 *1005:A *1088:A1 0 +17 *1005:A *203:25 3.93117e-06 +18 *1005:A *231:64 1.45322e-05 +19 *1005:A *241:25 8.3746e-05 +20 *1005:A *242:23 6.75302e-05 +21 *1005:A *254:41 0.000107496 +22 *192:14 *1041:B 0.000158357 +23 *192:14 *1042:A 3.86121e-05 +24 *192:14 *1042:B 0.000117376 +25 *192:14 *1089:A1 1.32772e-05 +26 *192:14 *1094:S 1.90218e-05 +27 *192:14 *233:82 0.000389874 +28 *192:14 *254:49 4.31988e-05 +29 *192:14 *254:59 0.000415484 +30 *192:14 *254:64 1.77537e-06 +31 *192:14 *305:89 7.77309e-06 +32 *192:14 *309:154 0 +33 *192:14 *315:8 5.04511e-06 +34 *192:21 *203:9 3.14978e-05 +35 *192:21 *254:49 2.65831e-05 +36 *192:31 *203:9 3.79336e-05 +37 *192:43 *203:9 7.63787e-05 +38 *192:43 *203:25 7.68538e-06 +39 *192:43 *254:41 0.000276077 +40 *956:A *999:A 4.80635e-06 +41 *956:A *192:21 1.41291e-05 +42 *980:C *984:A2 6.08467e-05 +43 *982:A *1005:A 0 +44 *984:B1_N *984:A2 5.22654e-06 +45 *998:B1 *984:A2 6.08467e-05 +46 *1000:B *1005:A 3.58044e-05 +47 *1000:B *192:43 5.56461e-05 +48 *1005:B *1005:A 0.0009236 +49 *1136:D *1001:A 5.53934e-05 +50 *19:21 *984:A2 7.41833e-06 +51 *19:21 *192:14 0.000873926 +52 *19:21 *192:21 0.000303381 +53 *19:21 *192:31 0.000179067 +54 *19:21 *192:43 0.00016553 +55 *176:38 *1001:A 0 +56 *177:8 *1001:A 0 +57 *177:8 *192:43 0.000116755 +58 *177:32 *984:A2 0.000150384 +59 *177:32 *1005:A 0.000243501 +*RES +1 *983:Y *192:14 46.7164 +2 *192:14 *192:21 10.8177 +3 *192:21 *999:A 9.82786 +4 *192:21 *192:31 2.41132 +5 *192:31 *1001:A 26.6181 +6 *192:31 *192:43 5.16022 +7 *192:43 *984:A2 22.0503 +8 *192:43 *1005:A 34.297 +*END + +*D_NET *193 0.00034371 +*CONN +*I *985:B I *D sky130_fd_sc_hd__nand3_1 +*I *984:Y O *D sky130_fd_sc_hd__o21bai_1 +*CAP +1 *985:B 6.53352e-05 +2 *984:Y 6.53352e-05 +3 *985:B *235:28 1.43848e-05 +4 *980:C *985:B 7.58217e-06 +5 *984:B1_N *985:B 2.99929e-05 +6 *177:32 *985:B 9.60216e-05 +7 *188:5 *985:B 6.50586e-05 +*RES +1 *984:Y *985:B 30.0537 +*END + +*D_NET *194 0.000344046 +*CONN +*I *987:B I *D sky130_fd_sc_hd__xor2_1 +*I *986:Y O *D sky130_fd_sc_hd__nor3_1 +*CAP +1 *987:B 6.05432e-05 +2 *986:Y 6.05432e-05 +3 *987:B *1012:C 4.47123e-05 +4 *987:B *1096:A1 6.66393e-05 +5 *987:B *236:36 0.000111608 +*RES +1 *986:Y *987:B 30.1608 +*END + +*D_NET *195 0.00177515 +*CONN +*I *989:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *988:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *989:A 0.000732387 +2 *988:X 0.000732387 +3 *989:A *1138:CLK 4.47179e-05 +4 *989:A *1139:CLK 8.17527e-05 +5 *989:A *228:39 2.24632e-05 +6 *989:A *236:11 7.621e-05 +7 *1138:D *989:A 2.01727e-05 +8 *1139:D *989:A 6.50586e-05 +*RES +1 *988:X *989:A 43.4444 +*END + +*D_NET *196 0.00164805 +*CONN +*I *991:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *990:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *991:A 0.000703344 +2 *990:X 0.000703344 +3 *991:A *363:DIODE 2.58616e-05 +4 *991:A *976:A 5.04829e-06 +5 *991:A *976:B 1.37566e-05 +6 *991:A *988:S 0.000117376 +7 *991:A *236:11 7.93242e-05 +*RES +1 *990:X *991:A 42.1451 +*END + +*D_NET *197 0.00047747 +*CONN +*I *994:A I *D sky130_fd_sc_hd__nand3_1 +*I *992:X O *D sky130_fd_sc_hd__or2b_1 +*CAP +1 *994:A 6.67282e-05 +2 *992:X 6.67282e-05 +3 *994:A *332:DIODE 0.000152878 +4 *994:A *199:11 6.87578e-05 +5 *994:A *305:13 0.000122378 +*RES +1 *992:X *994:A 22.0188 +*END + +*D_NET *198 0.000870454 +*CONN +*I *994:B I *D sky130_fd_sc_hd__nand3_1 +*I *993:X O *D sky130_fd_sc_hd__or2b_1 +*CAP +1 *994:B 0.000283819 +2 *993:X 0.000283819 +3 *994:B *1062:A 7.45283e-05 +4 *994:B *1121:D 0.000110458 +5 *994:B *305:38 1.65872e-05 +6 *399:DIODE *994:B 9.75684e-05 +7 *14:8 *994:B 3.67528e-06 +*RES +1 *993:X *994:B 34.6271 +*END + +*D_NET *199 0.00392837 +*CONN +*I *998:A1 I *D sky130_fd_sc_hd__o21a_1 +*I *994:Y O *D sky130_fd_sc_hd__nand3_1 +*CAP +1 *998:A1 0 +2 *994:Y 0.00144151 +3 *199:11 0.00144151 +4 *199:11 *998:A2 0.00018717 +5 *199:11 *1109:D 0.000302913 +6 *199:11 *1109:CLK 0.000113953 +7 *199:11 *1137:RESET_B 6.8575e-05 +8 *199:11 *231:57 0 +9 *199:11 *233:44 0.00011818 +10 *199:11 *235:15 1.92172e-05 +11 *199:11 *305:13 2.46499e-05 +12 *199:11 *317:27 0.000141935 +13 *994:A *199:11 6.87578e-05 +*RES +1 *994:Y *199:11 43.988 +2 *199:11 *998:A1 9.24915 +*END + +*D_NET *200 0.00131313 +*CONN +*I *997:A1 I *D sky130_fd_sc_hd__o21ai_1 +*I *995:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *997:A1 0.000445264 +2 *995:Y 0.000445264 +3 *997:A1 *995:B 7.27408e-05 +4 *997:A1 *1110:D 0.000290081 +5 *997:A1 *253:5 1.10348e-05 +6 *954:C *997:A1 4.87439e-05 +*RES +1 *995:Y *997:A1 36.1838 +*END + +*D_NET *201 0.000366048 +*CONN +*I *997:A2 I *D sky130_fd_sc_hd__o21ai_1 +*I *996:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *997:A2 5.84032e-05 +2 *996:X 5.84032e-05 +3 *997:A2 *998:A2 0.000114584 +4 *954:C *997:A2 1.9503e-05 +5 *174:11 *997:A2 0.000115154 +*RES +1 *996:X *997:A2 20.8855 +*END + +*D_NET *202 0.00109838 +*CONN +*I *998:A2 I *D sky130_fd_sc_hd__o21a_1 +*I *997:Y O *D sky130_fd_sc_hd__o21ai_1 +*CAP +1 *998:A2 0.000291855 +2 *997:Y 0.000291855 +3 *998:A2 *231:57 0 +4 *998:A2 *233:44 2.07365e-05 +5 *998:A2 *235:15 0.000114584 +6 *998:A2 *317:27 7.75963e-05 +7 *997:A2 *998:A2 0.000114584 +8 *199:11 *998:A2 0.00018717 +*RES +1 *997:Y *998:A2 35.6236 +*END + +*D_NET *203 0.00733122 +*CONN +*I *1000:A I *D sky130_fd_sc_hd__nand2_1 +*I *1009:A2 I *D sky130_fd_sc_hd__o21ai_1 +*I *1008:A I *D sky130_fd_sc_hd__nand2_1 +*I *1004:A I *D sky130_fd_sc_hd__nand2_1 +*I *999:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *1000:A 0 +2 *1009:A2 0 +3 *1008:A 0.00018438 +4 *1004:A 0 +5 *999:Y 0.000110808 +6 *203:45 0.00112676 +7 *203:25 0.0017786 +8 *203:9 0.00094703 +9 *1008:A *240:19 1.92336e-05 +10 *203:25 *231:57 5.38612e-06 +11 *203:25 *231:81 0.00016553 +12 *203:25 *254:25 6.36387e-05 +13 *203:25 *317:27 0.000551659 +14 *203:25 *317:36 0.000359172 +15 *203:45 *360:DIODE 0.000244682 +16 *203:45 *1009:B1 0.000118166 +17 *203:45 *1135:SET_B 0.000122269 +18 *203:45 *1135:CLK 7.50872e-05 +19 *203:45 *231:64 0 +20 *203:45 *231:81 5.09367e-05 +21 *203:45 *254:25 1.41181e-05 +22 *203:45 *309:234 0 +23 *956:A *203:9 0.00030513 +24 *956:A *203:25 2.91008e-06 +25 *982:A *203:25 4.69495e-06 +26 *999:A *203:9 0 +27 *1000:B *203:25 0.000370801 +28 *1005:A *203:25 3.93117e-06 +29 *1008:B *1008:A 0.00031242 +30 *1083:S *203:45 0.000126743 +31 *1084:A0 *203:45 2.65667e-05 +32 *177:8 *203:9 6.78596e-05 +33 *177:8 *203:25 1.92172e-05 +34 *192:21 *203:9 3.14978e-05 +35 *192:31 *203:9 3.79336e-05 +36 *192:43 *203:9 7.63787e-05 +37 *192:43 *203:25 7.68538e-06 +*RES +1 *999:Y *203:9 15.1569 +2 *203:9 *203:25 26.4493 +3 *203:25 *1004:A 9.24915 +4 *203:25 *203:45 28.6348 +5 *203:45 *1008:A 23.99 +6 *203:45 *1009:A2 9.24915 +7 *203:9 *1000:A 9.24915 +*END + +*D_NET *204 0.00121255 +*CONN +*I *1002:A I *D sky130_fd_sc_hd__nand2_1 +*I *1000:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *1002:A 0.00034355 +2 *1000:Y 0.00034355 +3 *1002:A *1001:C 6.78596e-05 +4 *1002:A *1002:B 2.77517e-05 +5 *1001:A *1002:A 6.3657e-05 +6 *1001:B *1002:A 0.000212154 +7 *177:8 *1002:A 0.000154024 +*RES +1 *1000:Y *1002:A 38.2519 +*END + +*D_NET *205 0.000248526 +*CONN +*I *1002:B I *D sky130_fd_sc_hd__nand2_1 +*I *1001:Y O *D sky130_fd_sc_hd__nand3_1 +*CAP +1 *1002:B 7.71677e-05 +2 *1001:Y 7.71677e-05 +3 *1001:A *1002:B 6.64392e-05 +4 *1002:A *1002:B 2.77517e-05 +*RES +1 *1001:Y *1002:B 20.9337 +*END + +*D_NET *206 0.000876428 +*CONN +*I *1006:A I *D sky130_fd_sc_hd__nand2_1 +*I *1004:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *1006:A 0.000206839 +2 *1004:Y 0.000206839 +3 *1006:A *360:DIODE 0.000178823 +4 *1006:A *1006:B 5.75336e-05 +5 *1006:A *231:64 7.50872e-05 +6 *1006:A *231:81 0.000114594 +7 *1006:A *241:9 6.78364e-06 +8 *1006:A *241:25 0 +9 *1135:D *1006:A 2.99287e-05 +*RES +1 *1004:Y *1006:A 33.5742 +*END + +*D_NET *207 0.000498371 +*CONN +*I *1006:B I *D sky130_fd_sc_hd__nand2_1 +*I *1005:Y O *D sky130_fd_sc_hd__nand3_1 +*CAP +1 *1006:B 0.000100774 +2 *1005:Y 0.000100774 +3 *1006:B *231:64 1.28561e-05 +4 *1006:B *241:9 9.81468e-05 +5 *1006:B *241:13 6.65788e-05 +6 *1006:B *241:25 2.41483e-05 +7 *1005:B *1006:B 3.75603e-05 +8 *1006:A *1006:B 5.75336e-05 +*RES +1 *1005:Y *1006:B 23.1521 +*END + +*D_NET *208 0.000922298 +*CONN +*I *1009:B1 I *D sky130_fd_sc_hd__o21ai_1 +*I *1008:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *1009:B1 0.000128253 +2 *1008:Y 0.000128253 +3 *1008:B *1009:B1 3.1218e-05 +4 *1009:A1 *1009:B1 0.000167076 +5 *1083:S *1009:B1 0.000317693 +6 *1084:A0 *1009:B1 5.51483e-06 +7 *63:8 *1009:B1 2.61242e-05 +8 *203:45 *1009:B1 0.000118166 +*RES +1 *1008:Y *1009:B1 33.242 +*END + +*D_NET *209 0.000960786 +*CONN +*I *1012:A I *D sky130_fd_sc_hd__nand3_1 +*I *1010:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *1012:A 0.000435358 +2 *1010:Y 0.000435358 +3 *1012:A *1010:A 2.65831e-05 +4 *1012:A *1148:CLK 3.12316e-05 +5 *1012:A *305:79 5.04829e-06 +6 *1012:A *310:20 2.72075e-05 +*RES +1 *1010:Y *1012:A 34.9058 +*END + +*D_NET *210 0.00187421 +*CONN +*I *1025:A1_N I *D sky130_fd_sc_hd__o2bb2ai_2 +*I *1012:B I *D sky130_fd_sc_hd__nand3_1 +*I *1011:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *1025:A1_N 0.000126804 +2 *1012:B 0.000323408 +3 *1011:Y 0 +4 *210:4 0.000450212 +5 *1012:B *358:DIODE 0.000118166 +6 *1012:B *1011:A 3.6147e-05 +7 *1012:B *1133:CLK 2.65667e-05 +8 *1012:B *1148:CLK 0 +9 *1012:B *309:158 5.93684e-05 +10 *1025:A1_N *305:79 0.000364665 +11 *1025:A1_N *310:20 0.000368872 +*RES +1 *1011:Y *210:4 9.24915 +2 *210:4 *1012:B 26.4871 +3 *210:4 *1025:A1_N 18.2916 +*END + +*D_NET *211 0.000349825 +*CONN +*I *1013:B I *D sky130_fd_sc_hd__xnor2_1 +*I *1012:Y O *D sky130_fd_sc_hd__nand3_1 +*CAP +1 *1013:B 0.000129009 +2 *1012:Y 0.000129009 +3 *1013:B *1148:CLK 1.60687e-05 +4 *1013:B *1169:A 4.47179e-05 +5 *900:A1 *1013:B 3.10193e-05 +*RES +1 *1012:Y *1013:B 31.0235 +*END + +*D_NET *212 0.000808947 +*CONN +*I *1018:A I *D sky130_fd_sc_hd__buf_1 +*I *1017:X O *D sky130_fd_sc_hd__mux2_2 +*CAP +1 *1018:A 9.88799e-05 +2 *1017:X 9.88799e-05 +3 *1018:A *1168:A 0.000263038 +4 *1018:A *1186:A 0.000348149 +*RES +1 *1017:X *1018:A 23.7067 +*END + +*D_NET *213 0.000437189 +*CONN +*I *1025:B2 I *D sky130_fd_sc_hd__o2bb2ai_2 +*I *1024:Y O *D sky130_fd_sc_hd__xnor2_1 +*CAP +1 *1025:B2 0.000144992 +2 *1024:Y 0.000144992 +3 *1025:B2 *1019:A 0 +4 *1025:B2 *1024:B 2.58616e-05 +5 *1025:B2 *318:10 8.03393e-06 +6 *1025:B1 *1025:B2 0.000113309 +*RES +1 *1024:Y *1025:B2 30.8842 +*END + +*D_NET *214 0.000735821 +*CONN +*I *1027:B2 I *D sky130_fd_sc_hd__o2bb2ai_2 +*I *1026:Y O *D sky130_fd_sc_hd__xnor2_1 +*CAP +1 *1027:B2 0.000164487 +2 *1026:Y 0.000164487 +3 *1027:B2 *1026:A 8.85203e-05 +4 *1027:B2 *232:56 5.63195e-05 +5 *1027:B2 *281:10 5.25024e-05 +6 *1027:B2 *281:21 0.000209504 +*RES +1 *1026:Y *1027:B2 32.9632 +*END + +*D_NET *215 0.00134418 +*CONN +*I *1033:A I *D sky130_fd_sc_hd__nor2_1 +*I *1031:Y O *D sky130_fd_sc_hd__nor3_1 +*CAP +1 *1033:A 0.000350085 +2 *1031:Y 0.000350085 +3 *1033:A *1197:A 0.000127164 +4 *1033:A *313:22 4.88955e-05 +5 *1033:A *313:24 0.000417478 +6 *1033:B *1033:A 5.04734e-05 +*RES +1 *1031:Y *1033:A 34.9058 +*END + +*D_NET *216 0.000296956 +*CONN +*I *1035:B I *D sky130_fd_sc_hd__xor2_1 +*I *1034:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *1035:B 0.000139518 +2 *1034:Y 0.000139518 +3 *1035:B *1035:A 1.79196e-05 +4 *1035:B *228:28 0 +5 *1035:B *309:78 0 +*RES +1 *1034:Y *1035:B 30.8842 +*END + +*D_NET *217 0.000457755 +*CONN +*I *1038:B I *D sky130_fd_sc_hd__xor2_1 +*I *1037:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *1038:B 0.000136981 +2 *1037:Y 0.000136981 +3 *1038:B *1037:B 1.61631e-05 +4 *1087:A0 *1038:B 0.00016763 +*RES +1 *1037:Y *1038:B 22.5734 +*END + +*D_NET *218 0.000804618 +*CONN +*I *1042:B I *D sky130_fd_sc_hd__xor2_1 +*I *1041:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *1042:B 0.000156802 +2 *1041:Y 0.000156802 +3 *1042:B *983:B1 0.00012568 +4 *1042:B *233:82 0.000104238 +5 *983:C1 *1042:B 2.16355e-05 +6 *19:52 *1042:B 0.000122083 +7 *192:14 *1042:B 0.000117376 +*RES +1 *1041:Y *1042:B 33.7966 +*END + +*D_NET *219 0.0003225 +*CONN +*I *1050:A I *D sky130_fd_sc_hd__nor2_1 +*I *1048:Y O *D sky130_fd_sc_hd__nor3_1 +*CAP +1 *1050:A 0.000104286 +2 *1048:Y 0.000104286 +3 *1050:A *924:B1 0 +4 *1050:A *1048:C 3.18993e-05 +5 *1050:A *261:119 1.92561e-05 +6 *1050:B *1050:A 6.27718e-05 +*RES +1 *1048:Y *1050:A 21.6571 +*END + +*D_NET *220 0.000621781 +*CONN +*I *1052:B I *D sky130_fd_sc_hd__xor2_1 +*I *1051:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *1052:B 0.000173773 +2 *1051:Y 0.000173773 +3 *1052:B *923:B 2.40924e-05 +4 *1052:B *1052:A 0.000111823 +5 *915:S *1052:B 0.00013832 +*RES +1 *1051:Y *1052:B 33.0676 +*END + +*D_NET *221 0.000320222 +*CONN +*I *1055:B I *D sky130_fd_sc_hd__xor2_1 +*I *1054:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *1055:B 0.000141049 +2 *1054:Y 0.000141049 +3 *1055:B *1055:A 3.30938e-05 +4 *1055:B *268:11 1.59362e-06 +5 *1055:B *309:600 3.43721e-06 +*RES +1 *1054:Y *1055:B 30.4689 +*END + +*D_NET *222 0.00408493 +*CONN +*I *1059:B I *D sky130_fd_sc_hd__xor2_1 +*I *1058:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *1059:B 0 +2 *1058:Y 0.000684395 +3 *222:15 0.000684395 +4 *222:15 *901:A 0.000354476 +5 *222:15 *1059:A 0.000669957 +6 *222:15 *1078:S 5.88419e-05 +7 *222:15 *1106:A1 6.76954e-05 +8 *222:15 *1120:D 7.16974e-05 +9 *222:15 *1174:A 0.000405724 +10 *222:15 *261:89 0.000149781 +11 *222:15 *270:21 0.000543825 +12 *222:15 *270:38 0.000222112 +13 *222:15 *286:5 6.27782e-05 +14 *1114:D *222:15 4.84045e-05 +15 *93:15 *222:15 6.08467e-05 +*RES +1 *1058:Y *222:15 45.4213 +2 *222:15 *1059:B 9.24915 +*END + +*D_NET *223 0.00158029 +*CONN +*I *1065:B I *D sky130_fd_sc_hd__xor2_1 +*I *1064:Y O *D sky130_fd_sc_hd__nor3_1 +*CAP +1 *1065:B 0.000586564 +2 *1064:Y 0.000586564 +3 *1065:B *944:A 0.000271044 +4 *1065:B *1130:D 0.000108266 +5 *1065:B *325:11 2.78496e-05 +6 *1164:D *1065:B 0 +*RES +1 *1064:Y *1065:B 39.2299 +*END + +*D_NET *224 0.00430984 +*CONN +*I *1168:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *1171:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *1165:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *1168:A 0.000573491 +2 *1171:A 0.000219965 +3 *1165:X 0 +4 *224:4 0.000793456 +5 *1168:A *1017:A1 0.000408887 +6 *1168:A *1119:D 3.69148e-05 +7 *1168:A *1186:A 0.000493359 +8 *1168:A *1188:A 0.000562485 +9 *1168:A *309:733 1.60442e-05 +10 *1168:A *309:739 0.000584715 +11 *1171:A *354:DIODE 0.000211546 +12 *1171:A *1129:CLK 5.04829e-06 +13 *1018:A *1168:A 0.000263038 +14 *146:66 *1171:A 0.000140887 +*RES +1 *1165:X *224:4 9.24915 +2 *224:4 *1171:A 16.0973 +3 *224:4 *1168:A 43.5912 +*END + +*D_NET *225 0.00440902 +*CONN +*I *1172:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *1169:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *1166:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *1172:A 0.000240947 +2 *1169:A 0.000419746 +3 *1166:X 0.000176468 +4 *225:10 0.000837161 +5 *1169:A *1013:A 0.000206696 +6 *1169:A *1148:CLK 0.000546034 +7 *1169:A *228:80 0.000309709 +8 *1169:A *231:7 6.36477e-05 +9 *1172:A *892:C 0.000168313 +10 *1172:A *1111:D 1.87611e-05 +11 *1172:A *1111:CLK 0.000116502 +12 *1172:A *231:7 0.00106378 +13 *225:10 *1133:CLK 8.72115e-06 +14 *225:10 *231:21 6.65668e-05 +15 *892:A *1169:A 6.08467e-05 +16 *892:B *1169:A 5.76219e-05 +17 *900:A1 *1169:A 2.77625e-06 +18 *1013:B *1169:A 4.47179e-05 +*RES +1 *1166:X *225:10 21.3591 +2 *225:10 *1169:A 23.8376 +3 *225:10 *1172:A 21.0646 +*END + +*D_NET *226 0.00626489 +*CONN +*I *1170:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *1173:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *1167:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *1170:A 0.000480985 +2 *1173:A 0.00031543 +3 *1167:X 0 +4 *226:5 0.000796414 +5 *1170:A *1017:A0 0.00014186 +6 *1170:A *1059:A 0.00014186 +7 *1170:A *231:21 0 +8 *1170:A *269:19 0.000155621 +9 *1173:A *902:B 0.000163309 +10 *1173:A *231:21 0 +11 *1173:A *260:40 0.00126971 +12 *1173:A *269:19 0.000156823 +13 *1173:A *280:11 0.000288559 +14 *910:A1 *1170:A 6.08467e-05 +15 *910:A2 *1170:A 0.00033061 +16 *911:A *1170:A 0.00027103 +17 *911:B *1170:A 4.33819e-05 +18 *912:A1 *1170:A 2.29454e-05 +19 *912:A2 *1170:A 0.000165521 +20 *1076:A0 *1173:A 0.000176594 +21 *1102:A0 *1173:A 0.00026662 +22 *1102:S *1173:A 3.66984e-05 +23 *1158:D *1170:A 1.7883e-05 +24 *93:15 *1170:A 0.000840504 +25 *145:14 *1170:A 1.41976e-05 +26 *146:36 *1170:A 0.000107496 +*RES +1 *1167:X *226:5 13.7491 +2 *226:5 *1173:A 32.1335 +3 *226:5 *1170:A 34.6222 +*END + +*D_NET *227 0.000777394 +*CONN +*I *1017:A1 I *D sky130_fd_sc_hd__mux2_2 +*I *1168:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *1017:A1 0.00012807 +2 *1168:X 0.00012807 +3 *1017:A1 *309:733 0.000112367 +4 *1168:A *1017:A1 0.000408887 +*RES +1 *1168:X *1017:A1 23.1039 +*END + +*D_NET *228 0.0175316 +*CONN +*I *1148:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *1114:CLK I *D sky130_fd_sc_hd__dfxtp_1 +*I *1140:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *1147:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *1146:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *1139:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *1138:CLK I *D sky130_fd_sc_hd__dfstp_2 +*I *1142:CLK_N I *D sky130_fd_sc_hd__dfrtn_1 +*I *970:A I *D sky130_fd_sc_hd__inv_4 +*I *1144:CLK_N I *D sky130_fd_sc_hd__dfrtn_1 +*I *1169:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *1148:CLK 0.000802841 +2 *1114:CLK 0.000638281 +3 *1140:CLK 0 +4 *1147:CLK 0 +5 *1146:CLK 0.000454825 +6 *1139:CLK 0.000117782 +7 *1138:CLK 0.000237621 +8 *1142:CLK_N 0 +9 *970:A 0.000130602 +10 *1144:CLK_N 0.000474808 +11 *1169:X 0 +12 *228:80 0.00167664 +13 *228:59 0.000489989 +14 *228:39 0.000596684 +15 *228:28 0.000610143 +16 *228:25 0.000720822 +17 *228:15 0.00198908 +18 *228:13 0.00230763 +19 *228:8 0.00125888 +20 *228:4 0.0007362 +21 *1114:CLK *891:B_N 0 +22 *1114:CLK *280:25 0.000320102 +23 *1114:CLK *309:623 0.000216848 +24 *1114:CLK *309:630 0.000157191 +25 *1114:CLK *309:635 0 +26 *1139:CLK *309:52 0 +27 *1139:CLK *309:56 0 +28 *1146:CLK *983:A1 0.000285609 +29 *1146:CLK *983:B1 0 +30 *1146:CLK *1146:SET_B 4.56004e-05 +31 *1148:CLK *1011:A 0 +32 *1148:CLK *1133:CLK 0 +33 *1148:CLK *305:79 3.79751e-05 +34 *1148:CLK *305:84 1.35317e-05 +35 *228:8 *1012:C 0 +36 *228:8 *1096:A1 5.66868e-06 +37 *228:8 *272:8 8.72115e-06 +38 *228:13 *1096:A1 0.000106396 +39 *228:13 *1195:A 1.11749e-05 +40 *228:15 *968:A0 0.000110458 +41 *228:15 *1032:A1 2.16355e-05 +42 *228:15 *1144:RESET_B 5.52256e-05 +43 *228:15 *1195:A 4.15559e-05 +44 *228:15 *248:15 4.45315e-05 +45 *228:15 *309:65 0.000259098 +46 *228:28 *1035:A 0 +47 *228:28 *247:14 0 +48 *228:59 *1195:A 2.91008e-06 +49 *892:B *1148:CLK 7.26606e-05 +50 *900:A1 *1114:CLK 0 +51 *900:A1 *1148:CLK 0.000119367 +52 *913:A *1114:CLK 3.25282e-05 +53 *960:A *1148:CLK 8.36586e-06 +54 *965:A2 *1146:CLK 0 +55 *968:A1 *228:15 1.9503e-05 +56 *968:S *228:15 6.93809e-05 +57 *969:A *1144:CLK_N 1.34285e-05 +58 *969:A *228:15 3.21024e-05 +59 *969:A *228:28 0 +60 *989:A *1138:CLK 4.47179e-05 +61 *989:A *1139:CLK 8.17527e-05 +62 *989:A *228:39 2.24632e-05 +63 *1012:A *1148:CLK 3.12316e-05 +64 *1012:B *1148:CLK 0 +65 *1013:B *1148:CLK 1.60687e-05 +66 *1035:B *228:28 0 +67 *1068:S *1146:CLK 0.000320272 +68 *1082:A1 *228:15 8.85947e-05 +69 *1097:A0 *228:8 9.56977e-05 +70 *1143:D *228:28 0 +71 *1147:D *228:13 9.90059e-05 +72 *1157:D *1114:CLK 6.93171e-05 +73 *1158:D *1114:CLK 0.000166255 +74 *1169:A *1148:CLK 0.000546034 +75 *1169:A *228:80 0.000309709 +76 *1194:A *1146:CLK 0.000250598 +77 *18:24 *1114:CLK 3.54581e-05 +78 *18:42 *1114:CLK 0 +*RES +1 *1169:X *228:4 9.24915 +2 *228:4 *228:8 13.4291 +3 *228:8 *228:13 19.5214 +4 *228:13 *228:15 23.4621 +5 *228:15 *1144:CLK_N 16.5072 +6 *228:15 *228:25 4.5 +7 *228:25 *228:28 15.8828 +8 *228:28 *970:A 12.191 +9 *228:28 *1142:CLK_N 9.24915 +10 *228:25 *228:39 5.56926 +11 *228:39 *1138:CLK 19.6569 +12 *228:39 *1139:CLK 17.2421 +13 *228:13 *228:59 0.988641 +14 *228:59 *1146:CLK 33.5408 +15 *228:59 *1147:CLK 9.24915 +16 *228:8 *1140:CLK 13.7491 +17 *228:4 *228:80 4.05102 +18 *228:80 *1114:CLK 37.1065 +19 *228:80 *1148:CLK 35.9014 +*END + +*D_NET *229 0.0124123 +*CONN +*I *922:A I *D sky130_fd_sc_hd__inv_4 +*I *1159:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *1158:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *1112:CLK I *D sky130_fd_sc_hd__dfxtp_1 +*I *1154:CLK_N I *D sky130_fd_sc_hd__dfrtn_1 +*I *917:A I *D sky130_fd_sc_hd__inv_4 +*I *1152:CLK_N I *D sky130_fd_sc_hd__dfrtn_1 +*I *937:A I *D sky130_fd_sc_hd__inv_4 +*I *1150:CLK_N I *D sky130_fd_sc_hd__dfrtn_1 +*I *1156:CLK_N I *D sky130_fd_sc_hd__dfrtn_1 +*I *1170:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *922:A 0.000352434 +2 *1159:CLK 0.000215529 +3 *1158:CLK 3.63832e-05 +4 *1112:CLK 0.000240453 +5 *1154:CLK_N 0 +6 *917:A 2.06324e-05 +7 *1152:CLK_N 0.000304238 +8 *937:A 0 +9 *1150:CLK_N 0 +10 *1156:CLK_N 0 +11 *1170:X 9.40062e-05 +12 *229:83 0.000712473 +13 *229:39 0.000742329 +14 *229:29 0.000945559 +15 *229:22 0.000829123 +16 *229:17 0.00101053 +17 *229:15 0.000971142 +18 *229:10 0.00103341 +19 *229:8 0.000840709 +20 *229:7 0.000552782 +21 *922:A *1153:CLK 0.00014735 +22 *1112:CLK *260:61 0.000208507 +23 *1158:CLK *383:DIODE 2.99287e-05 +24 *1159:CLK *925:A 6.92705e-05 +25 *229:8 *897:B1 1.21985e-05 +26 *229:8 *1100:A1 0 +27 *229:10 *897:B1 1.19513e-05 +28 *229:15 *1154:RESET_B 0.000105347 +29 *229:15 *259:94 0.000216535 +30 *229:15 *260:72 0.000399661 +31 *229:17 *1154:RESET_B 3.25584e-05 +32 *229:17 *1155:CLK 0.000122378 +33 *229:17 *273:7 5.38585e-05 +34 *229:17 *309:17 6.06823e-05 +35 *229:17 *309:25 7.90701e-05 +36 *229:17 *309:31 2.7837e-05 +37 *229:17 *309:531 7.09148e-05 +38 *229:39 *1151:CLK 0.000148017 +39 *229:83 *1100:A1 0 +40 *913:B *229:10 0.000189867 +41 *927:B *1159:CLK 2.57986e-05 +42 *932:A *922:A 2.20021e-05 +43 *932:A *1159:CLK 0.000114594 +44 *932:A *229:83 0.000122083 +45 *932:B *1159:CLK 2.29454e-05 +46 *932:C *1159:CLK 2.57847e-05 +47 *939:A *1152:CLK_N 6.27782e-05 +48 *939:B *1152:CLK_N 3.64303e-05 +49 *940:A *229:39 0 +50 *940:B *1152:CLK_N 2.08133e-05 +51 *1097:A0 *229:10 0.00016418 +52 *1097:A0 *229:15 2.01653e-05 +53 *1150:D *229:29 3.71173e-05 +54 *1150:D *229:39 3.44412e-06 +55 *1151:D *1152:CLK_N 3.85659e-05 +56 *1155:D *917:A 0 +57 *1155:D *229:17 0.000192284 +58 *1156:D *229:29 2.78772e-05 +59 *4:11 *1158:CLK 2.78496e-05 +60 *139:8 *229:8 6.05806e-05 +61 *139:8 *229:10 7.85016e-05 +62 *145:14 *229:7 5.52874e-05 +63 *145:54 *1159:CLK 0.000199827 +64 *146:36 *1159:CLK 2.65831e-05 +65 *155:5 *1159:CLK 0.000139101 +*RES +1 *1170:X *229:7 16.1364 +2 *229:7 *229:8 6.39977 +3 *229:8 *229:10 12.8362 +4 *229:10 *229:15 16.6941 +5 *229:15 *229:17 19.0253 +6 *229:17 *229:22 12.1834 +7 *229:22 *1156:CLK_N 13.7491 +8 *229:22 *229:29 13.4591 +9 *229:29 *1150:CLK_N 13.7491 +10 *229:29 *229:39 15.915 +11 *229:39 *937:A 9.24915 +12 *229:39 *1152:CLK_N 17.2065 +13 *229:17 *917:A 9.82786 +14 *229:15 *1154:CLK_N 9.24915 +15 *229:10 *1112:CLK 20.0521 +16 *229:8 *1158:CLK 15.0271 +17 *229:7 *229:83 3.90826 +18 *229:83 *1159:CLK 22.7916 +19 *229:83 *922:A 22.2574 +*END + +*D_NET *230 0.00230733 +*CONN +*I *1106:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1171:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *1106:A0 0.000591858 +2 *1171:X 0.000591858 +3 *1106:A0 *901:A 0.00070255 +4 *1106:A0 *1129:CLK 0.000193016 +5 *1106:A0 *1188:A 1.75625e-05 +6 *1106:A0 *261:20 0.000128249 +7 *1106:A0 *261:22 6.93171e-05 +8 *55:14 *1106:A0 1.29211e-05 +*RES +1 *1171:X *1106:A0 40.1703 +*END + +*D_NET *231 0.0225924 +*CONN +*I *1105:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1118:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *1110:CLK I *D sky130_fd_sc_hd__dfxtp_1 +*I *975:A I *D sky130_fd_sc_hd__inv_4 +*I *1109:CLK I *D sky130_fd_sc_hd__dfxtp_1 +*I *1134:CLK_N I *D sky130_fd_sc_hd__dfrtn_1 +*I *1108:CLK I *D sky130_fd_sc_hd__dfxtp_1 +*I *1003:A I *D sky130_fd_sc_hd__inv_4 +*I *1136:CLK_N I *D sky130_fd_sc_hd__dfrtn_1 +*I *1145:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *1137:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *1119:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *1120:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *1133:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *1172:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *1105:A1 0 +2 *1118:CLK 0.000250661 +3 *1110:CLK 0 +4 *975:A 0.000119827 +5 *1109:CLK 0.000342724 +6 *1134:CLK_N 0 +7 *1108:CLK 0.000290688 +8 *1003:A 2.55538e-05 +9 *1136:CLK_N 1.23628e-05 +10 *1145:CLK 0.000154107 +11 *1137:CLK 0 +12 *1119:CLK 0.000179739 +13 *1120:CLK 6.06247e-05 +14 *1133:CLK 0.000237415 +15 *1172:X 0 +16 *231:113 0.000119827 +17 *231:91 0.000690585 +18 *231:81 0.000522107 +19 *231:64 0.00171419 +20 *231:57 0.00199891 +21 *231:54 0.000744295 +22 *231:48 0.000374983 +23 *231:43 0.000542359 +24 *231:42 0.000796633 +25 *231:37 0.000485866 +26 *231:21 0.00144372 +27 *231:7 0.0021783 +28 *231:4 0.000891848 +29 *975:A *995:B 0.000112361 +30 *975:A *1110:D 4.61271e-05 +31 *975:A *305:70 0.000180418 +32 *1108:CLK *233:24 0.000110779 +33 *1108:CLK *233:26 6.50727e-05 +34 *1108:CLK *309:234 0 +35 *1109:CLK *1137:RESET_B 0.000156748 +36 *1109:CLK *309:221 0.000329879 +37 *1118:CLK *1118:D 7.61353e-05 +38 *1118:CLK *255:16 7.77309e-06 +39 *1118:CLK *255:28 0.000102494 +40 *1118:CLK *309:301 0.000217202 +41 *1119:CLK *1120:D 0.000147067 +42 *1119:CLK *1120:RESET_B 9.5571e-05 +43 *1119:CLK *279:27 0.000412913 +44 *1119:CLK *309:745 2.57847e-05 +45 *1120:CLK *1120:D 2.41274e-06 +46 *1120:CLK *279:27 6.77815e-05 +47 *1133:CLK *358:DIODE 2.20702e-05 +48 *1133:CLK *1013:A 9.80846e-05 +49 *1133:CLK *1133:SET_B 2.41274e-06 +50 *1136:CLK_N *1136:RESET_B 3.75603e-05 +51 *1145:CLK *1039:A 4.16683e-05 +52 *1145:CLK *1136:RESET_B 2.65667e-05 +53 *1145:CLK *309:151 1.61631e-05 +54 *1145:CLK *309:498 0.000218204 +55 *231:7 *1111:CLK 1.88422e-05 +56 *231:21 *1017:A0 8.01808e-05 +57 *231:21 *1058:B 6.11624e-05 +58 *231:21 *260:40 3.57378e-06 +59 *231:21 *260:47 8.48738e-05 +60 *231:21 *280:17 1.75816e-05 +61 *231:37 *1111:CLK 5.37077e-05 +62 *231:42 *1126:CLK 0.000113968 +63 *231:42 *309:171 0.000321905 +64 *231:42 *309:175 0.000138758 +65 *231:42 *309:187 0.000202485 +66 *231:43 *1141:SET_B 8.76073e-05 +67 *231:43 *255:16 0.000105133 +68 *231:43 *309:196 3.25394e-05 +69 *231:43 *309:301 2.04806e-05 +70 *231:48 *366:DIODE 1.28069e-05 +71 *231:48 *1141:SET_B 5.74817e-05 +72 *231:48 *235:28 3.31733e-05 +73 *231:48 *309:212 5.27524e-05 +74 *231:48 *309:221 2.41483e-05 +75 *231:54 *309:221 7.48633e-05 +76 *231:57 *235:28 0 +77 *231:64 *979:A 8.94796e-05 +78 *231:64 *981:B 3.35379e-05 +79 *231:64 *982:B 0 +80 *231:64 *1136:RESET_B 0.000398474 +81 *231:64 *241:13 2.15341e-05 +82 *231:64 *241:25 0.000361075 +83 *231:64 *242:23 0.000112985 +84 *231:81 *254:25 1.65351e-05 +85 *231:91 *1085:A1 2.65667e-05 +86 *231:91 *1134:RESET_B 6.92705e-05 +87 *231:91 *1135:CLK 6.50727e-05 +88 *231:91 *309:263 0.000116014 +89 *892:A *1133:CLK 5.23577e-05 +90 *892:A *231:21 0.000119333 +91 *900:A1 *231:21 0 +92 *909:A *231:21 6.74667e-05 +93 *998:A2 *231:57 0 +94 *1004:B *1003:A 1.02689e-05 +95 *1004:B *231:57 0 +96 *1005:A *231:64 1.45322e-05 +97 *1006:A *231:64 7.50872e-05 +98 *1006:A *231:81 0.000114594 +99 *1006:B *231:64 1.28561e-05 +100 *1012:B *1133:CLK 2.65667e-05 +101 *1076:A0 *231:21 0.000112312 +102 *1083:S *231:91 7.246e-05 +103 *1084:A0 *1108:CLK 0.000118166 +104 *1085:A0 *231:91 6.50727e-05 +105 *1087:A0 *231:57 0 +106 *1105:S *231:37 5.70364e-05 +107 *1133:D *1133:CLK 0.000136459 +108 *1136:D *231:64 1.20637e-06 +109 *1137:D *1109:CLK 1.65872e-05 +110 *1137:D *231:54 5.04829e-06 +111 *1137:D *231:57 0.000101133 +112 *1148:CLK *1133:CLK 0 +113 *1169:A *231:7 6.36477e-05 +114 *1170:A *231:21 0 +115 *1172:A *231:7 0.00106378 +116 *1173:A *231:21 0 +117 *48:10 *231:57 0 +118 *48:43 *231:57 4.69495e-06 +119 *48:43 *231:81 4.17531e-06 +120 *48:43 *231:91 0.000142522 +121 *146:66 *231:21 1.87469e-05 +122 *199:11 *1109:CLK 0.000113953 +123 *199:11 *231:57 0 +124 *203:25 *231:57 5.38612e-06 +125 *203:25 *231:81 0.00016553 +126 *203:45 *231:64 0 +127 *203:45 *231:81 5.09367e-05 +128 *225:10 *1133:CLK 8.72115e-06 +129 *225:10 *231:21 6.65668e-05 +*RES +1 *1172:X *231:4 9.24915 +2 *231:4 *231:7 17.4247 +3 *231:7 *1133:CLK 20.6381 +4 *231:7 *231:21 33.7386 +5 *231:21 *1120:CLK 11.0817 +6 *231:21 *1119:CLK 19.4008 +7 *231:4 *231:37 13.3235 +8 *231:37 *231:42 16.8942 +9 *231:42 *231:43 6.39977 +10 *231:43 *231:48 12.593 +11 *231:48 *1137:CLK 9.24915 +12 *231:48 *231:54 1.8326 +13 *231:54 *231:57 12.1455 +14 *231:57 *231:64 30.3729 +15 *231:64 *1145:CLK 14.4335 +16 *231:64 *1136:CLK_N 9.82786 +17 *231:57 *231:81 7.99641 +18 *231:81 *1003:A 9.82786 +19 *231:81 *231:91 11.8396 +20 *231:91 *1108:CLK 26.3478 +21 *231:91 *1134:CLK_N 9.24915 +22 *231:54 *1109:CLK 21.0646 +23 *231:43 *231:113 4.5 +24 *231:113 *975:A 14.4335 +25 *231:113 *1110:CLK 9.24915 +26 *231:42 *1118:CLK 22.1478 +27 *231:37 *1105:A1 9.24915 +*END + +*D_NET *232 0.0136672 +*CONN +*I *1113:CLK I *D sky130_fd_sc_hd__dfxtp_1 +*I *1161:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *1157:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *1160:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *1107:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1149:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *1164:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *1162:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *1163:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *1111:CLK I *D sky130_fd_sc_hd__dfxtp_1 +*I *1173:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *1113:CLK 0 +2 *1161:CLK 0 +3 *1157:CLK 2.69584e-05 +4 *1160:CLK 0.00024528 +5 *1107:A1 0.0010642 +6 *1149:CLK 0 +7 *1164:CLK 8.99206e-05 +8 *1162:CLK 0.000151334 +9 *1163:CLK 3.45381e-05 +10 *1111:CLK 0.000196861 +11 *1173:X 7.69022e-05 +12 *232:81 0.000334173 +13 *232:77 0.00044015 +14 *232:56 0.00159725 +15 *232:49 0.000464373 +16 *232:40 0.000356034 +17 *232:32 0.000592755 +18 *232:19 0.000386456 +19 *232:8 0.000402709 +20 *232:6 0.000415687 +21 *1107:A1 *1026:A 2.30636e-05 +22 *1107:A1 *1107:A0 0.00016553 +23 *1107:A1 *1128:D 0.000299874 +24 *1107:A1 *278:11 7.19887e-05 +25 *1111:CLK *1019:A 1.59362e-06 +26 *1111:CLK *329:8 0.000141716 +27 *1157:CLK *259:83 4.23181e-05 +28 *1157:CLK *269:13 7.621e-05 +29 *1160:CLK *1160:SET_B 0 +30 *1160:CLK *261:20 0.00030279 +31 *1160:CLK *284:11 1.77537e-06 +32 *1160:CLK *309:928 0.00011269 +33 *1162:CLK *389:DIODE 0.000124073 +34 *1162:CLK *888:S 1.3262e-05 +35 *1162:CLK *262:45 9.2346e-06 +36 *1163:CLK *309:313 3.99637e-05 +37 *1164:CLK *259:18 5.07314e-05 +38 *1164:CLK *259:20 5.481e-05 +39 *1164:CLK *262:37 4.38909e-05 +40 *1164:CLK *262:45 6.08467e-05 +41 *232:6 *329:8 0.000148017 +42 *232:8 *329:8 0.000350819 +43 *232:32 *886:A1 1.43983e-05 +44 *232:32 *1061:B 0.000218376 +45 *232:32 *263:17 7.17441e-05 +46 *232:32 *263:27 0.00019597 +47 *232:32 *309:313 9.48595e-05 +48 *232:40 *888:S 2.7363e-05 +49 *232:49 *259:44 6.48988e-05 +50 *232:56 *259:44 3.91877e-05 +51 *232:77 *1113:D 0.000167817 +52 *232:77 *269:56 6.36477e-05 +53 *232:81 *1161:RESET_B 8.45233e-05 +54 *232:81 *259:83 0.000529789 +55 *232:81 *269:13 2.41827e-05 +56 *232:81 *269:56 0.000199488 +57 *232:81 *280:8 0.000161956 +58 *888:A0 *1162:CLK 5.41227e-05 +59 *888:A0 *232:40 0.000138027 +60 *888:A0 *232:49 0.000148017 +61 *948:B *232:49 0.000191861 +62 *948:B *232:56 2.1667e-05 +63 *1027:B2 *232:56 5.63195e-05 +64 *1104:S *232:40 8.36586e-06 +65 *1104:S *232:49 0.000180417 +66 *1160:D *1160:CLK 0.000228593 +67 *1162:D *1162:CLK 0 +68 *1163:D *1163:CLK 5.04829e-06 +69 *1163:D *232:32 5.73392e-05 +70 *1172:A *1111:CLK 0.000116502 +71 *7:15 *1160:CLK 0 +72 *7:16 *1163:CLK 7.40813e-05 +73 *7:16 *232:32 0.00112263 +74 *143:5 *1107:A1 6.27782e-05 +75 *167:6 *232:56 0.000159954 +76 *231:7 *1111:CLK 1.88422e-05 +77 *231:37 *1111:CLK 5.37077e-05 +*RES +1 *1173:X *232:6 16.4116 +2 *232:6 *232:8 6.39977 +3 *232:8 *1111:CLK 19.6294 +4 *232:8 *232:19 4.5 +5 *232:19 *1163:CLK 11.0817 +6 *232:19 *232:32 23.7182 +7 *232:32 *1162:CLK 17.6574 +8 *232:32 *232:40 2.6625 +9 *232:40 *1164:CLK 16.691 +10 *232:40 *232:49 7.23027 +11 *232:49 *1149:CLK 13.7491 +12 *232:49 *232:56 7.64553 +13 *232:56 *1107:A1 31.1106 +14 *232:56 *1160:CLK 23.7903 +15 *232:6 *232:77 8.57513 +16 *232:77 *232:81 14.6126 +17 *232:81 *1157:CLK 11.0817 +18 *232:81 *1161:CLK 9.24915 +19 *232:77 *1113:CLK 9.24915 +*END + +*D_NET *233 0.0213073 +*CONN +*I *1108:D I *D sky130_fd_sc_hd__dfxtp_1 +*I *1084:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *953:B1 I *D sky130_fd_sc_hd__o21a_1 +*I *1192:A I *D sky130_fd_sc_hd__dlygate4sd1_1 +*I *957:B1 I *D sky130_fd_sc_hd__o21ai_1 +*I *1198:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *986:A I *D sky130_fd_sc_hd__nor3_1 +*I *1190:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *977:B1 I *D sky130_fd_sc_hd__o211a_1 +*I *1189:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *1083:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1124:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *1108:D 0 +2 *1084:A1 0 +3 *953:B1 0.000376491 +4 *1192:A 2.86362e-05 +5 *957:B1 0 +6 *1198:A 0.000191915 +7 *986:A 0.000102837 +8 *1190:A 0.000213071 +9 *977:B1 0.000291774 +10 *1189:A 4.60755e-05 +11 *1083:A1 0.00032334 +12 *1124:Q 0.000448615 +13 *233:97 0.000607104 +14 *233:82 0.00145834 +15 *233:68 0.00197569 +16 *233:48 0.00124616 +17 *233:46 0.000144243 +18 *233:44 0.00120746 +19 *233:26 0.00151911 +20 *233:24 0.000195191 +21 *233:20 0.000137091 +22 *233:8 0.000821896 +23 *953:B1 *996:A 0.000171811 +24 *953:B1 *1085:A1 1.87469e-05 +25 *953:B1 *1121:CLK 0.000114659 +26 *953:B1 *234:33 1.69932e-05 +27 *953:B1 *235:15 0 +28 *953:B1 *305:62 2.16355e-05 +29 *953:B1 *309:221 3.14544e-05 +30 *953:B1 *309:234 2.44103e-05 +31 *953:B1 *317:27 0 +32 *977:B1 *235:50 0.000254532 +33 *977:B1 *235:52 4.17605e-05 +34 *977:B1 *313:22 7.73328e-05 +35 *986:A *986:C 4.95892e-05 +36 *986:A *1010:B 0.000111352 +37 *986:A *236:33 0.000112367 +38 *986:A *237:41 1.60442e-05 +39 *1189:A *305:93 0.000122068 +40 *1190:A *236:33 0.000261925 +41 *1198:A *957:A1 6.50023e-06 +42 *1198:A *234:45 3.52807e-05 +43 *1198:A *235:28 0.000173569 +44 *1198:A *254:49 8.01546e-06 +45 *1198:A *309:154 0 +46 *233:8 *992:B_N 1.93714e-05 +47 *233:8 *993:A 1.48017e-05 +48 *233:8 *1122:D 3.83868e-05 +49 *233:8 *234:17 0.000438266 +50 *233:20 *234:17 0.000182685 +51 *233:24 *234:17 0.000524317 +52 *233:26 *1084:S 0.000319761 +53 *233:26 *234:17 0.000196428 +54 *233:26 *235:15 0.0002646 +55 *233:26 *254:9 0.000264586 +56 *233:26 *254:25 9.82896e-06 +57 *233:44 *234:33 1.39795e-05 +58 *233:44 *235:15 8.36074e-05 +59 *233:44 *254:25 0.000105009 +60 *233:46 *254:41 0.000305756 +61 *233:46 *254:49 0.000213725 +62 *233:46 *315:8 6.22868e-05 +63 *233:68 *951:B 3.14613e-05 +64 *233:68 *966:B 0.000171273 +65 *233:68 *1040:A 1.65872e-05 +66 *233:68 *1041:B 0.000111708 +67 *233:68 *1092:A1 2.16355e-05 +68 *233:68 *234:33 1.21461e-06 +69 *233:68 *243:39 0 +70 *233:68 *244:22 0 +71 *233:68 *254:49 3.09374e-06 +72 *233:68 *254:59 4.31939e-05 +73 *233:68 *309:154 8.11463e-06 +74 *233:82 *950:A 3.99086e-06 +75 *233:82 *983:A2 0.000125695 +76 *233:82 *983:B1 0 +77 *233:82 *305:89 0.000125697 +78 *233:82 *305:93 5.56367e-05 +79 *951:A *233:68 2.41274e-06 +80 *961:B *1192:A 6.41177e-05 +81 *961:B *233:44 2.74378e-05 +82 *961:B *233:46 1.60116e-05 +83 *967:A1 *233:68 0.000116755 +84 *967:A2 *233:68 4.77858e-05 +85 *967:B1_N *233:68 3.18806e-05 +86 *983:C1 *233:82 4.83699e-05 +87 *998:A2 *233:44 2.07365e-05 +88 *998:B1 *233:44 0.0014936 +89 *1004:B *233:44 0.000164829 +90 *1008:B *1083:A1 0 +91 *1042:B *233:82 0.000104238 +92 *1068:S *233:82 0.000200221 +93 *1083:S *1083:A1 1.27193e-05 +94 *1084:A0 *1083:A1 0 +95 *1084:A0 *233:24 0.000118166 +96 *1086:A0 *953:B1 1.07248e-05 +97 *1088:A0 *233:44 6.36477e-05 +98 *1091:A0 *1189:A 0.000120584 +99 *1108:CLK *233:24 0.000110779 +100 *1108:CLK *233:26 6.50727e-05 +101 *1147:D *233:97 0 +102 *1194:A *233:82 0.000123582 +103 *1194:A *233:97 0.000287278 +104 *19:21 *233:44 0.000160617 +105 *19:40 *233:68 0.000121252 +106 *19:52 *233:82 0 +107 *172:8 *233:68 1.00937e-05 +108 *174:11 *953:B1 3.03567e-05 +109 *176:16 *1198:A 1.66626e-05 +110 *176:16 *233:46 4.87439e-05 +111 *176:33 *233:46 1.37531e-05 +112 *178:7 *233:46 0.000365048 +113 *192:14 *233:82 0.000389874 +114 *199:11 *233:44 0.00011818 +*RES +1 *1124:Q *233:8 25.5822 +2 *233:8 *1083:A1 20.8723 +3 *233:8 *233:20 6.51948 +4 *233:20 *233:24 6.10667 +5 *233:24 *233:26 7.93324 +6 *233:26 *233:44 28.4717 +7 *233:44 *233:46 7.9875 +8 *233:46 *233:48 4.5 +9 *233:48 *233:68 38.9416 +10 *233:68 *1189:A 15.9964 +11 *233:68 *233:82 28.2139 +12 *233:82 *977:B1 28.2169 +13 *233:82 *233:97 13.3913 +14 *233:97 *1190:A 24.684 +15 *233:97 *986:A 12.7456 +16 *233:48 *1198:A 19.0748 +17 *233:46 *957:B1 9.24915 +18 *233:44 *1192:A 10.497 +19 *233:26 *953:B1 28.6943 +20 *233:24 *1084:A1 9.24915 +21 *233:20 *1108:D 9.24915 +*END + +*D_NET *234 0.0173207 +*CONN +*I *1085:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1086:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1196:A I *D sky130_fd_sc_hd__dlygate4sd1_1 +*I *977:A2 I *D sky130_fd_sc_hd__o211a_1 +*I *1197:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *957:A2 I *D sky130_fd_sc_hd__o21ai_1 +*I *1200:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *1125:Q O *D sky130_fd_sc_hd__dfstp_4 +*CAP +1 *1085:A1 0.000167097 +2 *1086:A1 0 +3 *1196:A 2.06324e-05 +4 *977:A2 1.56811e-05 +5 *1197:A 0.000250492 +6 *957:A2 3.84817e-05 +7 *1200:A 0.000182832 +8 *1125:Q 0 +9 *234:47 0.000532904 +10 *234:45 0.000970235 +11 *234:33 0.00297135 +12 *234:19 0.00232305 +13 *234:17 0.00161462 +14 *234:4 0.00155731 +15 *977:A2 *977:A1 1.05106e-05 +16 *977:A2 *1191:A 0 +17 *1085:A1 *309:234 0.00023344 +18 *1085:A1 *317:27 0 +19 *1197:A *983:A1 0 +20 *1197:A *1191:A 0 +21 *1197:A *235:52 2.32625e-05 +22 *1197:A *308:7 7.34948e-06 +23 *1197:A *313:22 0.000110306 +24 *1200:A *305:8 8.39223e-05 +25 *234:17 *1121:D 4.86446e-05 +26 *234:17 *1125:D 0 +27 *234:17 *235:15 0.00014642 +28 *234:17 *305:8 0.000136705 +29 *234:17 *305:12 9.55247e-06 +30 *234:17 *325:11 0 +31 *234:19 *235:15 9.97706e-05 +32 *234:33 *235:15 0.000113968 +33 *234:33 *254:41 8.90652e-06 +34 *234:33 *317:27 0.000272349 +35 *234:33 *317:36 0.000189305 +36 *234:45 *957:A1 6.96846e-05 +37 *234:45 *1093:A1 6.50727e-05 +38 *234:45 *235:28 4.91804e-05 +39 *234:45 *235:40 0.000414767 +40 *234:45 *235:50 1.19721e-05 +41 *234:47 *235:50 0.000130076 +42 *234:47 *235:52 2.99287e-05 +43 *399:DIODE *234:17 0 +44 *953:B1 *1085:A1 1.87469e-05 +45 *953:B1 *234:33 1.69932e-05 +46 *961:A *234:33 2.02035e-05 +47 *961:B *234:33 0.000426726 +48 *980:C *234:33 3.31733e-05 +49 *983:C1 *1197:A 0.000168973 +50 *984:A2 *234:33 0 +51 *984:B1_N *234:33 1.2693e-05 +52 *1033:A *1197:A 0.000127164 +53 *1086:A0 *1085:A1 2.72403e-05 +54 *1086:A0 *234:33 4.40531e-05 +55 *1093:A0 *234:45 0.000113968 +56 *1093:S *234:45 0.000106567 +57 *1198:A *234:45 3.52807e-05 +58 *14:8 *234:17 0 +59 *19:21 *234:33 1.91391e-05 +60 *19:40 *234:45 0.000910472 +61 *19:52 *234:45 0.00016553 +62 *47:7 *234:47 6.08467e-05 +63 *48:43 *1085:A1 6.08467e-05 +64 *49:16 *234:45 0.000108266 +65 *176:16 *234:33 0.000161243 +66 *176:33 *234:33 0.000375848 +67 *179:11 *234:45 1.34424e-05 +68 *231:91 *1085:A1 2.65667e-05 +69 *233:8 *234:17 0.000438266 +70 *233:20 *234:17 0.000182685 +71 *233:24 *234:17 0.000524317 +72 *233:26 *234:17 0.000196428 +73 *233:44 *234:33 1.39795e-05 +74 *233:68 *234:33 1.21461e-06 +*RES +1 *1125:Q *234:4 9.24915 +2 *234:4 *1200:A 13.8789 +3 *234:4 *234:17 39.5391 +4 *234:17 *234:19 1.278 +5 *234:19 *234:33 35.0363 +6 *234:33 *957:A2 10.2378 +7 *234:33 *234:45 31.5211 +8 *234:45 *234:47 6.82404 +9 *234:47 *1197:A 24.7403 +10 *234:47 *977:A2 9.82786 +11 *234:45 *1196:A 9.82786 +12 *234:19 *1086:A1 9.24915 +13 *234:17 *1085:A1 23.2961 +*END + +*D_NET *235 0.0160913 +*CONN +*I *1199:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *1087:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *977:A1 I *D sky130_fd_sc_hd__o211a_1 +*I *1032:B1 I *D sky130_fd_sc_hd__o21a_1 +*I *1031:B I *D sky130_fd_sc_hd__nor3_1 +*I *957:A1 I *D sky130_fd_sc_hd__o21ai_1 +*I *1126:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *1199:A 0.000295625 +2 *1087:A1 0 +3 *977:A1 1.90278e-05 +4 *1032:B1 7.87522e-05 +5 *1031:B 9.37535e-05 +6 *957:A1 6.34313e-05 +7 *1126:Q 1.09091e-05 +8 *235:52 0.000253408 +9 *235:50 0.00111442 +10 *235:41 0.0011191 +11 *235:40 0.000370566 +12 *235:28 0.00106371 +13 *235:15 0.00184742 +14 *235:7 0.00141964 +15 *957:A1 *309:154 0.000120544 +16 *1031:B *1032:A2 0.000466373 +17 *1031:B *313:22 3.14978e-05 +18 *1031:B *313:24 0.000193707 +19 *1199:A *1162:SET_B 6.83043e-05 +20 *1199:A *262:8 1.85511e-05 +21 *1199:A *309:448 0 +22 *1199:A *317:15 0.000667288 +23 *1199:A *325:11 0.000119938 +24 *235:7 *255:69 6.3657e-05 +25 *235:7 *309:384 6.3657e-05 +26 *235:15 *1122:D 4.78554e-05 +27 *235:15 *1124:CLK 0 +28 *235:15 *254:8 0.000116986 +29 *235:15 *255:69 0 +30 *235:15 *309:221 1.75625e-05 +31 *235:15 *309:234 5.82465e-05 +32 *235:15 *317:15 0.000148144 +33 *235:28 *309:154 7.15574e-05 +34 *235:28 *309:212 0.000118166 +35 *235:50 *1032:A2 0 +36 *235:52 *1032:A2 0.000113968 +37 *235:52 *313:22 5.99527e-05 +38 *953:B1 *235:15 0 +39 *963:A1 *235:50 0.000114584 +40 *963:B1_N *235:50 6.50586e-05 +41 *977:A2 *977:A1 1.05106e-05 +42 *977:B1 *235:50 0.000254532 +43 *977:B1 *235:52 4.17605e-05 +44 *985:A *235:28 0.000269504 +45 *985:B *235:28 1.43848e-05 +46 *998:A2 *235:15 0.000114584 +47 *998:B1 *235:15 1.37189e-05 +48 *1025:B1 *235:28 0.000701281 +49 *1033:B *1032:B1 8.28203e-05 +50 *1082:A0 *1031:B 2.07365e-05 +51 *1093:S *235:50 4.56667e-05 +52 *1094:A0 *235:50 6.50586e-05 +53 *1141:D *235:28 0.000681402 +54 *1164:D *1199:A 1.41976e-05 +55 *1197:A *235:52 2.32625e-05 +56 *1198:A *957:A1 6.50023e-06 +57 *1198:A *235:28 0.000173569 +58 *19:40 *235:50 0.000179042 +59 *19:52 *235:50 7.16893e-05 +60 *48:25 *235:15 4.44214e-05 +61 *48:43 *235:15 0.000108506 +62 *176:16 *957:A1 7.86825e-06 +63 *179:11 *235:28 0.000485826 +64 *179:11 *235:50 0.000268366 +65 *179:16 *235:50 0.000213676 +66 *187:12 *1032:B1 0.000189666 +67 *188:5 *235:28 2.69811e-05 +68 *199:11 *235:15 1.92172e-05 +69 *231:48 *235:28 3.31733e-05 +70 *231:57 *235:28 0 +71 *233:26 *235:15 0.0002646 +72 *233:44 *235:15 8.36074e-05 +73 *234:17 *235:15 0.00014642 +74 *234:19 *235:15 9.97706e-05 +75 *234:33 *235:15 0.000113968 +76 *234:45 *957:A1 6.96846e-05 +77 *234:45 *235:28 4.91804e-05 +78 *234:45 *235:40 0.000414767 +79 *234:45 *235:50 1.19721e-05 +80 *234:47 *235:50 0.000130076 +81 *234:47 *235:52 2.99287e-05 +*RES +1 *1126:Q *235:7 14.4725 +2 *235:7 *235:15 34.2621 +3 *235:15 *235:28 42.7588 +4 *235:28 *957:A1 15.9964 +5 *235:28 *235:40 18.3548 +6 *235:40 *235:41 127.479 +7 *235:41 *235:50 32.3737 +8 *235:50 *235:52 3.49641 +9 *235:52 *1031:B 14.964 +10 *235:52 *1032:B1 21.7421 +11 *235:50 *977:A1 9.82786 +12 *235:15 *1087:A1 9.24915 +13 *235:7 *1199:A 25.6148 +*END + +*D_NET *236 0.00792985 +*CONN +*I *986:C I *D sky130_fd_sc_hd__nor3_1 +*I *1012:C I *D sky130_fd_sc_hd__nand3_1 +*I *1021:C_N I *D sky130_fd_sc_hd__nor3b_1 +*I *1043:A I *D sky130_fd_sc_hd__clkinv_2 +*I *1044:B I *D sky130_fd_sc_hd__xnor2_1 +*I *990:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1138:Q O *D sky130_fd_sc_hd__dfstp_2 +*CAP +1 *986:C 7.84606e-06 +2 *1012:C 0.00105711 +3 *1021:C_N 0.000164658 +4 *1043:A 0 +5 *1044:B 0.000257816 +6 *990:A1 0 +7 *1138:Q 0.000229161 +8 *236:36 0.00133344 +9 *236:33 0.000217336 +10 *236:27 0.000178215 +11 *236:11 0.000567378 +12 *986:C *237:41 6.50727e-05 +13 *1012:C *1096:A1 0.000172744 +14 *1012:C *238:5 1.74989e-05 +15 *1012:C *238:9 3.75692e-05 +16 *1021:C_N *1021:A 0.000217771 +17 *1021:C_N *1021:B 6.36477e-05 +18 *1044:B *1044:A 0.000134497 +19 *1044:B *272:15 0.000256146 +20 *236:11 *988:S 0.000252885 +21 *236:11 *990:S 2.53191e-05 +22 *236:11 *237:13 0.000324714 +23 *236:11 *237:25 5.57138e-05 +24 *236:27 *990:S 1.88422e-05 +25 *236:27 *237:25 0.000377259 +26 *236:33 *237:25 0.000271602 +27 *236:33 *237:41 0.000311512 +28 *236:36 *1096:A1 9.99386e-06 +29 *986:A *986:C 4.95892e-05 +30 *986:A *236:33 0.000112367 +31 *987:B *1012:C 4.47123e-05 +32 *987:B *236:36 0.000111608 +33 *988:A0 *1044:B 3.00073e-05 +34 *988:A0 *236:11 2.29454e-05 +35 *989:A *236:11 7.621e-05 +36 *990:A0 *1044:B 1.07248e-05 +37 *991:A *236:11 7.93242e-05 +38 *1095:A0 *236:27 4.25952e-05 +39 *1095:S *1044:B 0.000200236 +40 *1096:A0 *1044:B 4.8345e-05 +41 *1140:D *1012:C 0.000213516 +42 *1190:A *236:33 0.000261925 +43 *228:8 *1012:C 0 +*RES +1 *1138:Q *236:11 20.1724 +2 *236:11 *990:A1 9.24915 +3 *236:11 *1044:B 27.5907 +4 *236:11 *236:27 4.05102 +5 *236:27 *1043:A 9.24915 +6 *236:27 *236:33 6.26943 +7 *236:33 *236:36 7.37013 +8 *236:36 *1021:C_N 19.1045 +9 *236:36 *1012:C 31.3606 +10 *236:33 *986:C 9.97254 +*END + +*D_NET *237 0.00520416 +*CONN +*I *1010:B I *D sky130_fd_sc_hd__nor2_1 +*I *986:B I *D sky130_fd_sc_hd__nor3_1 +*I *1021:B I *D sky130_fd_sc_hd__nor3b_1 +*I *1044:A I *D sky130_fd_sc_hd__xnor2_1 +*I *988:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1139:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *1010:B 0.000291096 +2 *986:B 0 +3 *1021:B 0.000193418 +4 *1044:A 0.00018808 +5 *988:A1 0 +6 *1139:Q 7.29075e-05 +7 *237:41 0.000459734 +8 *237:25 0.000628693 +9 *237:13 0.00048965 +10 *237:8 0.00010784 +11 *1010:B *365:DIODE 0.000232975 +12 *1010:B *310:20 6.46424e-05 +13 *1021:B *1021:A 2.29454e-05 +14 *1021:B *1096:A1 2.42295e-05 +15 *1044:A *309:37 0.00023866 +16 *986:A *1010:B 0.000111352 +17 *986:A *237:41 1.60442e-05 +18 *986:C *237:41 6.50727e-05 +19 *988:A0 *1044:A 7.50872e-05 +20 *988:A0 *237:13 0.00031772 +21 *988:A0 *237:25 6.50727e-05 +22 *1021:C_N *1021:B 6.36477e-05 +23 *1044:B *1044:A 0.000134497 +24 *236:11 *237:13 0.000324714 +25 *236:11 *237:25 5.57138e-05 +26 *236:27 *237:25 0.000377259 +27 *236:33 *237:25 0.000271602 +28 *236:33 *237:41 0.000311512 +*RES +1 *1139:Q *237:8 20.0811 +2 *237:8 *988:A1 9.24915 +3 *237:8 *237:13 3.49641 +4 *237:13 *1044:A 25.3723 +5 *237:13 *237:25 8.48785 +6 *237:25 *1021:B 22.9077 +7 *237:25 *237:41 5.18434 +8 *237:41 *986:B 9.24915 +9 *237:41 *1010:B 18.8462 +*END + +*D_NET *238 0.00319938 +*CONN +*I *1010:A I *D sky130_fd_sc_hd__nor2_1 +*I *987:A I *D sky130_fd_sc_hd__xor2_1 +*I *1021:A I *D sky130_fd_sc_hd__nor3b_1 +*I *1140:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *1010:A 0.000128224 +2 *987:A 0 +3 *1021:A 8.0555e-05 +4 *1140:Q 0.000189768 +5 *238:9 0.000876138 +6 *238:5 0.00111358 +7 *1010:A *309:639 0 +8 *1010:A *310:20 1.83992e-05 +9 *1021:A *1096:A1 0.000396579 +10 *238:9 *1096:A1 1.80887e-05 +11 *1012:A *1010:A 2.65831e-05 +12 *1012:C *238:5 1.74989e-05 +13 *1012:C *238:9 3.75692e-05 +14 *1021:B *1021:A 2.29454e-05 +15 *1021:C_N *1021:A 0.000217771 +16 *1140:D *238:9 5.56856e-05 +*RES +1 *1140:Q *238:5 12.191 +2 *238:5 *238:9 12.2495 +3 *238:9 *1021:A 14.6023 +4 *238:9 *987:A 9.24915 +5 *238:5 *1010:A 21.2198 +*END + +*D_NET *239 0.00293994 +*CONN +*I *1105:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1013:A I *D sky130_fd_sc_hd__xnor2_1 +*I *1133:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *1105:A0 0.000180523 +2 *1013:A 0.00086211 +3 *1133:Q 0 +4 *239:4 0.00104263 +5 *1013:A *1133:SET_B 4.11245e-05 +6 *1013:A *309:171 4.69057e-05 +7 *1105:A0 *309:171 0.000283266 +8 *892:A *1013:A 3.00174e-06 +9 *892:B *1013:A 0.000165511 +10 *900:A1 *1013:A 1.00901e-05 +11 *1133:CLK *1013:A 9.80846e-05 +12 *1169:A *1013:A 0.000206696 +*RES +1 *1133:Q *239:4 9.24915 +2 *239:4 *1013:A 34.2824 +3 *239:4 *1105:A0 14.5902 +*END + +*D_NET *240 0.0045299 +*CONN +*I *1036:B I *D sky130_fd_sc_hd__xnor2_1 +*I *982:B I *D sky130_fd_sc_hd__nand2_1 +*I *979:C_N I *D sky130_fd_sc_hd__nor3b_2 +*I *1037:B I *D sky130_fd_sc_hd__nor2_1 +*I *1007:A I *D sky130_fd_sc_hd__inv_2 +*I *1134:Q O *D sky130_fd_sc_hd__dfrtn_1 +*CAP +1 *1036:B 0 +2 *982:B 0.000171736 +3 *979:C_N 0 +4 *1037:B 6.36082e-05 +5 *1007:A 0 +6 *1134:Q 0.000400637 +7 *240:32 0.000325962 +8 *240:21 0.000638977 +9 *240:19 0.00111886 +10 *240:9 0.00109836 +11 *982:B *254:25 2.01874e-05 +12 *240:9 *1062:A 0 +13 *393:DIODE *240:9 0 +14 *982:A *982:B 6.50727e-05 +15 *984:A1 *982:B 6.50727e-05 +16 *1008:A *240:19 1.92336e-05 +17 *1008:B *240:19 0.000230786 +18 *1038:B *1037:B 1.61631e-05 +19 *1087:A0 *982:B 0.000128064 +20 *1087:A0 *1037:B 2.41483e-05 +21 *1087:A0 *240:32 0.000143032 +22 *1177:A *240:9 0 +23 *231:64 *982:B 0 +*RES +1 *1134:Q *240:9 27.7301 +2 *240:9 *1007:A 9.24915 +3 *240:9 *240:19 16.7104 +4 *240:19 *240:21 10.1517 +5 *240:21 *1037:B 11.1059 +6 *240:21 *240:32 7.993 +7 *240:32 *979:C_N 13.7491 +8 *240:32 *982:B 18.823 +9 *240:19 *1036:B 9.24915 +*END + +*D_NET *241 0.00483698 +*CONN +*I *1036:A I *D sky130_fd_sc_hd__xnor2_1 +*I *979:B I *D sky130_fd_sc_hd__nor3b_2 +*I *1037:A I *D sky130_fd_sc_hd__nor2_1 +*I *981:B I *D sky130_fd_sc_hd__nor2_1 +*I *1005:C I *D sky130_fd_sc_hd__nand3_1 +*I *1135:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *1036:A 0.000121966 +2 *979:B 0 +3 *1037:A 0.000186333 +4 *981:B 0.000214689 +5 *1005:C 0 +6 *1135:Q 0.000521205 +7 *241:27 0.000401022 +8 *241:25 0.000123898 +9 *241:13 0.000133188 +10 *241:10 7.33128e-05 +11 *241:9 0.000456888 +12 *241:5 0.00103604 +13 *981:B *979:A 7.27836e-05 +14 *241:5 *360:DIODE 8.20787e-05 +15 *241:5 *1135:SET_B 0.000110568 +16 *241:25 *979:A 0 +17 *1005:A *241:25 8.3746e-05 +18 *1005:B *241:25 1.49001e-05 +19 *1006:A *241:9 6.78364e-06 +20 *1006:A *241:25 0 +21 *1006:B *241:9 9.81468e-05 +22 *1006:B *241:13 6.65788e-05 +23 *1006:B *241:25 2.41483e-05 +24 *1083:S *241:5 0.000353438 +25 *1085:A0 *1036:A 1.79672e-05 +26 *1087:A0 *1037:A 2.63056e-05 +27 *1135:D *241:5 2.65831e-05 +28 *1135:D *241:9 0.000168269 +29 *231:64 *981:B 3.35379e-05 +30 *231:64 *241:13 2.15341e-05 +31 *231:64 *241:25 0.000361075 +*RES +1 *1135:Q *241:5 18.5689 +2 *241:5 *241:9 16.0732 +3 *241:9 *241:10 81.1229 +4 *241:10 *241:13 9.97254 +5 *241:13 *1005:C 9.24915 +6 *241:13 *241:25 5.93185 +7 *241:25 *241:27 4.5 +8 *241:27 *981:B 17.9107 +9 *241:27 *1037:A 17.6574 +10 *241:25 *979:B 9.24915 +11 *241:5 *1036:A 20.9116 +*END + +*D_NET *242 0.00206917 +*CONN +*I *981:A I *D sky130_fd_sc_hd__nor2_1 +*I *979:A I *D sky130_fd_sc_hd__nor3b_2 +*I *1038:A I *D sky130_fd_sc_hd__xor2_1 +*I *1001:C I *D sky130_fd_sc_hd__nand3_1 +*I *1136:Q O *D sky130_fd_sc_hd__dfrtn_1 +*CAP +1 *981:A 0 +2 *979:A 7.46308e-05 +3 *1038:A 0.000189947 +4 *1001:C 9.73438e-05 +5 *1136:Q 0 +6 *242:23 0.00019415 +7 *242:8 0.0003805 +8 *242:4 0.000212729 +9 *981:B *979:A 7.27836e-05 +10 *1001:B *1001:C 0.000116755 +11 *1001:B *242:8 4.47713e-05 +12 *1002:A *1001:C 6.78596e-05 +13 *1005:A *979:A 0.00032688 +14 *1005:A *242:23 6.75302e-05 +15 *1005:B *242:8 1.07248e-05 +16 *1005:B *242:23 1.00981e-05 +17 *231:64 *979:A 8.94796e-05 +18 *231:64 *242:23 0.000112985 +19 *241:25 *979:A 0 +*RES +1 *1136:Q *242:4 9.24915 +2 *242:4 *242:8 11.6625 +3 *242:8 *1001:C 12.191 +4 *242:8 *1038:A 13.8548 +5 *242:4 *242:23 4.34038 +6 *242:23 *979:A 15.4221 +7 *242:23 *981:A 9.24915 +*END + +*D_NET *243 0.0057787 +*CONN +*I *1039:A I *D sky130_fd_sc_hd__clkinv_2 +*I *951:B I *D sky130_fd_sc_hd__nand2_1 +*I *966:B I *D sky130_fd_sc_hd__nand3_1 +*I *1040:B I *D sky130_fd_sc_hd__xnor2_1 +*I *1041:B I *D sky130_fd_sc_hd__nor2_1 +*I *1145:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *1039:A 8.80101e-05 +2 *951:B 8.06738e-05 +3 *966:B 0.000349237 +4 *1040:B 2.47141e-05 +5 *1041:B 0.000254615 +6 *1145:Q 0 +7 *243:39 0.000661805 +8 *243:36 0.00061283 +9 *243:6 0.000340816 +10 *243:4 0.000354412 +11 *966:B *950:B 0.000223456 +12 *966:B *244:33 0 +13 *966:B *317:36 0 +14 *1039:A *309:151 4.62974e-05 +15 *1040:B *244:22 2.95757e-05 +16 *1040:B *244:33 2.1818e-05 +17 *1041:B *1040:A 6.08467e-05 +18 *1041:B *1041:A 0.000270981 +19 *1041:B *1092:A1 4.91225e-06 +20 *1041:B *254:59 0.000281159 +21 *1041:B *254:64 6.50727e-05 +22 *1041:B *254:73 0.000160381 +23 *1041:B *305:89 5.05252e-05 +24 *1041:B *305:93 3.31733e-05 +25 *243:6 *244:22 0.000165481 +26 *243:36 *244:22 6.50586e-05 +27 *243:36 *309:135 0.000143803 +28 *243:36 *309:151 4.41404e-05 +29 *951:A *966:B 7.02172e-06 +30 *965:B1_N *1040:B 3.92918e-05 +31 *965:B1_N *1041:B 7.02493e-05 +32 *965:B1_N *243:6 0.000169063 +33 *966:A *966:B 1.77537e-06 +34 *967:B1_N *966:B 9.89388e-06 +35 *1089:A0 *1039:A 9.06988e-05 +36 *1090:A0 *243:39 5.96936e-05 +37 *1092:A0 *1041:B 6.36477e-05 +38 *1145:D *243:39 0.000143032 +39 *1145:CLK *1039:A 4.16683e-05 +40 *19:40 *951:B 0 +41 *19:40 *966:B 1.36815e-05 +42 *19:52 *1041:B 6.92705e-05 +43 *49:16 *951:B 1.8099e-05 +44 *49:16 *243:39 3.69999e-05 +45 *172:8 *951:B 7.34948e-06 +46 *176:16 *966:B 3.0676e-05 +47 *192:14 *1041:B 0.000158357 +48 *233:68 *951:B 3.14613e-05 +49 *233:68 *966:B 0.000171273 +50 *233:68 *1041:B 0.000111708 +51 *233:68 *243:39 0 +*RES +1 *1145:Q *243:4 9.24915 +2 *243:4 *243:6 7.57775 +3 *243:6 *1041:B 34.8722 +4 *243:6 *1040:B 14.7506 +5 *243:4 *243:36 8.48785 +6 *243:36 *243:39 10.4845 +7 *243:39 *966:B 29.3261 +8 *243:39 *951:B 15.8893 +9 *243:36 *1039:A 12.7697 +*END + +*D_NET *244 0.0040104 +*CONN +*I *950:B I *D sky130_fd_sc_hd__nor2_1 +*I *964:B I *D sky130_fd_sc_hd__nand3_1 +*I *1040:A I *D sky130_fd_sc_hd__xnor2_1 +*I *1041:A I *D sky130_fd_sc_hd__nor2_1 +*I *1146:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *950:B 0.00018592 +2 *964:B 6.52069e-05 +3 *1040:A 3.78853e-05 +4 *1041:A 0.000392841 +5 *1146:Q 0 +6 *244:33 0.000386716 +7 *244:22 0.000513795 +8 *244:4 0.00073316 +9 *950:B *950:A 2.79849e-05 +10 *964:B *950:A 1.43848e-05 +11 *1040:A *254:59 4.7372e-05 +12 *1041:A *983:B1 0 +13 *1041:A *309:135 4.31237e-05 +14 *244:22 *370:DIODE 0 +15 *244:22 *309:135 6.86864e-05 +16 *244:33 *317:36 8.92568e-06 +17 *951:A *950:B 7.35866e-05 +18 *964:C *950:B 0.000269504 +19 *964:C *964:B 1.51284e-05 +20 *965:A1 *1041:A 4.53353e-05 +21 *965:B1_N *244:22 9.2346e-06 +22 *965:B1_N *244:33 3.37319e-05 +23 *966:B *950:B 0.000223456 +24 *966:B *244:33 0 +25 *966:C *950:B 5.94675e-05 +26 *967:A2 *244:33 0 +27 *967:B1_N *244:33 0 +28 *1040:B *244:22 2.95757e-05 +29 *1040:B *244:33 2.1818e-05 +30 *1041:B *1040:A 6.08467e-05 +31 *1041:B *1041:A 0.000270981 +32 *1092:A0 *1041:A 7.29011e-05 +33 *19:52 *1041:A 5.17071e-05 +34 *233:68 *1040:A 1.65872e-05 +35 *233:68 *244:22 0 +36 *243:6 *244:22 0.000165481 +37 *243:36 *244:22 6.50586e-05 +*RES +1 *1146:Q *244:4 9.24915 +2 *244:4 *1041:A 28.4818 +3 *244:4 *244:22 13.2912 +4 *244:22 *1040:A 15.0271 +5 *244:22 *244:33 7.57775 +6 *244:33 *964:B 10.9612 +7 *244:33 *950:B 16.1214 +*END + +*D_NET *245 0.0027812 +*CONN +*I *962:B I *D sky130_fd_sc_hd__nand3_1 +*I *950:A I *D sky130_fd_sc_hd__nor2_1 +*I *1042:A I *D sky130_fd_sc_hd__xor2_1 +*I *1147:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *962:B 8.88481e-05 +2 *950:A 0.000233674 +3 *1042:A 9.94481e-05 +4 *1147:Q 0 +5 *245:8 0.000540573 +6 *245:4 0.000296299 +7 *950:A *305:84 0.00025183 +8 *950:A *305:89 0.000369399 +9 *962:B *1147:RESET_B 2.58757e-05 +10 *245:8 *1094:S 4.08072e-05 +11 *245:8 *1195:A 2.97579e-05 +12 *245:8 *317:36 9.98029e-06 +13 *950:B *950:A 2.79849e-05 +14 *951:A *950:A 6.1478e-06 +15 *962:A *962:B 4.03096e-05 +16 *963:B1_N *962:B 0.000211546 +17 *963:B1_N *245:8 0.000132627 +18 *964:B *950:A 1.43848e-05 +19 *964:C *950:A 5.95369e-05 +20 *966:A *950:A 0.000114594 +21 *966:C *950:A 3.15947e-05 +22 *983:C1 *1042:A 0 +23 *1093:A0 *245:8 0.000101148 +24 *1094:A0 *245:8 1.22289e-05 +25 *192:14 *1042:A 3.86121e-05 +26 *233:82 *950:A 3.99086e-06 +*RES +1 *1147:Q *245:4 9.24915 +2 *245:4 *245:8 14.9845 +3 *245:8 *1042:A 11.6605 +4 *245:8 *950:A 17.7611 +5 *245:4 *962:B 12.7697 +*END + +*D_NET *246 0.00214759 +*CONN +*I *1028:A I *D sky130_fd_sc_hd__clkinv_2 +*I *1030:B I *D sky130_fd_sc_hd__xnor2_1 +*I *1034:B I *D sky130_fd_sc_hd__nor2_1 +*I *973:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1142:Q O *D sky130_fd_sc_hd__dfrtn_1 +*CAP +1 *1028:A 6.7931e-05 +2 *1030:B 6.27306e-05 +3 *1034:B 6.15892e-05 +4 *973:A0 0.000153772 +5 *1142:Q 0 +6 *246:17 0.000197479 +7 *246:7 0.000362534 +8 *246:4 0.000203533 +9 *973:A0 *1029:A 8.50796e-05 +10 *973:A0 *309:78 6.52332e-05 +11 *973:A0 *309:80 2.99929e-05 +12 *1028:A *309:107 2.99287e-05 +13 *1030:B *976:B 2.4562e-05 +14 *1030:B *247:26 5.92342e-05 +15 *1030:B *247:33 0.000116454 +16 *1030:B *309:78 9.02862e-05 +17 *1034:B *247:26 0.000271044 +18 *246:7 *309:99 4.28856e-07 +19 *246:7 *309:107 7.16334e-06 +20 *246:17 *1029:A 4.87198e-05 +21 *246:17 *247:26 2.95757e-05 +22 *246:17 *309:78 5.79399e-05 +23 *972:A *1034:B 0.000122378 +*RES +1 *1142:Q *246:4 9.24915 +2 *246:4 *246:7 6.3326 +3 *246:7 *973:A0 17.9655 +4 *246:7 *246:17 2.6625 +5 *246:17 *1034:B 16.691 +6 *246:17 *1030:B 17.2421 +7 *246:4 *1028:A 11.3711 +*END + +*D_NET *247 0.00299319 +*CONN +*I *976:B I *D sky130_fd_sc_hd__nor2_1 +*I *1030:A I *D sky130_fd_sc_hd__xnor2_1 +*I *971:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1034:A I *D sky130_fd_sc_hd__nor2_1 +*I *1143:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *976:B 0.000419499 +2 *1030:A 5.84094e-05 +3 *971:A0 0 +4 *1034:A 3.51992e-05 +5 *1143:Q 0.000277292 +6 *247:33 0.000520262 +7 *247:26 0.000199544 +8 *247:14 0.000469681 +9 *976:B *363:DIODE 2.57434e-05 +10 *976:B *976:A 7.83896e-05 +11 *976:B *1032:A2 0 +12 *976:B *309:78 2.67898e-05 +13 *247:14 *1143:SET_B 4.55124e-05 +14 *247:14 *309:78 0 +15 *247:14 *309:80 0 +16 *247:26 *1029:A 8.52802e-05 +17 *247:33 *1029:A 0.000104731 +18 *247:33 *308:17 0 +19 *968:S *976:B 0 +20 *972:A *1034:A 6.5807e-05 +21 *972:A *247:26 1.41853e-05 +22 *991:A *976:B 1.37566e-05 +23 *1030:B *976:B 2.4562e-05 +24 *1030:B *247:26 5.92342e-05 +25 *1030:B *247:33 0.000116454 +26 *1034:B *247:26 0.000271044 +27 *1082:A0 *1030:A 2.23124e-05 +28 *1142:D *247:14 0 +29 *187:12 *976:B 2.99287e-05 +30 *228:28 *247:14 0 +31 *246:17 *247:26 2.95757e-05 +*RES +1 *1143:Q *247:14 25.0656 +2 *247:14 *1034:A 10.5151 +3 *247:14 *247:26 9.96776 +4 *247:26 *971:A0 13.7491 +5 *247:26 *247:33 2.24725 +6 *247:33 *1030:A 15.0271 +7 *247:33 *976:B 24.4999 +*END + +*D_NET *248 0.00188383 +*CONN +*I *968:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *976:A I *D sky130_fd_sc_hd__nor2_1 +*I *1035:A I *D sky130_fd_sc_hd__xor2_1 +*I *1144:Q O *D sky130_fd_sc_hd__dfrtn_1 +*CAP +1 *968:A0 3.48301e-05 +2 *976:A 0.000294859 +3 *1035:A 0.000140704 +4 *1144:Q 0 +5 *248:15 0.000451922 +6 *248:4 0.000262937 +7 *976:A *363:DIODE 9.29777e-05 +8 *1035:A *309:78 0 +9 *248:15 *309:65 6.92991e-05 +10 *248:15 *309:77 1.88422e-05 +11 *968:A1 *248:15 3.13805e-06 +12 *969:A *248:15 0.000257968 +13 *976:B *976:A 7.83896e-05 +14 *991:A *976:A 5.04829e-06 +15 *1035:B *1035:A 1.79196e-05 +16 *228:15 *968:A0 0.000110458 +17 *228:15 *248:15 4.45315e-05 +18 *228:28 *1035:A 0 +*RES +1 *1144:Q *248:4 9.24915 +2 *248:4 *1035:A 21.7421 +3 *248:4 *248:15 5.03966 +4 *248:15 *976:A 24.0116 +5 *248:15 *968:A0 10.5271 +*END + +*D_NET *249 0.000324538 +*CONN +*I *994:C I *D sky130_fd_sc_hd__nand3_1 +*I *1108:Q O *D sky130_fd_sc_hd__dfxtp_1 +*CAP +1 *994:C 0.000110353 +2 *1108:Q 0.000110353 +3 *994:C *332:DIODE 1.03403e-05 +4 *994:C *1062:A 9.34919e-05 +5 *14:8 *994:C 0 +*RES +1 *1108:Q *994:C 30.0537 +*END + +*D_NET *250 0.000451737 +*CONN +*I *993:A I *D sky130_fd_sc_hd__or2b_1 +*I *992:B_N I *D sky130_fd_sc_hd__or2b_1 +*I *1109:Q O *D sky130_fd_sc_hd__dfxtp_1 +*CAP +1 *993:A 6.54166e-05 +2 *992:B_N 6.8796e-05 +3 *1109:Q 4.27457e-05 +4 *250:7 0.000176958 +5 *250:7 *1109:D 6.36477e-05 +6 *233:8 *992:B_N 1.93714e-05 +7 *233:8 *993:A 1.48017e-05 +*RES +1 *1109:Q *250:7 14.4725 +2 *250:7 *992:B_N 15.1659 +3 *250:7 *993:A 15.1659 +*END + +*D_NET *251 0.00171889 +*CONN +*I *996:B I *D sky130_fd_sc_hd__and2_1 +*I *995:B I *D sky130_fd_sc_hd__nor2_1 +*I *1110:Q O *D sky130_fd_sc_hd__dfxtp_1 +*CAP +1 *996:B 4.16729e-05 +2 *995:B 0.000414304 +3 *1110:Q 0 +4 *251:4 0.000455977 +5 *995:B *1110:D 0.000240492 +6 *995:B *305:70 0.000135385 +7 *996:B *317:27 0.000122978 +8 *975:A *995:B 0.000112361 +9 *997:A1 *995:B 7.27408e-05 +10 *174:11 *996:B 0.000122978 +*RES +1 *1110:Q *251:4 9.24915 +2 *251:4 *995:B 20.0036 +3 *251:4 *996:B 20.4964 +*END + +*D_NET *252 0.00104317 +*CONN +*I *1024:A I *D sky130_fd_sc_hd__xnor2_1 +*I *952:A I *D sky130_fd_sc_hd__inv_2 +*I *1148:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *1024:A 7.55178e-05 +2 *952:A 0.000214654 +3 *1148:Q 0 +4 *252:4 0.000290172 +5 *952:A *318:10 3.55422e-05 +6 *1024:A *318:10 0.000122393 +7 *954:C *952:A 4.89251e-05 +8 *177:42 *952:A 6.0471e-05 +9 *177:42 *1024:A 0.000195493 +*RES +1 *1148:Q *252:4 9.24915 +2 *252:4 *952:A 24.1602 +3 *252:4 *1024:A 14.1321 +*END + +*D_NET *253 0.00308479 +*CONN +*I *978:A I *D sky130_fd_sc_hd__inv_2 +*I *1024:B I *D sky130_fd_sc_hd__xnor2_1 +*I *1141:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *978:A 0.000107694 +2 *1024:B 0.000388733 +3 *1141:Q 0.000713057 +4 *253:5 0.00120948 +5 *1024:B *366:DIODE 9.43222e-06 +6 *1024:B *1141:CLK 3.17474e-05 +7 *1024:B *318:10 5.04829e-06 +8 *253:5 *366:DIODE 5.85283e-05 +9 *253:5 *309:208 0.000111672 +10 *954:C *1024:B 2.26713e-06 +11 *954:C *253:5 1.89746e-05 +12 *997:A1 *253:5 1.10348e-05 +13 *1025:B2 *1024:B 2.58616e-05 +14 *1141:D *978:A 0 +15 *1141:D *253:5 9.46208e-05 +16 *177:42 *978:A 3.44935e-05 +17 *177:42 *1024:B 0.000262139 +*RES +1 *1141:Q *253:5 20.7873 +2 *253:5 *1024:B 28.3169 +3 *253:5 *978:A 20.9116 +*END + +*D_NET *254 0.017434 +*CONN +*I *1084:S I *D sky130_fd_sc_hd__mux2_1 +*I *1086:S I *D sky130_fd_sc_hd__mux2_1 +*I *1088:S I *D sky130_fd_sc_hd__mux2_1 +*I *955:A I *D sky130_fd_sc_hd__clkinv_4 +*I *1090:S I *D sky130_fd_sc_hd__mux2_1 +*I *1092:S I *D sky130_fd_sc_hd__mux2_1 +*I *1094:S I *D sky130_fd_sc_hd__mux2_1 +*I *1068:A1 I *D sky130_fd_sc_hd__mux2_2 +*I *1082:S I *D sky130_fd_sc_hd__mux2_1 +*I *1081:S I *D sky130_fd_sc_hd__mux2_1 +*I *1080:S I *D sky130_fd_sc_hd__mux2_1 +*I *1137:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *1084:S 9.19281e-05 +2 *1086:S 0 +3 *1088:S 0 +4 *955:A 0 +5 *1090:S 0 +6 *1092:S 0 +7 *1094:S 0.000455036 +8 *1068:A1 0 +9 *1082:S 0.000222019 +10 *1081:S 0 +11 *1080:S 9.20471e-05 +12 *1137:Q 0.000121233 +13 *254:89 0.000397395 +14 *254:81 0.00054224 +15 *254:77 0.000349245 +16 *254:74 0.00014545 +17 *254:73 0.000411971 +18 *254:64 0.000864644 +19 *254:59 0.00034231 +20 *254:49 0.000519662 +21 *254:41 0.00114052 +22 *254:25 0.00189939 +23 *254:9 0.00109286 +24 *254:8 0.000301141 +25 *1080:S *309:119 0.00015321 +26 *1082:S *314:11 0.000124086 +27 *1094:S *372:DIODE 2.30636e-05 +28 *1094:S *1096:A1 0.000110458 +29 *1094:S *305:89 0 +30 *1094:S *305:130 0.000159038 +31 *1094:S *310:20 1.02917e-05 +32 *254:8 *309:234 8.62321e-06 +33 *254:25 *317:27 4.20211e-05 +34 *254:49 *1090:A1 3.91558e-05 +35 *254:49 *309:154 0 +36 *254:49 *315:8 0.000115772 +37 *254:59 *1090:A1 2.23124e-05 +38 *254:59 *1092:A1 0.000201759 +39 *254:64 *1092:A1 3.02923e-05 +40 *961:B *254:41 0.000205985 +41 *963:A1 *1094:S 0.000288523 +42 *963:B1_N *1094:S 2.04806e-05 +43 *967:A1 *254:59 6.41184e-05 +44 *967:B1_N *254:59 0.000116 +45 *968:S *1082:S 0 +46 *973:S *1080:S 0 +47 *973:S *254:89 0 +48 *982:A *254:25 5.98402e-05 +49 *982:A *254:41 0.000206327 +50 *982:B *254:25 2.01874e-05 +51 *1000:B *254:41 4.81849e-05 +52 *1005:A *254:41 0.000107496 +53 *1033:B *1082:S 0.00014964 +54 *1040:A *254:59 4.7372e-05 +55 *1041:B *254:59 0.000281159 +56 *1041:B *254:64 6.50727e-05 +57 *1041:B *254:73 0.000160381 +58 *1068:A0 *254:77 0.000171273 +59 *1068:A0 *254:81 0.000114523 +60 *1086:A0 *254:25 6.48865e-05 +61 *1093:A0 *1094:S 0 +62 *1094:A0 *1094:S 0.000263976 +63 *1145:D *254:59 0.000324137 +64 *1198:A *254:49 8.01546e-06 +65 *19:21 *254:41 7.68279e-05 +66 *19:21 *254:49 0.000362198 +67 *19:21 *254:59 0.000572574 +68 *19:52 *1094:S 0 +69 *19:52 *254:73 0.000215254 +70 *19:52 *254:77 4.81834e-05 +71 *21:7 *254:81 0.000474209 +72 *21:17 *254:89 0 +73 *48:43 *254:25 0.000217951 +74 *176:33 *254:41 0.000113968 +75 *176:33 *254:49 0.000213725 +76 *176:38 *254:41 0 +77 *179:8 *254:49 1.42919e-05 +78 *192:14 *1094:S 1.90218e-05 +79 *192:14 *254:49 4.31988e-05 +80 *192:14 *254:59 0.000415484 +81 *192:14 *254:64 1.77537e-06 +82 *192:21 *254:49 2.65831e-05 +83 *192:43 *254:41 0.000276077 +84 *203:25 *254:25 6.36387e-05 +85 *203:45 *254:25 1.41181e-05 +86 *231:81 *254:25 1.65351e-05 +87 *233:26 *1084:S 0.000319761 +88 *233:26 *254:9 0.000264586 +89 *233:26 *254:25 9.82896e-06 +90 *233:44 *254:25 0.000105009 +91 *233:46 *254:41 0.000305756 +92 *233:46 *254:49 0.000213725 +93 *233:68 *254:49 3.09374e-06 +94 *233:68 *254:59 4.31939e-05 +95 *234:33 *254:41 8.90652e-06 +96 *235:15 *254:8 0.000116986 +97 *245:8 *1094:S 4.08072e-05 +*RES +1 *1137:Q *254:8 21.3269 +2 *254:8 *254:9 2.94181 +3 *254:9 *254:25 26.9459 +4 *254:25 *254:41 29.0644 +5 *254:41 *254:49 18.9312 +6 *254:49 *254:59 16.0835 +7 *254:59 *254:64 6.22489 +8 *254:64 *254:73 20.7661 +9 *254:73 *254:74 81.1229 +10 *254:74 *254:77 11.6364 +11 *254:77 *254:81 12.4332 +12 *254:81 *1080:S 16.8269 +13 *254:81 *254:89 3.90826 +14 *254:89 *1081:S 13.7491 +15 *254:89 *1082:S 20.5642 +16 *254:77 *1068:A1 9.24915 +17 *254:64 *1094:S 28.2103 +18 *254:59 *1092:S 9.24915 +19 *254:49 *1090:S 9.24915 +20 *254:41 *955:A 9.24915 +21 *254:25 *1088:S 9.24915 +22 *254:9 *1086:S 9.24915 +23 *254:8 *1084:S 12.7456 +*END + +*D_NET *255 0.0112079 +*CONN +*I *1123:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *1124:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *1125:CLK I *D sky130_fd_sc_hd__dfstp_4 +*I *1121:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *1122:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *1069:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1126:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *1025:Y O *D sky130_fd_sc_hd__o2bb2ai_2 +*CAP +1 *1123:CLK 0 +2 *1124:CLK 0.000280471 +3 *1125:CLK 0 +4 *1121:CLK 0.000301779 +5 *1122:CLK 0 +6 *1069:A1 0 +7 *1126:CLK 9.3156e-05 +8 *1025:Y 0.00025055 +9 *255:69 0.000581456 +10 *255:54 0.000622462 +11 *255:46 0.000110077 +12 *255:42 0.000342587 +13 *255:28 0.00156189 +14 *255:16 0.00184871 +15 *255:9 0.000696416 +16 *1121:CLK *996:A 1.22289e-05 +17 *1121:CLK *1122:D 4.77834e-05 +18 *1121:CLK *310:68 2.51424e-05 +19 *1124:CLK *1122:SET_B 2.27645e-05 +20 *1124:CLK *309:384 1.88014e-05 +21 *1124:CLK *309:400 0.000183922 +22 *1124:CLK *309:448 1.13359e-05 +23 *1126:CLK *309:187 1.19856e-05 +24 *1126:CLK *309:193 1.43848e-05 +25 *1126:CLK *309:352 2.41274e-06 +26 *255:9 *348:DIODE 6.64392e-05 +27 *255:9 *1126:D 0.000107136 +28 *255:9 *305:70 0.000111097 +29 *255:9 *305:79 9.57678e-05 +30 *255:9 *310:7 6.69545e-05 +31 *255:9 *310:13 0.000464224 +32 *255:9 *310:20 0.000305735 +33 *255:16 *305:70 0 +34 *255:28 *385:DIODE 0 +35 *255:28 *886:A1 6.14023e-05 +36 *255:28 *1026:B 0 +37 *255:28 *1069:S 1.83321e-05 +38 *255:28 *1117:CLK 4.63668e-05 +39 *255:28 *261:40 9.81304e-05 +40 *255:28 *277:8 1.13359e-05 +41 *255:28 *288:21 2.20471e-05 +42 *255:42 *1123:D 6.24176e-05 +43 *255:42 *1126:D 7.25699e-05 +44 *255:42 *1141:SET_B 0 +45 *255:42 *309:196 0 +46 *255:42 *310:7 0.000155239 +47 *255:46 *1123:D 0.00037204 +48 *255:46 *1201:A 6.27718e-05 +49 *255:46 *317:15 0.000147759 +50 *255:54 *1123:D 4.19058e-05 +51 *255:54 *317:15 0.000112361 +52 *255:69 *1122:D 3.00174e-06 +53 *255:69 *309:360 4.58003e-05 +54 *255:69 *309:366 2.36813e-05 +55 *255:69 *309:384 0.000102011 +56 *255:69 *317:15 3.07997e-05 +57 *255:69 *317:27 0 +58 resetb_sync *255:28 0.000162834 +59 *896:B1 *255:28 0 +60 *904:C *255:28 0 +61 *908:C *255:28 9.82083e-05 +62 *953:B1 *1121:CLK 0.000114659 +63 *1027:B1 *255:28 2.10465e-05 +64 *1069:A0 *255:28 0.000456146 +65 *1104:A0 *255:28 0 +66 *1118:CLK *255:16 7.77309e-06 +67 *1118:CLK *255:28 0.000102494 +68 *146:66 *255:28 0.000214357 +69 *231:42 *1126:CLK 0.000113968 +70 *231:43 *255:16 0.000105133 +71 *235:7 *255:69 6.3657e-05 +72 *235:15 *1124:CLK 0 +73 *235:15 *255:69 0 +*RES +1 *1025:Y *255:9 22.3667 +2 *255:9 *255:16 9.31204 +3 *255:16 *1126:CLK 16.1364 +4 *255:16 *255:28 46.2341 +5 *255:28 *1069:A1 9.24915 +6 *255:9 *255:42 17.0184 +7 *255:42 *255:46 4.62973 +8 *255:46 *1122:CLK 9.24915 +9 *255:46 *255:54 5.778 +10 *255:54 *1121:CLK 21.0304 +11 *255:54 *255:69 12.5986 +12 *255:69 *1125:CLK 9.24915 +13 *255:69 *1124:CLK 25.7876 +14 *255:42 *1123:CLK 9.24915 +*END + +*D_NET *256 0.000710209 +*CONN +*I *1124:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *1121:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *1124:D 0.000292081 +2 *1121:Q 0.000292081 +3 *1124:D *349:DIODE 2.02354e-05 +4 *1124:D *1121:D 8.27643e-05 +5 *1124:D *1121:RESET_B 1.33911e-05 +6 *1124:D *309:400 9.65752e-06 +*RES +1 *1121:Q *1124:D 32.8267 +*END + +*D_NET *257 0.000678361 +*CONN +*I *1125:D I *D sky130_fd_sc_hd__dfstp_4 +*I *1122:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *1125:D 0.000289638 +2 *1122:Q 0.000289638 +3 *1125:D *1122:SET_B 0 +4 *1125:D *1125:SET_B 5.67857e-05 +5 *1125:D *309:384 2.41274e-06 +6 *1125:D *309:436 3.98869e-05 +7 *1125:D *309:448 0 +8 *234:17 *1125:D 0 +*RES +1 *1122:Q *1125:D 34.3792 +*END + +*D_NET *258 0.00139126 +*CONN +*I *1126:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *1123:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *1126:D 0.000591217 +2 *1123:Q 0.000591217 +3 *1126:D *348:DIODE 5.04829e-06 +4 *1126:D *309:196 1.47102e-05 +5 *1126:D *309:301 9.35753e-06 +6 *255:9 *1126:D 0.000107136 +7 *255:42 *1126:D 7.25699e-05 +*RES +1 *1123:Q *1126:D 37.2635 +*END + +*D_NET *259 0.0236968 +*CONN +*I *945:A I *D sky130_fd_sc_hd__clkinv_4 +*I *895:B1 I *D sky130_fd_sc_hd__o21a_1 +*I *1103:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1046:A I *D sky130_fd_sc_hd__xnor2_1 +*I *897:B1 I *D sky130_fd_sc_hd__o21ai_1 +*I *1071:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1072:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1048:A I *D sky130_fd_sc_hd__nor3_1 +*I *924:B1 I *D sky130_fd_sc_hd__o211a_1 +*I *930:B1 I *D sky130_fd_sc_hd__o211ai_4 +*I *1049:A1 I *D sky130_fd_sc_hd__o21a_1 +*I *1111:D I *D sky130_fd_sc_hd__dfxtp_1 +*I *1102:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *886:S I *D sky130_fd_sc_hd__mux2_1 +*I *888:S I *D sky130_fd_sc_hd__mux2_1 +*I *1064:B I *D sky130_fd_sc_hd__nor3_1 +*I *1130:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *945:A 2.1308e-05 +2 *895:B1 1.87128e-05 +3 *1103:A1 0.000136395 +4 *1046:A 0 +5 *897:B1 0.000276781 +6 *1071:A1 0 +7 *1072:A1 0.000370802 +8 *1048:A 3.84395e-05 +9 *924:B1 9.13753e-05 +10 *930:B1 2.13038e-05 +11 *1049:A1 0.000139059 +12 *1111:D 0.000452016 +13 *1102:A1 8.46328e-05 +14 *886:S 0.000360227 +15 *888:S 0.000107068 +16 *1064:B 0.000138639 +17 *1130:Q 0.000172834 +18 *259:151 0.000727183 +19 *259:139 0.000856212 +20 *259:120 0.000695938 +21 *259:99 0.000202118 +22 *259:97 0.000424881 +23 *259:94 0.000841849 +24 *259:89 0.00102918 +25 *259:83 0.00177771 +26 *259:69 0.00146454 +27 *259:58 0.000647869 +28 *259:46 0.000739727 +29 *259:44 0.000860194 +30 *259:20 0.000666005 +31 *259:18 0.00120351 +32 *259:6 0.000710443 +33 *886:S *1104:A1 3.81396e-05 +34 *895:B1 *260:29 6.51299e-06 +35 *897:B1 *897:A2 5.04829e-06 +36 *897:B1 *261:89 0.000112367 +37 *897:B1 *261:95 0.000363038 +38 *924:B1 *924:A2 5.15877e-06 +39 *924:B1 *261:119 4.40236e-05 +40 *1048:A *1048:C 5.04829e-06 +41 *1049:A1 *260:83 0.000168334 +42 *1049:A1 *280:39 6.08467e-05 +43 *1064:B *352:DIODE 1.78942e-05 +44 *1064:B *944:A 6.3657e-05 +45 *1072:A1 *1072:S 6.90268e-06 +46 *1103:A1 *1103:S 6.27718e-05 +47 *1111:D *1161:RESET_B 0.000201445 +48 *1111:D *269:56 3.29352e-05 +49 *1111:D *309:318 0.000182062 +50 *259:6 *1079:A1 3.0676e-05 +51 *259:18 *262:37 2.72092e-05 +52 *259:44 *260:13 2.29454e-05 +53 *259:44 *262:45 7.45459e-05 +54 *259:46 *260:13 0.00036024 +55 *259:46 *260:29 4.63117e-05 +56 *259:58 *902:B 6.27332e-05 +57 *259:58 *260:29 0.000216083 +58 *259:58 *261:29 0.000121898 +59 *259:69 *269:56 1.95194e-05 +60 *259:83 *269:13 2.26713e-06 +61 *259:89 *891:B_N 9.36743e-05 +62 *259:89 *260:53 0.000101253 +63 *259:89 *260:55 0.00047514 +64 *259:89 *260:61 0.000496861 +65 *259:89 *276:8 7.86847e-05 +66 *259:94 *260:61 6.64392e-05 +67 *259:94 *260:72 9.54357e-06 +68 *259:97 *930:A1 6.46815e-05 +69 *259:97 *260:119 1.37189e-05 +70 *259:97 *260:130 2.76911e-05 +71 *259:139 *260:102 1.66626e-05 +72 *259:139 *280:51 2.29386e-05 +73 *259:151 *898:A 2.16355e-05 +74 *259:151 *1072:S 6.3974e-05 +75 *259:151 *260:102 9.49244e-05 +76 *259:151 *280:51 9.01349e-05 +77 *886:A0 *886:S 4.90834e-05 +78 *888:A0 *259:44 4.77444e-05 +79 *896:B1 *259:58 0.000185373 +80 *900:A1 *259:89 6.34658e-05 +81 *904:C *259:58 2.24484e-05 +82 *913:B *897:B1 8.94093e-05 +83 *920:A1 *259:94 5.82465e-05 +84 *920:S *259:94 3.7635e-06 +85 *924:C1 *1049:A1 2.57986e-05 +86 *924:C1 *259:97 2.57986e-05 +87 *924:C1 *259:99 4.87301e-05 +88 *924:C1 *259:120 0.000157906 +89 *924:C1 *259:139 2.94331e-05 +90 *943:A1 *1072:A1 5.04829e-06 +91 *1027:A1_N *259:44 6.49003e-05 +92 *1027:B1 *259:44 0.000263107 +93 *1050:A *924:B1 0 +94 *1050:B *259:139 0 +95 *1067:S *897:B1 9.94169e-05 +96 *1067:S *259:94 0.000185026 +97 *1071:A0 *1072:A1 0.000108132 +98 *1071:S *1072:A1 4.17531e-06 +99 *1071:S *259:151 1.78942e-05 +100 *1072:A0 *1072:A1 0.000258128 +101 *1097:A0 *259:94 4.84848e-05 +102 *1102:A0 *1102:A1 4.60884e-05 +103 *1102:S *1102:A1 6.36477e-05 +104 *1102:S *259:58 0.000361439 +105 *1103:A0 *1103:A1 6.27718e-05 +106 *1104:S *886:S 0.000117376 +107 *1104:S *259:20 2.15348e-05 +108 *1157:CLK *259:83 4.23181e-05 +109 *1162:CLK *888:S 1.3262e-05 +110 *1164:D *259:18 0.000159103 +111 *1164:CLK *259:18 5.07314e-05 +112 *1164:CLK *259:20 5.481e-05 +113 *1172:A *1111:D 1.87611e-05 +114 *7:15 *259:6 0 +115 *7:15 *259:44 0 +116 *20:7 *1049:A1 6.27782e-05 +117 *137:7 *259:58 0.00016553 +118 *139:8 *897:B1 0.000317682 +119 *229:8 *897:B1 1.21985e-05 +120 *229:10 *897:B1 1.19513e-05 +121 *229:15 *259:94 0.000216535 +122 *232:40 *888:S 2.7363e-05 +123 *232:49 *259:44 6.48988e-05 +124 *232:56 *259:44 3.91877e-05 +125 *232:81 *259:83 0.000529789 +*RES +1 *1130:Q *259:6 17.6574 +2 *259:6 *1064:B 16.7198 +3 *259:6 *259:18 11.324 +4 *259:18 *259:20 2.94181 +5 *259:20 *888:S 20.4964 +6 *259:20 *886:S 18.3398 +7 *259:18 *259:44 24.7125 +8 *259:44 *259:46 7.37864 +9 *259:46 *259:58 24.8042 +10 *259:58 *1102:A1 11.6605 +11 *259:58 *259:69 6.332 +12 *259:69 *1111:D 24.9397 +13 *259:69 *259:83 24.6977 +14 *259:83 *259:89 25.3702 +15 *259:89 *259:94 14.3962 +16 *259:94 *259:97 10.2148 +17 *259:97 *259:99 1.278 +18 *259:99 *1049:A1 22.7737 +19 *259:99 *930:B1 9.82786 +20 *259:97 *259:120 8.40826 +21 *259:120 *924:B1 16.2388 +22 *259:120 *259:139 8.80133 +23 *259:139 *1048:A 14.4725 +24 *259:139 *259:151 11.4922 +25 *259:151 *1072:A1 15.5186 +26 *259:151 *1071:A1 9.24915 +27 *259:94 *897:B1 26.3122 +28 *259:89 *1046:A 9.24915 +29 *259:83 *1103:A1 16.7198 +30 *259:46 *895:B1 9.82786 +31 *259:44 *945:A 9.82786 +*END + +*D_NET *260 0.0229662 +*CONN +*I *1020:B I *D sky130_fd_sc_hd__nor2_1 +*I *1079:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1075:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1076:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *890:A I *D sky130_fd_sc_hd__or2b_1 +*I *891:B_N I *D sky130_fd_sc_hd__or2b_1 +*I *1112:D I *D sky130_fd_sc_hd__dfxtp_1 +*I *897:A2 I *D sky130_fd_sc_hd__o21ai_1 +*I *924:A2 I *D sky130_fd_sc_hd__o211a_1 +*I *930:A2 I *D sky130_fd_sc_hd__o211ai_4 +*I *1073:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1074:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1048:C I *D sky130_fd_sc_hd__nor3_1 +*I *1049:A2 I *D sky130_fd_sc_hd__o21a_1 +*I *1046:B I *D sky130_fd_sc_hd__xnor2_1 +*I *895:A2 I *D sky130_fd_sc_hd__o21a_1 +*I *1131:Q O *D sky130_fd_sc_hd__dfstp_4 +*CAP +1 *1020:B 0.000295905 +2 *1079:A1 0.000661933 +3 *1075:A1 0 +4 *1076:A1 0 +5 *890:A 0 +6 *891:B_N 0.00013531 +7 *1112:D 0 +8 *897:A2 0.000529377 +9 *924:A2 3.39649e-06 +10 *930:A2 3.64011e-05 +11 *1073:A1 7.23448e-05 +12 *1074:A1 4.75587e-05 +13 *1048:C 9.29055e-05 +14 *1049:A2 4.18965e-05 +15 *1046:B 0 +16 *895:A2 0 +17 *1131:Q 0 +18 *260:175 0.000973371 +19 *260:130 0.000674308 +20 *260:119 0.000305142 +21 *260:102 0.000280447 +22 *260:89 0.000470539 +23 *260:83 0.000514435 +24 *260:72 0.000962878 +25 *260:61 0.000861463 +26 *260:55 0.000245203 +27 *260:53 0.000526567 +28 *260:47 0.000585904 +29 *260:40 0.00128002 +30 *260:29 0.00134242 +31 *260:13 0.000629949 +32 *260:4 0.000253848 +33 *897:A2 *930:A1 5.03545e-06 +34 *897:A2 *261:89 7.92757e-06 +35 *924:A2 *261:119 5.27179e-06 +36 *1020:B *357:DIODE 1.88014e-05 +37 *1020:B *261:11 0.000191258 +38 *1020:B *284:11 0.000267394 +39 *1020:B *309:804 2.15992e-05 +40 *1020:B *309:806 1.34999e-05 +41 *1020:B *309:812 7.27245e-06 +42 *1048:C *261:119 7.71332e-05 +43 *1079:A1 *374:DIODE 0.000201947 +44 *1079:A1 *309:827 3.53886e-05 +45 *260:13 *385:DIODE 0.000175485 +46 *260:13 *895:A1 2.89547e-05 +47 *260:13 *281:49 7.02172e-06 +48 *260:29 *895:A1 0.00037907 +49 *260:40 *269:19 2.67928e-05 +50 *260:40 *280:17 1.03594e-05 +51 *260:47 *890:B_N 1.02267e-05 +52 *260:47 *269:19 8.03117e-05 +53 *260:47 *280:17 5.23577e-05 +54 *260:89 *280:51 5.62448e-05 +55 *260:102 *280:51 3.37886e-05 +56 *260:102 *280:60 2.36813e-05 +57 *260:102 *280:71 4.57077e-05 +58 *260:130 *930:A1 7.71949e-05 +59 *892:A *260:53 0 +60 *892:B *260:53 0.000149628 +61 *895:B1 *260:29 6.51299e-06 +62 *897:B1 *897:A2 5.04829e-06 +63 *924:B1 *924:A2 5.15877e-06 +64 *924:C1 *260:89 0.00015607 +65 *924:C1 *260:119 0.000244787 +66 *924:C1 *260:130 4.01357e-05 +67 *933:B *897:A2 1.99054e-05 +68 *1027:A1_N *260:13 0.000470585 +69 *1027:A2_N *260:13 0.000267328 +70 *1027:A2_N *260:175 9.90819e-05 +71 *1027:B1 *260:13 0.000151292 +72 *1048:A *1048:C 5.04829e-06 +73 *1049:A1 *260:83 0.000168334 +74 *1050:A *1048:C 3.18993e-05 +75 *1050:B *260:83 7.40248e-05 +76 *1073:A0 *1073:A1 0.000254262 +77 *1073:A0 *1074:A1 6.04829e-05 +78 *1073:S *1073:A1 0.000208627 +79 *1076:A0 *260:40 1.79386e-05 +80 *1102:S *260:40 2.652e-05 +81 *1112:CLK *260:61 0.000208507 +82 *1114:CLK *891:B_N 0 +83 *1161:D *260:47 9.29919e-05 +84 *1167:A *260:29 0.000368568 +85 *1167:A *260:40 6.50727e-05 +86 *1173:A *260:40 0.00126971 +87 *4:7 *897:A2 0 +88 *7:15 *1020:B 0 +89 *7:15 *1079:A1 0 +90 *8:10 *260:13 0.00107374 +91 *8:10 *260:29 3.93119e-05 +92 *8:10 *260:175 0.000114584 +93 *20:7 *1049:A2 6.50586e-05 +94 *52:22 *1073:A1 3.51569e-05 +95 *52:22 *1074:A1 0.000159032 +96 *82:8 *260:83 0.000119367 +97 *138:9 *260:47 4.25818e-05 +98 *140:11 *897:A2 0 +99 *140:33 *897:A2 0 +100 *140:42 *897:A2 6.7671e-06 +101 *154:9 *260:89 3.26503e-05 +102 *159:10 *260:89 0.000185616 +103 *159:10 *260:102 0.000348807 +104 *161:17 *897:A2 9.44631e-06 +105 *161:17 *1073:A1 2.30636e-05 +106 *229:15 *260:72 0.000399661 +107 *231:21 *260:40 3.57378e-06 +108 *231:21 *260:47 8.48738e-05 +109 *259:6 *1079:A1 3.0676e-05 +110 *259:44 *260:13 2.29454e-05 +111 *259:46 *260:13 0.00036024 +112 *259:46 *260:29 4.63117e-05 +113 *259:58 *260:29 0.000216083 +114 *259:89 *891:B_N 9.36743e-05 +115 *259:89 *260:53 0.000101253 +116 *259:89 *260:55 0.00047514 +117 *259:89 *260:61 0.000496861 +118 *259:94 *260:61 6.64392e-05 +119 *259:94 *260:72 9.54357e-06 +120 *259:97 *260:119 1.37189e-05 +121 *259:97 *260:130 2.76911e-05 +122 *259:139 *260:102 1.66626e-05 +123 *259:151 *260:102 9.49244e-05 +*RES +1 *1131:Q *260:4 9.24915 +2 *260:4 *260:13 18.8565 +3 *260:13 *895:A2 9.24915 +4 *260:13 *260:29 12.0807 +5 *260:29 *260:40 31.0908 +6 *260:40 *260:47 14.1416 +7 *260:47 *260:53 15.7135 +8 *260:53 *260:55 5.28079 +9 *260:55 *260:61 6.89638 +10 *260:61 *1046:B 9.24915 +11 *260:61 *260:72 17.6116 +12 *260:72 *1049:A2 14.4725 +13 *260:72 *260:83 9.65401 +14 *260:83 *260:89 10.8224 +15 *260:89 *1048:C 16.1605 +16 *260:89 *260:102 10.8998 +17 *260:102 *1074:A1 11.6605 +18 *260:102 *1073:A1 13.3243 +19 *260:83 *260:119 3.49641 +20 *260:119 *930:A2 10.2378 +21 *260:119 *260:130 8.85575 +22 *260:130 *924:A2 13.936 +23 *260:130 *897:A2 23.3694 +24 *260:55 *1112:D 9.24915 +25 *260:53 *891:B_N 22.1574 +26 *260:47 *890:A 9.24915 +27 *260:40 *1076:A1 13.7491 +28 *260:29 *1075:A1 9.24915 +29 *260:4 *260:175 5.778 +30 *260:175 *1079:A1 26.8308 +31 *260:175 *1020:B 22.6755 +*END + +*D_NET *261 0.0246895 +*CONN +*I *1020:A I *D sky130_fd_sc_hd__nor2_1 +*I *1077:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1078:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *897:A1 I *D sky130_fd_sc_hd__o21ai_1 +*I *1100:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1101:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1048:B I *D sky130_fd_sc_hd__nor3_1 +*I *1049:B1 I *D sky130_fd_sc_hd__o21a_1 +*I *930:A1 I *D sky130_fd_sc_hd__o211ai_4 +*I *924:A1 I *D sky130_fd_sc_hd__o211a_1 +*I *895:A1 I *D sky130_fd_sc_hd__o21a_1 +*I *1104:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *894:A I *D sky130_fd_sc_hd__and2_1 +*I *1113:D I *D sky130_fd_sc_hd__dfxtp_1 +*I *893:A I *D sky130_fd_sc_hd__nor2_1 +*I *1132:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *1020:A 0 +2 *1077:A1 0 +3 *1078:A1 0 +4 *897:A1 0 +5 *1100:A1 0.000280876 +6 *1101:A1 0.000534617 +7 *1048:B 0 +8 *1049:B1 0.000253352 +9 *930:A1 0.000136881 +10 *924:A1 1.52957e-05 +11 *895:A1 8.52962e-05 +12 *1104:A1 0.000441383 +13 *894:A 0 +14 *1113:D 0.000370794 +15 *893:A 0 +16 *1132:Q 0.000194171 +17 *261:135 0.000894287 +18 *261:119 0.000904043 +19 *261:95 0.00101336 +20 *261:91 0.000289288 +21 *261:89 0.00178484 +22 *261:79 0.00222488 +23 *261:77 0.000706821 +24 *261:41 0.000412043 +25 *261:40 0.000644076 +26 *261:29 0.000551837 +27 *261:22 0.000649719 +28 *261:20 0.0015302 +29 *261:11 0.00128357 +30 *1049:B1 *280:39 6.46815e-05 +31 *1113:D *894:B 5.05502e-05 +32 *1113:D *277:8 0.000269315 +33 *261:11 *357:DIODE 6.64392e-05 +34 *261:11 *284:11 1.76193e-05 +35 *261:11 *309:928 0 +36 *261:20 *901:B 2.07803e-05 +37 *261:20 *1119:D 0 +38 *261:20 *1160:SET_B 0.000322514 +39 *261:20 *1188:A 5.22654e-06 +40 *261:20 *284:11 0.000328363 +41 *261:20 *309:928 2.84115e-05 +42 *261:22 *901:B 0.000109951 +43 *261:29 *893:B 1.42031e-05 +44 *261:29 *902:B 0 +45 *261:40 *893:B 0.000277007 +46 *261:40 *277:8 2.75449e-05 +47 *261:41 *894:B 6.36477e-05 +48 *261:41 *277:8 1.88014e-05 +49 *261:77 *901:B 0.000354448 +50 *261:79 *901:B 0.000316119 +51 *261:79 *1058:A 1.65872e-05 +52 *261:89 *901:A 2.38092e-05 +53 *261:89 *1058:B 7.16615e-05 +54 *261:89 *1059:A 1.04731e-05 +55 *261:89 *1078:S 3.78809e-05 +56 *261:89 *1120:D 7.26606e-05 +57 *261:89 *269:19 8.15707e-05 +58 *261:89 *270:21 7.23063e-05 +59 *261:89 *270:38 9.54357e-06 +60 *886:S *1104:A1 3.81396e-05 +61 *896:A1 *261:29 0.000124443 +62 *896:A2 *1113:D 1.31891e-05 +63 *896:B1 *261:29 1.00766e-05 +64 *897:A2 *930:A1 5.03545e-06 +65 *897:A2 *261:89 7.92757e-06 +66 *897:B1 *261:89 0.000112367 +67 *897:B1 *261:95 0.000363038 +68 *902:A *261:22 3.66496e-05 +69 *902:A *261:29 3.06126e-05 +70 *904:C *261:22 6.26431e-05 +71 *904:C *261:29 2.97007e-05 +72 *908:B *261:20 1.31166e-05 +73 *908:B *261:77 1.65872e-05 +74 *909:B *261:89 3.00174e-06 +75 *911:B *261:89 2.92544e-05 +76 *924:A2 *261:119 5.27179e-06 +77 *924:B1 *261:119 4.40236e-05 +78 *927:A *1100:A1 5.04238e-05 +79 *927:A *261:95 0.000332399 +80 *927:A *261:135 0.000107643 +81 *927:B *1100:A1 5.79399e-05 +82 *931:A1 *1100:A1 0.00016386 +83 *932:A *1100:A1 3.19611e-05 +84 *1020:B *261:11 0.000191258 +85 *1048:C *261:119 7.71332e-05 +86 *1050:A *261:119 1.92561e-05 +87 *1050:B *1049:B1 1.64895e-05 +88 *1050:B *261:119 2.58616e-05 +89 *1067:S *930:A1 5.47065e-05 +90 *1067:S *261:95 5.42307e-05 +91 *1069:A0 *261:20 0.000260325 +92 *1070:A0 *261:20 0.000111802 +93 *1075:A0 *261:89 0.000360959 +94 *1078:A0 *261:79 0.00026 +95 *1098:A1 *1049:B1 0.000101301 +96 *1100:S *1100:A1 1.32509e-05 +97 *1100:S *1101:A1 4.95892e-05 +98 *1106:A0 *261:20 0.000128249 +99 *1106:A0 *261:22 6.93171e-05 +100 *1160:D *261:20 3.76697e-05 +101 *1160:CLK *261:20 0.00030279 +102 *8:10 *895:A1 0.000523576 +103 *51:7 *261:95 2.16355e-05 +104 *52:28 *1101:A1 0.000613431 +105 *82:8 *1049:B1 6.66484e-05 +106 *93:15 *261:89 2.58757e-05 +107 *140:11 *930:A1 1.37421e-05 +108 *140:11 *261:95 0.000109427 +109 *140:42 *261:89 0.000227148 +110 *142:8 *261:22 1.57723e-05 +111 *145:8 *261:89 3.71813e-06 +112 *145:14 *1101:A1 2.07087e-05 +113 *159:10 *1049:B1 0.000183 +114 *222:15 *261:89 0.000149781 +115 *229:8 *1100:A1 0 +116 *229:83 *1100:A1 0 +117 *232:77 *1113:D 0.000167817 +118 *255:28 *261:40 9.81304e-05 +119 *259:58 *261:29 0.000121898 +120 *259:97 *930:A1 6.46815e-05 +121 *260:13 *895:A1 2.89547e-05 +122 *260:29 *895:A1 0.00037907 +123 *260:130 *930:A1 7.71949e-05 +*RES +1 *1132:Q *261:11 14.4817 +2 *261:11 *261:20 27.8945 +3 *261:20 *261:22 5.15401 +4 *261:22 *261:29 12.0628 +5 *261:29 *893:A 9.24915 +6 *261:29 *261:40 14.7409 +7 *261:40 *261:41 1.278 +8 *261:41 *1113:D 19.4792 +9 *261:41 *894:A 9.24915 +10 *261:40 *1104:A1 26.9346 +11 *261:22 *895:A1 20.0427 +12 *261:20 *261:77 9.10562 +13 *261:77 *261:79 7.37864 +14 *261:79 *261:89 40.8501 +15 *261:89 *261:91 4.5 +16 *261:91 *261:95 13.7022 +17 *261:95 *924:A1 9.69524 +18 *261:95 *930:A1 22.8808 +19 *261:95 *261:119 11.1885 +20 *261:119 *1049:B1 25.7876 +21 *261:119 *1048:B 9.24915 +22 *261:91 *261:135 2.24725 +23 *261:135 *1101:A1 22.7916 +24 *261:135 *1100:A1 21.4686 +25 *261:89 *897:A1 9.24915 +26 *261:79 *1078:A1 9.24915 +27 *261:77 *1077:A1 9.24915 +28 *261:11 *1020:A 9.24915 +*END + +*D_NET *262 0.0059459 +*CONN +*I *1060:A I *D sky130_fd_sc_hd__clkinv_2 +*I *888:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1061:B I *D sky130_fd_sc_hd__xnor2_1 +*I *944:C_N I *D sky130_fd_sc_hd__nor3b_2 +*I *1064:C I *D sky130_fd_sc_hd__nor3_1 +*I *1162:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *1060:A 0 +2 *888:A1 0 +3 *1061:B 2.60118e-05 +4 *944:C_N 0.000379618 +5 *1064:C 1.97448e-05 +6 *1162:Q 0.0001152 +7 *262:50 7.67413e-05 +8 *262:49 0.000131682 +9 *262:45 0.000286207 +10 *262:37 0.000755479 +11 *262:17 0.00107551 +12 *262:8 0.000280614 +13 *944:C_N *944:A 1.3808e-05 +14 *944:C_N *1065:A 4.81452e-05 +15 *944:C_N *264:5 0.000368493 +16 *1061:B *263:27 0.000218376 +17 *1064:C *352:DIODE 2.15184e-05 +18 *1064:C *944:A 2.41483e-05 +19 *1064:C *1127:RESET_B 6.08467e-05 +20 *1064:C *1130:D 6.50586e-05 +21 *262:8 *309:448 8.36586e-06 +22 *262:8 *309:459 0.000111679 +23 *262:8 *325:11 3.79836e-05 +24 *262:17 *944:A 2.76495e-05 +25 *262:37 *944:A 9.05084e-06 +26 *262:37 *1164:RESET_B 5.71849e-05 +27 *262:45 *263:27 4.58003e-05 +28 *262:49 *389:DIODE 0.000165521 +29 *262:49 *263:27 0.000288364 +30 *888:A0 *262:45 0.000212309 +31 *1079:A0 *262:37 0.000211546 +32 *1162:CLK *262:45 9.2346e-06 +33 *1164:D *944:C_N 0.000206696 +34 *1164:D *262:8 0 +35 *1164:D *262:37 0.000143892 +36 *1164:CLK *262:37 4.38909e-05 +37 *1164:CLK *262:45 6.08467e-05 +38 *1199:A *262:8 1.85511e-05 +39 *232:32 *1061:B 0.000218376 +40 *259:18 *262:37 2.72092e-05 +41 *259:44 *262:45 7.45459e-05 +*RES +1 *1162:Q *262:8 16.8577 +2 *262:8 *1064:C 15.0271 +3 *262:8 *262:17 3.07775 +4 *262:17 *944:C_N 23.7802 +5 *262:17 *262:37 16.7007 +6 *262:37 *262:45 15.3249 +7 *262:45 *262:49 12.7456 +8 *262:49 *262:50 57.9449 +9 *262:50 *1061:B 20.8855 +10 *262:45 *888:A1 9.24915 +11 *262:37 *1060:A 9.24915 +*END + +*D_NET *263 0.00448074 +*CONN +*I *944:A I *D sky130_fd_sc_hd__nor3b_2 +*I *1064:A I *D sky130_fd_sc_hd__nor3_1 +*I *1061:A I *D sky130_fd_sc_hd__xnor2_1 +*I *886:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1163:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *944:A 0.000288635 +2 *1064:A 0 +3 *1061:A 0 +4 *886:A1 0.000214816 +5 *1163:Q 0 +6 *263:27 0.000603292 +7 *263:17 0.000558202 +8 *263:4 0.000458361 +9 *944:A *352:DIODE 1.36871e-05 +10 *944:A *1130:D 0.000222149 +11 *944:A *1164:RESET_B 5.03545e-06 +12 *944:A *264:5 0.000114271 +13 *263:27 *352:DIODE 4.5332e-05 +14 *889:A *263:27 0.000228593 +15 *944:C_N *944:A 1.3808e-05 +16 *1061:B *263:27 0.000218376 +17 *1064:B *944:A 6.3657e-05 +18 *1064:C *944:A 2.41483e-05 +19 *1065:B *944:A 0.000271044 +20 *1164:D *944:A 0.000199203 +21 *7:16 *263:27 0.000223747 +22 *232:32 *886:A1 1.43983e-05 +23 *232:32 *263:17 7.17441e-05 +24 *232:32 *263:27 0.00019597 +25 *255:28 *886:A1 6.14023e-05 +26 *262:17 *944:A 2.76495e-05 +27 *262:37 *944:A 9.05084e-06 +28 *262:45 *263:27 4.58003e-05 +29 *262:49 *263:27 0.000288364 +*RES +1 *1163:Q *263:4 9.24915 +2 *263:4 *886:A1 23.2961 +3 *263:4 *263:17 4.48505 +4 *263:17 *1061:A 9.24915 +5 *263:17 *263:27 14.7814 +6 *263:27 *1064:A 9.24915 +7 *263:27 *944:A 28.8984 +*END + +*D_NET *264 0.00158995 +*CONN +*I *1065:A I *D sky130_fd_sc_hd__xor2_1 +*I *944:B I *D sky130_fd_sc_hd__nor3b_2 +*I *1164:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *1065:A 0.000320948 +2 *944:B 2.1308e-05 +3 *1164:Q 8.39448e-05 +4 *264:5 0.000426201 +5 *1065:A *1130:D 0.000157906 +6 *1065:A *325:11 4.87301e-05 +7 *944:A *264:5 0.000114271 +8 *944:C_N *1065:A 4.81452e-05 +9 *944:C_N *264:5 0.000368493 +10 *1164:D *1065:A 0 +*RES +1 *1164:Q *264:5 13.3002 +2 *264:5 *944:B 9.82786 +3 *264:5 *1065:A 26.6503 +*END + +*D_NET *265 0.00146717 +*CONN +*I *946:A I *D sky130_fd_sc_hd__clkinv_4 +*I *1107:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1149:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *946:A 0 +2 *1107:A0 0.000377127 +3 *1149:Q 0.000114288 +4 *265:5 0.000491416 +5 *1107:A0 *1128:D 5.33533e-05 +6 *1107:A0 *1131:D 4.61908e-05 +7 *1107:A0 *309:812 0 +8 *1107:A0 *309:814 0 +9 *265:5 *356:DIODE 1.19705e-05 +10 *265:5 *1149:SET_B 3.79772e-05 +11 *1107:A1 *1107:A0 0.00016553 +12 *168:9 *265:5 0.000169317 +*RES +1 *1149:Q *265:5 13.5775 +2 *265:5 *1107:A0 27.312 +3 *265:5 *946:A 9.24915 +*END + +*D_NET *266 0.0043628 +*CONN +*I *926:C_N I *D sky130_fd_sc_hd__nor3b_2 +*I *929:B I *D sky130_fd_sc_hd__nand2_1 +*I *1054:B I *D sky130_fd_sc_hd__nor2_1 +*I *1053:B I *D sky130_fd_sc_hd__xnor2_1 +*I *941:A I *D sky130_fd_sc_hd__inv_2 +*I *1150:Q O *D sky130_fd_sc_hd__dfrtn_1 +*CAP +1 *926:C_N 2.20622e-05 +2 *929:B 4.45739e-05 +3 *1054:B 0.000160804 +4 *1053:B 0 +5 *941:A 0.000158049 +6 *1150:Q 0 +7 *266:27 0.000276365 +8 *266:24 0.000320447 +9 *266:15 0.000389426 +10 *266:4 0.000320077 +11 *926:C_N *1053:A 2.36259e-05 +12 *929:B *928:A 0.000101384 +13 *1054:B *1054:A 6.00269e-05 +14 *1054:B *309:591 1.23772e-05 +15 *266:15 *1150:RESET_B 4.24488e-05 +16 *266:24 *1053:A 8.92169e-05 +17 *266:27 *928:A 0.000152878 +18 *266:27 *935:C 0.000415306 +19 *929:A *929:B 1.88907e-05 +20 *934:B *266:15 7.621e-05 +21 *934:B *266:24 7.01935e-06 +22 *938:B *266:24 2.39189e-05 +23 *942:A *941:A 0.000230415 +24 *943:B1 *941:A 0 +25 *4:7 *1054:B 0 +26 *52:8 *926:C_N 5.35113e-05 +27 *52:8 *266:24 0.000333575 +28 *83:8 *941:A 0.000142408 +29 *159:17 *929:B 4.88955e-05 +30 *159:17 *266:27 0.000572395 +31 *159:41 *929:B 6.50727e-05 +32 *161:17 *266:24 0.000136276 +33 *161:20 *941:A 6.51423e-05 +*RES +1 *1150:Q *266:4 9.24915 +2 *266:4 *941:A 23.8184 +3 *266:4 *266:15 4.48505 +4 *266:15 *1053:B 9.24915 +5 *266:15 *266:24 12.7324 +6 *266:24 *266:27 10.7694 +7 *266:27 *1054:B 22.1574 +8 *266:27 *929:B 11.5158 +9 *266:24 *926:C_N 14.7506 +*END + +*D_NET *267 0.00375277 +*CONN +*I *928:B I *D sky130_fd_sc_hd__nor2_1 +*I *1054:A I *D sky130_fd_sc_hd__nor2_1 +*I *926:B I *D sky130_fd_sc_hd__nor3b_2 +*I *1053:A I *D sky130_fd_sc_hd__xnor2_1 +*I *939:C I *D sky130_fd_sc_hd__nand3_1 +*I *1151:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *928:B 3.82961e-05 +2 *1054:A 6.87693e-05 +3 *926:B 0 +4 *1053:A 0.000210196 +5 *939:C 0.000143182 +6 *1151:Q 0 +7 *267:41 0.00022544 +8 *267:33 0.00022745 +9 *267:19 0.000424138 +10 *267:4 0.000248049 +11 *928:B *928:A 2.89099e-05 +12 *928:B *268:13 4.76161e-06 +13 *939:C *1151:SET_B 0.000227779 +14 *1053:A *309:564 0 +15 *1054:A *268:11 2.29838e-05 +16 *1054:A *309:591 9.43419e-05 +17 *267:19 *1151:SET_B 8.7133e-05 +18 *267:33 *935:C 0.000177435 +19 *267:41 *928:A 2.15348e-05 +20 *267:41 *935:C 6.22737e-05 +21 *267:41 *268:11 6.08467e-05 +22 *926:C_N *1053:A 2.36259e-05 +23 *934:B *1053:A 8.96342e-05 +24 *935:A *267:19 1.02267e-05 +25 *936:B *267:19 0.000101553 +26 *938:B *1053:A 0 +27 *939:A *939:C 0.00030181 +28 *939:A *267:19 0.00020358 +29 *939:B *1053:A 3.20264e-05 +30 *1054:B *1054:A 6.00269e-05 +31 *9:5 *939:C 6.35347e-05 +32 *52:8 *1053:A 5.1674e-06 +33 *52:8 *267:33 8.66023e-05 +34 *145:14 *1053:A 0.000173578 +35 *159:17 *267:19 1.80122e-05 +36 *159:17 *267:33 6.89584e-06 +37 *161:17 *1053:A 0.000113758 +38 *266:24 *1053:A 8.92169e-05 +*RES +1 *1151:Q *267:4 9.24915 +2 *267:4 *939:C 24.1308 +3 *267:4 *267:19 4.52122 +4 *267:19 *1053:A 25.4794 +5 *267:19 *267:33 4.24392 +6 *267:33 *926:B 9.24915 +7 *267:33 *267:41 3.52053 +8 *267:41 *1054:A 20.9116 +9 *267:41 *928:B 10.5513 +*END + +*D_NET *268 0.00244011 +*CONN +*I *1055:A I *D sky130_fd_sc_hd__xor2_1 +*I *926:A I *D sky130_fd_sc_hd__nor3b_2 +*I *928:A I *D sky130_fd_sc_hd__nor2_1 +*I *935:C I *D sky130_fd_sc_hd__nand3_1 +*I *1152:Q O *D sky130_fd_sc_hd__dfrtn_1 +*CAP +1 *1055:A 0.000214777 +2 *926:A 0 +3 *928:A 4.41904e-05 +4 *935:C 9.03417e-05 +5 *1152:Q 5.26694e-05 +6 *268:13 0.000152777 +7 *268:11 0.000186829 +8 *268:7 0.00043603 +9 *268:7 *1152:RESET_B 3.16749e-06 +10 *268:11 *309:591 0.000114659 +11 *928:B *928:A 2.89099e-05 +12 *928:B *268:13 4.76161e-06 +13 *929:A *928:A 2.65831e-05 +14 *929:B *928:A 0.000101384 +15 *935:A *935:C 1.05512e-05 +16 *1054:A *268:11 2.29838e-05 +17 *1055:B *1055:A 3.30938e-05 +18 *1055:B *268:11 1.59362e-06 +19 *159:17 *935:C 2.45352e-05 +20 *266:27 *928:A 0.000152878 +21 *266:27 *935:C 0.000415306 +22 *267:33 *935:C 0.000177435 +23 *267:41 *928:A 2.15348e-05 +24 *267:41 *935:C 6.22737e-05 +25 *267:41 *268:11 6.08467e-05 +*RES +1 *1152:Q *268:7 14.4725 +2 *268:7 *268:11 9.5469 +3 *268:11 *268:13 0.578717 +4 *268:13 *935:C 14.9881 +5 *268:13 *928:A 12.2151 +6 *268:11 *926:A 9.24915 +7 *268:7 *1055:A 18.7989 +*END + +*D_NET *269 0.0095294 +*CONN +*I *902:B I *D sky130_fd_sc_hd__nand2_1 +*I *1056:A I *D sky130_fd_sc_hd__clkinv_2 +*I *1057:B I *D sky130_fd_sc_hd__xnor2_1 +*I *1058:B I *D sky130_fd_sc_hd__nor2_1 +*I *913:C I *D sky130_fd_sc_hd__nand3_1 +*I *1157:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *902:B 0.000221388 +2 *1056:A 0 +3 *1057:B 0 +4 *1058:B 0.000352316 +5 *913:C 0.000118088 +6 *1157:Q 0 +7 *269:56 0.00127299 +8 *269:19 0.000751839 +9 *269:13 0.00233237 +10 *269:4 0.000999327 +11 *902:B *329:8 7.01144e-05 +12 *913:C *382:DIODE 9.54357e-06 +13 *1058:B *909:C 0.00021217 +14 *1058:B *1174:A 1.64983e-05 +15 *1058:B *270:21 3.57631e-05 +16 *1058:B *270:38 0.000107613 +17 *269:19 *270:21 0.000208606 +18 *269:56 *1161:RESET_B 0.000352761 +19 *902:A *902:B 5.22654e-06 +20 *909:B *1058:B 3.54024e-05 +21 *910:A1 *1058:B 0.00016553 +22 *912:A1 *269:19 9.02e-05 +23 *913:A *913:C 5.28741e-05 +24 *913:A *269:13 0.000106549 +25 *913:B *913:C 0.000114594 +26 *1078:A0 *1058:B 0.000264586 +27 *1102:S *902:B 0.000210988 +28 *1111:D *269:56 3.29352e-05 +29 *1157:D *269:13 1.97895e-05 +30 *1157:CLK *269:13 7.621e-05 +31 *1161:D *269:19 3.20407e-05 +32 *1170:A *269:19 0.000155621 +33 *1173:A *902:B 0.000163309 +34 *1173:A *269:19 0.000156823 +35 *18:42 *269:19 0 +36 *93:15 *1058:B 9.19951e-05 +37 *231:21 *1058:B 6.11624e-05 +38 *232:77 *269:56 6.36477e-05 +39 *232:81 *269:13 2.41827e-05 +40 *232:81 *269:56 0.000199488 +41 *259:58 *902:B 6.27332e-05 +42 *259:69 *269:56 1.95194e-05 +43 *259:83 *269:13 2.26713e-06 +44 *260:40 *269:19 2.67928e-05 +45 *260:47 *269:19 8.03117e-05 +46 *261:29 *902:B 0 +47 *261:89 *1058:B 7.16615e-05 +48 *261:89 *269:19 8.15707e-05 +*RES +1 *1157:Q *269:4 9.24915 +2 *269:4 *913:C 12.7697 +3 *269:4 *269:13 13.4793 +4 *269:13 *269:19 22.3547 +5 *269:19 *1058:B 31.0016 +6 *269:19 *1057:B 9.24915 +7 *269:13 *269:56 28.4541 +8 *269:56 *1056:A 9.24915 +9 *269:56 *902:B 25.3779 +*END + +*D_NET *270 0.00773559 +*CONN +*I *901:B I *D sky130_fd_sc_hd__nor2_1 +*I *1058:A I *D sky130_fd_sc_hd__nor2_1 +*I *1057:A I *D sky130_fd_sc_hd__xnor2_1 +*I *911:C I *D sky130_fd_sc_hd__nand3_1 +*I *1158:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *901:B 0.000243511 +2 *1058:A 1.78357e-05 +3 *1057:A 0 +4 *911:C 0.000670076 +5 *1158:Q 0 +6 *270:38 0.000648343 +7 *270:21 0.000674458 +8 *270:4 0.000957538 +9 *901:B *901:A 6.08467e-05 +10 *901:B *1119:D 0 +11 *911:C *383:DIODE 1.07248e-05 +12 *270:38 *901:A 0.000405969 +13 *270:38 *1106:A1 0.000109978 +14 *270:38 *1174:A 6.64392e-05 +15 *908:B *901:B 0.000370801 +16 *912:A1 *270:21 7.45615e-05 +17 *1058:B *270:21 3.57631e-05 +18 *1058:B *270:38 0.000107613 +19 *1075:A0 *270:38 0.000604978 +20 *1078:A0 *901:B 3.72292e-05 +21 *1078:A0 *1058:A 6.08467e-05 +22 *1158:D *911:C 5.23236e-05 +23 *4:11 *911:C 1.88914e-05 +24 *4:11 *270:21 2.85303e-06 +25 *18:42 *270:21 0.000269551 +26 *93:15 *901:B 3.92299e-05 +27 *93:15 *911:C 0.000159354 +28 *142:8 *901:B 3.00174e-06 +29 *142:21 *901:B 6.27718e-05 +30 *145:8 *911:C 0 +31 *146:21 *911:C 9.58242e-05 +32 *222:15 *270:21 0.000543825 +33 *222:15 *270:38 0.000222112 +34 *261:20 *901:B 2.07803e-05 +35 *261:22 *901:B 0.000109951 +36 *261:77 *901:B 0.000354448 +37 *261:79 *901:B 0.000316119 +38 *261:79 *1058:A 1.65872e-05 +39 *261:89 *270:21 7.23063e-05 +40 *261:89 *270:38 9.54357e-06 +41 *269:19 *270:21 0.000208606 +*RES +1 *1158:Q *270:4 9.24915 +2 *270:4 *911:C 31.4715 +3 *270:4 *270:21 20.9245 +4 *270:21 *1057:A 9.24915 +5 *270:21 *270:38 23.1074 +6 *270:38 *1058:A 9.97254 +7 *270:38 *901:B 29.5924 +*END + +*D_NET *271 0.00797826 +*CONN +*I *909:C I *D sky130_fd_sc_hd__nand3_1 +*I *901:A I *D sky130_fd_sc_hd__nor2_1 +*I *1059:A I *D sky130_fd_sc_hd__xor2_1 +*I *1159:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *909:C 3.3115e-05 +2 *901:A 0.000384644 +3 *1059:A 0.00109443 +4 *1159:Q 0 +5 *271:30 0.000599907 +6 *271:4 0.00127658 +7 *901:A *1106:A1 6.36477e-05 +8 *901:A *1106:S 0.000205408 +9 *1059:A *1017:A0 7.67631e-05 +10 *901:B *901:A 6.08467e-05 +11 *904:A_N *901:A 4.76161e-06 +12 *904:C *901:A 5.11656e-06 +13 *909:B *901:A 2.91462e-05 +14 *909:B *909:C 0.00021217 +15 *909:B *271:30 0.000169093 +16 *912:A1 *1059:A 2.18704e-05 +17 *1023:A *901:A 4.85742e-05 +18 *1058:B *909:C 0.00021217 +19 *1075:A0 *901:A 1.60055e-05 +20 *1106:A0 *901:A 0.00070255 +21 *1114:D *1059:A 4.64935e-05 +22 *1159:D *1059:A 1.58114e-05 +23 *1165:A *271:30 3.31733e-05 +24 *1170:A *1059:A 0.00014186 +25 *4:11 *901:A 0.000138783 +26 *4:11 *271:30 0.000160467 +27 *55:14 *901:A 2.17834e-05 +28 *142:21 *901:A 0.000123007 +29 *145:54 *1059:A 7.98171e-06 +30 *145:54 *271:30 2.24484e-05 +31 *146:36 *1059:A 0.000107496 +32 *146:66 *1059:A 0.000163428 +33 *146:66 *271:30 0.000314044 +34 *222:15 *901:A 0.000354476 +35 *222:15 *1059:A 0.000669957 +36 *261:89 *901:A 2.38092e-05 +37 *261:89 *1059:A 1.04731e-05 +38 *270:38 *901:A 0.000405969 +*RES +1 *1159:Q *271:4 9.24915 +2 *271:4 *1059:A 40.945 +3 *271:4 *271:30 11.4894 +4 *271:30 *901:A 32.3264 +5 *271:30 *909:C 16.1364 +*END + +*D_NET *272 0.00418605 +*CONN +*I *1045:A I *D sky130_fd_sc_hd__clkinv_2 +*I *920:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1051:B I *D sky130_fd_sc_hd__nor2_1 +*I *1047:B I *D sky130_fd_sc_hd__xnor2_1 +*I *1154:Q O *D sky130_fd_sc_hd__dfrtn_1 +*CAP +1 *1045:A 0 +2 *920:A0 0 +3 *1051:B 0.00022253 +4 *1047:B 6.27553e-05 +5 *1154:Q 7.4909e-05 +6 *272:15 0.000949272 +7 *272:9 0.000819022 +8 *272:8 0.000229945 +9 *1047:B *1047:A 0.000360307 +10 *1051:B *273:10 1.45799e-05 +11 *272:15 *1047:A 4.61271e-05 +12 *915:S *1051:B 2.10465e-05 +13 *918:S *1051:B 0.000127261 +14 *918:S *272:15 2.75725e-05 +15 *919:A *1047:B 0.000263038 +16 *920:A1 *272:8 0 +17 *920:A1 *272:9 4.66889e-05 +18 *921:A *272:15 5.28741e-05 +19 *1044:B *272:15 0.000256146 +20 *1096:A0 *272:15 0.000159032 +21 *1097:A0 *272:8 0.000102527 +22 *1098:A0 *1051:B 0.000328031 +23 *1154:D *272:15 1.36606e-05 +24 *228:8 *272:8 8.72115e-06 +*RES +1 *1154:Q *272:8 20.4964 +2 *272:8 *272:9 4.05102 +3 *272:9 *272:15 17.2651 +4 *272:15 *1047:B 13.3002 +5 *272:15 *1051:B 25.4794 +6 *272:9 *920:A0 9.24915 +7 *272:8 *1045:A 9.24915 +*END + +*D_NET *273 0.00314252 +*CONN +*I *923:B I *D sky130_fd_sc_hd__nor2_1 +*I *1051:A I *D sky130_fd_sc_hd__nor2_1 +*I *918:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1047:A I *D sky130_fd_sc_hd__xnor2_1 +*I *1155:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *923:B 0.000187803 +2 *1051:A 9.28941e-05 +3 *918:A0 0 +4 *1047:A 0.000108031 +5 *1155:Q 0.000100157 +6 *273:21 0.000339244 +7 *273:10 0.000208183 +8 *273:7 0.000258856 +9 *918:A1 *273:10 0.000193823 +10 *918:A1 *273:21 7.50872e-05 +11 *918:S *1047:A 0.000208621 +12 *919:A *1047:A 2.30636e-05 +13 *1047:B *1047:A 0.000360307 +14 *1051:B *273:10 1.45799e-05 +15 *1052:B *923:B 2.40924e-05 +16 *1098:A0 *923:B 2.03171e-05 +17 *1098:A0 *1047:A 4.61271e-05 +18 *1098:A0 *1051:A 6.08467e-05 +19 *1098:A0 *273:10 0.000194077 +20 *1098:A0 *273:21 0.000110279 +21 *1099:A0 *923:B 5.23435e-05 +22 *20:7 *1051:A 0.000203756 +23 *154:9 *923:B 0.000160047 +24 *229:17 *273:7 5.38585e-05 +25 *272:15 *1047:A 4.61271e-05 +*RES +1 *1155:Q *273:7 15.3044 +2 *273:7 *273:10 8.82351 +3 *273:10 *1047:A 14.964 +4 *273:10 *918:A0 9.24915 +5 *273:7 *273:21 2.24725 +6 *273:21 *1051:A 16.7151 +7 *273:21 *923:B 19.0748 +*END + +*D_NET *274 0.0016882 +*CONN +*I *1052:A I *D sky130_fd_sc_hd__xor2_1 +*I *923:A I *D sky130_fd_sc_hd__nor2_1 +*I *915:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *1156:Q O *D sky130_fd_sc_hd__dfrtn_1 +*CAP +1 *1052:A 0.000196697 +2 *923:A 0 +3 *915:A0 8.20669e-05 +4 *1156:Q 0.000218994 +5 *274:10 8.20669e-05 +6 *274:8 0.000415691 +7 *1052:A *309:10 7.67416e-05 +8 *274:8 *1156:RESET_B 0.000112361 +9 *274:8 *309:10 4.43331e-05 +10 *915:A1 *915:A0 8.85947e-05 +11 *915:S *915:A0 0.000110458 +12 *915:S *1052:A 2.86829e-05 +13 *915:S *274:8 0.000114659 +14 *942:B *1052:A 5.03545e-06 +15 *1052:B *1052:A 0.000111823 +*RES +1 *1156:Q *274:8 18.3836 +2 *274:8 *274:10 4.5 +3 *274:10 *915:A0 12.191 +4 *274:10 *923:A 9.24915 +5 *274:8 *1052:A 19.8392 +*END + +*D_NET *275 0.000332606 +*CONN +*I *892:C I *D sky130_fd_sc_hd__nand3_1 +*I *1111:Q O *D sky130_fd_sc_hd__dfxtp_1 +*CAP +1 *892:C 8.21467e-05 +2 *1111:Q 8.21467e-05 +3 *1172:A *892:C 0.000168313 +*RES +1 *1111:Q *892:C 21.3195 +*END + +*D_NET *276 0.000590206 +*CONN +*I *890:B_N I *D sky130_fd_sc_hd__or2b_1 +*I *891:A I *D sky130_fd_sc_hd__or2b_1 +*I *1112:Q O *D sky130_fd_sc_hd__dfxtp_1 +*CAP +1 *890:B_N 0.000108508 +2 *891:A 0 +3 *1112:Q 2.58441e-05 +4 *276:8 0.000134352 +5 *892:B *890:B_N 6.50586e-05 +6 *900:A1 *890:B_N 6.27718e-05 +7 *900:A1 *276:8 7.86847e-05 +8 *138:9 *890:B_N 2.60765e-05 +9 *259:89 *276:8 7.86847e-05 +10 *260:47 *890:B_N 1.02267e-05 +*RES +1 *1112:Q *276:8 19.6659 +2 *276:8 *891:A 9.24915 +3 *276:8 *890:B_N 12.6491 +*END + +*D_NET *277 0.00182112 +*CONN +*I *893:B I *D sky130_fd_sc_hd__nor2_1 +*I *894:B I *D sky130_fd_sc_hd__and2_1 +*I *1113:Q O *D sky130_fd_sc_hd__dfxtp_1 +*CAP +1 *893:B 0.000185665 +2 *894:B 7.53521e-05 +3 *1113:Q 0.000263123 +4 *277:8 0.00052414 +5 *896:A1 *893:B 4.04393e-05 +6 *1113:D *894:B 5.05502e-05 +7 *1113:D *277:8 0.000269315 +8 *255:28 *277:8 1.13359e-05 +9 *261:29 *893:B 1.42031e-05 +10 *261:40 *893:B 0.000277007 +11 *261:40 *277:8 2.75449e-05 +12 *261:41 *894:B 6.36477e-05 +13 *261:41 *277:8 1.88014e-05 +*RES +1 *1113:Q *277:8 18.6623 +2 *277:8 *894:B 16.1605 +3 *277:8 *893:B 19.7928 +*END + +*D_NET *278 0.00131767 +*CONN +*I *903:A I *D sky130_fd_sc_hd__inv_2 +*I *1026:A I *D sky130_fd_sc_hd__xnor2_1 +*I *1160:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *903:A 0 +2 *1026:A 7.71995e-05 +3 *1160:Q 0.000221873 +4 *278:11 0.000299073 +5 *1026:A *281:21 3.49805e-05 +6 *278:11 *1160:SET_B 8.75727e-05 +7 *278:11 *281:21 2.20471e-05 +8 *1027:B1 *1026:A 2.57847e-05 +9 *1027:B2 *1026:A 8.85203e-05 +10 *1107:A1 *1026:A 2.30636e-05 +11 *1107:A1 *278:11 7.19887e-05 +12 *143:5 *1026:A 0.000365572 +*RES +1 *1160:Q *278:11 23.3297 +2 *278:11 *1026:A 13.8548 +3 *278:11 *903:A 9.24915 +*END + +*D_NET *279 0.00791166 +*CONN +*I *1026:B I *D sky130_fd_sc_hd__xnor2_1 +*I *925:A I *D sky130_fd_sc_hd__inv_2 +*I *1153:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *1026:B 0.00048759 +2 *925:A 0.000590947 +3 *1153:Q 0 +4 *279:27 0.00266276 +5 *279:4 0.00276611 +6 *925:A *1153:SET_B 2.07237e-05 +7 *925:A *309:713 6.07721e-05 +8 *1026:B *385:DIODE 3.67259e-05 +9 *1026:B *309:928 2.8322e-05 +10 *279:27 *1117:D 4.89251e-05 +11 *279:27 *1119:D 8.85179e-05 +12 *279:27 *309:713 0.000100788 +13 *279:27 *309:719 0.00016195 +14 *279:27 *309:755 4.04827e-05 +15 *279:27 *309:763 5.81096e-06 +16 *279:27 *309:775 5.29412e-05 +17 *279:27 *309:781 2.23632e-05 +18 *279:27 *330:7 0.000114584 +19 *908:C *1026:B 0 +20 *1119:CLK *279:27 0.000412913 +21 *1120:CLK *279:27 6.77815e-05 +22 *1159:CLK *925:A 6.92705e-05 +23 *145:34 *925:A 8.61152e-06 +24 *145:54 *925:A 6.27718e-05 +25 *255:28 *1026:B 0 +*RES +1 *1153:Q *279:4 9.24915 +2 *279:4 *925:A 29.2882 +3 *279:4 *279:27 41.9357 +4 *279:27 *1026:B 25.1319 +*END + +*D_NET *280 0.0139757 +*CONN +*I *1078:S I *D sky130_fd_sc_hd__mux2_1 +*I *1076:S I *D sky130_fd_sc_hd__mux2_1 +*I *1103:S I *D sky130_fd_sc_hd__mux2_1 +*I *1097:S I *D sky130_fd_sc_hd__mux2_1 +*I *1067:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1098:S I *D sky130_fd_sc_hd__mux2_1 +*I *1101:S I *D sky130_fd_sc_hd__mux2_1 +*I *1074:S I *D sky130_fd_sc_hd__mux2_1 +*I *1072:S I *D sky130_fd_sc_hd__mux2_1 +*I *898:A I *D sky130_fd_sc_hd__clkinv_4 +*I *1099:S I *D sky130_fd_sc_hd__mux2_1 +*I *1161:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *1078:S 0.000430501 +2 *1076:S 0 +3 *1103:S 5.44807e-05 +4 *1097:S 0 +5 *1067:A1 0 +6 *1098:S 0 +7 *1101:S 0.000244611 +8 *1074:S 0 +9 *1072:S 0.000411824 +10 *898:A 0.000396458 +11 *1099:S 6.98384e-05 +12 *1161:Q 0.000191856 +13 *280:71 0.000356205 +14 *280:60 0.000563163 +15 *280:51 0.000643083 +16 *280:42 0.000461738 +17 *280:39 0.000533418 +18 *280:33 0.000571983 +19 *280:25 0.000751532 +20 *280:17 0.000944403 +21 *280:11 0.000498918 +22 *280:8 0.000759302 +23 *1078:S *1120:D 0.000135609 +24 *1101:S *309:591 3.31882e-05 +25 *280:8 *1161:RESET_B 0.00016386 +26 *914:A1 *280:25 6.08467e-05 +27 *920:A1 *280:33 0.000121537 +28 *920:A1 *280:39 1.00981e-05 +29 *920:S *280:39 4.89251e-05 +30 *934:B *1101:S 2.65831e-05 +31 *942:B *1072:S 6.50727e-05 +32 *943:A1 *1072:S 2.65667e-05 +33 *943:A2 *1072:S 0.000164815 +34 *1049:A1 *280:39 6.08467e-05 +35 *1049:B1 *280:39 6.46815e-05 +36 *1067:A0 *280:25 0.0002205 +37 *1067:A0 *280:33 0.000137651 +38 *1067:A0 *280:39 6.08467e-05 +39 *1067:S *280:33 5.58904e-05 +40 *1067:S *280:39 2.16355e-05 +41 *1071:A0 *1072:S 0.000206129 +42 *1071:S *1072:S 6.3657e-05 +43 *1072:A1 *1072:S 6.90268e-06 +44 *1075:A0 *1078:S 0 +45 *1076:A0 *280:11 6.27782e-05 +46 *1097:A0 *280:33 0.000111222 +47 *1098:A1 *280:39 3.83021e-05 +48 *1101:A0 *1101:S 0.000115934 +49 *1103:A0 *1103:S 2.08274e-05 +50 *1103:A0 *280:11 0.000562494 +51 *1103:A0 *280:17 0.000221195 +52 *1103:A0 *280:25 1.38544e-05 +53 *1103:A1 *1103:S 6.27718e-05 +54 *1114:CLK *280:25 0.000320102 +55 *1173:A *280:11 0.000288559 +56 *4:11 *898:A 0.00017933 +57 *4:11 *1078:S 0 +58 *18:24 *280:25 0.000295063 +59 *18:42 *280:25 3.40476e-05 +60 *20:7 *280:39 0.000559815 +61 *52:28 *1101:S 1.92336e-05 +62 *82:8 *280:39 7.72637e-05 +63 *145:14 *1101:S 0.000370829 +64 *154:9 *1099:S 0.00021377 +65 *159:10 *1101:S 4.98796e-05 +66 *159:10 *280:42 1.59362e-06 +67 *159:10 *280:51 1.35449e-05 +68 *159:10 *280:71 7.03112e-06 +69 *222:15 *1078:S 5.88419e-05 +70 *231:21 *280:17 1.75816e-05 +71 *232:81 *280:8 0.000161956 +72 *259:139 *280:51 2.29386e-05 +73 *259:151 *898:A 2.16355e-05 +74 *259:151 *1072:S 6.3974e-05 +75 *259:151 *280:51 9.01349e-05 +76 *260:40 *280:17 1.03594e-05 +77 *260:47 *280:17 5.23577e-05 +78 *260:89 *280:51 5.62448e-05 +79 *260:102 *280:51 3.37886e-05 +80 *260:102 *280:60 2.36813e-05 +81 *260:102 *280:71 4.57077e-05 +82 *261:89 *1078:S 3.78809e-05 +*RES +1 *1161:Q *280:8 19.0748 +2 *280:8 *280:11 12.4332 +3 *280:11 *280:17 15.7163 +4 *280:17 *280:25 24.1958 +5 *280:25 *280:33 7.45098 +6 *280:33 *280:39 13.1176 +7 *280:39 *280:42 8.40826 +8 *280:42 *1099:S 16.1364 +9 *280:42 *280:51 6.39977 +10 *280:51 *898:A 24.4554 +11 *280:51 *280:60 1.00149 +12 *280:60 *1072:S 25.5887 +13 *280:60 *280:71 2.6625 +14 *280:71 *1074:S 13.7491 +15 *280:71 *1101:S 21.7084 +16 *280:39 *1098:S 9.24915 +17 *280:33 *1067:A1 9.24915 +18 *280:25 *1097:S 9.24915 +19 *280:17 *1103:S 11.6846 +20 *280:11 *1076:S 9.24915 +21 *280:8 *1078:S 24.3014 +*END + +*D_NET *281 0.00932598 +*CONN +*I *1131:CLK I *D sky130_fd_sc_hd__dfstp_4 +*I *1132:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *1130:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *1127:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *1128:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *1070:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1129:CLK I *D sky130_fd_sc_hd__dfrtp_1 +*I *1027:Y O *D sky130_fd_sc_hd__o2bb2ai_2 +*CAP +1 *1131:CLK 0 +2 *1132:CLK 0.000289104 +3 *1130:CLK 0 +4 *1127:CLK 0.000300766 +5 *1128:CLK 3.6352e-05 +6 *1070:A1 0 +7 *1129:CLK 0.000242736 +8 *1027:Y 0 +9 *281:52 0.000596177 +10 *281:51 0.000584515 +11 *281:49 0.00119138 +12 *281:21 0.00124836 +13 *281:10 0.00117964 +14 *281:4 0.00132904 +15 *1127:CLK *1127:D 0 +16 *1127:CLK *1130:D 0 +17 *1129:CLK *354:DIODE 2.54369e-05 +18 *1129:CLK *1070:S 0 +19 *1129:CLK *1188:A 0 +20 *281:49 *1131:D 0.000109095 +21 *281:49 *1131:SET_B 0.000110099 +22 *907:A2 *281:21 0.000130599 +23 *908:A *281:21 6.96846e-05 +24 *908:B *1129:CLK 6.3657e-05 +25 *908:B *281:21 0.000161252 +26 *1026:A *281:21 3.49805e-05 +27 *1027:A1_N *281:10 6.50727e-05 +28 *1027:A1_N *281:49 4.23874e-05 +29 *1027:A2_N *281:49 0.000155116 +30 *1027:B1 *281:21 0.000613299 +31 *1027:B2 *281:10 5.25024e-05 +32 *1027:B2 *281:21 0.000209504 +33 *1106:A0 *1129:CLK 0.000193016 +34 *1171:A *1129:CLK 5.04829e-06 +35 *7:15 *281:10 0 +36 *8:7 *1132:CLK 0 +37 *8:10 *281:49 1.92098e-05 +38 *16:10 *1127:CLK 0 +39 *55:14 *1129:CLK 4.38222e-05 +40 *55:14 *281:21 6.02065e-05 +41 *146:66 *1129:CLK 0.000123543 +42 *146:66 *281:21 1.13102e-05 +43 *260:13 *281:49 7.02172e-06 +44 *278:11 *281:21 2.20471e-05 +*RES +1 *1027:Y *281:4 9.24915 +2 *281:4 *281:10 12.3859 +3 *281:10 *281:21 28.1371 +4 *281:21 *1129:CLK 25.1287 +5 *281:21 *1070:A1 9.24915 +6 *281:10 *1128:CLK 10.2378 +7 *281:4 *281:49 19.8934 +8 *281:49 *281:51 4.5 +9 *281:51 *281:52 6.39977 +10 *281:52 *1127:CLK 20.9794 +11 *281:52 *1130:CLK 13.7491 +12 *281:51 *1132:CLK 20.5642 +13 *281:49 *1131:CLK 9.24915 +*END + +*D_NET *282 0.00167522 +*CONN +*I *1130:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *1127:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *1130:D 0.000539917 +2 *1127:Q 0.000539917 +3 *1130:D *1127:RESET_B 4.20084e-05 +4 *944:A *1130:D 0.000222149 +5 *1064:C *1130:D 6.50586e-05 +6 *1065:A *1130:D 0.000157906 +7 *1065:B *1130:D 0.000108266 +8 *1127:CLK *1130:D 0 +*RES +1 *1127:Q *1130:D 42.694 +*END + +*D_NET *283 0.000870852 +*CONN +*I *1131:D I *D sky130_fd_sc_hd__dfstp_4 +*I *1128:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *1131:D 0.000223711 +2 *1128:Q 0.000223711 +3 *1027:A2_N *1131:D 8.608e-05 +4 *1107:A0 *1131:D 4.61908e-05 +5 *8:10 *1131:D 0.000182064 +6 *281:49 *1131:D 0.000109095 +*RES +1 *1128:Q *1131:D 35.3519 +*END + +*D_NET *284 0.00287374 +*CONN +*I *1132:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *1129:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *1132:D 0 +2 *1129:Q 0.000627117 +3 *284:11 0.000627117 +4 *284:11 *357:DIODE 2.99747e-05 +5 *284:11 *1129:D 0.000271959 +6 *284:11 *1132:RESET_B 2.84892e-05 +7 *284:11 *1160:SET_B 0 +8 *284:11 *309:895 6.50586e-05 +9 *284:11 *309:899 0.000119695 +10 *1020:B *284:11 0.000267394 +11 *1107:S *284:11 0.000489179 +12 *1160:CLK *284:11 1.77537e-06 +13 *261:11 *284:11 1.76193e-05 +14 *261:20 *284:11 0.000328363 +*RES +1 *1129:Q *284:11 41.215 +2 *284:11 *1132:D 9.24915 +*END + +*D_NET *285 0.0010242 +*CONN +*I *1106:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1120:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *1106:A1 0.000391439 +2 *1120:Q 0.000391439 +3 *1106:A1 *1120:D 0 +4 *901:A *1106:A1 6.36477e-05 +5 *222:15 *1106:A1 6.76954e-05 +6 *270:38 *1106:A1 0.000109978 +*RES +1 *1120:Q *1106:A1 36.2825 +*END + +*D_NET *286 0.00274725 +*CONN +*I *1174:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*I *1017:A0 I *D sky130_fd_sc_hd__mux2_2 +*I *1114:Q O *D sky130_fd_sc_hd__dfxtp_1 +*CAP +1 *1174:A 0.000287336 +2 *1017:A0 0.000336099 +3 *1114:Q 4.35533e-05 +4 *286:5 0.000666988 +5 *1017:A0 *1159:RESET_B 0.000193478 +6 *1017:A0 *309:733 0.000138468 +7 *909:A *1017:A0 0.000169078 +8 *1058:B *1174:A 1.64983e-05 +9 *1059:A *1017:A0 7.67631e-05 +10 *1159:D *1017:A0 0 +11 *1170:A *1017:A0 0.00014186 +12 *4:11 *1174:A 1.64983e-05 +13 *146:66 *1017:A0 4.55115e-05 +14 *222:15 *1174:A 0.000405724 +15 *222:15 *286:5 6.27782e-05 +16 *231:21 *1017:A0 8.01808e-05 +17 *270:38 *1174:A 6.64392e-05 +*RES +1 *1114:Q *286:5 9.97254 +2 *286:5 *1017:A0 30.4624 +3 *286:5 *1174:A 24.0251 +*END + +*D_NET *287 0.00194847 +*CONN +*I *1062:A I *D sky130_fd_sc_hd__clkinv_4 +*I *1177:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *1062:A 0.000862364 +2 *1177:X 0.000862364 +3 *1062:A *324:13 1.7387e-05 +4 *994:B *1062:A 7.45283e-05 +5 *994:C *1062:A 9.34919e-05 +6 *14:8 *1062:A 0 +7 *15:10 *1062:A 3.83371e-05 +8 *240:9 *1062:A 0 +*RES +1 *1177:X *1062:A 49.0482 +*END + +*D_NET *288 0.00796382 +*CONN +*I *1016:A I *D sky130_fd_sc_hd__inv_4 +*I *1015:A I *D sky130_fd_sc_hd__inv_4 +*I *1014:A I *D sky130_fd_sc_hd__inv_4 +*I *1186:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *1069:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *1016:A 0.000263165 +2 *1015:A 2.12792e-05 +3 *1014:A 2.12792e-05 +4 *1186:A 0.00187316 +5 *1069:X 0 +6 *288:29 0.000511395 +7 *288:21 0.000493757 +8 *288:4 0.00211869 +9 *1016:A *1116:D 0.000272411 +10 *1016:A *1175:A 0.00031138 +11 *1016:A *1187:A 0.000343235 +12 *1186:A *1069:S 0.000185098 +13 *1186:A *1188:A 9.25772e-05 +14 *288:21 *1069:S 1.41976e-05 +15 *288:21 *1117:CLK 0.000112367 +16 *288:29 *1116:CLK 4.89392e-05 +17 *288:29 *1187:A 0.000237344 +18 resetb_sync *288:21 6.78831e-05 +19 resetb_sync *288:29 3.18656e-05 +20 *1018:A *1186:A 0.000348149 +21 *1069:A0 *288:21 1.65872e-05 +22 *1114:D *1186:A 6.3657e-05 +23 *1168:A *1186:A 0.000493359 +24 *255:28 *288:21 2.20471e-05 +*RES +1 *1069:X *288:4 9.24915 +2 *288:4 *1186:A 43.3211 +3 *288:4 *288:21 6.87226 +4 *288:21 *1014:A 9.82786 +5 *288:21 *288:29 7.93324 +6 *288:29 *1015:A 9.82786 +7 *288:29 *1016:A 21.6433 +*END + +*D_NET *289 0.00279025 +*CONN +*I *1187:A I *D sky130_fd_sc_hd__buf_2 +*I *1063:Y O *D sky130_fd_sc_hd__nor2_1 +*CAP +1 *1187:A 0.000914272 +2 *1063:Y 0.000914272 +3 *1187:A *1175:A 0.00031138 +4 *394:DIODE *1187:A 6.97525e-05 +5 *1016:A *1187:A 0.000343235 +6 *288:29 *1187:A 0.000237344 +*RES +1 *1063:Y *1187:A 43.6484 +*END + +*D_NET *290 0.00235043 +*CONN +*I *1188:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *1070:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *1188:A 0.000654787 +2 *1070:X 0.000654787 +3 *1188:A *1070:S 0 +4 *1188:A *1119:D 0 +5 *1188:A *309:739 0.000363007 +6 *1106:A0 *1188:A 1.75625e-05 +7 *1129:CLK *1188:A 0 +8 *1168:A *1188:A 0.000562485 +9 *1186:A *1188:A 9.25772e-05 +10 *261:20 *1188:A 5.22654e-06 +*RES +1 *1070:X *1188:A 46.9565 +*END + +*D_NET *291 0.000697517 +*CONN +*I *1117:D I *D sky130_fd_sc_hd__dfstp_1 +*I *1066:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 *1117:D 0.000246193 +2 *1066:LO 0.000246193 +3 *1117:D *1116:CLK 3.30578e-05 +4 *1117:D *309:781 0.000123148 +5 *279:27 *1117:D 4.89251e-05 +*RES +1 *1066:LO *1117:D 34.9058 +*END + +*D_NET *292 0.000231045 +*CONN +*I *1155:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *917:Y O *D sky130_fd_sc_hd__inv_4 +*CAP +1 *1155:CLK 2.17973e-05 +2 *917:Y 2.17973e-05 +3 *1155:D *1155:CLK 6.50727e-05 +4 *229:17 *1155:CLK 0.000122378 +*RES +1 *917:Y *1155:CLK 19.7763 +*END + +*D_NET *293 0.00062695 +*CONN +*I *1153:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *922:Y O *D sky130_fd_sc_hd__inv_4 +*CAP +1 *1153:CLK 0.000232615 +2 *922:Y 0.000232615 +3 *1153:CLK *1153:SET_B 1.43698e-05 +4 *922:A *1153:CLK 0.00014735 +*RES +1 *922:Y *1153:CLK 32.3015 +*END + +*D_NET *294 0.000389531 +*CONN +*I *1151:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *937:Y O *D sky130_fd_sc_hd__inv_4 +*CAP +1 *1151:CLK 0.000104228 +2 *937:Y 0.000104228 +3 *1151:D *1151:CLK 3.30578e-05 +4 *229:39 *1151:CLK 0.000148017 +*RES +1 *937:Y *1151:CLK 30.8842 +*END + +*D_NET *295 0.000378652 +*CONN +*I *1143:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *970:Y O *D sky130_fd_sc_hd__inv_4 +*CAP +1 *1143:CLK 0.000189326 +2 *970:Y 0.000189326 +3 *1143:D *1143:CLK 0 +*RES +1 *970:Y *1143:CLK 31.0235 +*END + +*D_NET *296 0.000423809 +*CONN +*I *1141:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *975:Y O *D sky130_fd_sc_hd__inv_4 +*CAP +1 *1141:CLK 0.000195443 +2 *975:Y 0.000195443 +3 *1141:CLK *305:70 1.17541e-06 +4 *1024:B *1141:CLK 3.17474e-05 +*RES +1 *975:Y *1141:CLK 31.0235 +*END + +*D_NET *297 0.000429128 +*CONN +*I *1135:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *1003:Y O *D sky130_fd_sc_hd__inv_4 +*CAP +1 *1135:CLK 0.000144484 +2 *1003:Y 0.000144484 +3 *1085:A0 *1135:CLK 0 +4 *203:45 *1135:CLK 7.50872e-05 +5 *231:91 *1135:CLK 6.50727e-05 +*RES +1 *1003:Y *1135:CLK 30.4689 +*END + +*D_NET *298 0.000179001 +*CONN +*I *1063:A I *D sky130_fd_sc_hd__nor2_1 +*I *1178:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *1063:A 5.47792e-05 +2 *1178:X 5.47792e-05 +3 *394:DIODE *1063:A 6.94431e-05 +*RES +1 *1178:X *1063:A 20.2103 +*END + +*D_NET *299 0.000776145 +*CONN +*I *1115:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *1016:Y O *D sky130_fd_sc_hd__inv_4 +*CAP +1 *1115:CLK 0.000312274 +2 *1016:Y 0.000312274 +3 *1115:CLK *1115:D 6.27718e-05 +4 *1115:CLK *1115:SET_B 7.44553e-05 +5 *1115:CLK *309:795 1.43698e-05 +*RES +1 *1016:Y *1115:CLK 35.4604 +*END + +*D_NET *300 0.000709465 +*CONN +*I *1116:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *1015:Y O *D sky130_fd_sc_hd__inv_4 +*CAP +1 *1116:CLK 0.000313734 +2 *1015:Y 0.000313734 +3 *1117:D *1116:CLK 3.30578e-05 +4 *288:29 *1116:CLK 4.89392e-05 +*RES +1 *1015:Y *1116:CLK 34.3456 +*END + +*D_NET *301 0.000487245 +*CONN +*I *1117:CLK I *D sky130_fd_sc_hd__dfstp_1 +*I *1014:Y O *D sky130_fd_sc_hd__inv_4 +*CAP +1 *1117:CLK 0.000164255 +2 *1014:Y 0.000164255 +3 *255:28 *1117:CLK 4.63668e-05 +4 *288:21 *1117:CLK 0.000112367 +*RES +1 *1014:Y *1117:CLK 31.0235 +*END + +*D_NET *302 0.00199924 +*CONN +*I *1120:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *1174:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*CAP +1 *1120:D 0.000750059 +2 *1174:X 0.000750059 +3 *909:B *1120:D 4.82337e-05 +4 *1078:S *1120:D 0.000135609 +5 *1106:A1 *1120:D 0 +6 *1119:CLK *1120:D 0.000147067 +7 *1120:CLK *1120:D 2.41274e-06 +8 *145:54 *1120:D 2.14433e-05 +9 *222:15 *1120:D 7.16974e-05 +10 *261:89 *1120:D 7.26606e-05 +*RES +1 *1174:X *1120:D 43.1306 +*END + +*D_NET *303 0.00174085 +*CONN +*I *1116:D I *D sky130_fd_sc_hd__dfstp_1 +*I *1175:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*CAP +1 *1116:D 0.000597131 +2 *1175:X 0.000597131 +3 *1116:D *1129:D 6.92705e-05 +4 *1116:D *1175:A 7.26748e-05 +5 *1016:A *1116:D 0.000272411 +6 *7:15 *1116:D 0.000132229 +*RES +1 *1175:X *1116:D 40.615 +*END + +*D_NET *304 0.00130048 +*CONN +*I *1115:D I *D sky130_fd_sc_hd__dfstp_1 +*I *1176:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*CAP +1 *1115:D 0.000517486 +2 *1176:X 0.000517486 +3 *1115:D *1115:SET_B 3.00829e-05 +4 *1115:D *1129:D 9.64434e-05 +5 *1115:D *1176:A 7.621e-05 +6 *1115:CLK *1115:D 6.27718e-05 +*RES +1 *1176:X *1115:D 37.6663 +*END + +*D_NET *305 0.0219689 +*CONN +*I *339:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *333:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *993:B_N I *D sky130_fd_sc_hd__or2b_1 +*I *331:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *953:A2 I *D sky130_fd_sc_hd__o21a_1 +*I *1019:B I *D sky130_fd_sc_hd__nor2_1 +*I *335:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *338:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1095:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *337:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1092:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *336:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1091:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *332:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *992:A I *D sky130_fd_sc_hd__or2b_1 +*I *1109:D I *D sky130_fd_sc_hd__dfxtp_1 +*I *1200:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *339:DIODE 0 +2 *333:DIODE 0 +3 *993:B_N 0 +4 *331:DIODE 0 +5 *953:A2 0 +6 *1019:B 0.00012703 +7 *335:DIODE 0 +8 *338:DIODE 0 +9 *1095:A1 6.46545e-05 +10 *337:DIODE 0 +11 *1092:A1 0.000180262 +12 *336:DIODE 7.56794e-05 +13 *1091:A1 0.000150267 +14 *332:DIODE 0.000174802 +15 *992:A 1.91583e-05 +16 *1109:D 0.000242006 +17 *1200:X 0.000489946 +18 *305:145 0.00030042 +19 *305:130 0.000588881 +20 *305:93 0.000371936 +21 *305:89 0.000659607 +22 *305:84 0.000863924 +23 *305:79 0.00201777 +24 *305:70 0.00240277 +25 *305:62 0.00140114 +26 *305:58 0.000170069 +27 *305:55 9.31248e-05 +28 *305:54 0.000708776 +29 *305:40 0.000758893 +30 *305:38 0.000153051 +31 *305:37 4.51409e-05 +32 *305:13 0.00040397 +33 *305:12 0.000403663 +34 *305:8 0.000576002 +35 *1019:B *329:8 4.04463e-05 +36 *305:8 *324:13 3.1563e-05 +37 *305:8 *325:11 2.99929e-05 +38 *305:12 *1121:D 0.00011935 +39 *305:38 *1121:D 4.76794e-05 +40 *305:40 *1121:D 0.000296185 +41 *305:54 *346:DIODE 6.36477e-05 +42 *305:54 *1121:D 0.000116505 +43 *305:54 *1122:D 6.84695e-05 +44 *305:54 *1124:RESET_B 0.000104821 +45 *305:58 *1122:D 0.000109875 +46 *305:62 *1122:D 6.46815e-05 +47 *305:70 *348:DIODE 1.44467e-05 +48 *305:70 *1019:A 0 +49 *305:79 *310:20 0.000140201 +50 *305:89 *317:36 0.000186148 +51 *305:130 *372:DIODE 0.000179164 +52 *305:130 *373:DIODE 0.000461725 +53 *305:130 *1096:A1 0.000427006 +54 *305:130 *313:8 0.000114594 +55 *305:130 *318:10 0.00110038 +56 *305:145 *348:DIODE 0 +57 *305:145 *1019:A 0.000286232 +58 *399:DIODE *305:12 9.98296e-05 +59 *950:A *305:84 0.00025183 +60 *950:A *305:89 0.000369399 +61 *953:B1 *305:62 2.16355e-05 +62 *960:A *305:84 4.78554e-05 +63 *960:C *305:84 8.96342e-05 +64 *960:C *305:130 1.41181e-05 +65 *962:C *305:130 2.44934e-05 +66 *965:B1_N *1091:A1 2.20702e-05 +67 *965:B1_N *305:89 8.45896e-06 +68 *965:B1_N *305:93 0.000114447 +69 *975:A *305:70 0.000180418 +70 *994:A *332:DIODE 0.000152878 +71 *994:A *305:13 0.000122378 +72 *994:B *305:38 1.65872e-05 +73 *994:C *332:DIODE 1.03403e-05 +74 *995:B *305:70 0.000135385 +75 *1012:A *305:79 5.04829e-06 +76 *1025:A1_N *305:79 0.000364665 +77 *1025:A2_N *305:79 0.000116 +78 *1041:B *1092:A1 4.91225e-06 +79 *1041:B *305:89 5.05252e-05 +80 *1041:B *305:93 3.31733e-05 +81 *1091:A0 *305:93 5.79544e-05 +82 *1091:S *336:DIODE 4.33655e-05 +83 *1091:S *1091:A1 3.14978e-05 +84 *1092:A0 *1092:A1 5.04829e-06 +85 *1093:A0 *305:89 8.37812e-05 +86 *1094:S *305:89 0 +87 *1094:S *305:130 0.000159038 +88 *1095:S *1095:A1 6.46815e-05 +89 *1141:CLK *305:70 1.17541e-06 +90 *1148:CLK *305:79 3.79751e-05 +91 *1148:CLK *305:84 1.35317e-05 +92 *1189:A *305:93 0.000122068 +93 *1200:A *305:8 8.39223e-05 +94 *19:40 *305:84 0.000118485 +95 *172:8 *305:84 0 +96 *172:15 *305:84 0 +97 *174:11 *305:62 2.26957e-05 +98 *174:11 *305:70 1.4091e-06 +99 *177:42 *305:130 1.21461e-06 +100 *179:39 *305:84 6.97908e-05 +101 *187:12 *1095:A1 0.00016195 +102 *192:14 *305:89 7.77309e-06 +103 *199:11 *1109:D 0.000302913 +104 *199:11 *305:13 2.46499e-05 +105 *233:68 *1092:A1 2.16355e-05 +106 *233:82 *305:89 0.000125697 +107 *233:82 *305:93 5.56367e-05 +108 *234:17 *305:8 0.000136705 +109 *234:17 *305:12 9.55247e-06 +110 *250:7 *1109:D 6.36477e-05 +111 *254:59 *1092:A1 0.000201759 +112 *254:64 *1092:A1 3.02923e-05 +113 *255:9 *305:70 0.000111097 +114 *255:9 *305:79 9.57678e-05 +115 *255:16 *305:70 0 +*RES +1 *1200:X *305:8 25.5822 +2 *305:8 *305:12 7.57775 +3 *305:12 *305:13 3.49641 +4 *305:13 *1109:D 18.3941 +5 *305:13 *992:A 9.82786 +6 *305:12 *332:DIODE 13.3002 +7 *305:8 *305:37 4.5 +8 *305:37 *305:38 1.278 +9 *305:38 *305:40 3.49641 +10 *305:40 *305:54 31.0097 +11 *305:54 *305:55 81.1229 +12 *305:55 *305:58 10.5271 +13 *305:58 *305:62 3.52053 +14 *305:62 *305:70 30.7173 +15 *305:70 *305:79 30.1236 +16 *305:79 *305:84 17.1636 +17 *305:84 *305:89 13.2898 +18 *305:89 *305:93 10.0693 +19 *305:93 *1091:A1 12.0704 +20 *305:93 *336:DIODE 10.5271 +21 *305:89 *1092:A1 18.403 +22 *305:84 *337:DIODE 9.24915 +23 *305:79 *305:130 28.1792 +24 *305:130 *1095:A1 11.6364 +25 *305:130 *338:DIODE 9.24915 +26 *305:70 *305:145 14.154 +27 *305:145 *335:DIODE 9.24915 +28 *305:145 *1019:B 12.6491 +29 *305:62 *953:A2 9.24915 +30 *305:58 *331:DIODE 9.24915 +31 *305:40 *993:B_N 9.24915 +32 *305:38 *333:DIODE 9.24915 +33 *305:37 *339:DIODE 9.24915 +*END + +*D_NET *306 0.000714949 +*CONN +*I *983:A1 I *D sky130_fd_sc_hd__o211ai_4 +*I *1195:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *983:A1 0.00021467 +2 *1195:X 0.00021467 +3 *983:C1 *983:A1 0 +4 *1146:CLK *983:A1 0.000285609 +5 *1197:A *983:A1 0 +*RES +1 *1195:X *983:A1 33.0676 +*END + +*D_NET *307 0.000264891 +*CONN +*I *983:A2 I *D sky130_fd_sc_hd__o211ai_4 +*I *1196:X O *D sky130_fd_sc_hd__dlygate4sd1_1 +*CAP +1 *983:A2 6.95982e-05 +2 *1196:X 6.95982e-05 +3 *19:52 *983:A2 0 +4 *233:82 *983:A2 0.000125695 +*RES +1 *1196:X *983:A2 29.7455 +*END + +*D_NET *308 0.00383106 +*CONN +*I *1032:A2 I *D sky130_fd_sc_hd__o21a_1 +*I *1031:C I *D sky130_fd_sc_hd__nor3_1 +*I *1029:B I *D sky130_fd_sc_hd__xnor2_1 +*I *1197:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *1032:A2 0.000260759 +2 *1031:C 0 +3 *1029:B 0.000354688 +4 *1197:X 0.000234661 +5 *308:17 0.000375098 +6 *308:7 0.000703688 +7 *1032:A2 *313:22 7.73661e-05 +8 *1032:A2 *314:11 6.92705e-05 +9 *968:S *1032:A2 5.41227e-05 +10 *968:S *308:17 0.000181416 +11 *971:S *308:7 6.08467e-05 +12 *973:S *1029:B 0.000195139 +13 *976:B *1032:A2 0 +14 *1031:B *1032:A2 0.000466373 +15 *1080:A0 *1029:B 8.92089e-05 +16 *1080:A1 *1029:B 5.65845e-05 +17 *1081:A0 *308:7 7.98425e-06 +18 *1081:A0 *308:17 6.65668e-05 +19 *1081:A1 *308:7 6.87578e-05 +20 *1082:A0 *1032:A2 0.000111722 +21 *1197:A *308:7 7.34948e-06 +22 *21:17 *1029:B 0.000168546 +23 *21:17 *308:17 2.95757e-05 +24 *187:12 *1032:A2 7.73661e-05 +25 *235:50 *1032:A2 0 +26 *235:52 *1032:A2 0.000113968 +27 *247:33 *308:17 0 +*RES +1 *1197:X *308:7 19.464 +2 *308:7 *1029:B 24.8883 +3 *308:7 *308:17 3.90826 +4 *308:17 *1031:C 13.7491 +5 *308:17 *1032:A2 32.0155 +*END + +*D_NET *309 0.093026 +*CONN +*I *345:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *344:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1115:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *1116:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *353:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *385:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1160:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *341:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1129:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *1132:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *357:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1128:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *1131:SET_B I *D sky130_fd_sc_hd__dfstp_4 +*I *356:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1149:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *1130:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *355:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *374:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1164:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *340:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1117:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *342:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *354:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1119:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *1120:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *1017:S I *D sky130_fd_sc_hd__mux2_2 +*I *1159:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *334:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *384:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1158:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *383:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *382:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1157:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *1140:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *365:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *373:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *372:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1147:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *1153:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *378:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *377:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1152:RESET_B I *D sky130_fd_sc_hd__dfrtn_1 +*I *375:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1151:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *376:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1150:RESET_B I *D sky130_fd_sc_hd__dfrtn_1 +*I *1156:RESET_B I *D sky130_fd_sc_hd__dfrtn_1 +*I *381:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1154:RESET_B I *D sky130_fd_sc_hd__dfrtn_1 +*I *379:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *364:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1138:SET_B I *D sky130_fd_sc_hd__dfstp_2 +*I *363:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1136:RESET_B I *D sky130_fd_sc_hd__dfrtn_1 +*I *361:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1148:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *343:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1125:SET_B I *D sky130_fd_sc_hd__dfstp_4 +*I *1127:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *352:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1162:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *350:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *347:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1124:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *349:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *346:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1121:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *1122:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *389:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *387:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1126:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *1118:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *1163:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *388:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1161:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *386:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1123:RESET_B I *D sky130_fd_sc_hd__dfrtp_1 +*I *366:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1134:RESET_B I *D sky130_fd_sc_hd__dfrtn_1 +*I *359:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1135:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *360:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1137:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *362:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1141:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *351:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *348:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1133:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *358:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *370:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1145:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *1146:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *371:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *367:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1142:RESET_B I *D sky130_fd_sc_hd__dfrtn_1 +*I *1143:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *368:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *369:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1144:RESET_B I *D sky130_fd_sc_hd__dfrtn_1 +*I *1139:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *380:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *1155:SET_B I *D sky130_fd_sc_hd__dfstp_1 +*I *1179:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 *345:DIODE 0 +2 *344:DIODE 0 +3 *1115:SET_B 0.000262325 +4 *1116:SET_B 0 +5 *353:DIODE 0 +6 *385:DIODE 0.000186146 +7 *1160:SET_B 0.000705135 +8 *341:DIODE 0 +9 *1129:RESET_B 9.66519e-05 +10 *1132:RESET_B 9.07327e-05 +11 *357:DIODE 0.000191144 +12 *1128:SET_B 0 +13 *1131:SET_B 1.61516e-05 +14 *356:DIODE 4.62172e-05 +15 *1149:SET_B 0.000169174 +16 *1130:RESET_B 2.49584e-05 +17 *355:DIODE 0 +18 *374:DIODE 0.000209719 +19 *1164:RESET_B 0.000109932 +20 *340:DIODE 0 +21 *1117:SET_B 1.8176e-05 +22 *342:DIODE 0 +23 *354:DIODE 0.000281852 +24 *1119:RESET_B 1.82006e-05 +25 *1120:RESET_B 7.47395e-05 +26 *1017:S 0 +27 *1159:RESET_B 0.00010585 +28 *334:DIODE 0 +29 *384:DIODE 0 +30 *1158:SET_B 0 +31 *383:DIODE 0.000428584 +32 *382:DIODE 0.000222371 +33 *1157:RESET_B 0 +34 *1140:RESET_B 0 +35 *365:DIODE 0.000331248 +36 *373:DIODE 0.000112985 +37 *372:DIODE 0.000397787 +38 *1147:RESET_B 0.000207375 +39 *1153:SET_B 0.00107113 +40 *378:DIODE 0 +41 *377:DIODE 0 +42 *1152:RESET_B 0.000230674 +43 *375:DIODE 0 +44 *1151:SET_B 0.000173166 +45 *376:DIODE 0 +46 *1150:RESET_B 0.000114715 +47 *1156:RESET_B 0.000106896 +48 *381:DIODE 0 +49 *1154:RESET_B 0.000360207 +50 *379:DIODE 0 +51 *364:DIODE 0 +52 *1138:SET_B 0 +53 *363:DIODE 0.0001639 +54 *1136:RESET_B 0.00011562 +55 *361:DIODE 0 +56 *1148:SET_B 3.36522e-05 +57 *343:DIODE 0 +58 *1125:SET_B 0.000156578 +59 *1127:RESET_B 6.19331e-05 +60 *352:DIODE 0.000266344 +61 *1162:SET_B 2.56946e-05 +62 *350:DIODE 3.11428e-05 +63 *347:DIODE 0 +64 *1124:RESET_B 2.5995e-05 +65 *349:DIODE 0.000249384 +66 *346:DIODE 4.27457e-05 +67 *1121:RESET_B 7.02746e-05 +68 *1122:SET_B 0.000110161 +69 *389:DIODE 0.000208988 +70 *387:DIODE 4.51188e-05 +71 *1126:RESET_B 1.76235e-05 +72 *1118:RESET_B 1.8176e-05 +73 *1163:RESET_B 2.00604e-05 +74 *388:DIODE 0 +75 *1161:RESET_B 0.000187955 +76 *386:DIODE 0.000566468 +77 *1123:RESET_B 0 +78 *366:DIODE 0.000304697 +79 *1134:RESET_B 0.00037131 +80 *359:DIODE 0 +81 *1135:SET_B 0.000263022 +82 *360:DIODE 0.000277299 +83 *1137:RESET_B 2.68617e-05 +84 *362:DIODE 0 +85 *1141:SET_B 0.000377348 +86 *351:DIODE 0 +87 *348:DIODE 0.000122233 +88 *1133:SET_B 0.00079012 +89 *358:DIODE 0.000145874 +90 *370:DIODE 0.000401805 +91 *1145:RESET_B 0.000419428 +92 *1146:SET_B 0.00140422 +93 *371:DIODE 0 +94 *367:DIODE 0 +95 *1142:RESET_B 1.76235e-05 +96 *1143:SET_B 0.00017986 +97 *368:DIODE 0.000112109 +98 *369:DIODE 4.21689e-05 +99 *1144:RESET_B 1.11888e-05 +100 *1139:RESET_B 0.000652347 +101 *380:DIODE 0 +102 *1155:SET_B 1.76235e-05 +103 *1179:X 0 +104 *309:928 0.000654761 +105 *309:900 0.000175734 +106 *309:899 0.000149041 +107 *309:895 0.000298121 +108 *309:893 0.000760336 +109 *309:877 0.000281876 +110 *309:849 0.000215391 +111 *309:827 0.000318751 +112 *309:824 0.00013399 +113 *309:816 0.000267279 +114 *309:814 0.000359 +115 *309:812 0.000435029 +116 *309:806 0.00029735 +117 *309:804 0.000264021 +118 *309:800 0.000551794 +119 *309:798 0.000153277 +120 *309:795 0.000421619 +121 *309:789 0.00063485 +122 *309:781 0.00118396 +123 *309:775 0.000878418 +124 *309:763 0.000594006 +125 *309:755 0.000714686 +126 *309:745 0.000973435 +127 *309:739 0.000574634 +128 *309:733 0.000612355 +129 *309:721 0.000388715 +130 *309:719 4.71176e-05 +131 *309:713 0.000325841 +132 *309:641 0.000645759 +133 *309:639 0.000340922 +134 *309:637 0.000605981 +135 *309:635 0.000705362 +136 *309:633 0.000676941 +137 *309:631 0.000392389 +138 *309:630 0.000654368 +139 *309:623 0.000430321 +140 *309:619 0.000431805 +141 *309:606 0.00145681 +142 *309:600 0.000989688 +143 *309:591 0.000732277 +144 *309:580 0.000336979 +145 *309:564 0.000259675 +146 *309:531 0.000531738 +147 *309:498 0.00033688 +148 *309:459 0.000432186 +149 *309:448 0.000346031 +150 *309:436 0.000102941 +151 *309:418 0.000407234 +152 *309:415 0.000254184 +153 *309:400 0.000315736 +154 *309:393 0.000285806 +155 *309:386 0.000294028 +156 *309:384 0.000518317 +157 *309:366 0.000667414 +158 *309:360 0.000887193 +159 *309:352 0.00075247 +160 *309:318 0.00104288 +161 *309:313 0.000760729 +162 *309:312 0.000892442 +163 *309:301 0.000738258 +164 *309:263 0.000535073 +165 *309:234 0.000768991 +166 *309:221 0.00110044 +167 *309:212 0.000912696 +168 *309:208 0.000636634 +169 *309:196 0.000345404 +170 *309:195 0.00038841 +171 *309:193 0.000662673 +172 *309:187 0.00037456 +173 *309:175 0.000676746 +174 *309:171 0.00054774 +175 *309:158 0.000852459 +176 *309:154 0.000789169 +177 *309:151 0.00103707 +178 *309:135 0.00101691 +179 *309:119 0.00118768 +180 *309:107 0.000903019 +181 *309:99 0.000538394 +182 *309:80 0.000383465 +183 *309:78 0.000532601 +184 *309:77 0.000610042 +185 *309:68 0.000143125 +186 *309:66 8.43151e-05 +187 *309:65 0.000132897 +188 *309:56 0.000353968 +189 *309:52 0.000451749 +190 *309:37 0.000811057 +191 *309:31 0.000561711 +192 *309:25 0.000338746 +193 *309:17 0.000599537 +194 *309:12 0.000373126 +195 *309:10 0.000580906 +196 *309:8 0.000608398 +197 *309:7 0.000238112 +198 *309:4 0.000120325 +199 *349:DIODE *1121:D 0.000261135 +200 *350:DIODE *324:13 0.000101412 +201 *368:DIODE *1029:A 0 +202 *372:DIODE *1096:A1 0.000561471 +203 *387:DIODE *1118:D 2.57847e-05 +204 *1124:RESET_B *1122:D 1.83257e-05 +205 *1129:RESET_B *1129:D 0.000117594 +206 *1146:SET_B *983:B1 1.6203e-05 +207 *1147:RESET_B *1195:A 7.37441e-06 +208 *1162:SET_B *325:11 0.00015643 +209 *309:80 *1029:A 0 +210 *309:135 *983:B1 0.000310591 +211 *309:154 *1011:A 5.2879e-05 +212 *309:154 *1089:A1 0 +213 *309:158 *1011:A 4.92922e-05 +214 *309:366 *1118:D 4.89392e-05 +215 *309:366 *317:15 0.000193965 +216 *309:366 *325:11 1.65347e-05 +217 *309:400 *1123:D 4.19199e-05 +218 *309:400 *324:13 1.50924e-05 +219 *309:415 *324:13 0.000146858 +220 *309:436 *324:13 0.000246937 +221 *309:639 *310:20 3.64497e-05 +222 *309:641 *310:20 1.02917e-05 +223 *309:755 *1119:D 5.02713e-05 +224 *309:789 *1175:A 3.10645e-06 +225 *309:893 *1129:D 0.000204993 +226 *309:895 *1129:D 0.000134732 +227 user_clk *309:739 6.46815e-05 +228 *888:A0 *374:DIODE 0.000110458 +229 *911:C *383:DIODE 1.07248e-05 +230 *913:A *382:DIODE 0.000363885 +231 *913:A *383:DIODE 1.67877e-05 +232 *913:A *309:630 6.97803e-05 +233 *913:A *309:631 3.37311e-05 +234 *913:A *309:633 5.46384e-06 +235 *913:B *382:DIODE 6.53312e-05 +236 *913:C *382:DIODE 9.54357e-06 +237 *914:A1 *309:630 0.000208627 +238 *914:B1_N *382:DIODE 0.000117376 +239 *915:S *309:10 3.87022e-06 +240 *915:S *309:12 2.1896e-05 +241 *918:S *309:12 1.22047e-05 +242 *918:S *309:17 5.80222e-05 +243 *925:A *1153:SET_B 2.07237e-05 +244 *925:A *309:713 6.07721e-05 +245 *934:B *309:580 7.23857e-05 +246 *934:B *309:591 0.000358671 +247 *936:A *1150:RESET_B 6.27718e-05 +248 *936:A *1151:SET_B 3.66496e-05 +249 *936:A *309:564 5.22071e-05 +250 *936:B *1151:SET_B 6.27718e-05 +251 *938:A *309:8 5.37082e-05 +252 *938:A *309:564 2.24484e-05 +253 *938:B *309:7 6.08467e-05 +254 *938:B *309:8 9.34409e-05 +255 *939:C *1151:SET_B 0.000227779 +256 *942:A *309:10 0.000230415 +257 *942:B *309:10 0.000239124 +258 *944:A *352:DIODE 1.36871e-05 +259 *944:A *1164:RESET_B 5.03545e-06 +260 *953:B1 *309:221 3.14544e-05 +261 *953:B1 *309:234 2.44103e-05 +262 *954:A_N *309:154 2.10465e-05 +263 *954:C *366:DIODE 0.000248741 +264 *954:C *309:208 6.46424e-05 +265 *957:A1 *309:154 0.000120544 +266 *962:B *1147:RESET_B 2.58757e-05 +267 *962:C *373:DIODE 7.18098e-05 +268 *963:A1 *372:DIODE 0.000114584 +269 *963:B1_N *1147:RESET_B 7.92757e-06 +270 *969:A *369:DIODE 6.2645e-05 +271 *969:A *1144:RESET_B 1.62526e-05 +272 *969:A *309:65 2.17699e-05 +273 *969:A *309:77 0.000112241 +274 *973:A0 *309:78 6.52332e-05 +275 *973:A0 *309:80 2.99929e-05 +276 *973:S *309:119 3.51113e-05 +277 *974:A *1143:SET_B 0.000117333 +278 *976:A *363:DIODE 9.29777e-05 +279 *976:B *363:DIODE 2.57434e-05 +280 *976:B *309:78 2.67898e-05 +281 *991:A *363:DIODE 2.58616e-05 +282 *1006:A *360:DIODE 0.000178823 +283 *1010:A *309:639 0 +284 *1010:B *365:DIODE 0.000232975 +285 *1012:B *358:DIODE 0.000118166 +286 *1012:B *309:158 5.93684e-05 +287 *1013:A *1133:SET_B 4.11245e-05 +288 *1013:A *309:171 4.69057e-05 +289 *1017:A0 *1159:RESET_B 0.000193478 +290 *1017:A0 *309:733 0.000138468 +291 *1017:A1 *309:733 0.000112367 +292 *1020:B *357:DIODE 1.88014e-05 +293 *1020:B *309:804 2.15992e-05 +294 *1020:B *309:806 1.34999e-05 +295 *1020:B *309:812 7.27245e-06 +296 *1024:B *366:DIODE 9.43222e-06 +297 *1025:A2_N *309:171 6.3657e-05 +298 *1026:B *385:DIODE 3.67259e-05 +299 *1026:B *309:928 2.8322e-05 +300 *1027:A2_N *1131:SET_B 4.70567e-05 +301 *1027:A2_N *309:812 4.39142e-05 +302 *1027:B1 *385:DIODE 0 +303 *1028:A *309:107 2.99287e-05 +304 *1030:B *309:78 9.02862e-05 +305 *1035:A *309:78 0 +306 *1035:B *309:78 0 +307 *1039:A *309:151 4.62974e-05 +308 *1041:A *309:135 4.31237e-05 +309 *1044:A *309:37 0.00023866 +310 *1052:A *309:10 7.67416e-05 +311 *1053:A *309:564 0 +312 *1054:A *309:591 9.43419e-05 +313 *1054:B *309:591 1.23772e-05 +314 *1055:B *309:600 3.43721e-06 +315 *1064:B *352:DIODE 1.78942e-05 +316 *1064:C *352:DIODE 2.15184e-05 +317 *1064:C *1127:RESET_B 6.08467e-05 +318 *1071:S *309:10 0 +319 *1079:A1 *374:DIODE 0.000201947 +320 *1079:A1 *309:827 3.53886e-05 +321 *1080:A1 *309:119 6.28168e-05 +322 *1080:S *309:119 0.00015321 +323 *1083:S *1135:SET_B 0.000114594 +324 *1083:S *309:234 0 +325 *1083:S *309:263 3.74039e-05 +326 *1085:A0 *309:234 5.96936e-05 +327 *1085:A1 *309:234 0.00023344 +328 *1090:A0 *370:DIODE 7.58739e-05 +329 *1091:S *370:DIODE 0.000219875 +330 *1094:A0 *1147:RESET_B 2.46935e-05 +331 *1094:S *372:DIODE 2.30636e-05 +332 *1101:S *309:591 3.31882e-05 +333 *1105:A0 *309:171 0.000283266 +334 *1107:A0 *309:812 0 +335 *1107:A0 *309:814 0 +336 *1108:CLK *309:234 0 +337 *1109:CLK *1137:RESET_B 0.000156748 +338 *1109:CLK *309:221 0.000329879 +339 *1111:D *1161:RESET_B 0.000201445 +340 *1111:D *309:318 0.000182062 +341 *1114:D *309:619 5.85383e-05 +342 *1114:D *309:623 2.96831e-05 +343 *1114:CLK *309:623 0.000216848 +344 *1114:CLK *309:630 0.000157191 +345 *1114:CLK *309:635 0 +346 *1115:D *1115:SET_B 3.00829e-05 +347 *1115:CLK *1115:SET_B 7.44553e-05 +348 *1115:CLK *309:795 1.43698e-05 +349 *1117:D *309:781 0.000123148 +350 *1118:CLK *309:301 0.000217202 +351 *1119:CLK *1120:RESET_B 9.5571e-05 +352 *1119:CLK *309:745 2.57847e-05 +353 *1124:D *349:DIODE 2.02354e-05 +354 *1124:D *1121:RESET_B 1.33911e-05 +355 *1124:D *309:400 9.65752e-06 +356 *1124:CLK *1122:SET_B 2.27645e-05 +357 *1124:CLK *309:384 1.88014e-05 +358 *1124:CLK *309:400 0.000183922 +359 *1124:CLK *309:448 1.13359e-05 +360 *1125:D *1122:SET_B 0 +361 *1125:D *1125:SET_B 5.67857e-05 +362 *1125:D *309:384 2.41274e-06 +363 *1125:D *309:436 3.98869e-05 +364 *1125:D *309:448 0 +365 *1126:D *348:DIODE 5.04829e-06 +366 *1126:D *309:196 1.47102e-05 +367 *1126:D *309:301 9.35753e-06 +368 *1126:CLK *309:187 1.19856e-05 +369 *1126:CLK *309:193 1.43848e-05 +370 *1126:CLK *309:352 2.41274e-06 +371 *1129:CLK *354:DIODE 2.54369e-05 +372 *1130:D *1127:RESET_B 4.20084e-05 +373 *1133:CLK *358:DIODE 2.20702e-05 +374 *1133:CLK *1133:SET_B 2.41274e-06 +375 *1135:D *360:DIODE 0.000256692 +376 *1136:D *1136:RESET_B 0.000120636 +377 *1136:CLK_N *1136:RESET_B 3.75603e-05 +378 *1139:CLK *309:52 0 +379 *1139:CLK *309:56 0 +380 *1141:D *366:DIODE 6.64392e-05 +381 *1141:D *309:212 4.21215e-05 +382 *1145:CLK *1136:RESET_B 2.65667e-05 +383 *1145:CLK *309:151 1.61631e-05 +384 *1145:CLK *309:498 0.000218204 +385 *1146:CLK *1146:SET_B 4.56004e-05 +386 *1153:CLK *1153:SET_B 1.43698e-05 +387 *1154:D *309:37 0 +388 *1158:D *383:DIODE 4.66876e-05 +389 *1158:D *309:623 5.00379e-05 +390 *1158:CLK *383:DIODE 2.99287e-05 +391 *1160:D *309:928 5.04829e-06 +392 *1160:CLK *1160:SET_B 0 +393 *1160:CLK *309:928 0.00011269 +394 *1162:D *309:366 5.31465e-05 +395 *1162:CLK *389:DIODE 0.000124073 +396 *1163:D *309:313 0.000164829 +397 *1163:CLK *309:313 3.99637e-05 +398 *1168:A *309:733 1.60442e-05 +399 *1168:A *309:739 0.000584715 +400 *1171:A *354:DIODE 0.000211546 +401 *1188:A *309:739 0.000363007 +402 *1198:A *309:154 0 +403 *1199:A *1162:SET_B 6.83043e-05 +404 *1199:A *309:448 0 +405 *4:11 *383:DIODE 1.28022e-05 +406 *7:15 *1160:SET_B 6.74667e-05 +407 *7:15 *309:800 0 +408 *7:15 *309:804 0 +409 *7:16 *309:313 3.44712e-06 +410 *7:16 *309:318 1.14753e-05 +411 *8:10 *385:DIODE 0.000171273 +412 *18:19 *309:630 0.000206696 +413 *21:7 *309:107 5.07314e-05 +414 *21:7 *309:119 2.65667e-05 +415 *49:16 *309:154 0.00049518 +416 *49:16 *309:498 2.21765e-05 +417 *50:9 *374:DIODE 0.000202269 +418 *50:9 *1130:RESET_B 1.73177e-05 +419 *50:9 *309:827 0.000310023 +420 *50:15 *374:DIODE 0.000231918 +421 *93:15 *309:623 1.55462e-05 +422 *138:9 *386:DIODE 0.000154914 +423 *145:14 *1151:SET_B 0 +424 *145:14 *309:7 2.65667e-05 +425 *145:14 *309:564 0 +426 *145:14 *309:580 6.23875e-05 +427 *145:14 *309:591 0.00016053 +428 *145:34 *309:623 0 +429 *146:21 *383:DIODE 1.38872e-05 +430 *146:21 *309:623 0.000380909 +431 *146:21 *309:630 9.60366e-05 +432 *146:36 *309:623 0.000143047 +433 *159:10 *309:591 0 +434 *161:17 *309:7 0.000155038 +435 *161:17 *309:580 4.66492e-05 +436 *161:18 *309:8 8.12683e-05 +437 *161:18 *309:10 3.20264e-05 +438 *161:20 *309:10 0.000144269 +439 *168:9 *1149:SET_B 5.70605e-05 +440 *172:15 *309:154 0 +441 *179:8 *309:154 0 +442 *192:14 *309:154 0 +443 *199:11 *1137:RESET_B 6.8575e-05 +444 *203:45 *360:DIODE 0.000244682 +445 *203:45 *1135:SET_B 0.000122269 +446 *203:45 *309:234 0 +447 *228:15 *1144:RESET_B 5.52256e-05 +448 *228:15 *309:65 0.000259098 +449 *229:15 *1154:RESET_B 0.000105347 +450 *229:17 *1154:RESET_B 3.25584e-05 +451 *229:17 *309:17 6.06823e-05 +452 *229:17 *309:25 7.90701e-05 +453 *229:17 *309:31 2.7837e-05 +454 *229:17 *309:531 7.09148e-05 +455 *231:42 *309:171 0.000321905 +456 *231:42 *309:175 0.000138758 +457 *231:42 *309:187 0.000202485 +458 *231:43 *1141:SET_B 8.76073e-05 +459 *231:43 *309:196 3.25394e-05 +460 *231:43 *309:301 2.04806e-05 +461 *231:48 *366:DIODE 1.28069e-05 +462 *231:48 *1141:SET_B 5.74817e-05 +463 *231:48 *309:212 5.27524e-05 +464 *231:48 *309:221 2.41483e-05 +465 *231:54 *309:221 7.48633e-05 +466 *231:64 *1136:RESET_B 0.000398474 +467 *231:91 *1134:RESET_B 6.92705e-05 +468 *231:91 *309:263 0.000116014 +469 *232:32 *309:313 9.48595e-05 +470 *232:81 *1161:RESET_B 8.45233e-05 +471 *233:68 *309:154 8.11463e-06 +472 *235:7 *309:384 6.3657e-05 +473 *235:15 *309:221 1.75625e-05 +474 *235:15 *309:234 5.82465e-05 +475 *235:28 *309:154 7.15574e-05 +476 *235:28 *309:212 0.000118166 +477 *241:5 *360:DIODE 8.20787e-05 +478 *241:5 *1135:SET_B 0.000110568 +479 *243:36 *309:135 0.000143803 +480 *243:36 *309:151 4.41404e-05 +481 *244:22 *370:DIODE 0 +482 *244:22 *309:135 6.86864e-05 +483 *246:7 *309:99 4.28856e-07 +484 *246:7 *309:107 7.16334e-06 +485 *246:17 *309:78 5.79399e-05 +486 *247:14 *1143:SET_B 4.55124e-05 +487 *247:14 *309:78 0 +488 *247:14 *309:80 0 +489 *248:15 *309:65 6.92991e-05 +490 *248:15 *309:77 1.88422e-05 +491 *253:5 *366:DIODE 5.85283e-05 +492 *253:5 *309:208 0.000111672 +493 *254:8 *309:234 8.62321e-06 +494 *254:49 *309:154 0 +495 *255:9 *348:DIODE 6.64392e-05 +496 *255:28 *385:DIODE 0 +497 *255:42 *1141:SET_B 0 +498 *255:42 *309:196 0 +499 *255:69 *309:360 4.58003e-05 +500 *255:69 *309:366 2.36813e-05 +501 *255:69 *309:384 0.000102011 +502 *260:13 *385:DIODE 0.000175485 +503 *261:11 *357:DIODE 6.64392e-05 +504 *261:11 *309:928 0 +505 *261:20 *1160:SET_B 0.000322514 +506 *261:20 *309:928 2.84115e-05 +507 *262:8 *309:448 8.36586e-06 +508 *262:8 *309:459 0.000111679 +509 *262:37 *1164:RESET_B 5.71849e-05 +510 *262:49 *389:DIODE 0.000165521 +511 *263:27 *352:DIODE 4.5332e-05 +512 *265:5 *356:DIODE 1.19705e-05 +513 *265:5 *1149:SET_B 3.79772e-05 +514 *266:15 *1150:RESET_B 4.24488e-05 +515 *267:19 *1151:SET_B 8.7133e-05 +516 *268:7 *1152:RESET_B 3.16749e-06 +517 *268:11 *309:591 0.000114659 +518 *269:56 *1161:RESET_B 0.000352761 +519 *274:8 *1156:RESET_B 0.000112361 +520 *274:8 *309:10 4.43331e-05 +521 *278:11 *1160:SET_B 8.75727e-05 +522 *279:27 *309:713 0.000100788 +523 *279:27 *309:719 0.00016195 +524 *279:27 *309:755 4.04827e-05 +525 *279:27 *309:763 5.81096e-06 +526 *279:27 *309:775 5.29412e-05 +527 *279:27 *309:781 2.23632e-05 +528 *280:8 *1161:RESET_B 0.00016386 +529 *281:49 *1131:SET_B 0.000110099 +530 *284:11 *357:DIODE 2.99747e-05 +531 *284:11 *1132:RESET_B 2.84892e-05 +532 *284:11 *1160:SET_B 0 +533 *284:11 *309:895 6.50586e-05 +534 *284:11 *309:899 0.000119695 +535 *305:54 *346:DIODE 6.36477e-05 +536 *305:54 *1124:RESET_B 0.000104821 +537 *305:70 *348:DIODE 1.44467e-05 +538 *305:130 *372:DIODE 0.000179164 +539 *305:130 *373:DIODE 0.000461725 +540 *305:145 *348:DIODE 0 +*RES +1 *1179:X *309:4 9.24915 +2 *309:4 *309:7 7.44181 +3 *309:7 *309:8 2.6625 +4 *309:8 *309:10 13.4591 +5 *309:10 *309:12 1.832 +6 *309:12 *309:17 10.9348 +7 *309:17 *1155:SET_B 0.494321 +8 *309:17 *309:25 4.05102 +9 *309:25 *380:DIODE 9.24915 +10 *309:25 *309:31 1.278 +11 *309:31 *309:37 17.2324 +12 *309:37 *1139:RESET_B 8.62047 +13 *1139:RESET_B *309:52 7.94085 +14 *309:52 *309:56 11.315 +15 *309:56 *1144:RESET_B 0.639 +16 *309:56 *309:65 12.191 +17 *309:65 *309:66 104.301 +18 *309:66 *309:68 9.24915 +19 *309:68 *369:DIODE 9.97254 +20 *309:68 *309:77 6.3326 +21 *309:77 *309:78 10.137 +22 *309:78 *309:80 2.24725 +23 *309:80 *368:DIODE 16.4116 +24 *309:80 *1143:SET_B 10.1545 +25 *309:78 *309:99 5.778 +26 *309:99 *1142:RESET_B 0.494321 +27 *309:99 *309:107 6.26943 +28 *309:107 *367:DIODE 9.24915 +29 *309:107 *309:119 18.3766 +30 *309:119 *371:DIODE 9.24915 +31 *309:119 *1146:SET_B 11.3211 +32 *1146:SET_B *309:135 13.5878 +33 *309:135 *1145:RESET_B 0.494321 +34 *1145:RESET_B *370:DIODE 26.4871 +35 *309:135 *309:151 4.60562 +36 *309:151 *309:154 19.6201 +37 *309:154 *309:158 10.8998 +38 *309:158 *358:DIODE 11.6364 +39 *309:158 *1133:SET_B 7.31836 +40 *1133:SET_B *309:171 10.0673 +41 *309:171 *309:175 4.07513 +42 *309:175 *348:DIODE 21.2198 +43 *309:175 *309:187 5.71483 +44 *309:187 *351:DIODE 9.24915 +45 *309:187 *309:193 0.723396 +46 *309:193 *309:195 4.5 +47 *309:195 *309:196 1.832 +48 *309:196 *1141:SET_B 11.315 +49 *1141:SET_B *309:208 8.34606 +50 *309:208 *309:212 9.13445 +51 *309:212 *362:DIODE 9.24915 +52 *309:212 *309:221 16.623 +53 *309:221 *1137:RESET_B 6.24821 +54 *309:221 *309:234 15.3698 +55 *309:234 *360:DIODE 19.4249 +56 *309:234 *1135:SET_B 4.95526 +57 *1135:SET_B *309:263 12.11 +58 *309:263 *359:DIODE 9.24915 +59 *309:263 *1134:RESET_B 5.65455 +60 *309:208 *366:DIODE 22.7002 +61 *309:196 *1123:RESET_B 4.5 +62 *309:195 *309:301 12.4565 +63 *309:301 *309:312 15.3788 +64 *309:312 *309:313 7.93324 +65 *309:313 *309:318 10.3802 +66 *309:318 *386:DIODE 22.7916 +67 *309:318 *1161:RESET_B 12.3749 +68 *309:313 *388:DIODE 9.24915 +69 *309:312 *1163:RESET_B 0.494321 +70 *309:301 *1118:RESET_B 0.494321 +71 *309:193 *309:352 9.04245 +72 *309:352 *1126:RESET_B 0.494321 +73 *309:352 *309:360 1.8326 +74 *309:360 *309:366 17.6532 +75 *309:366 *387:DIODE 9.97254 +76 *309:366 *389:DIODE 23.5748 +77 *309:360 *309:384 5.71483 +78 *309:384 *309:386 4.5 +79 *309:386 *1122:SET_B 6.332 +80 *1122:SET_B *309:393 0.904245 +81 *309:393 *309:400 10.8343 +82 *309:400 *1121:RESET_B 6.332 +83 *309:400 *346:DIODE 14.4725 +84 *309:393 *309:415 1.8326 +85 *309:415 *309:418 8.40826 +86 *309:418 *349:DIODE 20.184 +87 *309:418 *1124:RESET_B 5.6936 +88 *309:415 *309:436 2.94181 +89 *309:436 *347:DIODE 9.24915 +90 *309:436 *350:DIODE 10.5271 +91 *309:386 *309:448 5.15401 +92 *309:448 *1162:SET_B 6.24821 +93 *309:448 *309:459 6.74725 +94 *309:459 *352:DIODE 13.8789 +95 *309:459 *1127:RESET_B 1.74821 +96 *309:384 *1125:SET_B 2.88153 +97 *309:171 *343:DIODE 9.24915 +98 *309:154 *1148:SET_B 5.2328 +99 *309:151 *309:498 14.6366 +100 *309:498 *361:DIODE 9.24915 +101 *309:498 *1136:RESET_B 6.23326 +102 *309:77 *363:DIODE 17.9655 +103 *309:52 *1138:SET_B 4.5 +104 *309:37 *364:DIODE 9.24915 +105 *309:31 *309:531 2.94181 +106 *309:531 *379:DIODE 9.24915 +107 *309:531 *1154:RESET_B 6.20915 +108 *309:12 *381:DIODE 13.7491 +109 *309:10 *1156:RESET_B 7.35741 +110 *309:8 *1150:RESET_B 7.35741 +111 *309:7 *309:564 2.6625 +112 *309:564 *376:DIODE 13.7491 +113 *309:564 *1151:SET_B 9.74401 +114 *309:4 *309:580 1.8326 +115 *309:580 *375:DIODE 9.24915 +116 *309:580 *309:591 19.0355 +117 *309:591 *1152:RESET_B 3.43613 +118 *309:591 *309:600 2.94181 +119 *309:600 *377:DIODE 9.24915 +120 *309:600 *309:606 11.2609 +121 *309:606 *378:DIODE 9.24915 +122 *309:606 *1153:SET_B 10.0914 +123 *1153:SET_B *309:619 7.1625 +124 *309:619 *309:623 11.7003 +125 *309:623 *309:630 14.465 +126 *309:630 *309:631 2.6625 +127 *309:631 *309:633 1.41674 +128 *309:633 *309:635 13.4591 +129 *309:635 *309:637 1.832 +130 *309:637 *309:639 4.32351 +131 *309:639 *309:641 1.00149 +132 *309:641 *1147:RESET_B 9.05006 +133 *309:641 *372:DIODE 25.5646 +134 *309:639 *373:DIODE 18.9094 +135 *309:637 *365:DIODE 19.464 +136 *309:635 *1140:RESET_B 4.5 +137 *309:633 *1157:RESET_B 4.5 +138 *309:631 *382:DIODE 20.5732 +139 *309:630 *383:DIODE 21.7501 +140 *309:623 *1158:SET_B 4.5 +141 *309:619 *384:DIODE 13.7491 +142 *1153:SET_B *309:713 8.307 +143 *309:713 *334:DIODE 9.24915 +144 *309:713 *309:719 1.8326 +145 *309:719 *309:721 4.5 +146 *309:721 *1159:RESET_B 7.993 +147 *309:721 *309:733 10.8196 +148 *309:733 *1017:S 9.24915 +149 *309:733 *309:739 10.7063 +150 *309:739 *309:745 14.4622 +151 *309:745 *1120:RESET_B 2.30281 +152 *309:745 *309:755 10.1758 +153 *309:755 *1119:RESET_B 0.494321 +154 *309:755 *309:763 0.723396 +155 *309:763 *354:DIODE 23.1623 +156 *309:763 *309:775 4.05102 +157 *309:775 *342:DIODE 9.24915 +158 *309:775 *309:781 9.59705 +159 *309:781 *1117:SET_B 0.494321 +160 *309:781 *309:789 7.93324 +161 *309:789 *340:DIODE 9.24915 +162 *309:789 *309:795 1.278 +163 *309:795 *309:798 6.332 +164 *309:798 *309:800 1.832 +165 *309:800 *309:804 4.2258 +166 *309:804 *309:806 1.832 +167 *309:806 *309:812 5.64254 +168 *309:812 *309:814 3.90826 +169 *309:814 *309:816 3.07775 +170 *309:816 *1164:RESET_B 7.1625 +171 *309:816 *309:824 4.5 +172 *309:824 *309:827 4.07513 +173 *309:827 *374:DIODE 17.9299 +174 *309:827 *355:DIODE 9.24915 +175 *309:824 *1130:RESET_B 0.639 +176 *309:814 *309:849 4.5 +177 *309:849 *1149:SET_B 4.8347 +178 *309:849 *356:DIODE 9.97254 +179 *309:812 *1131:SET_B 5.6936 +180 *309:806 *1128:SET_B 4.5 +181 *309:804 *309:877 4.5 +182 *309:877 *357:DIODE 12.191 +183 *309:877 *1132:RESET_B 1.77232 +184 *309:800 *309:893 9.66022 +185 *309:893 *309:895 4.05102 +186 *309:895 *309:899 10.5271 +187 *309:899 *309:900 104.301 +188 *309:900 *1129:RESET_B 12.1066 +189 *309:895 *341:DIODE 9.24915 +190 *309:893 *1160:SET_B 16.2226 +191 *1160:SET_B *309:928 12.6805 +192 *309:928 *385:DIODE 19.49 +193 *309:928 *353:DIODE 13.7491 +194 *309:798 *1116:SET_B 4.5 +195 *309:795 *1115:SET_B 4.54534 +196 *309:739 *344:DIODE 9.24915 +197 *309:719 *345:DIODE 9.24915 +*END + +*D_NET *310 0.0143698 +*CONN +*I *996:A I *D sky130_fd_sc_hd__and2_1 +*I *953:A1 I *D sky130_fd_sc_hd__o21a_1 +*I *995:A I *D sky130_fd_sc_hd__nor2_1 +*I *1110:D I *D sky130_fd_sc_hd__dfxtp_1 +*I *1019:A I *D sky130_fd_sc_hd__nor2_1 +*I *1094:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1096:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1201:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *996:A 9.42282e-05 +2 *953:A1 0 +3 *995:A 0 +4 *1110:D 8.74425e-05 +5 *1019:A 0.000303686 +6 *1094:A1 0 +7 *1096:A1 0.000699255 +8 *1201:X 0 +9 *310:68 0.000217787 +10 *310:52 0.000244328 +11 *310:20 0.0026315 +12 *310:13 0.0025951 +13 *310:7 0.00115844 +14 *310:4 0.000765945 +15 *996:A *317:27 2.03156e-05 +16 *310:7 *1123:D 0.000359178 +17 *310:68 *1123:D 6.27718e-05 +18 *310:68 *317:27 4.78409e-05 +19 *372:DIODE *1096:A1 0.000561471 +20 *953:B1 *996:A 0.000171811 +21 *975:A *1110:D 4.61271e-05 +22 *987:B *1096:A1 6.66393e-05 +23 *995:B *1110:D 0.000240492 +24 *997:A1 *1110:D 0.000290081 +25 *1010:A *310:20 1.83992e-05 +26 *1010:B *310:20 6.46424e-05 +27 *1012:A *310:20 2.72075e-05 +28 *1012:C *1096:A1 0.000172744 +29 *1021:A *1096:A1 0.000396579 +30 *1021:B *1096:A1 2.42295e-05 +31 *1025:A1_N *310:20 0.000368872 +32 *1025:A2_N *310:20 3.18656e-05 +33 *1025:B2 *1019:A 0 +34 *1094:S *1096:A1 0.000110458 +35 *1094:S *310:20 1.02917e-05 +36 *1095:S *1096:A1 0.000122378 +37 *1105:S *1019:A 5.70364e-05 +38 *1111:CLK *1019:A 1.59362e-06 +39 *1121:CLK *996:A 1.22289e-05 +40 *1121:CLK *310:68 2.51424e-05 +41 *46:5 *1096:A1 0.000106215 +42 *174:11 *996:A 0.000122978 +43 *228:8 *1096:A1 5.66868e-06 +44 *228:13 *1096:A1 0.000106396 +45 *236:36 *1096:A1 9.99386e-06 +46 *238:9 *1096:A1 1.80887e-05 +47 *255:9 *310:7 6.69545e-05 +48 *255:9 *310:13 0.000464224 +49 *255:9 *310:20 0.000305735 +50 *255:42 *310:7 0.000155239 +51 *305:70 *1019:A 0 +52 *305:79 *310:20 0.000140201 +53 *305:130 *1096:A1 0.000427006 +54 *305:145 *1019:A 0.000286232 +55 *309:639 *310:20 3.64497e-05 +56 *309:641 *310:20 1.02917e-05 +*RES +1 *1201:X *310:4 9.24915 +2 *310:4 *310:7 11.285 +3 *310:7 *310:13 8.12615 +4 *310:13 *310:20 41.2253 +5 *310:20 *1096:A1 43.4732 +6 *310:20 *1094:A1 9.24915 +7 *310:13 *1019:A 26.3099 +8 *310:7 *310:52 12.0778 +9 *310:52 *1110:D 13.692 +10 *310:52 *995:A 9.24915 +11 *310:4 *310:68 7.47064 +12 *310:68 *953:A1 13.7491 +13 *310:68 *996:A 17.9749 +*END + +*D_NET *311 0.00197034 +*CONN +*I *1011:A I *D sky130_fd_sc_hd__clkinv_4 +*I *1198:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *1011:A 0.000648124 +2 *1198:X 0.000648124 +3 *954:A_N *1011:A 5.23577e-05 +4 *959:A2 *1011:A 1.75816e-05 +5 *1012:B *1011:A 3.6147e-05 +6 *1148:CLK *1011:A 0 +7 *172:15 *1011:A 4.13547e-05 +8 *179:11 *1011:A 0.00042448 +9 *309:154 *1011:A 5.2879e-05 +10 *309:158 *1011:A 4.92922e-05 +*RES +1 *1198:X *1011:A 41.4104 +*END + +*D_NET *312 0.00171564 +*CONN +*I *983:B1 I *D sky130_fd_sc_hd__o211ai_4 +*I *1189:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *983:B1 0.00059848 +2 *1189:X 0.00059848 +3 *965:A1 *983:B1 1.79807e-05 +4 *965:A2 *983:B1 3.1218e-05 +5 *1041:A *983:B1 0 +6 *1042:B *983:B1 0.00012568 +7 *1068:S *983:B1 0 +8 *1092:A0 *983:B1 0 +9 *1146:SET_B *983:B1 1.6203e-05 +10 *1146:CLK *983:B1 0 +11 *19:52 *983:B1 1.70077e-05 +12 *233:82 *983:B1 0 +13 *309:135 *983:B1 0.000310591 +*RES +1 *1189:X *983:B1 39.337 +*END + +*D_NET *313 0.00439529 +*CONN +*I *1191:A I *D sky130_fd_sc_hd__dlygate4sd1_1 +*I *1031:A I *D sky130_fd_sc_hd__nor3_1 +*I *1029:A I *D sky130_fd_sc_hd__xnor2_1 +*I *1032:A1 I *D sky130_fd_sc_hd__o21a_1 +*I *1190:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *1191:A 2.06324e-05 +2 *1031:A 0 +3 *1029:A 0.000616682 +4 *1032:A1 3.29888e-05 +5 *1190:X 0.00020204 +6 *313:24 0.000729503 +7 *313:22 0.000344644 +8 *313:8 0.000446218 +9 *368:DIODE *1029:A 0 +10 *973:A0 *1029:A 8.50796e-05 +11 *977:A2 *1191:A 0 +12 *977:B1 *313:22 7.73328e-05 +13 *983:C1 *313:22 3.31882e-05 +14 *1031:B *313:22 3.14978e-05 +15 *1031:B *313:24 0.000193707 +16 *1032:A2 *313:22 7.73661e-05 +17 *1033:A *313:22 4.88955e-05 +18 *1033:A *313:24 0.000417478 +19 *1081:A0 *1029:A 0.000117376 +20 *1081:A0 *313:24 9.90819e-05 +21 *1082:A0 *1029:A 2.84786e-05 +22 *1082:A0 *313:24 1.9503e-05 +23 *1197:A *1191:A 0 +24 *1197:A *313:22 0.000110306 +25 *187:12 *313:8 0.000143161 +26 *187:12 *313:22 8.52198e-05 +27 *228:15 *1032:A1 2.16355e-05 +28 *235:52 *313:22 5.99527e-05 +29 *246:17 *1029:A 4.87198e-05 +30 *247:26 *1029:A 8.52802e-05 +31 *247:33 *1029:A 0.000104731 +32 *305:130 *313:8 0.000114594 +33 *309:80 *1029:A 0 +*RES +1 *1190:X *313:8 18.9354 +2 *313:8 *1032:A1 14.4725 +3 *313:8 *313:22 11.9435 +4 *313:22 *313:24 6.26943 +5 *313:24 *1029:A 34.4036 +6 *313:24 *1031:A 9.24915 +7 *313:22 *1191:A 9.82786 +*END + +*D_NET *314 0.00185623 +*CONN +*I *990:S I *D sky130_fd_sc_hd__mux2_1 +*I *988:S I *D sky130_fd_sc_hd__mux2_1 +*I *1191:X O *D sky130_fd_sc_hd__dlygate4sd1_1 +*CAP +1 *990:S 4.26858e-05 +2 *988:S 0.00013199 +3 *1191:X 0.000308418 +4 *314:11 0.000483094 +5 *990:A0 *314:11 7.26748e-05 +6 *991:A *988:S 0.000117376 +7 *1032:A2 *314:11 6.92705e-05 +8 *1033:B *314:11 5.1674e-06 +9 *1082:A0 *314:11 6.5862e-05 +10 *1082:S *314:11 0.000124086 +11 *1095:A0 *314:11 1.22289e-05 +12 *1095:S *314:11 0.000126335 +13 *236:11 *988:S 0.000252885 +14 *236:11 *990:S 2.53191e-05 +15 *236:27 *990:S 1.88422e-05 +*RES +1 *1191:X *314:11 27.612 +2 *314:11 *988:S 13.8789 +3 *314:11 *990:S 10.5513 +*END + +*D_NET *315 0.00224455 +*CONN +*I *1193:A I *D sky130_fd_sc_hd__dlygate4sd1_1 +*I *1089:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1192:X O *D sky130_fd_sc_hd__dlygate4sd1_1 +*CAP +1 *1193:A 0 +2 *1089:A1 0.000585462 +3 *1192:X 0.000298496 +4 *315:8 0.000883957 +5 *958:A *1089:A1 3.67528e-06 +6 *958:A *315:8 1.67033e-05 +7 *1001:A *1089:A1 0 +8 *1091:S *1089:A1 6.92705e-05 +9 *1136:D *1089:A1 0 +10 *49:16 *1089:A1 0 +11 *178:7 *315:8 0.000160617 +12 *179:8 *315:8 2.99929e-05 +13 *192:14 *1089:A1 1.32772e-05 +14 *192:14 *315:8 5.04511e-06 +15 *233:46 *315:8 6.22868e-05 +16 *254:49 *315:8 0.000115772 +17 *309:154 *1089:A1 0 +*RES +1 *1192:X *315:8 19.9081 +2 *315:8 *1089:A1 26.279 +3 *315:8 *1193:A 13.7491 +*END + +*D_NET *316 0.00044819 +*CONN +*I *1090:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1193:X O *D sky130_fd_sc_hd__dlygate4sd1_1 +*CAP +1 *1090:A1 0.000193361 +2 *1193:X 0.000193361 +3 *254:49 *1090:A1 3.91558e-05 +4 *254:59 *1090:A1 2.23124e-05 +*RES +1 *1193:X *1090:A1 21.4401 +*END + +*D_NET *317 0.0151038 +*CONN +*I *1088:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1195:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *1093:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *1201:A I *D sky130_fd_sc_hd__buf_2 +*I *1199:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *1088:A1 8.38616e-05 +2 *1195:A 0.00104567 +3 *1093:A1 9.34923e-06 +4 *1201:A 2.42531e-05 +5 *1199:X 0.000579031 +6 *317:36 0.00345213 +7 *317:27 0.00369541 +8 *317:15 0.00181772 +9 *317:15 *1123:D 3.40176e-05 +10 *317:15 *325:11 1.4091e-06 +11 *953:B1 *317:27 0 +12 *961:A *317:36 8.2744e-05 +13 *963:B1_N *1195:A 0.000206696 +14 *964:A *317:36 2.26985e-05 +15 *965:B1_N *317:36 6.01588e-05 +16 *966:B *317:36 0 +17 *982:A *1088:A1 0 +18 *984:A1 *1088:A1 6.38502e-06 +19 *996:A *317:27 2.03156e-05 +20 *996:B *317:27 0.000122978 +21 *998:A2 *317:27 7.75963e-05 +22 *1005:A *1088:A1 0 +23 *1085:A1 *317:27 0 +24 *1086:A0 *317:27 4.65545e-06 +25 *1088:A0 *1088:A1 1.56949e-05 +26 *1093:A0 *317:36 2.47663e-05 +27 *1147:D *1195:A 0.000164243 +28 *1147:RESET_B *1195:A 7.37441e-06 +29 *1199:A *317:15 0.000667288 +30 *19:40 *1093:A1 6.50727e-05 +31 *48:43 *317:27 1.84952e-05 +32 *174:11 *317:27 8.72115e-06 +33 *176:16 *317:36 0.000129495 +34 *179:16 *1195:A 0 +35 *179:39 *1195:A 0 +36 *199:11 *317:27 0.000141935 +37 *203:25 *317:27 0.000551659 +38 *203:25 *317:36 0.000359172 +39 *228:13 *1195:A 1.11749e-05 +40 *228:15 *1195:A 4.15559e-05 +41 *228:59 *1195:A 2.91008e-06 +42 *234:33 *317:27 0.000272349 +43 *234:33 *317:36 0.000189305 +44 *234:45 *1093:A1 6.50727e-05 +45 *235:15 *317:15 0.000148144 +46 *244:33 *317:36 8.92568e-06 +47 *245:8 *1195:A 2.97579e-05 +48 *245:8 *317:36 9.98029e-06 +49 *254:25 *317:27 4.20211e-05 +50 *255:46 *1201:A 6.27718e-05 +51 *255:46 *317:15 0.000147759 +52 *255:54 *317:15 0.000112361 +53 *255:69 *317:15 3.07997e-05 +54 *255:69 *317:27 0 +55 *305:89 *317:36 0.000186148 +56 *309:366 *317:15 0.000193965 +57 *310:68 *317:27 4.78409e-05 +*RES +1 *1199:X *317:15 35.9956 +2 *317:15 *1201:A 9.97254 +3 *317:15 *317:27 26.0984 +4 *317:27 *317:36 13.5061 +5 *317:36 *1093:A1 14.4725 +6 *317:36 *1195:A 32.1817 +7 *317:27 *1088:A1 18.7423 +*END + +*D_NET *318 0.00671005 +*CONN +*I *980:A I *D sky130_fd_sc_hd__nand3_1 +*I *1194:X O *D sky130_fd_sc_hd__dlygate4sd1_1 +*CAP +1 *980:A 0.000242186 +2 *1194:X 0.00212282 +3 *318:10 0.002365 +4 *952:A *318:10 3.55422e-05 +5 *980:B *980:A 3.07159e-05 +6 *985:A *980:A 0.000130087 +7 *1024:A *318:10 0.000122393 +8 *1024:B *318:10 5.04829e-06 +9 *1025:B1 *980:A 0.000257021 +10 *1025:B1 *318:10 4.67453e-05 +11 *1025:B2 *318:10 8.03393e-06 +12 *1148:D *318:10 0.000198158 +13 *177:42 *318:10 4.59192e-05 +14 *305:130 *318:10 0.00110038 +*RES +1 *1194:X *318:10 49.6196 +2 *318:10 *980:A 21.0117 +*END + +*D_NET *319 0.000915517 +*CONN +*I *1127:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *1180:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *1127:D 0.00041101 +2 *1180:X 0.00041101 +3 *1127:D *324:13 2.42273e-05 +4 *401:DIODE *1127:D 6.92705e-05 +5 *1127:CLK *1127:D 0 +*RES +1 *1180:X *1127:D 37.6732 +*END + +*D_NET *320 0.00207118 +*CONN +*I *1128:D I *D sky130_fd_sc_hd__dfstp_1 +*I *1181:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *1128:D 0.000745426 +2 *1181:X 0.000745426 +3 *397:DIODE *1128:D 3.00829e-05 +4 *1027:A2_N *1128:D 0.000197019 +5 *1107:A0 *1128:D 5.33533e-05 +6 *1107:A1 *1128:D 0.000299874 +*RES +1 *1181:X *1128:D 39.4286 +*END + +*D_NET *321 0.00365431 +*CONN +*I *1129:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *1182:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *1129:D 0.00113563 +2 *1182:X 9.12304e-05 +3 *321:8 0.00122686 +4 *1129:D *1176:A 0.000202039 +5 *1115:D *1129:D 9.64434e-05 +6 *1116:D *1129:D 6.92705e-05 +7 *1129:RESET_B *1129:D 0.000117594 +8 *8:7 *321:8 6.53429e-05 +9 *146:66 *1129:D 3.82228e-05 +10 *284:11 *1129:D 0.000271959 +11 *309:893 *1129:D 0.000204993 +12 *309:895 *1129:D 0.000134732 +*RES +1 *1182:X *321:8 20.9116 +2 *321:8 *1129:D 42.6942 +*END + +*D_NET *322 0.00218221 +*CONN +*I *1121:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *1183:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *1121:D 0.000549744 +2 *1183:X 0.000549744 +3 *349:DIODE *1121:D 0.000261135 +4 *994:B *1121:D 0.000110458 +5 *1124:D *1121:D 8.27643e-05 +6 *234:17 *1121:D 4.86446e-05 +7 *305:12 *1121:D 0.00011935 +8 *305:38 *1121:D 4.76794e-05 +9 *305:40 *1121:D 0.000296185 +10 *305:54 *1121:D 0.000116505 +*RES +1 *1183:X *1121:D 44.8886 +*END + +*D_NET *323 0.00312967 +*CONN +*I *1122:D I *D sky130_fd_sc_hd__dfstp_1 +*I *1184:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *1122:D 0.00135846 +2 *1184:X 0.00135846 +3 *400:DIODE *1122:D 1.43698e-05 +4 *1121:CLK *1122:D 4.77834e-05 +5 *1124:RESET_B *1122:D 1.83257e-05 +6 *233:8 *1122:D 3.83868e-05 +7 *235:15 *1122:D 4.78554e-05 +8 *255:69 *1122:D 3.00174e-06 +9 *305:54 *1122:D 6.84695e-05 +10 *305:58 *1122:D 0.000109875 +11 *305:62 *1122:D 6.46815e-05 +*RES +1 *1184:X *1122:D 48.7709 +*END + +*D_NET *324 0.00513024 +*CONN +*I *1123:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *1185:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *1123:D 0.000658119 +2 *1185:X 0.000919356 +3 *324:13 0.00157747 +4 *324:13 *325:11 0.00038607 +5 *350:DIODE *324:13 0.000101412 +6 *401:DIODE *324:13 3.14978e-05 +7 *1062:A *324:13 1.7387e-05 +8 *1127:D *324:13 2.42273e-05 +9 *16:10 *324:13 0 +10 *255:42 *1123:D 6.24176e-05 +11 *255:46 *1123:D 0.00037204 +12 *255:54 *1123:D 4.19058e-05 +13 *305:8 *324:13 3.1563e-05 +14 *309:400 *1123:D 4.19199e-05 +15 *309:400 *324:13 1.50924e-05 +16 *309:415 *324:13 0.000146858 +17 *309:436 *324:13 0.000246937 +18 *310:7 *1123:D 0.000359178 +19 *310:68 *1123:D 6.27718e-05 +20 *317:15 *1123:D 3.40176e-05 +*RES +1 *1185:X *324:13 42.1977 +2 *324:13 *1123:D 24.2234 +*END + +*D_NET *325 0.00464391 +*CONN +*I *1118:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *1062:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *1118:D 0.000220289 +2 *1062:Y 0.00158494 +3 *325:11 0.00180523 +4 *387:DIODE *1118:D 2.57847e-05 +5 *1065:A *325:11 4.87301e-05 +6 *1065:B *325:11 2.78496e-05 +7 *1118:CLK *1118:D 7.61353e-05 +8 *1162:D *325:11 2.84758e-05 +9 *1162:SET_B *325:11 0.00015643 +10 *1164:D *325:11 2.9178e-05 +11 *1199:A *325:11 0.000119938 +12 *234:17 *325:11 0 +13 *262:8 *325:11 3.79836e-05 +14 *305:8 *325:11 2.99929e-05 +15 *309:366 *1118:D 4.89392e-05 +16 *309:366 *325:11 1.65347e-05 +17 *317:15 *325:11 1.4091e-06 +18 *324:13 *325:11 0.00038607 +*RES +1 *1062:Y *325:11 47.1519 +2 *325:11 *1118:D 15.5186 +*END + +*D_NET *326 0.000456603 +*CONN +*I *1063:B I *D sky130_fd_sc_hd__nor2_1 +*I *1115:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *1063:B 0.000228302 +2 *1115:Q 0.000228302 +*RES +1 *1115:Q *1063:B 31.7161 +*END + +*D_NET *327 0.000324168 +*CONN +*I *1176:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*I *1116:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *1176:A 2.29596e-05 +2 *1116:Q 2.29596e-05 +3 *1115:D *1176:A 7.621e-05 +4 *1129:D *1176:A 0.000202039 +*RES +1 *1116:Q *1176:A 20.6082 +*END + +*D_NET *328 0.00106762 +*CONN +*I *1175:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1 +*I *1117:Q O *D sky130_fd_sc_hd__dfstp_1 +*CAP +1 *1175:A 0.000175837 +2 *1117:Q 0.000175837 +3 *1016:A *1175:A 0.00031138 +4 *1116:D *1175:A 7.26748e-05 +5 *1187:A *1175:A 0.00031138 +6 *7:15 *1175:A 1.74019e-05 +7 *309:789 *1175:A 3.10645e-06 +*RES +1 *1117:Q *1175:A 34.1033 +*END + +*D_NET *329 0.00496634 +*CONN +*I *1119:D I *D sky130_fd_sc_hd__dfrtp_1 +*I *1106:S I *D sky130_fd_sc_hd__mux2_1 +*I *1118:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *1119:D 0.00045147 +2 *1106:S 8.86781e-05 +3 *1118:Q 0.000795322 +4 *329:8 0.00133547 +5 *901:A *1106:S 0.000205408 +6 *901:B *1119:D 0 +7 *902:A *329:8 0 +8 *902:B *329:8 7.01144e-05 +9 *908:B *1119:D 5.01524e-05 +10 *1019:B *329:8 4.04463e-05 +11 *1075:A0 *1106:S 0.000267394 +12 *1077:S *1119:D 0.000192902 +13 *1077:S *329:8 4.9e-05 +14 *1102:S *329:8 0.00021405 +15 *1111:CLK *329:8 0.000141716 +16 *1163:D *329:8 7.09685e-05 +17 *1168:A *1119:D 3.69148e-05 +18 *1188:A *1119:D 0 +19 *53:8 *329:8 0.0001261 +20 *55:14 *1106:S 0.00015901 +21 *142:8 *1119:D 8.62474e-06 +22 *142:8 *329:8 2.49682e-05 +23 *232:6 *329:8 0.000148017 +24 *232:8 *329:8 0.000350819 +25 *261:20 *1119:D 0 +26 *279:27 *1119:D 8.85179e-05 +27 *309:755 *1119:D 5.02713e-05 +*RES +1 *1118:Q *329:8 36.1029 +2 *329:8 *1106:S 18.9094 +3 *329:8 *1119:D 26.6886 +*END + +*D_NET *330 0.00235893 +*CONN +*I *1069:S I *D sky130_fd_sc_hd__mux2_1 +*I *1070:S I *D sky130_fd_sc_hd__mux2_1 +*I *1119:Q O *D sky130_fd_sc_hd__dfrtp_1 +*CAP +1 *1069:S 0.000173765 +2 *1070:S 0.000298521 +3 *1119:Q 7.36523e-05 +4 *330:7 0.000545939 +5 *908:B *1070:S 6.94804e-05 +6 *1069:A0 *1069:S 0.000322047 +7 *1069:A0 *1070:S 0.000536476 +8 *1129:CLK *1070:S 0 +9 *1186:A *1069:S 0.000185098 +10 *1188:A *1070:S 0 +11 *146:66 *1070:S 6.84074e-06 +12 *255:28 *1069:S 1.83321e-05 +13 *279:27 *330:7 0.000114584 +14 *288:21 *1069:S 1.41976e-05 +*RES +1 *1119:Q *330:7 15.0271 +2 *330:7 *1070:S 23.2273 +3 *330:7 *1069:S 20.819 +*END diff --git a/spef/chip_io/chip_io.nom.spef b/spef/chip_io/chip_io.nom.spef new file mode 100644 index 00000000..91537c14 --- /dev/null +++ b/spef/chip_io/chip_io.nom.spef @@ -0,0 +1,9721 @@ +*SPEF "ieee 1481-1999" +*DESIGN "chip_io" +*DATE "11:11:11 Fri 11 11, 1111" +*VENDOR "OpenRCX" +*PROGRAM "Parallel Extraction" +*VERSION "1.0" +*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE" +*DIVIDER / +*DELIMITER : +*BUS_DELIMITER [] +*T_UNIT 1 NS +*C_UNIT 1 PF +*R_UNIT 1 OHM +*L_UNIT 1 HENRY + +*NAME_MAP +*1 clock +*2 clock_core +*3 por +*4 flash_clk +*5 flash_clk_core +*6 flash_clk_oeb_core +*7 flash_csb +*8 flash_csb_core +*9 flash_csb_oeb_core +*10 flash_io0 +*11 flash_io0_di_core +*12 flash_io0_do_core +*13 flash_io0_ieb_core +*14 flash_io0_oeb_core +*15 flash_io1 +*16 flash_io1_di_core +*17 flash_io1_do_core +*18 flash_io1_ieb_core +*19 flash_io1_oeb_core +*20 gpio +*21 gpio_in_core +*22 gpio_inenb_core +*23 gpio_mode0_core +*24 gpio_mode1_core +*25 gpio_out_core +*26 gpio_outenb_core +*27 vccd_pad +*28 vdda_pad +*29 vddio_pad +*30 vddio_pad2 +*31 vssa_pad +*32 vssd_pad +*33 vssio_pad +*34 vssio_pad2 +*35 mprj_io[0] +*36 mprj_io_analog_en[0] +*37 mprj_io_analog_pol[0] +*38 mprj_io_analog_sel[0] +*39 mprj_io_dm[0] +*40 mprj_io_dm[1] +*41 mprj_io_dm[2] +*42 mprj_io_holdover[0] +*43 mprj_io_ib_mode_sel[0] +*44 mprj_io_inp_dis[0] +*45 mprj_io_oeb[0] +*46 mprj_io_out[0] +*47 mprj_io_slow_sel[0] +*48 mprj_io_vtrip_sel[0] +*49 mprj_io_in[0] +*50 mprj_analog_io[3] +*51 mprj_io[10] +*52 mprj_io_analog_en[10] +*53 mprj_io_analog_pol[10] +*54 mprj_io_analog_sel[10] +*55 mprj_io_dm[30] +*56 mprj_io_dm[31] +*57 mprj_io_dm[32] +*58 mprj_io_holdover[10] +*59 mprj_io_ib_mode_sel[10] +*60 mprj_io_inp_dis[10] +*61 mprj_io_oeb[10] +*62 mprj_io_out[10] +*63 mprj_io_slow_sel[10] +*64 mprj_io_vtrip_sel[10] +*65 mprj_io_in[10] +*66 mprj_analog_io[4] +*67 mprj_io[11] +*68 mprj_io_analog_en[11] +*69 mprj_io_analog_pol[11] +*70 mprj_io_analog_sel[11] +*71 mprj_io_dm[33] +*72 mprj_io_dm[34] +*73 mprj_io_dm[35] +*74 mprj_io_holdover[11] +*75 mprj_io_ib_mode_sel[11] +*76 mprj_io_inp_dis[11] +*77 mprj_io_oeb[11] +*78 mprj_io_out[11] +*79 mprj_io_slow_sel[11] +*80 mprj_io_vtrip_sel[11] +*81 mprj_io_in[11] +*82 mprj_analog_io[5] +*83 mprj_io[12] +*84 mprj_io_analog_en[12] +*85 mprj_io_analog_pol[12] +*86 mprj_io_analog_sel[12] +*87 mprj_io_dm[36] +*88 mprj_io_dm[37] +*89 mprj_io_dm[38] +*90 mprj_io_holdover[12] +*91 mprj_io_ib_mode_sel[12] +*92 mprj_io_inp_dis[12] +*93 mprj_io_oeb[12] +*94 mprj_io_out[12] +*95 mprj_io_slow_sel[12] +*96 mprj_io_vtrip_sel[12] +*97 mprj_io_in[12] +*98 mprj_analog_io[6] +*99 mprj_io[13] +*100 mprj_io_analog_en[13] +*101 mprj_io_analog_pol[13] +*102 mprj_io_analog_sel[13] +*103 mprj_io_dm[39] +*104 mprj_io_dm[40] +*105 mprj_io_dm[41] +*106 mprj_io_holdover[13] +*107 mprj_io_ib_mode_sel[13] +*108 mprj_io_inp_dis[13] +*109 mprj_io_oeb[13] +*110 mprj_io_out[13] +*111 mprj_io_slow_sel[13] +*112 mprj_io_vtrip_sel[13] +*113 mprj_io_in[13] +*114 mprj_analog_io[7] +*115 mprj_io[14] +*116 mprj_io_analog_en[14] +*117 mprj_io_analog_pol[14] +*118 mprj_io_analog_sel[14] +*119 mprj_io_dm[42] +*120 mprj_io_dm[43] +*121 mprj_io_dm[44] +*122 mprj_io_holdover[14] +*123 mprj_io_ib_mode_sel[14] +*124 mprj_io_inp_dis[14] +*125 mprj_io_oeb[14] +*126 mprj_io_out[14] +*127 mprj_io_slow_sel[14] +*128 mprj_io_vtrip_sel[14] +*129 mprj_io_in[14] +*130 mprj_analog_io[8] +*131 mprj_io[15] +*132 mprj_io_analog_en[15] +*133 mprj_io_analog_pol[15] +*134 mprj_io_analog_sel[15] +*135 mprj_io_dm[45] +*136 mprj_io_dm[46] +*137 mprj_io_dm[47] +*138 mprj_io_holdover[15] +*139 mprj_io_ib_mode_sel[15] +*140 mprj_io_inp_dis[15] +*141 mprj_io_oeb[15] +*142 mprj_io_out[15] +*143 mprj_io_slow_sel[15] +*144 mprj_io_vtrip_sel[15] +*145 mprj_io_in[15] +*146 mprj_analog_io[9] +*147 mprj_io[16] +*148 mprj_io_analog_en[16] +*149 mprj_io_analog_pol[16] +*150 mprj_io_analog_sel[16] +*151 mprj_io_dm[48] +*152 mprj_io_dm[49] +*153 mprj_io_dm[50] +*154 mprj_io_holdover[16] +*155 mprj_io_ib_mode_sel[16] +*156 mprj_io_inp_dis[16] +*157 mprj_io_oeb[16] +*158 mprj_io_out[16] +*159 mprj_io_slow_sel[16] +*160 mprj_io_vtrip_sel[16] +*161 mprj_io_in[16] +*162 mprj_analog_io[10] +*163 mprj_io[17] +*164 mprj_io_analog_en[17] +*165 mprj_io_analog_pol[17] +*166 mprj_io_analog_sel[17] +*167 mprj_io_dm[51] +*168 mprj_io_dm[52] +*169 mprj_io_dm[53] +*170 mprj_io_holdover[17] +*171 mprj_io_ib_mode_sel[17] +*172 mprj_io_inp_dis[17] +*173 mprj_io_oeb[17] +*174 mprj_io_out[17] +*175 mprj_io_slow_sel[17] +*176 mprj_io_vtrip_sel[17] +*177 mprj_io_in[17] +*178 mprj_analog_io[11] +*179 mprj_io[18] +*180 mprj_io_analog_en[18] +*181 mprj_io_analog_pol[18] +*182 mprj_io_analog_sel[18] +*183 mprj_io_dm[54] +*184 mprj_io_dm[55] +*185 mprj_io_dm[56] +*186 mprj_io_holdover[18] +*187 mprj_io_ib_mode_sel[18] +*188 mprj_io_inp_dis[18] +*189 mprj_io_oeb[18] +*190 mprj_io_out[18] +*191 mprj_io_slow_sel[18] +*192 mprj_io_vtrip_sel[18] +*193 mprj_io_in[18] +*194 mprj_io[1] +*195 mprj_io_analog_en[1] +*196 mprj_io_analog_pol[1] +*197 mprj_io_analog_sel[1] +*198 mprj_io_dm[3] +*199 mprj_io_dm[4] +*200 mprj_io_dm[5] +*201 mprj_io_holdover[1] +*202 mprj_io_ib_mode_sel[1] +*203 mprj_io_inp_dis[1] +*204 mprj_io_oeb[1] +*205 mprj_io_out[1] +*206 mprj_io_slow_sel[1] +*207 mprj_io_vtrip_sel[1] +*208 mprj_io_in[1] +*209 mprj_io[2] +*210 mprj_io_analog_en[2] +*211 mprj_io_analog_pol[2] +*212 mprj_io_analog_sel[2] +*213 mprj_io_dm[6] +*214 mprj_io_dm[7] +*215 mprj_io_dm[8] +*216 mprj_io_holdover[2] +*217 mprj_io_ib_mode_sel[2] +*218 mprj_io_inp_dis[2] +*219 mprj_io_oeb[2] +*220 mprj_io_out[2] +*221 mprj_io_slow_sel[2] +*222 mprj_io_vtrip_sel[2] +*223 mprj_io_in[2] +*224 mprj_io[3] +*225 mprj_io_analog_en[3] +*226 mprj_io_analog_pol[3] +*227 mprj_io_analog_sel[3] +*228 mprj_io_dm[10] +*229 mprj_io_dm[11] +*230 mprj_io_dm[9] +*231 mprj_io_holdover[3] +*232 mprj_io_ib_mode_sel[3] +*233 mprj_io_inp_dis[3] +*234 mprj_io_oeb[3] +*235 mprj_io_out[3] +*236 mprj_io_slow_sel[3] +*237 mprj_io_vtrip_sel[3] +*238 mprj_io_in[3] +*239 mprj_io[4] +*240 mprj_io_analog_en[4] +*241 mprj_io_analog_pol[4] +*242 mprj_io_analog_sel[4] +*243 mprj_io_dm[12] +*244 mprj_io_dm[13] +*245 mprj_io_dm[14] +*246 mprj_io_holdover[4] +*247 mprj_io_ib_mode_sel[4] +*248 mprj_io_inp_dis[4] +*249 mprj_io_oeb[4] +*250 mprj_io_out[4] +*251 mprj_io_slow_sel[4] +*252 mprj_io_vtrip_sel[4] +*253 mprj_io_in[4] +*254 mprj_io[5] +*255 mprj_io_analog_en[5] +*256 mprj_io_analog_pol[5] +*257 mprj_io_analog_sel[5] +*258 mprj_io_dm[15] +*259 mprj_io_dm[16] +*260 mprj_io_dm[17] +*261 mprj_io_holdover[5] +*262 mprj_io_ib_mode_sel[5] +*263 mprj_io_inp_dis[5] +*264 mprj_io_oeb[5] +*265 mprj_io_out[5] +*266 mprj_io_slow_sel[5] +*267 mprj_io_vtrip_sel[5] +*268 mprj_io_in[5] +*269 mprj_io[6] +*270 mprj_io_analog_en[6] +*271 mprj_io_analog_pol[6] +*272 mprj_io_analog_sel[6] +*273 mprj_io_dm[18] +*274 mprj_io_dm[19] +*275 mprj_io_dm[20] +*276 mprj_io_holdover[6] +*277 mprj_io_ib_mode_sel[6] +*278 mprj_io_inp_dis[6] +*279 mprj_io_oeb[6] +*280 mprj_io_out[6] +*281 mprj_io_slow_sel[6] +*282 mprj_io_vtrip_sel[6] +*283 mprj_io_in[6] +*284 mprj_analog_io[0] +*285 mprj_io[7] +*286 mprj_io_analog_en[7] +*287 mprj_io_analog_pol[7] +*288 mprj_io_analog_sel[7] +*289 mprj_io_dm[21] +*290 mprj_io_dm[22] +*291 mprj_io_dm[23] +*292 mprj_io_holdover[7] +*293 mprj_io_ib_mode_sel[7] +*294 mprj_io_inp_dis[7] +*295 mprj_io_oeb[7] +*296 mprj_io_out[7] +*297 mprj_io_slow_sel[7] +*298 mprj_io_vtrip_sel[7] +*299 mprj_io_in[7] +*300 mprj_analog_io[1] +*301 mprj_io[8] +*302 mprj_io_analog_en[8] +*303 mprj_io_analog_pol[8] +*304 mprj_io_analog_sel[8] +*305 mprj_io_dm[24] +*306 mprj_io_dm[25] +*307 mprj_io_dm[26] +*308 mprj_io_holdover[8] +*309 mprj_io_ib_mode_sel[8] +*310 mprj_io_inp_dis[8] +*311 mprj_io_oeb[8] +*312 mprj_io_out[8] +*313 mprj_io_slow_sel[8] +*314 mprj_io_vtrip_sel[8] +*315 mprj_io_in[8] +*316 mprj_analog_io[2] +*317 mprj_io[9] +*318 mprj_io_analog_en[9] +*319 mprj_io_analog_pol[9] +*320 mprj_io_analog_sel[9] +*321 mprj_io_dm[27] +*322 mprj_io_dm[28] +*323 mprj_io_dm[29] +*324 mprj_io_holdover[9] +*325 mprj_io_ib_mode_sel[9] +*326 mprj_io_inp_dis[9] +*327 mprj_io_oeb[9] +*328 mprj_io_out[9] +*329 mprj_io_slow_sel[9] +*330 mprj_io_vtrip_sel[9] +*331 mprj_io_in[9] +*332 mprj_analog_io[12] +*333 mprj_io[19] +*334 mprj_io_analog_en[19] +*335 mprj_io_analog_pol[19] +*336 mprj_io_analog_sel[19] +*337 mprj_io_dm[57] +*338 mprj_io_dm[58] +*339 mprj_io_dm[59] +*340 mprj_io_holdover[19] +*341 mprj_io_ib_mode_sel[19] +*342 mprj_io_inp_dis[19] +*343 mprj_io_oeb[19] +*344 mprj_io_out[19] +*345 mprj_io_slow_sel[19] +*346 mprj_io_vtrip_sel[19] +*347 mprj_io_in[19] +*348 mprj_analog_io[22] +*349 mprj_io[29] +*350 mprj_io_analog_en[29] +*351 mprj_io_analog_pol[29] +*352 mprj_io_analog_sel[29] +*353 mprj_io_dm[87] +*354 mprj_io_dm[88] +*355 mprj_io_dm[89] +*356 mprj_io_holdover[29] +*357 mprj_io_ib_mode_sel[29] +*358 mprj_io_inp_dis[29] +*359 mprj_io_oeb[29] +*360 mprj_io_out[29] +*361 mprj_io_slow_sel[29] +*362 mprj_io_vtrip_sel[29] +*363 mprj_io_in[29] +*364 mprj_analog_io[23] +*365 mprj_io[30] +*366 mprj_io_analog_en[30] +*367 mprj_io_analog_pol[30] +*368 mprj_io_analog_sel[30] +*369 mprj_io_dm[90] +*370 mprj_io_dm[91] +*371 mprj_io_dm[92] +*372 mprj_io_holdover[30] +*373 mprj_io_ib_mode_sel[30] +*374 mprj_io_inp_dis[30] +*375 mprj_io_oeb[30] +*376 mprj_io_out[30] +*377 mprj_io_slow_sel[30] +*378 mprj_io_vtrip_sel[30] +*379 mprj_io_in[30] +*380 mprj_analog_io[24] +*381 mprj_io[31] +*382 mprj_io_analog_en[31] +*383 mprj_io_analog_pol[31] +*384 mprj_io_analog_sel[31] +*385 mprj_io_dm[93] +*386 mprj_io_dm[94] +*387 mprj_io_dm[95] +*388 mprj_io_holdover[31] +*389 mprj_io_ib_mode_sel[31] +*390 mprj_io_inp_dis[31] +*391 mprj_io_oeb[31] +*392 mprj_io_out[31] +*393 mprj_io_slow_sel[31] +*394 mprj_io_vtrip_sel[31] +*395 mprj_io_in[31] +*396 mprj_analog_io[25] +*397 mprj_io[32] +*398 mprj_io_analog_en[32] +*399 mprj_io_analog_pol[32] +*400 mprj_io_analog_sel[32] +*401 mprj_io_dm[96] +*402 mprj_io_dm[97] +*403 mprj_io_dm[98] +*404 mprj_io_holdover[32] +*405 mprj_io_ib_mode_sel[32] +*406 mprj_io_inp_dis[32] +*407 mprj_io_oeb[32] +*408 mprj_io_out[32] +*409 mprj_io_slow_sel[32] +*410 mprj_io_vtrip_sel[32] +*411 mprj_io_in[32] +*412 mprj_analog_io[26] +*413 mprj_io[33] +*414 mprj_io_analog_en[33] +*415 mprj_io_analog_pol[33] +*416 mprj_io_analog_sel[33] +*417 mprj_io_dm[100] +*418 mprj_io_dm[101] +*419 mprj_io_dm[99] +*420 mprj_io_holdover[33] +*421 mprj_io_ib_mode_sel[33] +*422 mprj_io_inp_dis[33] +*423 mprj_io_oeb[33] +*424 mprj_io_out[33] +*425 mprj_io_slow_sel[33] +*426 mprj_io_vtrip_sel[33] +*427 mprj_io_in[33] +*428 mprj_analog_io[27] +*429 mprj_io[34] +*430 mprj_io_analog_en[34] +*431 mprj_io_analog_pol[34] +*432 mprj_io_analog_sel[34] +*433 mprj_io_dm[102] +*434 mprj_io_dm[103] +*435 mprj_io_dm[104] +*436 mprj_io_holdover[34] +*437 mprj_io_ib_mode_sel[34] +*438 mprj_io_inp_dis[34] +*439 mprj_io_oeb[34] +*440 mprj_io_out[34] +*441 mprj_io_slow_sel[34] +*442 mprj_io_vtrip_sel[34] +*443 mprj_io_in[34] +*444 mprj_analog_io[28] +*445 mprj_io[35] +*446 mprj_io_analog_en[35] +*447 mprj_io_analog_pol[35] +*448 mprj_io_analog_sel[35] +*449 mprj_io_dm[105] +*450 mprj_io_dm[106] +*451 mprj_io_dm[107] +*452 mprj_io_holdover[35] +*453 mprj_io_ib_mode_sel[35] +*454 mprj_io_inp_dis[35] +*455 mprj_io_oeb[35] +*456 mprj_io_out[35] +*457 mprj_io_slow_sel[35] +*458 mprj_io_vtrip_sel[35] +*459 mprj_io_in[35] +*460 mprj_io[36] +*461 mprj_io_analog_en[36] +*462 mprj_io_analog_pol[36] +*463 mprj_io_analog_sel[36] +*464 mprj_io_dm[108] +*465 mprj_io_dm[109] +*466 mprj_io_dm[110] +*467 mprj_io_holdover[36] +*468 mprj_io_ib_mode_sel[36] +*469 mprj_io_inp_dis[36] +*470 mprj_io_oeb[36] +*471 mprj_io_out[36] +*472 mprj_io_slow_sel[36] +*473 mprj_io_vtrip_sel[36] +*474 mprj_io_in[36] +*475 mprj_io[37] +*476 mprj_io_analog_en[37] +*477 mprj_io_analog_pol[37] +*478 mprj_io_analog_sel[37] +*479 mprj_io_dm[111] +*480 mprj_io_dm[112] +*481 mprj_io_dm[113] +*482 mprj_io_holdover[37] +*483 mprj_io_ib_mode_sel[37] +*484 mprj_io_inp_dis[37] +*485 mprj_io_oeb[37] +*486 mprj_io_out[37] +*487 mprj_io_slow_sel[37] +*488 mprj_io_vtrip_sel[37] +*489 mprj_io_in[37] +*490 mprj_analog_io[13] +*491 mprj_io[20] +*492 mprj_io_analog_en[20] +*493 mprj_io_analog_pol[20] +*494 mprj_io_analog_sel[20] +*495 mprj_io_dm[60] +*496 mprj_io_dm[61] +*497 mprj_io_dm[62] +*498 mprj_io_holdover[20] +*499 mprj_io_ib_mode_sel[20] +*500 mprj_io_inp_dis[20] +*501 mprj_io_oeb[20] +*502 mprj_io_out[20] +*503 mprj_io_slow_sel[20] +*504 mprj_io_vtrip_sel[20] +*505 mprj_io_in[20] +*506 mprj_analog_io[14] +*507 mprj_io[21] +*508 mprj_io_analog_en[21] +*509 mprj_io_analog_pol[21] +*510 mprj_io_analog_sel[21] +*511 mprj_io_dm[63] +*512 mprj_io_dm[64] +*513 mprj_io_dm[65] +*514 mprj_io_holdover[21] +*515 mprj_io_ib_mode_sel[21] +*516 mprj_io_inp_dis[21] +*517 mprj_io_oeb[21] +*518 mprj_io_out[21] +*519 mprj_io_slow_sel[21] +*520 mprj_io_vtrip_sel[21] +*521 mprj_io_in[21] +*522 mprj_analog_io[15] +*523 mprj_io[22] +*524 mprj_io_analog_en[22] +*525 mprj_io_analog_pol[22] +*526 mprj_io_analog_sel[22] +*527 mprj_io_dm[66] +*528 mprj_io_dm[67] +*529 mprj_io_dm[68] +*530 mprj_io_holdover[22] +*531 mprj_io_ib_mode_sel[22] +*532 mprj_io_inp_dis[22] +*533 mprj_io_oeb[22] +*534 mprj_io_out[22] +*535 mprj_io_slow_sel[22] +*536 mprj_io_vtrip_sel[22] +*537 mprj_io_in[22] +*538 mprj_analog_io[16] +*539 mprj_io[23] +*540 mprj_io_analog_en[23] +*541 mprj_io_analog_pol[23] +*542 mprj_io_analog_sel[23] +*543 mprj_io_dm[69] +*544 mprj_io_dm[70] +*545 mprj_io_dm[71] +*546 mprj_io_holdover[23] +*547 mprj_io_ib_mode_sel[23] +*548 mprj_io_inp_dis[23] +*549 mprj_io_oeb[23] +*550 mprj_io_out[23] +*551 mprj_io_slow_sel[23] +*552 mprj_io_vtrip_sel[23] +*553 mprj_io_in[23] +*554 mprj_analog_io[17] +*555 mprj_io[24] +*556 mprj_io_analog_en[24] +*557 mprj_io_analog_pol[24] +*558 mprj_io_analog_sel[24] +*559 mprj_io_dm[72] +*560 mprj_io_dm[73] +*561 mprj_io_dm[74] +*562 mprj_io_holdover[24] +*563 mprj_io_ib_mode_sel[24] +*564 mprj_io_inp_dis[24] +*565 mprj_io_oeb[24] +*566 mprj_io_out[24] +*567 mprj_io_slow_sel[24] +*568 mprj_io_vtrip_sel[24] +*569 mprj_io_in[24] +*570 mprj_analog_io[18] +*571 mprj_io[25] +*572 mprj_io_analog_en[25] +*573 mprj_io_analog_pol[25] +*574 mprj_io_analog_sel[25] +*575 mprj_io_dm[75] +*576 mprj_io_dm[76] +*577 mprj_io_dm[77] +*578 mprj_io_holdover[25] +*579 mprj_io_ib_mode_sel[25] +*580 mprj_io_inp_dis[25] +*581 mprj_io_oeb[25] +*582 mprj_io_out[25] +*583 mprj_io_slow_sel[25] +*584 mprj_io_vtrip_sel[25] +*585 mprj_io_in[25] +*586 mprj_analog_io[19] +*587 mprj_io[26] +*588 mprj_io_analog_en[26] +*589 mprj_io_analog_pol[26] +*590 mprj_io_analog_sel[26] +*591 mprj_io_dm[78] +*592 mprj_io_dm[79] +*593 mprj_io_dm[80] +*594 mprj_io_holdover[26] +*595 mprj_io_ib_mode_sel[26] +*596 mprj_io_inp_dis[26] +*597 mprj_io_oeb[26] +*598 mprj_io_out[26] +*599 mprj_io_slow_sel[26] +*600 mprj_io_vtrip_sel[26] +*601 mprj_io_in[26] +*602 mprj_analog_io[20] +*603 mprj_io[27] +*604 mprj_io_analog_en[27] +*605 mprj_io_analog_pol[27] +*606 mprj_io_analog_sel[27] +*607 mprj_io_dm[81] +*608 mprj_io_dm[82] +*609 mprj_io_dm[83] +*610 mprj_io_holdover[27] +*611 mprj_io_ib_mode_sel[27] +*612 mprj_io_inp_dis[27] +*613 mprj_io_oeb[27] +*614 mprj_io_out[27] +*615 mprj_io_slow_sel[27] +*616 mprj_io_vtrip_sel[27] +*617 mprj_io_in[27] +*618 mprj_analog_io[21] +*619 mprj_io[28] +*620 mprj_io_analog_en[28] +*621 mprj_io_analog_pol[28] +*622 mprj_io_analog_sel[28] +*623 mprj_io_dm[84] +*624 mprj_io_dm[85] +*625 mprj_io_dm[86] +*626 mprj_io_holdover[28] +*627 mprj_io_ib_mode_sel[28] +*628 mprj_io_inp_dis[28] +*629 mprj_io_oeb[28] +*630 mprj_io_out[28] +*631 mprj_io_slow_sel[28] +*632 mprj_io_vtrip_sel[28] +*633 mprj_io_in[28] +*634 resetb +*635 vdda +*636 vssa +*637 vccd1_pad +*638 vdda1_pad +*639 vdda1_pad2 +*640 vssa1_pad +*641 vssa1_pad2 +*642 vdda1 +*643 vssa1 +*644 vssd1_pad +*645 vccd2_pad +*646 vdda2_pad +*647 vssa2_pad +*648 vccd +*649 vdda2 +*650 vddio +*651 vssa2 +*652 vssd2_pad +*653 vssio +*654 vssd +*655 resetb_core_h +*656 vssd2 +*657 vccd2 +*658 vssd1 +*659 vccd1 +*660 flash_clk_ieb_core +*661 flash_csb_ieb_core +*662 mgmt_corner\[0\] +*663 FILLER_170 +*664 FILLER_374 +*665 FILLER_171 +*666 FILLER_172 +*667 FILLER_174 +*668 FILLER_173 +*669 bus_tie_3 +*670 bus_tie_2 +*671 bus_tie_1 +*672 FILLER_181 +*673 bus_tie_6 +*674 bus_tie_5 +*675 bus_tie_4 +*676 FILLER_182 +*677 FILLER_183 +*678 FILLER_185 +*679 FILLER_184 +*680 mgmt_vssa_hvclamp_pad +*681 FILLER_187 +*682 FILLER_188 +*683 FILLER_189 +*684 FILLER_191 +*685 FILLER_190 +*686 bus_tie_7 +*687 bus_tie_8 +*688 bus_tie_9 +*689 bus_tie_10 +*690 bus_tie_11 +*691 bus_tie_12 +*692 FILLER_198 +*693 FILLER_199 +*694 FILLER_200 +*695 FILLER_202 +*696 FILLER_201 +*697 resetb_pad +*698 FILLER_204 +*699 FILLER_205 +*700 FILLER_206 +*701 FILLER_208 +*702 FILLER_207 +*703 bus_tie_13 +*704 bus_tie_16 +*705 bus_tie_15 +*706 bus_tie_14 +*707 FILLER_215 +*708 FILLER_216 +*709 bus_tie_18 +*710 bus_tie_17 +*711 FILLER_217 +*712 FILLER_219 +*713 FILLER_218 +*714 clock_pad +*715 FILLER_221 +*716 FILLER_222 +*717 FILLER_223 +*718 FILLER_225 +*719 FILLER_224 +*720 bus_tie_19 +*721 bus_tie_23 +*722 bus_tie_22 +*723 bus_tie_21 +*724 bus_tie_20 +*725 FILLER_232 +*726 FILLER_233 +*727 FILLER_234 +*728 FILLER_236 +*729 FILLER_235 +*730 bus_tie_24 +*731 mgmt_vssd_lvclamp_pad +*732 FILLER_238 +*733 FILLER_239 +*734 FILLER_240 +*735 FILLER_242 +*736 FILLER_241 +*737 bus_tie_26 +*738 bus_tie_25 +*739 bus_tie_30 +*740 bus_tie_29 +*741 bus_tie_28 +*742 bus_tie_27 +*743 FILLER_249 +*744 FILLER_250 +*745 FILLER_251 +*746 FILLER_253 +*747 FILLER_252 +*748 flash_csb_pad +*749 FILLER_255 +*750 FILLER_256 +*751 FILLER_257 +*752 FILLER_259 +*753 FILLER_258 +*754 bus_tie_31 +*755 bus_tie_32 +*756 bus_tie_33 +*757 bus_tie_34 +*758 bus_tie_35 +*759 FILLER_266 +*760 FILLER_267 +*761 FILLER_268 +*762 FILLER_270 +*763 FILLER_269 +*764 bus_tie_36 +*765 flash_clk_pad +*766 FILLER_272 +*767 FILLER_273 +*768 FILLER_274 +*769 FILLER_276 +*770 FILLER_275 +*771 bus_tie_38 +*772 bus_tie_37 +*773 bus_tie_42 +*774 bus_tie_41 +*775 bus_tie_40 +*776 bus_tie_39 +*777 FILLER_283 +*778 FILLER_284 +*779 FILLER_285 +*780 FILLER_287 +*781 FILLER_286 +*782 flash_io0_pad +*783 FILLER_289 +*784 FILLER_290 +*785 FILLER_291 +*786 FILLER_293 +*787 FILLER_292 +*788 bus_tie_45 +*789 bus_tie_44 +*790 bus_tie_43 +*791 FILLER_300 +*792 bus_tie_48 +*793 bus_tie_47 +*794 bus_tie_46 +*795 FILLER_301 +*796 FILLER_302 +*797 FILLER_304 +*798 FILLER_303 +*799 flash_io1_pad +*800 FILLER_306 +*801 FILLER_307 +*802 FILLER_308 +*803 FILLER_310 +*804 FILLER_309 +*805 bus_tie_49 +*806 bus_tie_50 +*807 bus_tie_51 +*808 bus_tie_52 +*809 bus_tie_53 +*810 bus_tie_54 +*811 FILLER_317 +*812 FILLER_318 +*813 FILLER_319 +*814 FILLER_321 +*815 FILLER_320 +*816 gpio_pad +*817 FILLER_323 +*818 FILLER_324 +*819 FILLER_325 +*820 FILLER_327 +*821 FILLER_326 +*822 bus_tie_55 +*823 bus_tie_57 +*824 bus_tie_56 +*825 FILLER_334 +*826 bus_tie_60 +*827 bus_tie_59 +*828 bus_tie_58 +*829 FILLER_335 +*830 FILLER_336 +*831 FILLER_338 +*832 FILLER_337 +*833 mgmt_vssio_hvclamp_pad\[0\] +*834 FILLER_340 +*835 FILLER_341 +*836 FILLER_342 +*837 FILLER_344 +*838 FILLER_343 +*839 bus_tie_64 +*840 bus_tie_63 +*841 bus_tie_62 +*842 bus_tie_61 +*843 FILLER_351 +*844 FILLER_352 +*845 bus_tie_66 +*846 bus_tie_65 +*847 FILLER_353 +*848 FILLER_355 +*849 FILLER_354 +*850 mgmt_vdda_hvclamp_pad +*851 FILLER_357 +*852 FILLER_358 +*853 FILLER_359 +*854 FILLER_360 +*855 FILLER_361 +*856 bus_tie_67 +*857 bus_tie_68 +*858 bus_tie_69 +*859 bus_tie_70 +*860 bus_tie_71 +*861 bus_tie_72 +*862 FILLER_368 +*863 FILLER_369 +*864 mgmt_corner\[1\] +*865 FILLER_605 +*866 FILLER_370 +*867 FILLER_371 +*868 FILLER_372 +*869 FILLER_373 +*870 FILLER_378 +*871 FILLER_377 +*872 FILLER_376 +*873 FILLER_375 +*874 FILLER_379 +*875 FILLER_380 +*876 FILLER_381 +*877 FILLER_382 +*878 mgmt_vccd_lvclamp_pad +*879 FILLER_384 +*880 FILLER_606 +*881 FILLER_607 +*882 FILLER_608 +*883 FILLER_609 +*884 FILLER_610 +*885 FILLER_611 +*886 FILLER_612 +*887 FILLER_SB1 +*888 disconnect_vdda_1 +*889 FILLER_615 +*890 FILLER_616 +*891 FILLER_617 +*892 FILLER_618 +*893 FILLER_388 +*894 FILLER_387 +*895 FILLER_386 +*896 FILLER_385 +*897 FILLER_389 +*898 FILLER_390 +*899 FILLER_391 +*900 FILLER_392 +*901 mgmt_vddio_hvclamp_pad\[0\] +*902 FILLER_394 +*903 FILLER_619 +*904 FILLER_620 +*905 FILLER_621 +*906 FILLER_624 +*907 FILLER_625 +*908 FILLER_626 +*909 FILLER_622 +*910 mprj_pads\.area1_io_pad\[0\] +*911 FILLER_395 +*912 FILLER_396 +*913 FILLER_397 +*914 FILLER_398 +*915 FILLER_399 +*916 FILLER_400 +*917 FILLER_405 +*918 FILLER_401 +*919 FILLER_SB2 +*920 FILLER_402 +*921 disconnect_vdda_2 +*922 FILLER_406 +*923 FILLER_407 +*924 FILLER_408 +*925 FILLER_627 +*926 FILLER_628 +*927 FILLER_629 +*928 FILLER_630 +*929 FILLER_634 +*930 FILLER_635 +*931 FILLER_631 +*932 FILLER_632 +*933 mprj_pads\.area1_io_pad\[1\] +*934 FILLER_410 +*935 FILLER_409 +*936 FILLER_411 +*937 FILLER_412 +*938 FILLER_413 +*939 mprj_pads\.area2_io_pad\[18\] +*940 FILLER_415 +*941 FILLER_418 +*942 FILLER_417 +*943 FILLER_416 +*944 FILLER_636 +*945 FILLER_637 +*946 FILLER_638 +*947 FILLER_639 +*948 FILLER_640 +*949 FILLER_643 +*950 FILLER_644 +*951 FILLER_641 +*952 mprj_pads\.area1_io_pad\[2\] +*953 FILLER_420 +*954 FILLER_419 +*955 FILLER_421 +*956 FILLER_422 +*957 FILLER_423 +*958 mprj_pads\.area2_io_pad\[17\] +*959 FILLER_425 +*960 FILLER_428 +*961 FILLER_427 +*962 FILLER_426 +*963 FILLER_645 +*964 FILLER_646 +*965 FILLER_647 +*966 FILLER_648 +*967 FILLER_649 +*968 FILLER_653 +*969 FILLER_650 +*970 FILLER_651 +*971 mprj_pads\.area1_io_pad\[3\] +*972 FILLER_429 +*973 FILLER_430 +*974 FILLER_435 +*975 FILLER_436 +*976 FILLER_437 +*977 FILLER_431 +*978 FILLER_432 +*979 FILLER_433 +*980 mprj_pads\.area2_io_pad\[16\] +*981 FILLER_654 +*982 FILLER_655 +*983 FILLER_656 +*984 FILLER_657 +*985 FILLER_658 +*986 FILLER_659 +*987 FILLER_660 +*988 mprj_pads\.area1_io_pad\[4\] +*989 FILLER_440 +*990 FILLER_439 +*991 FILLER_438 +*992 FILLER_441 +*993 FILLER_442 +*994 FILLER_443 +*995 mprj_pads\.area2_io_pad\[15\] +*996 FILLER_445 +*997 FILLER_447 +*998 FILLER_446 +*999 FILLER_662 +*1000 FILLER_663 +*1001 FILLER_664 +*1002 FILLER_665 +*1003 FILLER_666 +*1004 FILLER_667 +*1005 FILLER_668 +*1006 FILLER_669 +*1007 mprj_pads\.area1_io_pad\[5\] +*1008 FILLER_450 +*1009 FILLER_449 +*1010 FILLER_448 +*1011 FILLER_451 +*1012 FILLER_452 +*1013 FILLER_453 +*1014 mprj_pads\.area2_io_pad\[14\] +*1015 FILLER_457 +*1016 FILLER_456 +*1017 FILLER_455 +*1018 FILLER_674 +*1019 FILLER_673 +*1020 FILLER_672 +*1021 FILLER_671 +*1022 FILLER_677 +*1023 FILLER_676 +*1024 FILLER_675 +*1025 FILLER_678 +*1026 FILLER_679 +*1027 mprj_pads\.area1_io_pad\[6\] +*1028 FILLER_460 +*1029 FILLER_459 +*1030 FILLER_458 +*1031 FILLER_461 +*1032 FILLER_462 +*1033 FILLER_463 +*1034 mprj_pads\.area2_io_pad\[13\] +*1035 FILLER_467 +*1036 FILLER_466 +*1037 FILLER_465 +*1038 FILLER_681 +*1039 FILLER_682 +*1040 FILLER_683 +*1041 FILLER_684 +*1042 FILLER_685 +*1043 FILLER_686 +*1044 FILLER_687 +*1045 FILLER_688 +*1046 user1_vssa_hvclamp_pad\[1\] +*1047 FILLER_470 +*1048 FILLER_469 +*1049 FILLER_468 +*1050 FILLER_471 +*1051 FILLER_475 +*1052 FILLER_472 +*1053 FILLER_473 +*1054 user2_vssd_lvclamp_pad +*1055 FILLER_476 +*1056 FILLER_477 +*1057 FILLER_692 +*1058 FILLER_691 +*1059 FILLER_690 +*1060 FILLER_696 +*1061 FILLER_695 +*1062 FILLER_694 +*1063 FILLER_693 +*1064 FILLER_697 +*1065 FILLER_698 +*1066 user1_vssd_lvclamp_pad +*1067 FILLER_480 +*1068 FILLER_479 +*1069 FILLER_478 +*1070 FILLER_481 +*1071 FILLER_482 +*1072 FILLER_483 +*1073 user2_vdda_hvclamp_pad +*1074 FILLER_487 +*1075 FILLER_486 +*1076 FILLER_485 +*1077 FILLER_700 +*1078 FILLER_701 +*1079 FILLER_702 +*1080 FILLER_703 +*1081 FILLER_704 +*1082 FILLER_705 +*1083 FILLER_706 +*1084 FILLER_707 +*1085 user1_vdda_hvclamp_pad\[1\] +*1086 FILLER_488 +*1087 FILLER_489 +*1088 FILLER_490 +*1089 FILLER_495 +*1090 FILLER_496 +*1091 FILLER_491 +*1092 FILLER_492 +*1093 FILLER_493 +*1094 mprj_pads\.area2_io_pad\[12\] +*1095 FILLER_709 +*1096 FILLER_710 +*1097 FILLER_711 +*1098 FILLER_712 +*1099 FILLER_713 +*1100 FILLER_714 +*1101 FILLER_715 +*1102 FILLER_716 +*1103 mprj_pads\.area1_io_pad\[7\] +*1104 FILLER_500 +*1105 FILLER_499 +*1106 FILLER_498 +*1107 FILLER_497 +*1108 FILLER_501 +*1109 FILLER_502 +*1110 FILLER_503 +*1111 mprj_pads\.area2_io_pad\[11\] +*1112 FILLER_506 +*1113 FILLER_505 +*1114 FILLER_718 +*1115 FILLER_719 +*1116 FILLER_720 +*1117 FILLER_721 +*1118 FILLER_722 +*1119 FILLER_723 +*1120 FILLER_724 +*1121 FILLER_510 +*1122 FILLER_509 +*1123 FILLER_508 +*1124 FILLER_507 +*1125 FILLER_511 +*1126 FILLER_512 +*1127 FILLER_513 +*1128 mprj_pads\.area2_io_pad\[10\] +*1129 FILLER_516 +*1130 FILLER_515 +*1131 FILLER_728 +*1132 FILLER_729 +*1133 FILLER_730 +*1134 FILLER_731 +*1135 FILLER_732 +*1136 FILLER_733 +*1137 FILLER_725 +*1138 FILLER_726 +*1139 mprj_pads\.area1_io_pad\[8\] +*1140 FILLER_520 +*1141 FILLER_519 +*1142 FILLER_518 +*1143 FILLER_517 +*1144 FILLER_521 +*1145 FILLER_522 +*1146 FILLER_523 +*1147 mprj_pads\.area2_io_pad\[9\] +*1148 FILLER_526 +*1149 FILLER_525 +*1150 FILLER_734 +*1151 FILLER_737 +*1152 FILLER_738 +*1153 FILLER_739 +*1154 FILLER_740 +*1155 FILLER_741 +*1156 FILLER_742 +*1157 FILLER_735 +*1158 mprj_pads\.area1_io_pad\[9\] +*1159 FILLER_527 +*1160 FILLER_528 +*1161 FILLER_529 +*1162 FILLER_530 +*1163 FILLER_535 +*1164 FILLER_531 +*1165 FILLER_532 +*1166 FILLER_533 +*1167 mprj_pads\.area2_io_pad\[8\] +*1168 FILLER_743 +*1169 FILLER_747 +*1170 FILLER_748 +*1171 FILLER_749 +*1172 FILLER_750 +*1173 FILLER_751 +*1174 FILLER_744 +*1175 FILLER_745 +*1176 mprj_pads\.area1_io_pad\[10\] +*1177 FILLER_539 +*1178 FILLER_538 +*1179 FILLER_537 +*1180 FILLER_536 +*1181 FILLER_540 +*1182 FILLER_541 +*1183 FILLER_542 +*1184 FILLER_543 +*1185 mprj_pads\.area2_io_pad\[7\] +*1186 FILLER_545 +*1187 FILLER_752 +*1188 FILLER_753 +*1189 FILLER_756 +*1190 FILLER_757 +*1191 FILLER_758 +*1192 FILLER_759 +*1193 FILLER_754 +*1194 mprj_pads\.area1_io_pad\[11\] +*1195 FILLER_549 +*1196 FILLER_548 +*1197 FILLER_547 +*1198 FILLER_546 +*1199 FILLER_550 +*1200 FILLER_551 +*1201 FILLER_552 +*1202 FILLER_553 +*1203 mprj_pads\.area2_io_pad\[6\] +*1204 FILLER_555 +*1205 FILLER_760 +*1206 FILLER_761 +*1207 FILLER_762 +*1208 FILLER_765 +*1209 FILLER_766 +*1210 FILLER_767 +*1211 FILLER_768 +*1212 FILLER_763 +*1213 mprj_pads\.area1_io_pad\[12\] +*1214 FILLER_559 +*1215 FILLER_558 +*1216 FILLER_557 +*1217 FILLER_556 +*1218 FILLER_560 +*1219 FILLER_561 +*1220 FILLER_562 +*1221 FILLER_563 +*1222 user2_vssa_hvclamp_pad +*1223 FILLER_565 +*1224 FILLER_769 +*1225 FILLER_770 +*1226 FILLER_771 +*1227 FILLER_775 +*1228 FILLER_776 +*1229 FILLER_777 +*1230 FILLER_772 +*1231 FILLER_773 +*1232 user1_vdda_hvclamp_pad\[0\] +*1233 FILLER_569 +*1234 FILLER_568 +*1235 FILLER_567 +*1236 FILLER_566 +*1237 FILLER_570 +*1238 FILLER_571 +*1239 FILLER_572 +*1240 FILLER_573 +*1241 mgmt_vddio_hvclamp_pad\[1\] +*1242 FILLER_575 +*1243 FILLER_778 +*1244 FILLER_779 +*1245 FILLER_780 +*1246 FILLER_781 +*1247 FILLER_784 +*1248 FILLER_785 +*1249 FILLER_782 +*1250 mprj_pads\.area1_io_pad\[13\] +*1251 FILLER_579 +*1252 FILLER_578 +*1253 FILLER_577 +*1254 FILLER_576 +*1255 FILLER_580 +*1256 FILLER_581 +*1257 FILLER_582 +*1258 FILLER_583 +*1259 user2_vccd_lvclamp_pad +*1260 FILLER_585 +*1261 FILLER_790 +*1262 FILLER_789 +*1263 FILLER_788 +*1264 FILLER_787 +*1265 FILLER_786 +*1266 FILLER_791 +*1267 FILLER_792 +*1268 user1_vccd_lvclamp_pad +*1269 FILLER_794 +*1270 FILLER_795 +*1271 FILLER_589 +*1272 FILLER_588 +*1273 FILLER_587 +*1274 FILLER_586 +*1275 FILLER_590 +*1276 FILLER_591 +*1277 FILLER_592 +*1278 FILLER_593 +*1279 mprj_pads\.area2_io_pad\[5\] +*1280 FILLER_595 +*1281 FILLER_796 +*1282 FILLER_797 +*1283 FILLER_798 +*1284 FILLER_799 +*1285 FILLER_800 +*1286 FILLER_803 +*1287 FILLER_801 +*1288 mprj_pads\.area1_io_pad\[14\] +*1289 FILLER_600 +*1290 FILLER_599 +*1291 FILLER_598 +*1292 FILLER_597 +*1293 FILLER_596 +*1294 FILLER_601 +*1295 FILLER_602 +*1296 FILLER_604 +*1297 FILLER_603 +*1298 user2_corner +*1299 FILLER_5 +*1300 FILLER_9 +*1301 FILLER_8 +*1302 FILLER_7 +*1303 FILLER_6 +*1304 FILLER_12 +*1305 FILLER_11 +*1306 FILLER_10 +*1307 FILLER_13 +*1308 FILLER_14 +*1309 FILLER_16 +*1310 FILLER_15 +*1311 mprj_pads\.area2_io_pad\[4\] +*1312 FILLER_18 +*1313 FILLER_19 +*1314 FILLER_20 +*1315 FILLER_21 +*1316 FILLER_22 +*1317 FILLER_23 +*1318 FILLER_24 +*1319 FILLER_25 +*1320 FILLER_26 +*1321 FILLER_27 +*1322 FILLER_29 +*1323 FILLER_28 +*1324 mprj_pads\.area2_io_pad\[3\] +*1325 FILLER_34 +*1326 FILLER_33 +*1327 FILLER_32 +*1328 FILLER_31 +*1329 FILLER_37 +*1330 FILLER_36 +*1331 FILLER_35 +*1332 FILLER_38 +*1333 FILLER_39 +*1334 FILLER_40 +*1335 FILLER_42 +*1336 FILLER_41 +*1337 mprj_pads\.area2_io_pad\[2\] +*1338 FILLER_45 +*1339 FILLER_44 +*1340 FILLER_47 +*1341 FILLER_46 +*1342 FILLER_51 +*1343 FILLER_50 +*1344 FILLER_49 +*1345 FILLER_48 +*1346 FILLER_52 +*1347 FILLER_53 +*1348 FILLER_55 +*1349 FILLER_54 +*1350 mprj_pads\.area2_io_pad\[1\] +*1351 FILLER_58 +*1352 FILLER_57 +*1353 FILLER_62 +*1354 FILLER_61 +*1355 FILLER_60 +*1356 FILLER_59 +*1357 FILLER_64 +*1358 FILLER_63 +*1359 FILLER_65 +*1360 FILLER_66 +*1361 FILLER_69 +*1362 FILLER_68 +*1363 FILLER_67 +*1364 mprj_pads\.area2_io_pad\[0\] +*1365 FILLER_74 +*1366 FILLER_73 +*1367 FILLER_72 +*1368 FILLER_71 +*1369 FILLER_77 +*1370 FILLER_76 +*1371 FILLER_75 +*1372 FILLER_78 +*1373 FILLER_79 +*1374 FILLER_80 +*1375 FILLER_82 +*1376 FILLER_81 +*1377 mgmt_vssio_hvclamp_pad\[1\] +*1378 FILLER_SB3 +*1379 disconnect_vdda_0 +*1380 FILLER_87 +*1381 FILLER_86 +*1382 FILLER_88 +*1383 FILLER_89 +*1384 FILLER_90 +*1385 FILLER_91 +*1386 FILLER_92 +*1387 FILLER_93 +*1388 FILLER_94 +*1389 FILLER_96 +*1390 FILLER_95 +*1391 mprj_pads\.area1_io_pad\[18\] +*1392 FILLER_101 +*1393 FILLER_100 +*1394 FILLER_99 +*1395 FILLER_98 +*1396 FILLER_103 +*1397 FILLER_102 +*1398 FILLER_104 +*1399 FILLER_105 +*1400 FILLER_106 +*1401 FILLER_107 +*1402 FILLER_108 +*1403 FILLER_109 +*1404 FILLER_110 +*1405 FILLER_111 +*1406 FILLER_112 +*1407 FILLER_113 +*1408 FILLER_114 +*1409 FILLER_115 +*1410 FILLER_118 +*1411 FILLER_119 +*1412 FILLER_120 +*1413 FILLER_121 +*1414 FILLER_122 +*1415 FILLER_116 +*1416 mprj_pads\.area1_io_pad\[17\] +*1417 FILLER_125 +*1418 FILLER_124 +*1419 FILLER_123 +*1420 FILLER_126 +*1421 FILLER_127 +*1422 FILLER_129 +*1423 FILLER_128 +*1424 mprj_pads\.area1_io_pad\[16\] +*1425 FILLER_133 +*1426 FILLER_132 +*1427 FILLER_131 +*1428 FILLER_136 +*1429 FILLER_135 +*1430 FILLER_134 +*1431 FILLER_138 +*1432 FILLER_137 +*1433 FILLER_139 +*1434 FILLER_140 +*1435 FILLER_142 +*1436 FILLER_141 +*1437 user1_vssa_hvclamp_pad\[0\] +*1438 FILLER_144 +*1439 FILLER_148 +*1440 FILLER_147 +*1441 FILLER_146 +*1442 FILLER_145 +*1443 FILLER_151 +*1444 FILLER_150 +*1445 FILLER_149 +*1446 FILLER_152 +*1447 FILLER_153 +*1448 FILLER_155 +*1449 FILLER_154 +*1450 mprj_pads\.area1_io_pad\[15\] +*1451 FILLER_157 +*1452 FILLER_158 +*1453 FILLER_159 +*1454 FILLER_160 +*1455 FILLER_161 +*1456 FILLER_162 +*1457 FILLER_163 +*1458 FILLER_164 +*1459 FILLER_165 +*1460 FILLER_808 +*1461 FILLER_807 +*1462 FILLER_806 +*1463 FILLER_805 +*1464 FILLER_804 +*1465 FILLER_809 +*1466 FILLER_810 +*1467 FILLER_811 +*1468 user1_corner +*1469 FILLER_166 +*1470 FILLER_169 +*1471 FILLER_168 +*1472 FILLER_167 + +*PORTS +clock I +clock_core I +por I +flash_clk I +flash_clk_core I +flash_clk_oeb_core I +flash_csb I +flash_csb_core I +flash_csb_oeb_core I +flash_io0 I +flash_io0_di_core I +flash_io0_do_core I +flash_io0_ieb_core I +flash_io0_oeb_core I +flash_io1 I +flash_io1_di_core I +flash_io1_do_core I +flash_io1_ieb_core I +flash_io1_oeb_core I +gpio I +gpio_in_core I +gpio_inenb_core I +gpio_mode0_core I +gpio_mode1_core I +gpio_out_core I +gpio_outenb_core I +vccd_pad I +vdda_pad I +vddio_pad I +vddio_pad2 I +vssa_pad I +vssd_pad I +vssio_pad I +vssio_pad2 I +mprj_io[0] I +mprj_io_analog_en[0] I +mprj_io_analog_pol[0] I +mprj_io_analog_sel[0] I +mprj_io_dm[0] I +mprj_io_dm[1] I +mprj_io_dm[2] I +mprj_io_holdover[0] I +mprj_io_ib_mode_sel[0] I +mprj_io_inp_dis[0] I +mprj_io_oeb[0] I +mprj_io_out[0] I +mprj_io_slow_sel[0] I +mprj_io_vtrip_sel[0] I +mprj_io_in[0] I +mprj_analog_io[3] I +mprj_io[10] I +mprj_io_analog_en[10] I +mprj_io_analog_pol[10] I +mprj_io_analog_sel[10] I +mprj_io_dm[30] I +mprj_io_dm[31] I +mprj_io_dm[32] I +mprj_io_holdover[10] I +mprj_io_ib_mode_sel[10] I +mprj_io_inp_dis[10] I +mprj_io_oeb[10] I +mprj_io_out[10] I +mprj_io_slow_sel[10] I +mprj_io_vtrip_sel[10] I +mprj_io_in[10] I +mprj_analog_io[4] I +mprj_io[11] I +mprj_io_analog_en[11] I +mprj_io_analog_pol[11] I +mprj_io_analog_sel[11] I +mprj_io_dm[33] I +mprj_io_dm[34] I +mprj_io_dm[35] I +mprj_io_holdover[11] I +mprj_io_ib_mode_sel[11] I +mprj_io_inp_dis[11] I +mprj_io_oeb[11] I +mprj_io_out[11] I +mprj_io_slow_sel[11] I +mprj_io_vtrip_sel[11] I +mprj_io_in[11] I +mprj_analog_io[5] I +mprj_io[12] I +mprj_io_analog_en[12] I +mprj_io_analog_pol[12] I +mprj_io_analog_sel[12] I +mprj_io_dm[36] I +mprj_io_dm[37] I +mprj_io_dm[38] I +mprj_io_holdover[12] I +mprj_io_ib_mode_sel[12] I +mprj_io_inp_dis[12] I +mprj_io_oeb[12] I +mprj_io_out[12] I +mprj_io_slow_sel[12] I +mprj_io_vtrip_sel[12] I +mprj_io_in[12] I +mprj_analog_io[6] I +mprj_io[13] I +mprj_io_analog_en[13] I +mprj_io_analog_pol[13] I +mprj_io_analog_sel[13] I +mprj_io_dm[39] I +mprj_io_dm[40] I +mprj_io_dm[41] I +mprj_io_holdover[13] I +mprj_io_ib_mode_sel[13] I +mprj_io_inp_dis[13] I +mprj_io_oeb[13] I +mprj_io_out[13] I +mprj_io_slow_sel[13] I +mprj_io_vtrip_sel[13] I +mprj_io_in[13] I +mprj_analog_io[7] I +mprj_io[14] I +mprj_io_analog_en[14] I +mprj_io_analog_pol[14] I +mprj_io_analog_sel[14] I +mprj_io_dm[42] I +mprj_io_dm[43] I +mprj_io_dm[44] I +mprj_io_holdover[14] I +mprj_io_ib_mode_sel[14] I +mprj_io_inp_dis[14] I +mprj_io_oeb[14] I +mprj_io_out[14] I +mprj_io_slow_sel[14] I +mprj_io_vtrip_sel[14] I +mprj_io_in[14] I +mprj_analog_io[8] I +mprj_io[15] I +mprj_io_analog_en[15] I +mprj_io_analog_pol[15] I +mprj_io_analog_sel[15] I +mprj_io_dm[45] I +mprj_io_dm[46] I +mprj_io_dm[47] I +mprj_io_holdover[15] I +mprj_io_ib_mode_sel[15] I +mprj_io_inp_dis[15] I +mprj_io_oeb[15] I +mprj_io_out[15] I +mprj_io_slow_sel[15] I +mprj_io_vtrip_sel[15] I +mprj_io_in[15] I +mprj_analog_io[9] I +mprj_io[16] I +mprj_io_analog_en[16] I +mprj_io_analog_pol[16] I +mprj_io_analog_sel[16] I +mprj_io_dm[48] I +mprj_io_dm[49] I +mprj_io_dm[50] I +mprj_io_holdover[16] I +mprj_io_ib_mode_sel[16] I +mprj_io_inp_dis[16] I +mprj_io_oeb[16] I +mprj_io_out[16] I +mprj_io_slow_sel[16] I +mprj_io_vtrip_sel[16] I +mprj_io_in[16] I +mprj_analog_io[10] I +mprj_io[17] I +mprj_io_analog_en[17] I +mprj_io_analog_pol[17] I +mprj_io_analog_sel[17] I +mprj_io_dm[51] I +mprj_io_dm[52] I +mprj_io_dm[53] I +mprj_io_holdover[17] I +mprj_io_ib_mode_sel[17] I +mprj_io_inp_dis[17] I +mprj_io_oeb[17] I +mprj_io_out[17] I +mprj_io_slow_sel[17] I +mprj_io_vtrip_sel[17] I +mprj_io_in[17] I +mprj_analog_io[11] I +mprj_io[18] I +mprj_io_analog_en[18] I +mprj_io_analog_pol[18] I +mprj_io_analog_sel[18] I +mprj_io_dm[54] I +mprj_io_dm[55] I +mprj_io_dm[56] I +mprj_io_holdover[18] I +mprj_io_ib_mode_sel[18] I +mprj_io_inp_dis[18] I +mprj_io_oeb[18] I +mprj_io_out[18] I +mprj_io_slow_sel[18] I +mprj_io_vtrip_sel[18] I +mprj_io_in[18] I +mprj_io[1] I +mprj_io_analog_en[1] I +mprj_io_analog_pol[1] I +mprj_io_analog_sel[1] I +mprj_io_dm[3] I +mprj_io_dm[4] I +mprj_io_dm[5] I +mprj_io_holdover[1] I +mprj_io_ib_mode_sel[1] I +mprj_io_inp_dis[1] I +mprj_io_oeb[1] I +mprj_io_out[1] I +mprj_io_slow_sel[1] I +mprj_io_vtrip_sel[1] I +mprj_io_in[1] I +mprj_io[2] I +mprj_io_analog_en[2] I +mprj_io_analog_pol[2] I +mprj_io_analog_sel[2] I +mprj_io_dm[6] I +mprj_io_dm[7] I +mprj_io_dm[8] I +mprj_io_holdover[2] I +mprj_io_ib_mode_sel[2] I +mprj_io_inp_dis[2] I +mprj_io_oeb[2] I +mprj_io_out[2] I +mprj_io_slow_sel[2] I +mprj_io_vtrip_sel[2] I +mprj_io_in[2] I +mprj_io[3] I +mprj_io_analog_en[3] I +mprj_io_analog_pol[3] I +mprj_io_analog_sel[3] I +mprj_io_dm[10] I +mprj_io_dm[11] I +mprj_io_dm[9] I +mprj_io_holdover[3] I +mprj_io_ib_mode_sel[3] I +mprj_io_inp_dis[3] I +mprj_io_oeb[3] I +mprj_io_out[3] I +mprj_io_slow_sel[3] I +mprj_io_vtrip_sel[3] I +mprj_io_in[3] I +mprj_io[4] I +mprj_io_analog_en[4] I +mprj_io_analog_pol[4] I +mprj_io_analog_sel[4] I +mprj_io_dm[12] I +mprj_io_dm[13] I +mprj_io_dm[14] I +mprj_io_holdover[4] I +mprj_io_ib_mode_sel[4] I +mprj_io_inp_dis[4] I +mprj_io_oeb[4] I +mprj_io_out[4] I +mprj_io_slow_sel[4] I +mprj_io_vtrip_sel[4] I +mprj_io_in[4] I +mprj_io[5] I +mprj_io_analog_en[5] I +mprj_io_analog_pol[5] I +mprj_io_analog_sel[5] I +mprj_io_dm[15] I +mprj_io_dm[16] I +mprj_io_dm[17] I +mprj_io_holdover[5] I +mprj_io_ib_mode_sel[5] I +mprj_io_inp_dis[5] I +mprj_io_oeb[5] I +mprj_io_out[5] I +mprj_io_slow_sel[5] I +mprj_io_vtrip_sel[5] I +mprj_io_in[5] I +mprj_io[6] I +mprj_io_analog_en[6] I +mprj_io_analog_pol[6] I +mprj_io_analog_sel[6] I +mprj_io_dm[18] I +mprj_io_dm[19] I +mprj_io_dm[20] I +mprj_io_holdover[6] I +mprj_io_ib_mode_sel[6] I +mprj_io_inp_dis[6] I +mprj_io_oeb[6] I +mprj_io_out[6] I +mprj_io_slow_sel[6] I +mprj_io_vtrip_sel[6] I +mprj_io_in[6] I +mprj_analog_io[0] I +mprj_io[7] I +mprj_io_analog_en[7] I +mprj_io_analog_pol[7] I +mprj_io_analog_sel[7] I +mprj_io_dm[21] I +mprj_io_dm[22] I +mprj_io_dm[23] I +mprj_io_holdover[7] I +mprj_io_ib_mode_sel[7] I +mprj_io_inp_dis[7] I +mprj_io_oeb[7] I +mprj_io_out[7] I +mprj_io_slow_sel[7] I +mprj_io_vtrip_sel[7] I +mprj_io_in[7] I +mprj_analog_io[1] I +mprj_io[8] I +mprj_io_analog_en[8] I +mprj_io_analog_pol[8] I +mprj_io_analog_sel[8] I +mprj_io_dm[24] I +mprj_io_dm[25] I +mprj_io_dm[26] I +mprj_io_holdover[8] I +mprj_io_ib_mode_sel[8] I +mprj_io_inp_dis[8] I +mprj_io_oeb[8] I +mprj_io_out[8] I +mprj_io_slow_sel[8] I +mprj_io_vtrip_sel[8] I +mprj_io_in[8] I +mprj_analog_io[2] I +mprj_io[9] I +mprj_io_analog_en[9] I +mprj_io_analog_pol[9] I +mprj_io_analog_sel[9] I +mprj_io_dm[27] I +mprj_io_dm[28] I +mprj_io_dm[29] I +mprj_io_holdover[9] I +mprj_io_ib_mode_sel[9] I +mprj_io_inp_dis[9] I +mprj_io_oeb[9] I +mprj_io_out[9] I +mprj_io_slow_sel[9] I +mprj_io_vtrip_sel[9] I +mprj_io_in[9] I +mprj_analog_io[12] I +mprj_io[19] I +mprj_io_analog_en[19] I +mprj_io_analog_pol[19] I +mprj_io_analog_sel[19] I +mprj_io_dm[57] I +mprj_io_dm[58] I +mprj_io_dm[59] I +mprj_io_holdover[19] I +mprj_io_ib_mode_sel[19] I +mprj_io_inp_dis[19] I +mprj_io_oeb[19] I +mprj_io_out[19] I +mprj_io_slow_sel[19] I +mprj_io_vtrip_sel[19] I +mprj_io_in[19] I +mprj_analog_io[22] I +mprj_io[29] I +mprj_io_analog_en[29] I +mprj_io_analog_pol[29] I +mprj_io_analog_sel[29] I +mprj_io_dm[87] I +mprj_io_dm[88] I +mprj_io_dm[89] I +mprj_io_holdover[29] I +mprj_io_ib_mode_sel[29] I +mprj_io_inp_dis[29] I +mprj_io_oeb[29] I +mprj_io_out[29] I +mprj_io_slow_sel[29] I +mprj_io_vtrip_sel[29] I +mprj_io_in[29] I +mprj_analog_io[23] I +mprj_io[30] I +mprj_io_analog_en[30] I +mprj_io_analog_pol[30] I +mprj_io_analog_sel[30] I +mprj_io_dm[90] I +mprj_io_dm[91] I +mprj_io_dm[92] I +mprj_io_holdover[30] I +mprj_io_ib_mode_sel[30] I +mprj_io_inp_dis[30] I +mprj_io_oeb[30] I +mprj_io_out[30] I +mprj_io_slow_sel[30] I +mprj_io_vtrip_sel[30] I +mprj_io_in[30] I +mprj_analog_io[24] I +mprj_io[31] I +mprj_io_analog_en[31] I +mprj_io_analog_pol[31] I +mprj_io_analog_sel[31] I +mprj_io_dm[93] I +mprj_io_dm[94] I +mprj_io_dm[95] I +mprj_io_holdover[31] I +mprj_io_ib_mode_sel[31] I +mprj_io_inp_dis[31] I +mprj_io_oeb[31] I +mprj_io_out[31] I +mprj_io_slow_sel[31] I +mprj_io_vtrip_sel[31] I +mprj_io_in[31] I +mprj_analog_io[25] I +mprj_io[32] I +mprj_io_analog_en[32] I +mprj_io_analog_pol[32] I +mprj_io_analog_sel[32] I +mprj_io_dm[96] I +mprj_io_dm[97] I +mprj_io_dm[98] I +mprj_io_holdover[32] I +mprj_io_ib_mode_sel[32] I +mprj_io_inp_dis[32] I +mprj_io_oeb[32] I +mprj_io_out[32] I +mprj_io_slow_sel[32] I +mprj_io_vtrip_sel[32] I +mprj_io_in[32] I +mprj_analog_io[26] I +mprj_io[33] I +mprj_io_analog_en[33] I +mprj_io_analog_pol[33] I +mprj_io_analog_sel[33] I +mprj_io_dm[100] I +mprj_io_dm[101] I +mprj_io_dm[99] I +mprj_io_holdover[33] I +mprj_io_ib_mode_sel[33] I +mprj_io_inp_dis[33] I +mprj_io_oeb[33] I +mprj_io_out[33] I +mprj_io_slow_sel[33] I +mprj_io_vtrip_sel[33] I +mprj_io_in[33] I +mprj_analog_io[27] I +mprj_io[34] I +mprj_io_analog_en[34] I +mprj_io_analog_pol[34] I +mprj_io_analog_sel[34] I +mprj_io_dm[102] I +mprj_io_dm[103] I +mprj_io_dm[104] I +mprj_io_holdover[34] I +mprj_io_ib_mode_sel[34] I +mprj_io_inp_dis[34] I +mprj_io_oeb[34] I +mprj_io_out[34] I +mprj_io_slow_sel[34] I +mprj_io_vtrip_sel[34] I +mprj_io_in[34] I +mprj_analog_io[28] I +mprj_io[35] I +mprj_io_analog_en[35] I +mprj_io_analog_pol[35] I +mprj_io_analog_sel[35] I +mprj_io_dm[105] I +mprj_io_dm[106] I +mprj_io_dm[107] I +mprj_io_holdover[35] I +mprj_io_ib_mode_sel[35] I +mprj_io_inp_dis[35] I +mprj_io_oeb[35] I +mprj_io_out[35] I +mprj_io_slow_sel[35] I +mprj_io_vtrip_sel[35] I +mprj_io_in[35] I +mprj_io[36] I +mprj_io_analog_en[36] I +mprj_io_analog_pol[36] I +mprj_io_analog_sel[36] I +mprj_io_dm[108] I +mprj_io_dm[109] I +mprj_io_dm[110] I +mprj_io_holdover[36] I +mprj_io_ib_mode_sel[36] I +mprj_io_inp_dis[36] I +mprj_io_oeb[36] I +mprj_io_out[36] I +mprj_io_slow_sel[36] I +mprj_io_vtrip_sel[36] I +mprj_io_in[36] I +mprj_io[37] I +mprj_io_analog_en[37] I +mprj_io_analog_pol[37] I +mprj_io_analog_sel[37] I +mprj_io_dm[111] I +mprj_io_dm[112] I +mprj_io_dm[113] I +mprj_io_holdover[37] I +mprj_io_ib_mode_sel[37] I +mprj_io_inp_dis[37] I +mprj_io_oeb[37] I +mprj_io_out[37] I +mprj_io_slow_sel[37] I +mprj_io_vtrip_sel[37] I +mprj_io_in[37] I +mprj_analog_io[13] I +mprj_io[20] I +mprj_io_analog_en[20] I +mprj_io_analog_pol[20] I +mprj_io_analog_sel[20] I +mprj_io_dm[60] I +mprj_io_dm[61] I +mprj_io_dm[62] I +mprj_io_holdover[20] I +mprj_io_ib_mode_sel[20] I +mprj_io_inp_dis[20] I +mprj_io_oeb[20] I +mprj_io_out[20] I +mprj_io_slow_sel[20] I +mprj_io_vtrip_sel[20] I +mprj_io_in[20] I +mprj_analog_io[14] I +mprj_io[21] I +mprj_io_analog_en[21] I +mprj_io_analog_pol[21] I +mprj_io_analog_sel[21] I +mprj_io_dm[63] I +mprj_io_dm[64] I +mprj_io_dm[65] I +mprj_io_holdover[21] I +mprj_io_ib_mode_sel[21] I +mprj_io_inp_dis[21] I +mprj_io_oeb[21] I +mprj_io_out[21] I +mprj_io_slow_sel[21] I +mprj_io_vtrip_sel[21] I +mprj_io_in[21] I +mprj_analog_io[15] I +mprj_io[22] I +mprj_io_analog_en[22] I +mprj_io_analog_pol[22] I +mprj_io_analog_sel[22] I +mprj_io_dm[66] I +mprj_io_dm[67] I +mprj_io_dm[68] I +mprj_io_holdover[22] I +mprj_io_ib_mode_sel[22] I +mprj_io_inp_dis[22] I +mprj_io_oeb[22] I +mprj_io_out[22] I +mprj_io_slow_sel[22] I +mprj_io_vtrip_sel[22] I +mprj_io_in[22] I +mprj_analog_io[16] I +mprj_io[23] I +mprj_io_analog_en[23] I +mprj_io_analog_pol[23] I +mprj_io_analog_sel[23] I +mprj_io_dm[69] I +mprj_io_dm[70] I +mprj_io_dm[71] I +mprj_io_holdover[23] I +mprj_io_ib_mode_sel[23] I +mprj_io_inp_dis[23] I +mprj_io_oeb[23] I +mprj_io_out[23] I +mprj_io_slow_sel[23] I +mprj_io_vtrip_sel[23] I +mprj_io_in[23] I +mprj_analog_io[17] I +mprj_io[24] I +mprj_io_analog_en[24] I +mprj_io_analog_pol[24] I +mprj_io_analog_sel[24] I +mprj_io_dm[72] I +mprj_io_dm[73] I +mprj_io_dm[74] I +mprj_io_holdover[24] I +mprj_io_ib_mode_sel[24] I +mprj_io_inp_dis[24] I +mprj_io_oeb[24] I +mprj_io_out[24] I +mprj_io_slow_sel[24] I +mprj_io_vtrip_sel[24] I +mprj_io_in[24] I +mprj_analog_io[18] I +mprj_io[25] I +mprj_io_analog_en[25] I +mprj_io_analog_pol[25] I +mprj_io_analog_sel[25] I +mprj_io_dm[75] I +mprj_io_dm[76] I +mprj_io_dm[77] I +mprj_io_holdover[25] I +mprj_io_ib_mode_sel[25] I +mprj_io_inp_dis[25] I +mprj_io_oeb[25] I +mprj_io_out[25] I +mprj_io_slow_sel[25] I +mprj_io_vtrip_sel[25] I +mprj_io_in[25] I +mprj_analog_io[19] I +mprj_io[26] I +mprj_io_analog_en[26] I +mprj_io_analog_pol[26] I +mprj_io_analog_sel[26] I +mprj_io_dm[78] I +mprj_io_dm[79] I +mprj_io_dm[80] I +mprj_io_holdover[26] I +mprj_io_ib_mode_sel[26] I +mprj_io_inp_dis[26] I +mprj_io_oeb[26] I +mprj_io_out[26] I +mprj_io_slow_sel[26] I +mprj_io_vtrip_sel[26] I +mprj_io_in[26] I +mprj_analog_io[20] I +mprj_io[27] I +mprj_io_analog_en[27] I +mprj_io_analog_pol[27] I +mprj_io_analog_sel[27] I +mprj_io_dm[81] I +mprj_io_dm[82] I +mprj_io_dm[83] I +mprj_io_holdover[27] I +mprj_io_ib_mode_sel[27] I +mprj_io_inp_dis[27] I +mprj_io_oeb[27] I +mprj_io_out[27] I +mprj_io_slow_sel[27] I +mprj_io_vtrip_sel[27] I +mprj_io_in[27] I +mprj_analog_io[21] I +mprj_io[28] I +mprj_io_analog_en[28] I +mprj_io_analog_pol[28] I +mprj_io_analog_sel[28] I +mprj_io_dm[84] I +mprj_io_dm[85] I +mprj_io_dm[86] I +mprj_io_holdover[28] I +mprj_io_ib_mode_sel[28] I +mprj_io_inp_dis[28] I +mprj_io_oeb[28] I +mprj_io_out[28] I +mprj_io_slow_sel[28] I +mprj_io_vtrip_sel[28] I +mprj_io_in[28] I +resetb I +vdda I +vssa I +vccd1_pad I +vdda1_pad I +vdda1_pad2 I +vssa1_pad I +vssa1_pad2 I +vdda1 I +vssa1 I +vssd1_pad I +vccd2_pad I +vdda2_pad I +vssa2_pad I +vccd I +vdda2 I +vddio I +vssa2 I +vssd2_pad I +vssio I +vssd I +resetb_core_h I +vssd2 I +vccd2 I +vssd1 I +vccd1 I +flash_clk_ieb_core I +flash_csb_ieb_core I + +*D_NET *1 0.0053061 +*CONN +*P clock I +*CAP +1 clock 0.00265305 +2 *1:4 0.00265305 +*RES +1 clock *1:4 1.1491 +*END + +*D_NET *2 2.40556e-05 +*CONN +*P clock_core I +*CAP +1 clock_core 1.20278e-05 +2 *2:4 1.20278e-05 +*RES +1 clock_core *2:4 0.341972 +*END + +*D_NET *3 2.40556e-05 +*CONN +*P por I +*CAP +1 por 1.20278e-05 +2 *3:4 1.20278e-05 +*RES +1 por *3:4 0.341972 +*END + +*D_NET *4 0.0053061 +*CONN +*P flash_clk I +*CAP +1 flash_clk 0.00265305 +2 *4:4 0.00265305 +*RES +1 flash_clk *4:4 1.1491 +*END + +*D_NET *5 2.40556e-05 +*CONN +*P flash_clk_core I +*CAP +1 flash_clk_core 1.20278e-05 +2 *5:4 1.20278e-05 +*RES +1 flash_clk_core *5:4 0.341972 +*END + +*D_NET *6 2.40556e-05 +*CONN +*P flash_clk_oeb_core I +*CAP +1 flash_clk_oeb_core 1.20278e-05 +2 *6:4 1.20278e-05 +*RES +1 flash_clk_oeb_core *6:4 0.341972 +*END + +*D_NET *7 0.0053061 +*CONN +*P flash_csb I +*CAP +1 flash_csb 0.00265305 +2 *7:4 0.00265305 +*RES +1 flash_csb *7:4 1.1491 +*END + +*D_NET *8 2.40556e-05 +*CONN +*P flash_csb_core I +*CAP +1 flash_csb_core 1.20278e-05 +2 *8:4 1.20278e-05 +*RES +1 flash_csb_core *8:4 0.341972 +*END + +*D_NET *9 2.40556e-05 +*CONN +*P flash_csb_oeb_core I +*CAP +1 flash_csb_oeb_core 1.20278e-05 +2 *9:4 1.20278e-05 +*RES +1 flash_csb_oeb_core *9:4 0.341972 +*END + +*D_NET *10 0.0053061 +*CONN +*P flash_io0 I +*CAP +1 flash_io0 0.00265305 +2 *10:4 0.00265305 +*RES +1 flash_io0 *10:4 1.1491 +*END + +*D_NET *11 2.40556e-05 +*CONN +*P flash_io0_di_core I +*CAP +1 flash_io0_di_core 1.20278e-05 +2 *11:4 1.20278e-05 +*RES +1 flash_io0_di_core *11:4 0.341972 +*END + +*D_NET *12 2.40556e-05 +*CONN +*P flash_io0_do_core I +*CAP +1 flash_io0_do_core 1.20278e-05 +2 *12:4 1.20278e-05 +*RES +1 flash_io0_do_core *12:4 0.341972 +*END + +*D_NET *13 0.00348767 +*CONN +*P flash_io0_ieb_core I +*CAP +1 flash_io0_ieb_core 1.63541e-05 +2 *13:149 9.72998e-06 +3 *13:143 5.96752e-06 +4 *13:137 1.0746e-05 +5 *13:131 5.58433e-06 +6 *13:125 0.000114215 +7 *13:119 1.16591e-05 +8 *13:114 0.000131459 +9 *13:108 1.93227e-05 +10 *13:102 0.000657657 +11 *13:96 0.000649081 +12 *13:90 6.23774e-06 +13 *13:84 9.67145e-06 +14 *13:78 9.09219e-06 +15 *13:72 0.000114215 +16 *13:66 1.36125e-05 +17 *13:61 0.00013692 +18 *13:57 2.60765e-05 +19 *13:49 4.17155e-05 +20 *13:43 0.000657393 +21 *13:37 0.00066624 +22 *13:31 1.00382e-05 +23 *13:29 3.49234e-05 +24 *13:28 2.2276e-05 +25 *13:22 6.59561e-06 +26 *13:16 5.04272e-05 +27 *13:15 3.41016e-05 +28 *13:11 7.5398e-06 +29 *13:4 8.8143e-06 +30 *13:43 *14:49 0 +31 *13:49 *14:49 0 +32 *13:84 *14:49 0 +33 *13:90 *14:49 0 +34 *13:96 *14:49 0 +35 *13:102 *14:49 0 +36 *13:102 *14:55 0 +37 *13:102 *14:84 0 +*RES +1 flash_io0_ieb_core *13:4 0.341972 +2 flash_io0_ieb_core *13:11 0.421359 +3 *13:15 *13:16 0.861038 +4 *13:16 *13:22 0.317546 +5 *13:16 *13:28 0.168792 +6 *13:28 *13:29 0.289358 +7 *13:29 *13:31 0.277302 +8 *13:29 *13:37 0.301415 +9 *13:37 *13:43 18.5431 +10 *13:43 *13:49 0.301415 +11 *13:49 *13:57 0.56666 +12 *13:49 *13:61 0.168792 +13 *13:61 *13:66 0.317546 +14 *13:61 *13:72 3.13882 +15 *13:61 *13:78 0.317546 +16 *13:49 *13:84 0.301415 +17 *13:37 *13:90 0.301415 +18 *13:28 *13:96 0.301415 +19 *13:96 *13:102 17.9884 +20 *13:102 *13:108 0.301415 +21 *13:108 *13:114 0.168792 +22 *13:114 *13:119 0.317546 +23 *13:114 *13:125 3.13882 +24 *13:114 *13:131 0.317546 +25 *13:108 *13:137 0.301415 +26 *13:102 *13:143 0.301415 +27 *13:16 *13:149 0.317546 +*END + +*D_NET *14 0.00465082 +*CONN +*P flash_io0_oeb_core I +*CAP +1 flash_io0_oeb_core 1.76204e-05 +2 *14:96 9.71403e-06 +3 *14:90 5.96752e-06 +4 *14:84 1.33677e-05 +5 *14:78 5.58433e-06 +6 *14:72 0.000230094 +7 *14:66 9.84578e-06 +8 *14:61 0.000245524 +9 *14:55 2.19443e-05 +10 *14:49 0.00185333 +11 *14:43 0.00184476 +12 *14:37 9.67145e-06 +13 *14:31 2.60765e-05 +14 *14:28 4.17155e-05 +15 *14:22 7.80013e-06 +16 *14:16 0.000153852 +17 *14:15 0.000136338 +18 *14:11 8.80606e-06 +19 *14:4 8.8143e-06 +20 *13:43 *14:49 0 +21 *13:49 *14:49 0 +22 *13:84 *14:49 0 +23 *13:90 *14:49 0 +24 *13:96 *14:49 0 +25 *13:102 *14:49 0 +26 *13:102 *14:55 0 +27 *13:102 *14:84 0 +*RES +1 flash_io0_oeb_core *14:4 0.341972 +2 flash_io0_oeb_core *14:11 0.421359 +3 *14:15 *14:16 3.7678 +4 *14:16 *14:22 0.317546 +5 *14:16 *14:28 0.168792 +6 *14:28 *14:31 0.56666 +7 *14:28 *14:37 0.301415 +8 *14:28 *14:43 0.301415 +9 *14:43 *14:49 51.8193 +10 *14:49 *14:55 0.301415 +11 *14:55 *14:61 0.168792 +12 *14:61 *14:66 0.317546 +13 *14:61 *14:72 6.04558 +14 *14:61 *14:78 0.317546 +15 *14:55 *14:84 0.301415 +16 *14:49 *14:90 0.301415 +17 *14:16 *14:96 0.317546 +*END + +*D_NET *15 0.0053061 +*CONN +*P flash_io1 I +*CAP +1 flash_io1 0.00265305 +2 *15:4 0.00265305 +*RES +1 flash_io1 *15:4 1.1491 +*END + +*D_NET *16 2.40556e-05 +*CONN +*P flash_io1_di_core I +*CAP +1 flash_io1_di_core 1.20278e-05 +2 *16:4 1.20278e-05 +*RES +1 flash_io1_di_core *16:4 0.341972 +*END + +*D_NET *17 2.40556e-05 +*CONN +*P flash_io1_do_core I +*CAP +1 flash_io1_do_core 1.20278e-05 +2 *17:4 1.20278e-05 +*RES +1 flash_io1_do_core *17:4 0.341972 +*END + +*D_NET *18 0.003047 +*CONN +*P flash_io1_ieb_core I +*CAP +1 flash_io1_ieb_core 7.62168e-05 +2 *18:171 6.0139e-06 +3 *18:164 1.29348e-05 +4 *18:157 1.12383e-05 +5 *18:151 5.37302e-06 +6 *18:145 1.0746e-05 +7 *18:139 7.40674e-06 +8 *18:133 2.70379e-05 +9 *18:127 1.34239e-05 +10 *18:121 4.46015e-05 +11 *18:116 1.15465e-05 +12 *18:110 1.64345e-05 +13 *18:104 0.000654175 +14 *18:98 0.000648486 +15 *18:92 5.37302e-06 +16 *18:86 9.67145e-06 +17 *18:80 9.60674e-06 +18 *18:74 1.49273e-05 +19 *18:68 1.06317e-05 +20 *18:62 4.09273e-05 +21 *18:57 2.4975e-05 +22 *18:53 2.24268e-05 +23 *18:45 3.74713e-05 +24 *18:39 0.00059748 +25 *18:33 0.000603169 +26 *18:27 6.26172e-06 +27 *18:25 2.82587e-05 +28 *18:24 2.16815e-05 +29 *18:18 1.5378e-05 +30 *18:11 4.62058e-05 +31 *18:4 6.92255e-06 +32 *18:27 *19:49 0 +33 *18:39 *19:49 0 +34 *18:45 *19:49 0 +35 *18:53 *19:49 0 +36 *18:86 *19:49 0 +37 *18:92 *19:49 0 +38 *18:98 *19:49 0 +39 *18:104 *19:49 0 +40 *18:104 *19:55 0 +41 *18:104 *19:90 0 +*RES +1 flash_io1_ieb_core *18:4 0.341972 +2 flash_io1_ieb_core *18:11 1.17858 +3 flash_io1_ieb_core *18:18 1.25186 +4 *18:18 *18:24 0.168792 +5 *18:24 *18:25 0.289358 +6 *18:25 *18:27 0.277302 +7 *18:25 *18:33 0.301415 +8 *18:33 *18:39 16.8792 +9 *18:39 *18:45 0.301415 +10 *18:45 *18:53 0.56666 +11 *18:45 *18:57 0.168792 +12 *18:57 *18:62 0.922104 +13 *18:62 *18:68 0.604558 +14 *18:62 *18:74 0.848824 +15 *18:57 *18:80 0.317546 +16 *18:45 *18:86 0.301415 +17 *18:33 *18:92 0.301415 +18 *18:24 *18:98 0.301415 +19 *18:98 *18:104 17.9884 +20 *18:104 *18:110 0.301415 +21 *18:110 *18:116 0.168792 +22 *18:116 *18:121 1.08088 +23 *18:121 *18:127 0.763331 +24 *18:121 *18:133 1.0076 +25 *18:116 *18:139 0.317546 +26 *18:110 *18:145 0.301415 +27 *18:104 *18:151 0.301415 +28 *18:18 *18:157 0.317546 +29 flash_io1_ieb_core *18:164 0.934317 +30 flash_io1_ieb_core *18:171 0.341972 +*END + +*D_NET *19 0.00434843 +*CONN +*P flash_io1_oeb_core I +*CAP +1 flash_io1_oeb_core 1.89091e-05 +2 *19:102 9.71403e-06 +3 *19:96 5.96752e-06 +4 *19:90 1.33677e-05 +5 *19:84 5.58433e-06 +6 *19:78 1.00948e-05 +7 *19:72 0.000155918 +8 *19:66 5.55014e-06 +9 *19:61 0.000156958 +10 *19:55 2.19443e-05 +11 *19:49 0.00185233 +12 *19:43 0.00184376 +13 *19:37 9.67145e-06 +14 *19:31 2.60765e-05 +15 *19:28 4.17155e-05 +16 *19:22 7.81018e-06 +17 *19:16 8.08362e-05 +18 *19:15 6.3312e-05 +19 *19:11 1.00948e-05 +20 *19:4 8.8143e-06 +21 *18:27 *19:49 0 +22 *18:39 *19:49 0 +23 *18:45 *19:49 0 +24 *18:53 *19:49 0 +25 *18:86 *19:49 0 +26 *18:92 *19:49 0 +27 *18:98 *19:49 0 +28 *18:104 *19:49 0 +29 *18:104 *19:55 0 +30 *18:104 *19:90 0 +*RES +1 flash_io1_oeb_core *19:4 0.341972 +2 flash_io1_oeb_core *19:11 0.457999 +3 *19:15 *19:16 1.69154 +4 *19:16 *19:22 0.317546 +5 *19:16 *19:28 0.168792 +6 *19:28 *19:31 0.56666 +7 *19:28 *19:37 0.301415 +8 *19:28 *19:43 0.301415 +9 *19:43 *19:49 51.8193 +10 *19:49 *19:55 0.301415 +11 *19:55 *19:61 0.168792 +12 *19:61 *19:66 0.317546 +13 *19:61 *19:72 3.88383 +14 *19:72 *19:78 0.287013 +15 *19:61 *19:84 0.317546 +16 *19:55 *19:90 0.301415 +17 *19:49 *19:96 0.301415 +18 *19:16 *19:102 0.317546 +*END + +*D_NET *20 0.0053061 +*CONN +*P gpio I +*CAP +1 gpio 0.00265305 +2 *20:4 0.00265305 +*RES +1 gpio *20:4 1.1491 +*END + +*D_NET *21 2.40556e-05 +*CONN +*P gpio_in_core I +*CAP +1 gpio_in_core 1.20278e-05 +2 *21:4 1.20278e-05 +*RES +1 gpio_in_core *21:4 0.341972 +*END + +*D_NET *22 2.40556e-05 +*CONN +*P gpio_inenb_core I +*CAP +1 gpio_inenb_core 1.20278e-05 +2 *22:4 1.20278e-05 +*RES +1 gpio_inenb_core *22:4 0.341972 +*END + +*D_NET *23 2.40556e-05 +*CONN +*P gpio_mode0_core I +*CAP +1 gpio_mode0_core 1.20278e-05 +2 *23:4 1.20278e-05 +*RES +1 gpio_mode0_core *23:4 0.341972 +*END + +*D_NET *24 0.00312834 +*CONN +*P gpio_mode1_core I +*CAP +1 gpio_mode1_core 1.20278e-05 +2 *24:89 9.72998e-06 +3 *24:83 5.96752e-06 +4 *24:77 1.0746e-05 +5 *24:71 5.58433e-06 +6 *24:65 0.000114215 +7 *24:59 1.16591e-05 +8 *24:54 0.000131459 +9 *24:48 1.93227e-05 +10 *24:42 0.00131847 +11 *24:36 0.00130989 +12 *24:30 9.67145e-06 +13 *24:24 2.60765e-05 +14 *24:21 4.17155e-05 +15 *24:15 5.92133e-06 +16 *24:9 4.97529e-05 +17 *24:8 3.41016e-05 +18 *24:4 1.20278e-05 +*RES +1 gpio_mode1_core *24:4 0.341972 +2 *24:8 *24:9 0.861038 +3 *24:9 *24:15 0.317546 +4 *24:9 *24:21 0.168792 +5 *24:21 *24:24 0.56666 +6 *24:21 *24:30 0.301415 +7 *24:21 *24:36 0.301415 +8 *24:36 *24:42 36.845 +9 *24:42 *24:48 0.301415 +10 *24:48 *24:54 0.168792 +11 *24:54 *24:59 0.317546 +12 *24:54 *24:65 3.13882 +13 *24:54 *24:71 0.317546 +14 *24:48 *24:77 0.301415 +15 *24:42 *24:83 0.301415 +16 *24:9 *24:89 0.317546 +*END + +*D_NET *25 2.40556e-05 +*CONN +*P gpio_out_core I +*CAP +1 gpio_out_core 1.20278e-05 +2 *25:4 1.20278e-05 +*RES +1 gpio_out_core *25:4 0.341972 +*END + +*D_NET *26 2.40556e-05 +*CONN +*P gpio_outenb_core I +*CAP +1 gpio_outenb_core 1.20278e-05 +2 *26:4 1.20278e-05 +*RES +1 gpio_outenb_core *26:4 0.341972 +*END + +*D_NET *27 0.00570109 +*CONN +*P vccd_pad I +*CAP +1 vccd_pad 0.00285055 +2 *27:4 0.00285055 +*RES +1 vccd_pad *27:4 1.23464 +*END + +*D_NET *28 0.00515692 +*CONN +*P vdda_pad I +*CAP +1 vdda_pad 0.00257846 +2 *28:4 0.00257846 +*RES +1 vdda_pad *28:4 1.1168 +*END + +*D_NET *29 0.00515522 +*CONN +*P vddio_pad I +*CAP +1 vddio_pad 0.00257761 +2 *29:4 0.00257761 +*RES +1 vddio_pad *29:4 1.11643 +*END + +*D_NET *30 0.00515522 +*CONN +*P vddio_pad2 I +*CAP +1 vddio_pad2 0.00257761 +2 *30:4 0.00257761 +*RES +1 vddio_pad2 *30:4 1.11643 +*END + +*D_NET *31 0.00515692 +*CONN +*P vssa_pad I +*CAP +1 vssa_pad 0.00257846 +2 *31:4 0.00257846 +*RES +1 vssa_pad *31:4 1.1168 +*END + +*D_NET *32 0.00461105 +*CONN +*P vssd_pad I +*CAP +1 vssd_pad 0.00230553 +2 *32:4 0.00230553 +*RES +1 vssd_pad *32:4 0.998583 +*END + +*D_NET *33 0.00515692 +*CONN +*P vssio_pad I +*CAP +1 vssio_pad 0.00257846 +2 *33:4 0.00257846 +*RES +1 vssio_pad *33:4 1.1168 +*END + +*D_NET *34 0.00515692 +*CONN +*P vssio_pad2 I +*CAP +1 vssio_pad2 0.00257846 +2 *34:4 0.00257846 +*RES +1 vssio_pad2 *34:4 1.1168 +*END + +*D_NET *35 0.00529339 +*CONN +*P mprj_io[0] I +*CAP +1 mprj_io[0] 0.00264669 +2 *35:4 0.00264669 +*RES +1 mprj_io[0] *35:4 1.14635 +*END + +*D_NET *36 0.000206191 +*CONN +*P mprj_io_analog_en[0] I +*CAP +1 mprj_io_analog_en[0] 0.000103095 +2 *36:4 0.000103095 +3 *36:4 *39:4 0 +*RES +1 mprj_io_analog_en[0] *36:4 2.93119 +*END + +*D_NET *37 0.000206191 +*CONN +*P mprj_io_analog_pol[0] I +*CAP +1 mprj_io_analog_pol[0] 0.000103095 +2 *37:4 0.000103095 +3 *37:4 *39:4 0 +4 *37:4 *44:4 0 +*RES +1 mprj_io_analog_pol[0] *37:4 2.93119 +*END + +*D_NET *38 0.000206191 +*CONN +*P mprj_io_analog_sel[0] I +*CAP +1 mprj_io_analog_sel[0] 0.000103095 +2 *38:4 0.000103095 +3 *38:4 *41:4 0 +*RES +1 mprj_io_analog_sel[0] *38:4 2.93119 +*END + +*D_NET *39 0.000206191 +*CONN +*P mprj_io_dm[0] I +*CAP +1 mprj_io_dm[0] 0.000103095 +2 *39:4 0.000103095 +3 *36:4 *39:4 0 +4 *37:4 *39:4 0 +*RES +1 mprj_io_dm[0] *39:4 2.93119 +*END + +*D_NET *40 0.000206191 +*CONN +*P mprj_io_dm[1] I +*CAP +1 mprj_io_dm[1] 0.000103095 +2 *40:4 0.000103095 +*RES +1 mprj_io_dm[1] *40:4 2.93119 +*END + +*D_NET *41 0.000206191 +*CONN +*P mprj_io_dm[2] I +*CAP +1 mprj_io_dm[2] 0.000103095 +2 *41:4 0.000103095 +3 *41:4 *42:4 0 +4 *38:4 *41:4 0 +*RES +1 mprj_io_dm[2] *41:4 2.93119 +*END + +*D_NET *42 0.000206191 +*CONN +*P mprj_io_holdover[0] I +*CAP +1 mprj_io_holdover[0] 0.000103095 +2 *42:4 0.000103095 +3 *42:4 *46:4 0 +4 *41:4 *42:4 0 +*RES +1 mprj_io_holdover[0] *42:4 2.93119 +*END + +*D_NET *43 0.000206191 +*CONN +*P mprj_io_ib_mode_sel[0] I +*CAP +1 mprj_io_ib_mode_sel[0] 0.000103095 +2 *43:4 0.000103095 +3 *43:4 *45:4 0 +4 *43:4 *48:4 0 +*RES +1 mprj_io_ib_mode_sel[0] *43:4 2.93119 +*END + +*D_NET *44 0.000206191 +*CONN +*P mprj_io_inp_dis[0] I +*CAP +1 mprj_io_inp_dis[0] 0.000103095 +2 *44:4 0.000103095 +3 *37:4 *44:4 0 +*RES +1 mprj_io_inp_dis[0] *44:4 2.93119 +*END + +*D_NET *45 0.000206191 +*CONN +*P mprj_io_oeb[0] I +*CAP +1 mprj_io_oeb[0] 0.000103095 +2 *45:4 0.000103095 +3 *43:4 *45:4 0 +*RES +1 mprj_io_oeb[0] *45:4 2.93119 +*END + +*D_NET *46 0.000206191 +*CONN +*P mprj_io_out[0] I +*CAP +1 mprj_io_out[0] 0.000103095 +2 *46:4 0.000103095 +3 *42:4 *46:4 0 +*RES +1 mprj_io_out[0] *46:4 2.93119 +*END + +*D_NET *47 0.000206191 +*CONN +*P mprj_io_slow_sel[0] I +*CAP +1 mprj_io_slow_sel[0] 0.000103095 +2 *47:4 0.000103095 +*RES +1 mprj_io_slow_sel[0] *47:4 2.93119 +*END + +*D_NET *48 0.000206191 +*CONN +*P mprj_io_vtrip_sel[0] I +*CAP +1 mprj_io_vtrip_sel[0] 0.000103095 +2 *48:4 0.000103095 +3 *43:4 *48:4 0 +*RES +1 mprj_io_vtrip_sel[0] *48:4 2.93119 +*END + +*D_NET *49 0.000206191 +*CONN +*P mprj_io_in[0] I +*CAP +1 mprj_io_in[0] 0.000103095 +2 *49:4 0.000103095 +*RES +1 mprj_io_in[0] *49:4 2.93119 +*END + +*D_NET *50 0.000206191 +*CONN +*P mprj_analog_io[3] I +*CAP +1 mprj_analog_io[3] 0.000103095 +2 *50:4 0.000103095 +3 *50:4 *63:4 0 +*RES +1 mprj_analog_io[3] *50:4 2.93119 +*END + +*D_NET *51 0.00529339 +*CONN +*P mprj_io[10] I +*CAP +1 mprj_io[10] 0.00264669 +2 *51:4 0.00264669 +*RES +1 mprj_io[10] *51:4 1.14635 +*END + +*D_NET *52 0.000206191 +*CONN +*P mprj_io_analog_en[10] I +*CAP +1 mprj_io_analog_en[10] 0.000103095 +2 *52:4 0.000103095 +3 *52:4 *55:4 0 +*RES +1 mprj_io_analog_en[10] *52:4 2.93119 +*END + +*D_NET *53 0.000206191 +*CONN +*P mprj_io_analog_pol[10] I +*CAP +1 mprj_io_analog_pol[10] 0.000103095 +2 *53:4 0.000103095 +3 *53:4 *55:4 0 +4 *53:4 *60:4 0 +*RES +1 mprj_io_analog_pol[10] *53:4 2.93119 +*END + +*D_NET *54 0.000206191 +*CONN +*P mprj_io_analog_sel[10] I +*CAP +1 mprj_io_analog_sel[10] 0.000103095 +2 *54:4 0.000103095 +3 *54:4 *57:4 0 +*RES +1 mprj_io_analog_sel[10] *54:4 2.93119 +*END + +*D_NET *55 0.000206191 +*CONN +*P mprj_io_dm[30] I +*CAP +1 mprj_io_dm[30] 0.000103095 +2 *55:4 0.000103095 +3 *52:4 *55:4 0 +4 *53:4 *55:4 0 +*RES +1 mprj_io_dm[30] *55:4 2.93119 +*END + +*D_NET *56 0.000206191 +*CONN +*P mprj_io_dm[31] I +*CAP +1 mprj_io_dm[31] 0.000103095 +2 *56:4 0.000103095 +*RES +1 mprj_io_dm[31] *56:4 2.93119 +*END + +*D_NET *57 0.000206191 +*CONN +*P mprj_io_dm[32] I +*CAP +1 mprj_io_dm[32] 0.000103095 +2 *57:4 0.000103095 +3 *57:4 *58:4 0 +4 *54:4 *57:4 0 +*RES +1 mprj_io_dm[32] *57:4 2.93119 +*END + +*D_NET *58 0.000206191 +*CONN +*P mprj_io_holdover[10] I +*CAP +1 mprj_io_holdover[10] 0.000103095 +2 *58:4 0.000103095 +3 *58:4 *62:4 0 +4 *57:4 *58:4 0 +*RES +1 mprj_io_holdover[10] *58:4 2.93119 +*END + +*D_NET *59 0.000206191 +*CONN +*P mprj_io_ib_mode_sel[10] I +*CAP +1 mprj_io_ib_mode_sel[10] 0.000103095 +2 *59:4 0.000103095 +3 *59:4 *61:4 0 +4 *59:4 *64:4 0 +*RES +1 mprj_io_ib_mode_sel[10] *59:4 2.93119 +*END + +*D_NET *60 0.000206191 +*CONN +*P mprj_io_inp_dis[10] I +*CAP +1 mprj_io_inp_dis[10] 0.000103095 +2 *60:4 0.000103095 +3 *53:4 *60:4 0 +*RES +1 mprj_io_inp_dis[10] *60:4 2.93119 +*END + +*D_NET *61 0.000206191 +*CONN +*P mprj_io_oeb[10] I +*CAP +1 mprj_io_oeb[10] 0.000103095 +2 *61:4 0.000103095 +3 *59:4 *61:4 0 +*RES +1 mprj_io_oeb[10] *61:4 2.93119 +*END + +*D_NET *62 0.000206191 +*CONN +*P mprj_io_out[10] I +*CAP +1 mprj_io_out[10] 0.000103095 +2 *62:4 0.000103095 +3 *58:4 *62:4 0 +*RES +1 mprj_io_out[10] *62:4 2.93119 +*END + +*D_NET *63 0.000206191 +*CONN +*P mprj_io_slow_sel[10] I +*CAP +1 mprj_io_slow_sel[10] 0.000103095 +2 *63:4 0.000103095 +3 *50:4 *63:4 0 +*RES +1 mprj_io_slow_sel[10] *63:4 2.93119 +*END + +*D_NET *64 0.000206191 +*CONN +*P mprj_io_vtrip_sel[10] I +*CAP +1 mprj_io_vtrip_sel[10] 0.000103095 +2 *64:4 0.000103095 +3 *59:4 *64:4 0 +*RES +1 mprj_io_vtrip_sel[10] *64:4 2.93119 +*END + +*D_NET *65 0.000206191 +*CONN +*P mprj_io_in[10] I +*CAP +1 mprj_io_in[10] 0.000103095 +2 *65:4 0.000103095 +*RES +1 mprj_io_in[10] *65:4 2.93119 +*END + +*D_NET *66 0.000206191 +*CONN +*P mprj_analog_io[4] I +*CAP +1 mprj_analog_io[4] 0.000103095 +2 *66:4 0.000103095 +3 *66:4 *79:4 0 +*RES +1 mprj_analog_io[4] *66:4 2.93119 +*END + +*D_NET *67 0.00529339 +*CONN +*P mprj_io[11] I +*CAP +1 mprj_io[11] 0.00264669 +2 *67:4 0.00264669 +*RES +1 mprj_io[11] *67:4 1.14635 +*END + +*D_NET *68 0.000206191 +*CONN +*P mprj_io_analog_en[11] I +*CAP +1 mprj_io_analog_en[11] 0.000103095 +2 *68:4 0.000103095 +3 *68:4 *71:4 0 +*RES +1 mprj_io_analog_en[11] *68:4 2.93119 +*END + +*D_NET *69 0.000206191 +*CONN +*P mprj_io_analog_pol[11] I +*CAP +1 mprj_io_analog_pol[11] 0.000103095 +2 *69:4 0.000103095 +3 *69:4 *71:4 0 +4 *69:4 *76:4 0 +*RES +1 mprj_io_analog_pol[11] *69:4 2.93119 +*END + +*D_NET *70 0.000206191 +*CONN +*P mprj_io_analog_sel[11] I +*CAP +1 mprj_io_analog_sel[11] 0.000103095 +2 *70:4 0.000103095 +3 *70:4 *73:4 0 +*RES +1 mprj_io_analog_sel[11] *70:4 2.93119 +*END + +*D_NET *71 0.000206191 +*CONN +*P mprj_io_dm[33] I +*CAP +1 mprj_io_dm[33] 0.000103095 +2 *71:4 0.000103095 +3 *68:4 *71:4 0 +4 *69:4 *71:4 0 +*RES +1 mprj_io_dm[33] *71:4 2.93119 +*END + +*D_NET *72 0.000206191 +*CONN +*P mprj_io_dm[34] I +*CAP +1 mprj_io_dm[34] 0.000103095 +2 *72:4 0.000103095 +*RES +1 mprj_io_dm[34] *72:4 2.93119 +*END + +*D_NET *73 0.000206191 +*CONN +*P mprj_io_dm[35] I +*CAP +1 mprj_io_dm[35] 0.000103095 +2 *73:4 0.000103095 +3 *73:4 *74:4 0 +4 *70:4 *73:4 0 +*RES +1 mprj_io_dm[35] *73:4 2.93119 +*END + +*D_NET *74 0.000206191 +*CONN +*P mprj_io_holdover[11] I +*CAP +1 mprj_io_holdover[11] 0.000103095 +2 *74:4 0.000103095 +3 *74:4 *78:4 0 +4 *73:4 *74:4 0 +*RES +1 mprj_io_holdover[11] *74:4 2.93119 +*END + +*D_NET *75 0.000206191 +*CONN +*P mprj_io_ib_mode_sel[11] I +*CAP +1 mprj_io_ib_mode_sel[11] 0.000103095 +2 *75:4 0.000103095 +3 *75:4 *77:4 0 +4 *75:4 *80:4 0 +*RES +1 mprj_io_ib_mode_sel[11] *75:4 2.93119 +*END + +*D_NET *76 0.000206191 +*CONN +*P mprj_io_inp_dis[11] I +*CAP +1 mprj_io_inp_dis[11] 0.000103095 +2 *76:4 0.000103095 +3 *69:4 *76:4 0 +*RES +1 mprj_io_inp_dis[11] *76:4 2.93119 +*END + +*D_NET *77 0.000206191 +*CONN +*P mprj_io_oeb[11] I +*CAP +1 mprj_io_oeb[11] 0.000103095 +2 *77:4 0.000103095 +3 *75:4 *77:4 0 +*RES +1 mprj_io_oeb[11] *77:4 2.93119 +*END + +*D_NET *78 0.000206191 +*CONN +*P mprj_io_out[11] I +*CAP +1 mprj_io_out[11] 0.000103095 +2 *78:4 0.000103095 +3 *74:4 *78:4 0 +*RES +1 mprj_io_out[11] *78:4 2.93119 +*END + +*D_NET *79 0.000206191 +*CONN +*P mprj_io_slow_sel[11] I +*CAP +1 mprj_io_slow_sel[11] 0.000103095 +2 *79:4 0.000103095 +3 *66:4 *79:4 0 +*RES +1 mprj_io_slow_sel[11] *79:4 2.93119 +*END + +*D_NET *80 0.000206191 +*CONN +*P mprj_io_vtrip_sel[11] I +*CAP +1 mprj_io_vtrip_sel[11] 0.000103095 +2 *80:4 0.000103095 +3 *75:4 *80:4 0 +*RES +1 mprj_io_vtrip_sel[11] *80:4 2.93119 +*END + +*D_NET *81 0.000206191 +*CONN +*P mprj_io_in[11] I +*CAP +1 mprj_io_in[11] 0.000103095 +2 *81:4 0.000103095 +*RES +1 mprj_io_in[11] *81:4 2.93119 +*END + +*D_NET *82 0.000206191 +*CONN +*P mprj_analog_io[5] I +*CAP +1 mprj_analog_io[5] 0.000103095 +2 *82:4 0.000103095 +3 *82:4 *95:4 0 +*RES +1 mprj_analog_io[5] *82:4 2.93119 +*END + +*D_NET *83 0.00529339 +*CONN +*P mprj_io[12] I +*CAP +1 mprj_io[12] 0.00264669 +2 *83:4 0.00264669 +*RES +1 mprj_io[12] *83:4 1.14635 +*END + +*D_NET *84 0.000206191 +*CONN +*P mprj_io_analog_en[12] I +*CAP +1 mprj_io_analog_en[12] 0.000103095 +2 *84:4 0.000103095 +3 *84:4 *87:4 0 +*RES +1 mprj_io_analog_en[12] *84:4 2.93119 +*END + +*D_NET *85 0.000206191 +*CONN +*P mprj_io_analog_pol[12] I +*CAP +1 mprj_io_analog_pol[12] 0.000103095 +2 *85:4 0.000103095 +3 *85:4 *87:4 0 +4 *85:4 *92:4 0 +*RES +1 mprj_io_analog_pol[12] *85:4 2.93119 +*END + +*D_NET *86 0.000206191 +*CONN +*P mprj_io_analog_sel[12] I +*CAP +1 mprj_io_analog_sel[12] 0.000103095 +2 *86:4 0.000103095 +3 *86:4 *89:4 0 +*RES +1 mprj_io_analog_sel[12] *86:4 2.93119 +*END + +*D_NET *87 0.000206191 +*CONN +*P mprj_io_dm[36] I +*CAP +1 mprj_io_dm[36] 0.000103095 +2 *87:4 0.000103095 +3 *84:4 *87:4 0 +4 *85:4 *87:4 0 +*RES +1 mprj_io_dm[36] *87:4 2.93119 +*END + +*D_NET *88 0.000206191 +*CONN +*P mprj_io_dm[37] I +*CAP +1 mprj_io_dm[37] 0.000103095 +2 *88:4 0.000103095 +*RES +1 mprj_io_dm[37] *88:4 2.93119 +*END + +*D_NET *89 0.000206191 +*CONN +*P mprj_io_dm[38] I +*CAP +1 mprj_io_dm[38] 0.000103095 +2 *89:4 0.000103095 +3 *89:4 *90:4 0 +4 *86:4 *89:4 0 +*RES +1 mprj_io_dm[38] *89:4 2.93119 +*END + +*D_NET *90 0.000206191 +*CONN +*P mprj_io_holdover[12] I +*CAP +1 mprj_io_holdover[12] 0.000103095 +2 *90:4 0.000103095 +3 *90:4 *94:4 0 +4 *89:4 *90:4 0 +*RES +1 mprj_io_holdover[12] *90:4 2.93119 +*END + +*D_NET *91 0.000206191 +*CONN +*P mprj_io_ib_mode_sel[12] I +*CAP +1 mprj_io_ib_mode_sel[12] 0.000103095 +2 *91:4 0.000103095 +3 *91:4 *93:4 0 +4 *91:4 *96:4 0 +*RES +1 mprj_io_ib_mode_sel[12] *91:4 2.93119 +*END + +*D_NET *92 0.000206191 +*CONN +*P mprj_io_inp_dis[12] I +*CAP +1 mprj_io_inp_dis[12] 0.000103095 +2 *92:4 0.000103095 +3 *85:4 *92:4 0 +*RES +1 mprj_io_inp_dis[12] *92:4 2.93119 +*END + +*D_NET *93 0.000206191 +*CONN +*P mprj_io_oeb[12] I +*CAP +1 mprj_io_oeb[12] 0.000103095 +2 *93:4 0.000103095 +3 *91:4 *93:4 0 +*RES +1 mprj_io_oeb[12] *93:4 2.93119 +*END + +*D_NET *94 0.000206191 +*CONN +*P mprj_io_out[12] I +*CAP +1 mprj_io_out[12] 0.000103095 +2 *94:4 0.000103095 +3 *90:4 *94:4 0 +*RES +1 mprj_io_out[12] *94:4 2.93119 +*END + +*D_NET *95 0.000206191 +*CONN +*P mprj_io_slow_sel[12] I +*CAP +1 mprj_io_slow_sel[12] 0.000103095 +2 *95:4 0.000103095 +3 *82:4 *95:4 0 +*RES +1 mprj_io_slow_sel[12] *95:4 2.93119 +*END + +*D_NET *96 0.000206191 +*CONN +*P mprj_io_vtrip_sel[12] I +*CAP +1 mprj_io_vtrip_sel[12] 0.000103095 +2 *96:4 0.000103095 +3 *91:4 *96:4 0 +*RES +1 mprj_io_vtrip_sel[12] *96:4 2.93119 +*END + +*D_NET *97 0.000206191 +*CONN +*P mprj_io_in[12] I +*CAP +1 mprj_io_in[12] 0.000103095 +2 *97:4 0.000103095 +*RES +1 mprj_io_in[12] *97:4 2.93119 +*END + +*D_NET *98 0.000206191 +*CONN +*P mprj_analog_io[6] I +*CAP +1 mprj_analog_io[6] 0.000103095 +2 *98:4 0.000103095 +3 *98:4 *111:4 0 +*RES +1 mprj_analog_io[6] *98:4 2.93119 +*END + +*D_NET *99 0.00529339 +*CONN +*P mprj_io[13] I +*CAP +1 mprj_io[13] 0.00264669 +2 *99:4 0.00264669 +*RES +1 mprj_io[13] *99:4 1.14635 +*END + +*D_NET *100 0.000206191 +*CONN +*P mprj_io_analog_en[13] I +*CAP +1 mprj_io_analog_en[13] 0.000103095 +2 *100:4 0.000103095 +3 *100:4 *103:4 0 +*RES +1 mprj_io_analog_en[13] *100:4 2.93119 +*END + +*D_NET *101 0.000206191 +*CONN +*P mprj_io_analog_pol[13] I +*CAP +1 mprj_io_analog_pol[13] 0.000103095 +2 *101:4 0.000103095 +3 *101:4 *103:4 0 +4 *101:4 *108:4 0 +*RES +1 mprj_io_analog_pol[13] *101:4 2.93119 +*END + +*D_NET *102 0.000206191 +*CONN +*P mprj_io_analog_sel[13] I +*CAP +1 mprj_io_analog_sel[13] 0.000103095 +2 *102:4 0.000103095 +3 *102:4 *105:4 0 +*RES +1 mprj_io_analog_sel[13] *102:4 2.93119 +*END + +*D_NET *103 0.000206191 +*CONN +*P mprj_io_dm[39] I +*CAP +1 mprj_io_dm[39] 0.000103095 +2 *103:4 0.000103095 +3 *100:4 *103:4 0 +4 *101:4 *103:4 0 +*RES +1 mprj_io_dm[39] *103:4 2.93119 +*END + +*D_NET *104 0.000206191 +*CONN +*P mprj_io_dm[40] I +*CAP +1 mprj_io_dm[40] 0.000103095 +2 *104:4 0.000103095 +*RES +1 mprj_io_dm[40] *104:4 2.93119 +*END + +*D_NET *105 0.000206191 +*CONN +*P mprj_io_dm[41] I +*CAP +1 mprj_io_dm[41] 0.000103095 +2 *105:4 0.000103095 +3 *105:4 *106:4 0 +4 *102:4 *105:4 0 +*RES +1 mprj_io_dm[41] *105:4 2.93119 +*END + +*D_NET *106 0.000206191 +*CONN +*P mprj_io_holdover[13] I +*CAP +1 mprj_io_holdover[13] 0.000103095 +2 *106:4 0.000103095 +3 *106:4 *110:4 0 +4 *105:4 *106:4 0 +*RES +1 mprj_io_holdover[13] *106:4 2.93119 +*END + +*D_NET *107 0.000206191 +*CONN +*P mprj_io_ib_mode_sel[13] I +*CAP +1 mprj_io_ib_mode_sel[13] 0.000103095 +2 *107:4 0.000103095 +3 *107:4 *109:4 0 +4 *107:4 *112:4 0 +*RES +1 mprj_io_ib_mode_sel[13] *107:4 2.93119 +*END + +*D_NET *108 0.000206191 +*CONN +*P mprj_io_inp_dis[13] I +*CAP +1 mprj_io_inp_dis[13] 0.000103095 +2 *108:4 0.000103095 +3 *101:4 *108:4 0 +*RES +1 mprj_io_inp_dis[13] *108:4 2.93119 +*END + +*D_NET *109 0.000206191 +*CONN +*P mprj_io_oeb[13] I +*CAP +1 mprj_io_oeb[13] 0.000103095 +2 *109:4 0.000103095 +3 *107:4 *109:4 0 +*RES +1 mprj_io_oeb[13] *109:4 2.93119 +*END + +*D_NET *110 0.000206191 +*CONN +*P mprj_io_out[13] I +*CAP +1 mprj_io_out[13] 0.000103095 +2 *110:4 0.000103095 +3 *106:4 *110:4 0 +*RES +1 mprj_io_out[13] *110:4 2.93119 +*END + +*D_NET *111 0.000206191 +*CONN +*P mprj_io_slow_sel[13] I +*CAP +1 mprj_io_slow_sel[13] 0.000103095 +2 *111:4 0.000103095 +3 *98:4 *111:4 0 +*RES +1 mprj_io_slow_sel[13] *111:4 2.93119 +*END + +*D_NET *112 0.000206191 +*CONN +*P mprj_io_vtrip_sel[13] I +*CAP +1 mprj_io_vtrip_sel[13] 0.000103095 +2 *112:4 0.000103095 +3 *107:4 *112:4 0 +*RES +1 mprj_io_vtrip_sel[13] *112:4 2.93119 +*END + +*D_NET *113 0.000206191 +*CONN +*P mprj_io_in[13] I +*CAP +1 mprj_io_in[13] 0.000103095 +2 *113:4 0.000103095 +*RES +1 mprj_io_in[13] *113:4 2.93119 +*END + +*D_NET *114 0.000206191 +*CONN +*P mprj_analog_io[7] I +*CAP +1 mprj_analog_io[7] 0.000103095 +2 *114:4 0.000103095 +3 *114:4 *127:4 0 +*RES +1 mprj_analog_io[7] *114:4 2.93119 +*END + +*D_NET *115 0.00529339 +*CONN +*P mprj_io[14] I +*CAP +1 mprj_io[14] 0.00264669 +2 *115:4 0.00264669 +*RES +1 mprj_io[14] *115:4 1.14635 +*END + +*D_NET *116 0.000206191 +*CONN +*P mprj_io_analog_en[14] I +*CAP +1 mprj_io_analog_en[14] 0.000103095 +2 *116:4 0.000103095 +3 *116:4 *119:4 0 +*RES +1 mprj_io_analog_en[14] *116:4 2.93119 +*END + +*D_NET *117 0.000206191 +*CONN +*P mprj_io_analog_pol[14] I +*CAP +1 mprj_io_analog_pol[14] 0.000103095 +2 *117:4 0.000103095 +3 *117:4 *119:4 0 +4 *117:4 *124:4 0 +*RES +1 mprj_io_analog_pol[14] *117:4 2.93119 +*END + +*D_NET *118 0.000206191 +*CONN +*P mprj_io_analog_sel[14] I +*CAP +1 mprj_io_analog_sel[14] 0.000103095 +2 *118:4 0.000103095 +3 *118:4 *121:4 0 +*RES +1 mprj_io_analog_sel[14] *118:4 2.93119 +*END + +*D_NET *119 0.000206191 +*CONN +*P mprj_io_dm[42] I +*CAP +1 mprj_io_dm[42] 0.000103095 +2 *119:4 0.000103095 +3 *116:4 *119:4 0 +4 *117:4 *119:4 0 +*RES +1 mprj_io_dm[42] *119:4 2.93119 +*END + +*D_NET *120 0.000206191 +*CONN +*P mprj_io_dm[43] I +*CAP +1 mprj_io_dm[43] 0.000103095 +2 *120:4 0.000103095 +*RES +1 mprj_io_dm[43] *120:4 2.93119 +*END + +*D_NET *121 0.000206191 +*CONN +*P mprj_io_dm[44] I +*CAP +1 mprj_io_dm[44] 0.000103095 +2 *121:4 0.000103095 +3 *121:4 *122:4 0 +4 *118:4 *121:4 0 +*RES +1 mprj_io_dm[44] *121:4 2.93119 +*END + +*D_NET *122 0.000206191 +*CONN +*P mprj_io_holdover[14] I +*CAP +1 mprj_io_holdover[14] 0.000103095 +2 *122:4 0.000103095 +3 *122:4 *126:4 0 +4 *121:4 *122:4 0 +*RES +1 mprj_io_holdover[14] *122:4 2.93119 +*END + +*D_NET *123 0.000206191 +*CONN +*P mprj_io_ib_mode_sel[14] I +*CAP +1 mprj_io_ib_mode_sel[14] 0.000103095 +2 *123:4 0.000103095 +3 *123:4 *125:4 0 +4 *123:4 *128:4 0 +*RES +1 mprj_io_ib_mode_sel[14] *123:4 2.93119 +*END + +*D_NET *124 0.000206191 +*CONN +*P mprj_io_inp_dis[14] I +*CAP +1 mprj_io_inp_dis[14] 0.000103095 +2 *124:4 0.000103095 +3 *117:4 *124:4 0 +*RES +1 mprj_io_inp_dis[14] *124:4 2.93119 +*END + +*D_NET *125 0.000206191 +*CONN +*P mprj_io_oeb[14] I +*CAP +1 mprj_io_oeb[14] 0.000103095 +2 *125:4 0.000103095 +3 *123:4 *125:4 0 +*RES +1 mprj_io_oeb[14] *125:4 2.93119 +*END + +*D_NET *126 0.000206191 +*CONN +*P mprj_io_out[14] I +*CAP +1 mprj_io_out[14] 0.000103095 +2 *126:4 0.000103095 +3 *122:4 *126:4 0 +*RES +1 mprj_io_out[14] *126:4 2.93119 +*END + +*D_NET *127 0.000206191 +*CONN +*P mprj_io_slow_sel[14] I +*CAP +1 mprj_io_slow_sel[14] 0.000103095 +2 *127:4 0.000103095 +3 *114:4 *127:4 0 +*RES +1 mprj_io_slow_sel[14] *127:4 2.93119 +*END + +*D_NET *128 0.000206191 +*CONN +*P mprj_io_vtrip_sel[14] I +*CAP +1 mprj_io_vtrip_sel[14] 0.000103095 +2 *128:4 0.000103095 +3 *123:4 *128:4 0 +*RES +1 mprj_io_vtrip_sel[14] *128:4 2.93119 +*END + +*D_NET *129 0.000206191 +*CONN +*P mprj_io_in[14] I +*CAP +1 mprj_io_in[14] 0.000103095 +2 *129:4 0.000103095 +*RES +1 mprj_io_in[14] *129:4 2.93119 +*END + +*D_NET *130 2.40556e-05 +*CONN +*P mprj_analog_io[8] I +*CAP +1 mprj_analog_io[8] 1.20278e-05 +2 *130:4 1.20278e-05 +*RES +1 mprj_analog_io[8] *130:4 0.341972 +*END + +*D_NET *132 2.40556e-05 +*CONN +*P mprj_io_analog_en[15] I +*CAP +1 mprj_io_analog_en[15] 1.20278e-05 +2 *132:4 1.20278e-05 +*RES +1 mprj_io_analog_en[15] *132:4 0.341972 +*END + +*D_NET *133 2.40556e-05 +*CONN +*P mprj_io_analog_pol[15] I +*CAP +1 mprj_io_analog_pol[15] 1.20278e-05 +2 *133:4 1.20278e-05 +*RES +1 mprj_io_analog_pol[15] *133:4 0.341972 +*END + +*D_NET *134 2.40556e-05 +*CONN +*P mprj_io_analog_sel[15] I +*CAP +1 mprj_io_analog_sel[15] 1.20278e-05 +2 *134:4 1.20278e-05 +*RES +1 mprj_io_analog_sel[15] *134:4 0.341972 +*END + +*D_NET *135 2.40556e-05 +*CONN +*P mprj_io_dm[45] I +*CAP +1 mprj_io_dm[45] 1.20278e-05 +2 *135:4 1.20278e-05 +*RES +1 mprj_io_dm[45] *135:4 0.341972 +*END + +*D_NET *136 2.40556e-05 +*CONN +*P mprj_io_dm[46] I +*CAP +1 mprj_io_dm[46] 1.20278e-05 +2 *136:4 1.20278e-05 +*RES +1 mprj_io_dm[46] *136:4 0.341972 +*END + +*D_NET *137 2.40556e-05 +*CONN +*P mprj_io_dm[47] I +*CAP +1 mprj_io_dm[47] 1.20278e-05 +2 *137:4 1.20278e-05 +*RES +1 mprj_io_dm[47] *137:4 0.341972 +*END + +*D_NET *138 2.40556e-05 +*CONN +*P mprj_io_holdover[15] I +*CAP +1 mprj_io_holdover[15] 1.20278e-05 +2 *138:4 1.20278e-05 +*RES +1 mprj_io_holdover[15] *138:4 0.341972 +*END + +*D_NET *139 2.40556e-05 +*CONN +*P mprj_io_ib_mode_sel[15] I +*CAP +1 mprj_io_ib_mode_sel[15] 1.20278e-05 +2 *139:4 1.20278e-05 +*RES +1 mprj_io_ib_mode_sel[15] *139:4 0.341972 +*END + +*D_NET *140 2.40556e-05 +*CONN +*P mprj_io_inp_dis[15] I +*CAP +1 mprj_io_inp_dis[15] 1.20278e-05 +2 *140:4 1.20278e-05 +*RES +1 mprj_io_inp_dis[15] *140:4 0.341972 +*END + +*D_NET *141 2.40556e-05 +*CONN +*P mprj_io_oeb[15] I +*CAP +1 mprj_io_oeb[15] 1.20278e-05 +2 *141:4 1.20278e-05 +*RES +1 mprj_io_oeb[15] *141:4 0.341972 +*END + +*D_NET *142 2.40556e-05 +*CONN +*P mprj_io_out[15] I +*CAP +1 mprj_io_out[15] 1.20278e-05 +2 *142:4 1.20278e-05 +*RES +1 mprj_io_out[15] *142:4 0.341972 +*END + +*D_NET *143 2.40556e-05 +*CONN +*P mprj_io_slow_sel[15] I +*CAP +1 mprj_io_slow_sel[15] 1.20278e-05 +2 *143:4 1.20278e-05 +*RES +1 mprj_io_slow_sel[15] *143:4 0.341972 +*END + +*D_NET *144 2.40556e-05 +*CONN +*P mprj_io_vtrip_sel[15] I +*CAP +1 mprj_io_vtrip_sel[15] 1.20278e-05 +2 *144:4 1.20278e-05 +*RES +1 mprj_io_vtrip_sel[15] *144:4 0.341972 +*END + +*D_NET *145 2.40556e-05 +*CONN +*P mprj_io_in[15] I +*CAP +1 mprj_io_in[15] 1.20278e-05 +2 *145:4 1.20278e-05 +*RES +1 mprj_io_in[15] *145:4 0.341972 +*END + +*D_NET *146 2.40556e-05 +*CONN +*P mprj_analog_io[9] I +*CAP +1 mprj_analog_io[9] 1.20278e-05 +2 *146:4 1.20278e-05 +*RES +1 mprj_analog_io[9] *146:4 0.341972 +*END + +*D_NET *148 2.40556e-05 +*CONN +*P mprj_io_analog_en[16] I +*CAP +1 mprj_io_analog_en[16] 1.20278e-05 +2 *148:4 1.20278e-05 +*RES +1 mprj_io_analog_en[16] *148:4 0.341972 +*END + +*D_NET *149 2.40556e-05 +*CONN +*P mprj_io_analog_pol[16] I +*CAP +1 mprj_io_analog_pol[16] 1.20278e-05 +2 *149:4 1.20278e-05 +*RES +1 mprj_io_analog_pol[16] *149:4 0.341972 +*END + +*D_NET *150 2.40556e-05 +*CONN +*P mprj_io_analog_sel[16] I +*CAP +1 mprj_io_analog_sel[16] 1.20278e-05 +2 *150:4 1.20278e-05 +*RES +1 mprj_io_analog_sel[16] *150:4 0.341972 +*END + +*D_NET *151 2.40556e-05 +*CONN +*P mprj_io_dm[48] I +*CAP +1 mprj_io_dm[48] 1.20278e-05 +2 *151:4 1.20278e-05 +*RES +1 mprj_io_dm[48] *151:4 0.341972 +*END + +*D_NET *152 2.40556e-05 +*CONN +*P mprj_io_dm[49] I +*CAP +1 mprj_io_dm[49] 1.20278e-05 +2 *152:4 1.20278e-05 +*RES +1 mprj_io_dm[49] *152:4 0.341972 +*END + +*D_NET *153 2.40556e-05 +*CONN +*P mprj_io_dm[50] I +*CAP +1 mprj_io_dm[50] 1.20278e-05 +2 *153:4 1.20278e-05 +*RES +1 mprj_io_dm[50] *153:4 0.341972 +*END + +*D_NET *154 2.40556e-05 +*CONN +*P mprj_io_holdover[16] I +*CAP +1 mprj_io_holdover[16] 1.20278e-05 +2 *154:4 1.20278e-05 +*RES +1 mprj_io_holdover[16] *154:4 0.341972 +*END + +*D_NET *155 2.40556e-05 +*CONN +*P mprj_io_ib_mode_sel[16] I +*CAP +1 mprj_io_ib_mode_sel[16] 1.20278e-05 +2 *155:4 1.20278e-05 +*RES +1 mprj_io_ib_mode_sel[16] *155:4 0.341972 +*END + +*D_NET *156 2.40556e-05 +*CONN +*P mprj_io_inp_dis[16] I +*CAP +1 mprj_io_inp_dis[16] 1.20278e-05 +2 *156:4 1.20278e-05 +*RES +1 mprj_io_inp_dis[16] *156:4 0.341972 +*END + +*D_NET *157 2.40556e-05 +*CONN +*P mprj_io_oeb[16] I +*CAP +1 mprj_io_oeb[16] 1.20278e-05 +2 *157:4 1.20278e-05 +*RES +1 mprj_io_oeb[16] *157:4 0.341972 +*END + +*D_NET *158 2.40556e-05 +*CONN +*P mprj_io_out[16] I +*CAP +1 mprj_io_out[16] 1.20278e-05 +2 *158:4 1.20278e-05 +*RES +1 mprj_io_out[16] *158:4 0.341972 +*END + +*D_NET *159 2.40556e-05 +*CONN +*P mprj_io_slow_sel[16] I +*CAP +1 mprj_io_slow_sel[16] 1.20278e-05 +2 *159:4 1.20278e-05 +*RES +1 mprj_io_slow_sel[16] *159:4 0.341972 +*END + +*D_NET *160 2.40556e-05 +*CONN +*P mprj_io_vtrip_sel[16] I +*CAP +1 mprj_io_vtrip_sel[16] 1.20278e-05 +2 *160:4 1.20278e-05 +*RES +1 mprj_io_vtrip_sel[16] *160:4 0.341972 +*END + +*D_NET *161 2.40556e-05 +*CONN +*P mprj_io_in[16] I +*CAP +1 mprj_io_in[16] 1.20278e-05 +2 *161:4 1.20278e-05 +*RES +1 mprj_io_in[16] *161:4 0.341972 +*END + +*D_NET *162 2.40556e-05 +*CONN +*P mprj_analog_io[10] I +*CAP +1 mprj_analog_io[10] 1.20278e-05 +2 *162:4 1.20278e-05 +*RES +1 mprj_analog_io[10] *162:4 0.341972 +*END + +*D_NET *164 2.40556e-05 +*CONN +*P mprj_io_analog_en[17] I +*CAP +1 mprj_io_analog_en[17] 1.20278e-05 +2 *164:4 1.20278e-05 +*RES +1 mprj_io_analog_en[17] *164:4 0.341972 +*END + +*D_NET *165 2.40556e-05 +*CONN +*P mprj_io_analog_pol[17] I +*CAP +1 mprj_io_analog_pol[17] 1.20278e-05 +2 *165:4 1.20278e-05 +*RES +1 mprj_io_analog_pol[17] *165:4 0.341972 +*END + +*D_NET *166 2.40556e-05 +*CONN +*P mprj_io_analog_sel[17] I +*CAP +1 mprj_io_analog_sel[17] 1.20278e-05 +2 *166:4 1.20278e-05 +*RES +1 mprj_io_analog_sel[17] *166:4 0.341972 +*END + +*D_NET *167 2.40556e-05 +*CONN +*P mprj_io_dm[51] I +*CAP +1 mprj_io_dm[51] 1.20278e-05 +2 *167:4 1.20278e-05 +*RES +1 mprj_io_dm[51] *167:4 0.341972 +*END + +*D_NET *168 2.40556e-05 +*CONN +*P mprj_io_dm[52] I +*CAP +1 mprj_io_dm[52] 1.20278e-05 +2 *168:4 1.20278e-05 +*RES +1 mprj_io_dm[52] *168:4 0.341972 +*END + +*D_NET *169 2.40556e-05 +*CONN +*P mprj_io_dm[53] I +*CAP +1 mprj_io_dm[53] 1.20278e-05 +2 *169:4 1.20278e-05 +*RES +1 mprj_io_dm[53] *169:4 0.341972 +*END + +*D_NET *170 2.40556e-05 +*CONN +*P mprj_io_holdover[17] I +*CAP +1 mprj_io_holdover[17] 1.20278e-05 +2 *170:4 1.20278e-05 +*RES +1 mprj_io_holdover[17] *170:4 0.341972 +*END + +*D_NET *171 2.40556e-05 +*CONN +*P mprj_io_ib_mode_sel[17] I +*CAP +1 mprj_io_ib_mode_sel[17] 1.20278e-05 +2 *171:4 1.20278e-05 +*RES +1 mprj_io_ib_mode_sel[17] *171:4 0.341972 +*END + +*D_NET *172 2.40556e-05 +*CONN +*P mprj_io_inp_dis[17] I +*CAP +1 mprj_io_inp_dis[17] 1.20278e-05 +2 *172:4 1.20278e-05 +*RES +1 mprj_io_inp_dis[17] *172:4 0.341972 +*END + +*D_NET *173 2.40556e-05 +*CONN +*P mprj_io_oeb[17] I +*CAP +1 mprj_io_oeb[17] 1.20278e-05 +2 *173:4 1.20278e-05 +*RES +1 mprj_io_oeb[17] *173:4 0.341972 +*END + +*D_NET *174 2.40556e-05 +*CONN +*P mprj_io_out[17] I +*CAP +1 mprj_io_out[17] 1.20278e-05 +2 *174:4 1.20278e-05 +*RES +1 mprj_io_out[17] *174:4 0.341972 +*END + +*D_NET *175 2.40556e-05 +*CONN +*P mprj_io_slow_sel[17] I +*CAP +1 mprj_io_slow_sel[17] 1.20278e-05 +2 *175:4 1.20278e-05 +*RES +1 mprj_io_slow_sel[17] *175:4 0.341972 +*END + +*D_NET *176 2.40556e-05 +*CONN +*P mprj_io_vtrip_sel[17] I +*CAP +1 mprj_io_vtrip_sel[17] 1.20278e-05 +2 *176:4 1.20278e-05 +*RES +1 mprj_io_vtrip_sel[17] *176:4 0.341972 +*END + +*D_NET *177 2.40556e-05 +*CONN +*P mprj_io_in[17] I +*CAP +1 mprj_io_in[17] 1.20278e-05 +2 *177:4 1.20278e-05 +*RES +1 mprj_io_in[17] *177:4 0.341972 +*END + +*D_NET *178 2.40556e-05 +*CONN +*P mprj_analog_io[11] I +*CAP +1 mprj_analog_io[11] 1.20278e-05 +2 *178:4 1.20278e-05 +*RES +1 mprj_analog_io[11] *178:4 0.341972 +*END + +*D_NET *180 2.40556e-05 +*CONN +*P mprj_io_analog_en[18] I +*CAP +1 mprj_io_analog_en[18] 1.20278e-05 +2 *180:4 1.20278e-05 +*RES +1 mprj_io_analog_en[18] *180:4 0.341972 +*END + +*D_NET *181 2.40556e-05 +*CONN +*P mprj_io_analog_pol[18] I +*CAP +1 mprj_io_analog_pol[18] 1.20278e-05 +2 *181:4 1.20278e-05 +*RES +1 mprj_io_analog_pol[18] *181:4 0.341972 +*END + +*D_NET *182 2.40556e-05 +*CONN +*P mprj_io_analog_sel[18] I +*CAP +1 mprj_io_analog_sel[18] 1.20278e-05 +2 *182:4 1.20278e-05 +*RES +1 mprj_io_analog_sel[18] *182:4 0.341972 +*END + +*D_NET *183 2.40556e-05 +*CONN +*P mprj_io_dm[54] I +*CAP +1 mprj_io_dm[54] 1.20278e-05 +2 *183:4 1.20278e-05 +*RES +1 mprj_io_dm[54] *183:4 0.341972 +*END + +*D_NET *184 2.40556e-05 +*CONN +*P mprj_io_dm[55] I +*CAP +1 mprj_io_dm[55] 1.20278e-05 +2 *184:4 1.20278e-05 +*RES +1 mprj_io_dm[55] *184:4 0.341972 +*END + +*D_NET *185 2.40556e-05 +*CONN +*P mprj_io_dm[56] I +*CAP +1 mprj_io_dm[56] 1.20278e-05 +2 *185:4 1.20278e-05 +*RES +1 mprj_io_dm[56] *185:4 0.341972 +*END + +*D_NET *186 2.40556e-05 +*CONN +*P mprj_io_holdover[18] I +*CAP +1 mprj_io_holdover[18] 1.20278e-05 +2 *186:4 1.20278e-05 +*RES +1 mprj_io_holdover[18] *186:4 0.341972 +*END + +*D_NET *187 2.40556e-05 +*CONN +*P mprj_io_ib_mode_sel[18] I +*CAP +1 mprj_io_ib_mode_sel[18] 1.20278e-05 +2 *187:4 1.20278e-05 +*RES +1 mprj_io_ib_mode_sel[18] *187:4 0.341972 +*END + +*D_NET *188 2.40556e-05 +*CONN +*P mprj_io_inp_dis[18] I +*CAP +1 mprj_io_inp_dis[18] 1.20278e-05 +2 *188:4 1.20278e-05 +*RES +1 mprj_io_inp_dis[18] *188:4 0.341972 +*END + +*D_NET *189 2.40556e-05 +*CONN +*P mprj_io_oeb[18] I +*CAP +1 mprj_io_oeb[18] 1.20278e-05 +2 *189:4 1.20278e-05 +*RES +1 mprj_io_oeb[18] *189:4 0.341972 +*END + +*D_NET *190 2.40556e-05 +*CONN +*P mprj_io_out[18] I +*CAP +1 mprj_io_out[18] 1.20278e-05 +2 *190:4 1.20278e-05 +*RES +1 mprj_io_out[18] *190:4 0.341972 +*END + +*D_NET *191 2.40556e-05 +*CONN +*P mprj_io_slow_sel[18] I +*CAP +1 mprj_io_slow_sel[18] 1.20278e-05 +2 *191:4 1.20278e-05 +*RES +1 mprj_io_slow_sel[18] *191:4 0.341972 +*END + +*D_NET *192 2.40556e-05 +*CONN +*P mprj_io_vtrip_sel[18] I +*CAP +1 mprj_io_vtrip_sel[18] 1.20278e-05 +2 *192:4 1.20278e-05 +*RES +1 mprj_io_vtrip_sel[18] *192:4 0.341972 +*END + +*D_NET *193 2.40556e-05 +*CONN +*P mprj_io_in[18] I +*CAP +1 mprj_io_in[18] 1.20278e-05 +2 *193:4 1.20278e-05 +*RES +1 mprj_io_in[18] *193:4 0.341972 +*END + +*D_NET *194 0.00529339 +*CONN +*P mprj_io[1] I +*CAP +1 mprj_io[1] 0.00264669 +2 *194:4 0.00264669 +*RES +1 mprj_io[1] *194:4 1.14635 +*END + +*D_NET *195 0.000206191 +*CONN +*P mprj_io_analog_en[1] I +*CAP +1 mprj_io_analog_en[1] 0.000103095 +2 *195:4 0.000103095 +3 *195:4 *198:4 0 +*RES +1 mprj_io_analog_en[1] *195:4 2.93119 +*END + +*D_NET *196 0.000206191 +*CONN +*P mprj_io_analog_pol[1] I +*CAP +1 mprj_io_analog_pol[1] 0.000103095 +2 *196:4 0.000103095 +3 *196:4 *198:4 0 +4 *196:4 *203:4 0 +*RES +1 mprj_io_analog_pol[1] *196:4 2.93119 +*END + +*D_NET *197 0.000206191 +*CONN +*P mprj_io_analog_sel[1] I +*CAP +1 mprj_io_analog_sel[1] 0.000103095 +2 *197:4 0.000103095 +3 *197:4 *200:4 0 +*RES +1 mprj_io_analog_sel[1] *197:4 2.93119 +*END + +*D_NET *198 0.000206191 +*CONN +*P mprj_io_dm[3] I +*CAP +1 mprj_io_dm[3] 0.000103095 +2 *198:4 0.000103095 +3 *195:4 *198:4 0 +4 *196:4 *198:4 0 +*RES +1 mprj_io_dm[3] *198:4 2.93119 +*END + +*D_NET *199 0.000206191 +*CONN +*P mprj_io_dm[4] I +*CAP +1 mprj_io_dm[4] 0.000103095 +2 *199:4 0.000103095 +*RES +1 mprj_io_dm[4] *199:4 2.93119 +*END + +*D_NET *200 0.000206191 +*CONN +*P mprj_io_dm[5] I +*CAP +1 mprj_io_dm[5] 0.000103095 +2 *200:4 0.000103095 +3 *200:4 *201:4 0 +4 *197:4 *200:4 0 +*RES +1 mprj_io_dm[5] *200:4 2.93119 +*END + +*D_NET *201 0.000206191 +*CONN +*P mprj_io_holdover[1] I +*CAP +1 mprj_io_holdover[1] 0.000103095 +2 *201:4 0.000103095 +3 *201:4 *205:4 0 +4 *200:4 *201:4 0 +*RES +1 mprj_io_holdover[1] *201:4 2.93119 +*END + +*D_NET *202 0.000206191 +*CONN +*P mprj_io_ib_mode_sel[1] I +*CAP +1 mprj_io_ib_mode_sel[1] 0.000103095 +2 *202:4 0.000103095 +3 *202:4 *204:4 0 +4 *202:4 *207:4 0 +*RES +1 mprj_io_ib_mode_sel[1] *202:4 2.93119 +*END + +*D_NET *203 0.000206191 +*CONN +*P mprj_io_inp_dis[1] I +*CAP +1 mprj_io_inp_dis[1] 0.000103095 +2 *203:4 0.000103095 +3 *196:4 *203:4 0 +*RES +1 mprj_io_inp_dis[1] *203:4 2.93119 +*END + +*D_NET *204 0.000206191 +*CONN +*P mprj_io_oeb[1] I +*CAP +1 mprj_io_oeb[1] 0.000103095 +2 *204:4 0.000103095 +3 *202:4 *204:4 0 +*RES +1 mprj_io_oeb[1] *204:4 2.93119 +*END + +*D_NET *205 0.000206191 +*CONN +*P mprj_io_out[1] I +*CAP +1 mprj_io_out[1] 0.000103095 +2 *205:4 0.000103095 +3 *201:4 *205:4 0 +*RES +1 mprj_io_out[1] *205:4 2.93119 +*END + +*D_NET *206 0.000206191 +*CONN +*P mprj_io_slow_sel[1] I +*CAP +1 mprj_io_slow_sel[1] 0.000103095 +2 *206:4 0.000103095 +*RES +1 mprj_io_slow_sel[1] *206:4 2.93119 +*END + +*D_NET *207 0.000206191 +*CONN +*P mprj_io_vtrip_sel[1] I +*CAP +1 mprj_io_vtrip_sel[1] 0.000103095 +2 *207:4 0.000103095 +3 *202:4 *207:4 0 +*RES +1 mprj_io_vtrip_sel[1] *207:4 2.93119 +*END + +*D_NET *208 0.000206191 +*CONN +*P mprj_io_in[1] I +*CAP +1 mprj_io_in[1] 0.000103095 +2 *208:4 0.000103095 +*RES +1 mprj_io_in[1] *208:4 2.93119 +*END + +*D_NET *209 0.00529339 +*CONN +*P mprj_io[2] I +*CAP +1 mprj_io[2] 0.00264669 +2 *209:4 0.00264669 +*RES +1 mprj_io[2] *209:4 1.14635 +*END + +*D_NET *210 0.000206191 +*CONN +*P mprj_io_analog_en[2] I +*CAP +1 mprj_io_analog_en[2] 0.000103095 +2 *210:4 0.000103095 +3 *210:4 *213:4 0 +*RES +1 mprj_io_analog_en[2] *210:4 2.93119 +*END + +*D_NET *211 0.000206191 +*CONN +*P mprj_io_analog_pol[2] I +*CAP +1 mprj_io_analog_pol[2] 0.000103095 +2 *211:4 0.000103095 +3 *211:4 *213:4 0 +4 *211:4 *218:4 0 +*RES +1 mprj_io_analog_pol[2] *211:4 2.93119 +*END + +*D_NET *212 0.000206191 +*CONN +*P mprj_io_analog_sel[2] I +*CAP +1 mprj_io_analog_sel[2] 0.000103095 +2 *212:4 0.000103095 +3 *212:4 *215:4 0 +*RES +1 mprj_io_analog_sel[2] *212:4 2.93119 +*END + +*D_NET *213 0.000206191 +*CONN +*P mprj_io_dm[6] I +*CAP +1 mprj_io_dm[6] 0.000103095 +2 *213:4 0.000103095 +3 *210:4 *213:4 0 +4 *211:4 *213:4 0 +*RES +1 mprj_io_dm[6] *213:4 2.93119 +*END + +*D_NET *214 0.000206191 +*CONN +*P mprj_io_dm[7] I +*CAP +1 mprj_io_dm[7] 0.000103095 +2 *214:4 0.000103095 +*RES +1 mprj_io_dm[7] *214:4 2.93119 +*END + +*D_NET *215 0.000206191 +*CONN +*P mprj_io_dm[8] I +*CAP +1 mprj_io_dm[8] 0.000103095 +2 *215:4 0.000103095 +3 *215:4 *216:4 0 +4 *212:4 *215:4 0 +*RES +1 mprj_io_dm[8] *215:4 2.93119 +*END + +*D_NET *216 0.000206191 +*CONN +*P mprj_io_holdover[2] I +*CAP +1 mprj_io_holdover[2] 0.000103095 +2 *216:4 0.000103095 +3 *216:4 *220:4 0 +4 *215:4 *216:4 0 +*RES +1 mprj_io_holdover[2] *216:4 2.93119 +*END + +*D_NET *217 0.000206191 +*CONN +*P mprj_io_ib_mode_sel[2] I +*CAP +1 mprj_io_ib_mode_sel[2] 0.000103095 +2 *217:4 0.000103095 +3 *217:4 *219:4 0 +4 *217:4 *222:4 0 +*RES +1 mprj_io_ib_mode_sel[2] *217:4 2.93119 +*END + +*D_NET *218 0.000206191 +*CONN +*P mprj_io_inp_dis[2] I +*CAP +1 mprj_io_inp_dis[2] 0.000103095 +2 *218:4 0.000103095 +3 *211:4 *218:4 0 +*RES +1 mprj_io_inp_dis[2] *218:4 2.93119 +*END + +*D_NET *219 0.000206191 +*CONN +*P mprj_io_oeb[2] I +*CAP +1 mprj_io_oeb[2] 0.000103095 +2 *219:4 0.000103095 +3 *217:4 *219:4 0 +*RES +1 mprj_io_oeb[2] *219:4 2.93119 +*END + +*D_NET *220 0.000206191 +*CONN +*P mprj_io_out[2] I +*CAP +1 mprj_io_out[2] 0.000103095 +2 *220:4 0.000103095 +3 *216:4 *220:4 0 +*RES +1 mprj_io_out[2] *220:4 2.93119 +*END + +*D_NET *221 0.000206191 +*CONN +*P mprj_io_slow_sel[2] I +*CAP +1 mprj_io_slow_sel[2] 0.000103095 +2 *221:4 0.000103095 +*RES +1 mprj_io_slow_sel[2] *221:4 2.93119 +*END + +*D_NET *222 0.000206191 +*CONN +*P mprj_io_vtrip_sel[2] I +*CAP +1 mprj_io_vtrip_sel[2] 0.000103095 +2 *222:4 0.000103095 +3 *217:4 *222:4 0 +*RES +1 mprj_io_vtrip_sel[2] *222:4 2.93119 +*END + +*D_NET *223 0.000206191 +*CONN +*P mprj_io_in[2] I +*CAP +1 mprj_io_in[2] 0.000103095 +2 *223:4 0.000103095 +*RES +1 mprj_io_in[2] *223:4 2.93119 +*END + +*D_NET *224 0.00529339 +*CONN +*P mprj_io[3] I +*CAP +1 mprj_io[3] 0.00264669 +2 *224:4 0.00264669 +*RES +1 mprj_io[3] *224:4 1.14635 +*END + +*D_NET *225 0.000206191 +*CONN +*P mprj_io_analog_en[3] I +*CAP +1 mprj_io_analog_en[3] 0.000103095 +2 *225:4 0.000103095 +3 *225:4 *230:4 0 +*RES +1 mprj_io_analog_en[3] *225:4 2.93119 +*END + +*D_NET *226 0.000206191 +*CONN +*P mprj_io_analog_pol[3] I +*CAP +1 mprj_io_analog_pol[3] 0.000103095 +2 *226:4 0.000103095 +3 *226:4 *230:4 0 +4 *226:4 *233:4 0 +*RES +1 mprj_io_analog_pol[3] *226:4 2.93119 +*END + +*D_NET *227 0.000206191 +*CONN +*P mprj_io_analog_sel[3] I +*CAP +1 mprj_io_analog_sel[3] 0.000103095 +2 *227:4 0.000103095 +3 *227:4 *229:4 0 +*RES +1 mprj_io_analog_sel[3] *227:4 2.93119 +*END + +*D_NET *228 0.000206191 +*CONN +*P mprj_io_dm[10] I +*CAP +1 mprj_io_dm[10] 0.000103095 +2 *228:4 0.000103095 +*RES +1 mprj_io_dm[10] *228:4 2.93119 +*END + +*D_NET *229 0.000206191 +*CONN +*P mprj_io_dm[11] I +*CAP +1 mprj_io_dm[11] 0.000103095 +2 *229:4 0.000103095 +3 *229:4 *231:4 0 +4 *227:4 *229:4 0 +*RES +1 mprj_io_dm[11] *229:4 2.93119 +*END + +*D_NET *230 0.000206191 +*CONN +*P mprj_io_dm[9] I +*CAP +1 mprj_io_dm[9] 0.000103095 +2 *230:4 0.000103095 +3 *225:4 *230:4 0 +4 *226:4 *230:4 0 +*RES +1 mprj_io_dm[9] *230:4 2.93119 +*END + +*D_NET *231 0.000206191 +*CONN +*P mprj_io_holdover[3] I +*CAP +1 mprj_io_holdover[3] 0.000103095 +2 *231:4 0.000103095 +3 *231:4 *235:4 0 +4 *229:4 *231:4 0 +*RES +1 mprj_io_holdover[3] *231:4 2.93119 +*END + +*D_NET *232 0.000206191 +*CONN +*P mprj_io_ib_mode_sel[3] I +*CAP +1 mprj_io_ib_mode_sel[3] 0.000103095 +2 *232:4 0.000103095 +3 *232:4 *234:4 0 +4 *232:4 *237:4 0 +*RES +1 mprj_io_ib_mode_sel[3] *232:4 2.93119 +*END + +*D_NET *233 0.000206191 +*CONN +*P mprj_io_inp_dis[3] I +*CAP +1 mprj_io_inp_dis[3] 0.000103095 +2 *233:4 0.000103095 +3 *226:4 *233:4 0 +*RES +1 mprj_io_inp_dis[3] *233:4 2.93119 +*END + +*D_NET *234 0.000206191 +*CONN +*P mprj_io_oeb[3] I +*CAP +1 mprj_io_oeb[3] 0.000103095 +2 *234:4 0.000103095 +3 *232:4 *234:4 0 +*RES +1 mprj_io_oeb[3] *234:4 2.93119 +*END + +*D_NET *235 0.000206191 +*CONN +*P mprj_io_out[3] I +*CAP +1 mprj_io_out[3] 0.000103095 +2 *235:4 0.000103095 +3 *231:4 *235:4 0 +*RES +1 mprj_io_out[3] *235:4 2.93119 +*END + +*D_NET *236 0.000206191 +*CONN +*P mprj_io_slow_sel[3] I +*CAP +1 mprj_io_slow_sel[3] 0.000103095 +2 *236:4 0.000103095 +*RES +1 mprj_io_slow_sel[3] *236:4 2.93119 +*END + +*D_NET *237 0.000206191 +*CONN +*P mprj_io_vtrip_sel[3] I +*CAP +1 mprj_io_vtrip_sel[3] 0.000103095 +2 *237:4 0.000103095 +3 *232:4 *237:4 0 +*RES +1 mprj_io_vtrip_sel[3] *237:4 2.93119 +*END + +*D_NET *238 0.000206191 +*CONN +*P mprj_io_in[3] I +*CAP +1 mprj_io_in[3] 0.000103095 +2 *238:4 0.000103095 +*RES +1 mprj_io_in[3] *238:4 2.93119 +*END + +*D_NET *239 0.00529339 +*CONN +*P mprj_io[4] I +*CAP +1 mprj_io[4] 0.00264669 +2 *239:4 0.00264669 +*RES +1 mprj_io[4] *239:4 1.14635 +*END + +*D_NET *240 0.000206191 +*CONN +*P mprj_io_analog_en[4] I +*CAP +1 mprj_io_analog_en[4] 0.000103095 +2 *240:4 0.000103095 +3 *240:4 *243:4 0 +*RES +1 mprj_io_analog_en[4] *240:4 2.93119 +*END + +*D_NET *241 0.000206191 +*CONN +*P mprj_io_analog_pol[4] I +*CAP +1 mprj_io_analog_pol[4] 0.000103095 +2 *241:4 0.000103095 +3 *241:4 *243:4 0 +4 *241:4 *248:4 0 +*RES +1 mprj_io_analog_pol[4] *241:4 2.93119 +*END + +*D_NET *242 0.000206191 +*CONN +*P mprj_io_analog_sel[4] I +*CAP +1 mprj_io_analog_sel[4] 0.000103095 +2 *242:4 0.000103095 +3 *242:4 *245:4 0 +*RES +1 mprj_io_analog_sel[4] *242:4 2.93119 +*END + +*D_NET *243 0.000206191 +*CONN +*P mprj_io_dm[12] I +*CAP +1 mprj_io_dm[12] 0.000103095 +2 *243:4 0.000103095 +3 *240:4 *243:4 0 +4 *241:4 *243:4 0 +*RES +1 mprj_io_dm[12] *243:4 2.93119 +*END + +*D_NET *244 0.000206191 +*CONN +*P mprj_io_dm[13] I +*CAP +1 mprj_io_dm[13] 0.000103095 +2 *244:4 0.000103095 +*RES +1 mprj_io_dm[13] *244:4 2.93119 +*END + +*D_NET *245 0.000206191 +*CONN +*P mprj_io_dm[14] I +*CAP +1 mprj_io_dm[14] 0.000103095 +2 *245:4 0.000103095 +3 *245:4 *246:4 0 +4 *242:4 *245:4 0 +*RES +1 mprj_io_dm[14] *245:4 2.93119 +*END + +*D_NET *246 0.000206191 +*CONN +*P mprj_io_holdover[4] I +*CAP +1 mprj_io_holdover[4] 0.000103095 +2 *246:4 0.000103095 +3 *246:4 *250:4 0 +4 *245:4 *246:4 0 +*RES +1 mprj_io_holdover[4] *246:4 2.93119 +*END + +*D_NET *247 0.000206191 +*CONN +*P mprj_io_ib_mode_sel[4] I +*CAP +1 mprj_io_ib_mode_sel[4] 0.000103095 +2 *247:4 0.000103095 +3 *247:4 *249:4 0 +4 *247:4 *252:4 0 +*RES +1 mprj_io_ib_mode_sel[4] *247:4 2.93119 +*END + +*D_NET *248 0.000206191 +*CONN +*P mprj_io_inp_dis[4] I +*CAP +1 mprj_io_inp_dis[4] 0.000103095 +2 *248:4 0.000103095 +3 *241:4 *248:4 0 +*RES +1 mprj_io_inp_dis[4] *248:4 2.93119 +*END + +*D_NET *249 0.000206191 +*CONN +*P mprj_io_oeb[4] I +*CAP +1 mprj_io_oeb[4] 0.000103095 +2 *249:4 0.000103095 +3 *247:4 *249:4 0 +*RES +1 mprj_io_oeb[4] *249:4 2.93119 +*END + +*D_NET *250 0.000206191 +*CONN +*P mprj_io_out[4] I +*CAP +1 mprj_io_out[4] 0.000103095 +2 *250:4 0.000103095 +3 *246:4 *250:4 0 +*RES +1 mprj_io_out[4] *250:4 2.93119 +*END + +*D_NET *251 0.000206191 +*CONN +*P mprj_io_slow_sel[4] I +*CAP +1 mprj_io_slow_sel[4] 0.000103095 +2 *251:4 0.000103095 +*RES +1 mprj_io_slow_sel[4] *251:4 2.93119 +*END + +*D_NET *252 0.000206191 +*CONN +*P mprj_io_vtrip_sel[4] I +*CAP +1 mprj_io_vtrip_sel[4] 0.000103095 +2 *252:4 0.000103095 +3 *247:4 *252:4 0 +*RES +1 mprj_io_vtrip_sel[4] *252:4 2.93119 +*END + +*D_NET *253 0.000206191 +*CONN +*P mprj_io_in[4] I +*CAP +1 mprj_io_in[4] 0.000103095 +2 *253:4 0.000103095 +*RES +1 mprj_io_in[4] *253:4 2.93119 +*END + +*D_NET *254 0.00529339 +*CONN +*P mprj_io[5] I +*CAP +1 mprj_io[5] 0.00264669 +2 *254:4 0.00264669 +*RES +1 mprj_io[5] *254:4 1.14635 +*END + +*D_NET *255 0.000206191 +*CONN +*P mprj_io_analog_en[5] I +*CAP +1 mprj_io_analog_en[5] 0.000103095 +2 *255:4 0.000103095 +3 *255:4 *258:4 0 +*RES +1 mprj_io_analog_en[5] *255:4 2.93119 +*END + +*D_NET *256 0.000206191 +*CONN +*P mprj_io_analog_pol[5] I +*CAP +1 mprj_io_analog_pol[5] 0.000103095 +2 *256:4 0.000103095 +3 *256:4 *258:4 0 +4 *256:4 *263:4 0 +*RES +1 mprj_io_analog_pol[5] *256:4 2.93119 +*END + +*D_NET *257 0.000206191 +*CONN +*P mprj_io_analog_sel[5] I +*CAP +1 mprj_io_analog_sel[5] 0.000103095 +2 *257:4 0.000103095 +3 *257:4 *260:4 0 +*RES +1 mprj_io_analog_sel[5] *257:4 2.93119 +*END + +*D_NET *258 0.000206191 +*CONN +*P mprj_io_dm[15] I +*CAP +1 mprj_io_dm[15] 0.000103095 +2 *258:4 0.000103095 +3 *255:4 *258:4 0 +4 *256:4 *258:4 0 +*RES +1 mprj_io_dm[15] *258:4 2.93119 +*END + +*D_NET *259 0.000206191 +*CONN +*P mprj_io_dm[16] I +*CAP +1 mprj_io_dm[16] 0.000103095 +2 *259:4 0.000103095 +*RES +1 mprj_io_dm[16] *259:4 2.93119 +*END + +*D_NET *260 0.000206191 +*CONN +*P mprj_io_dm[17] I +*CAP +1 mprj_io_dm[17] 0.000103095 +2 *260:4 0.000103095 +3 *260:4 *261:4 0 +4 *257:4 *260:4 0 +*RES +1 mprj_io_dm[17] *260:4 2.93119 +*END + +*D_NET *261 0.000206191 +*CONN +*P mprj_io_holdover[5] I +*CAP +1 mprj_io_holdover[5] 0.000103095 +2 *261:4 0.000103095 +3 *261:4 *265:4 0 +4 *260:4 *261:4 0 +*RES +1 mprj_io_holdover[5] *261:4 2.93119 +*END + +*D_NET *262 0.000206191 +*CONN +*P mprj_io_ib_mode_sel[5] I +*CAP +1 mprj_io_ib_mode_sel[5] 0.000103095 +2 *262:4 0.000103095 +3 *262:4 *264:4 0 +4 *262:4 *267:4 0 +*RES +1 mprj_io_ib_mode_sel[5] *262:4 2.93119 +*END + +*D_NET *263 0.000206191 +*CONN +*P mprj_io_inp_dis[5] I +*CAP +1 mprj_io_inp_dis[5] 0.000103095 +2 *263:4 0.000103095 +3 *256:4 *263:4 0 +*RES +1 mprj_io_inp_dis[5] *263:4 2.93119 +*END + +*D_NET *264 0.000206191 +*CONN +*P mprj_io_oeb[5] I +*CAP +1 mprj_io_oeb[5] 0.000103095 +2 *264:4 0.000103095 +3 *262:4 *264:4 0 +*RES +1 mprj_io_oeb[5] *264:4 2.93119 +*END + +*D_NET *265 0.000206191 +*CONN +*P mprj_io_out[5] I +*CAP +1 mprj_io_out[5] 0.000103095 +2 *265:4 0.000103095 +3 *261:4 *265:4 0 +*RES +1 mprj_io_out[5] *265:4 2.93119 +*END + +*D_NET *266 0.000206191 +*CONN +*P mprj_io_slow_sel[5] I +*CAP +1 mprj_io_slow_sel[5] 0.000103095 +2 *266:4 0.000103095 +*RES +1 mprj_io_slow_sel[5] *266:4 2.93119 +*END + +*D_NET *267 0.000206191 +*CONN +*P mprj_io_vtrip_sel[5] I +*CAP +1 mprj_io_vtrip_sel[5] 0.000103095 +2 *267:4 0.000103095 +3 *262:4 *267:4 0 +*RES +1 mprj_io_vtrip_sel[5] *267:4 2.93119 +*END + +*D_NET *268 0.000206191 +*CONN +*P mprj_io_in[5] I +*CAP +1 mprj_io_in[5] 0.000103095 +2 *268:4 0.000103095 +*RES +1 mprj_io_in[5] *268:4 2.93119 +*END + +*D_NET *269 0.00529339 +*CONN +*P mprj_io[6] I +*CAP +1 mprj_io[6] 0.00264669 +2 *269:4 0.00264669 +*RES +1 mprj_io[6] *269:4 1.14635 +*END + +*D_NET *270 0.000206191 +*CONN +*P mprj_io_analog_en[6] I +*CAP +1 mprj_io_analog_en[6] 0.000103095 +2 *270:4 0.000103095 +3 *270:4 *273:4 0 +*RES +1 mprj_io_analog_en[6] *270:4 2.93119 +*END + +*D_NET *271 0.000206191 +*CONN +*P mprj_io_analog_pol[6] I +*CAP +1 mprj_io_analog_pol[6] 0.000103095 +2 *271:4 0.000103095 +3 *271:4 *273:4 0 +4 *271:4 *278:4 0 +*RES +1 mprj_io_analog_pol[6] *271:4 2.93119 +*END + +*D_NET *272 0.000206191 +*CONN +*P mprj_io_analog_sel[6] I +*CAP +1 mprj_io_analog_sel[6] 0.000103095 +2 *272:4 0.000103095 +3 *272:4 *275:4 0 +*RES +1 mprj_io_analog_sel[6] *272:4 2.93119 +*END + +*D_NET *273 0.000206191 +*CONN +*P mprj_io_dm[18] I +*CAP +1 mprj_io_dm[18] 0.000103095 +2 *273:4 0.000103095 +3 *270:4 *273:4 0 +4 *271:4 *273:4 0 +*RES +1 mprj_io_dm[18] *273:4 2.93119 +*END + +*D_NET *274 0.000206191 +*CONN +*P mprj_io_dm[19] I +*CAP +1 mprj_io_dm[19] 0.000103095 +2 *274:4 0.000103095 +*RES +1 mprj_io_dm[19] *274:4 2.93119 +*END + +*D_NET *275 0.000206191 +*CONN +*P mprj_io_dm[20] I +*CAP +1 mprj_io_dm[20] 0.000103095 +2 *275:4 0.000103095 +3 *275:4 *276:4 0 +4 *272:4 *275:4 0 +*RES +1 mprj_io_dm[20] *275:4 2.93119 +*END + +*D_NET *276 0.000206191 +*CONN +*P mprj_io_holdover[6] I +*CAP +1 mprj_io_holdover[6] 0.000103095 +2 *276:4 0.000103095 +3 *276:4 *280:4 0 +4 *275:4 *276:4 0 +*RES +1 mprj_io_holdover[6] *276:4 2.93119 +*END + +*D_NET *277 0.000206191 +*CONN +*P mprj_io_ib_mode_sel[6] I +*CAP +1 mprj_io_ib_mode_sel[6] 0.000103095 +2 *277:4 0.000103095 +3 *277:4 *279:4 0 +4 *277:4 *282:4 0 +*RES +1 mprj_io_ib_mode_sel[6] *277:4 2.93119 +*END + +*D_NET *278 0.000206191 +*CONN +*P mprj_io_inp_dis[6] I +*CAP +1 mprj_io_inp_dis[6] 0.000103095 +2 *278:4 0.000103095 +3 *271:4 *278:4 0 +*RES +1 mprj_io_inp_dis[6] *278:4 2.93119 +*END + +*D_NET *279 0.000206191 +*CONN +*P mprj_io_oeb[6] I +*CAP +1 mprj_io_oeb[6] 0.000103095 +2 *279:4 0.000103095 +3 *277:4 *279:4 0 +*RES +1 mprj_io_oeb[6] *279:4 2.93119 +*END + +*D_NET *280 0.000206191 +*CONN +*P mprj_io_out[6] I +*CAP +1 mprj_io_out[6] 0.000103095 +2 *280:4 0.000103095 +3 *276:4 *280:4 0 +*RES +1 mprj_io_out[6] *280:4 2.93119 +*END + +*D_NET *281 0.000206191 +*CONN +*P mprj_io_slow_sel[6] I +*CAP +1 mprj_io_slow_sel[6] 0.000103095 +2 *281:4 0.000103095 +*RES +1 mprj_io_slow_sel[6] *281:4 2.93119 +*END + +*D_NET *282 0.000206191 +*CONN +*P mprj_io_vtrip_sel[6] I +*CAP +1 mprj_io_vtrip_sel[6] 0.000103095 +2 *282:4 0.000103095 +3 *277:4 *282:4 0 +*RES +1 mprj_io_vtrip_sel[6] *282:4 2.93119 +*END + +*D_NET *283 0.000206191 +*CONN +*P mprj_io_in[6] I +*CAP +1 mprj_io_in[6] 0.000103095 +2 *283:4 0.000103095 +*RES +1 mprj_io_in[6] *283:4 2.93119 +*END + +*D_NET *284 0.000206191 +*CONN +*P mprj_analog_io[0] I +*CAP +1 mprj_analog_io[0] 0.000103095 +2 *284:4 0.000103095 +3 *284:4 *297:4 0 +*RES +1 mprj_analog_io[0] *284:4 2.93119 +*END + +*D_NET *285 0.00529339 +*CONN +*P mprj_io[7] I +*CAP +1 mprj_io[7] 0.00264669 +2 *285:4 0.00264669 +*RES +1 mprj_io[7] *285:4 1.14635 +*END + +*D_NET *286 0.000206191 +*CONN +*P mprj_io_analog_en[7] I +*CAP +1 mprj_io_analog_en[7] 0.000103095 +2 *286:4 0.000103095 +3 *286:4 *289:4 0 +*RES +1 mprj_io_analog_en[7] *286:4 2.93119 +*END + +*D_NET *287 0.000206191 +*CONN +*P mprj_io_analog_pol[7] I +*CAP +1 mprj_io_analog_pol[7] 0.000103095 +2 *287:4 0.000103095 +3 *287:4 *289:4 0 +4 *287:4 *294:4 0 +*RES +1 mprj_io_analog_pol[7] *287:4 2.93119 +*END + +*D_NET *288 0.000206191 +*CONN +*P mprj_io_analog_sel[7] I +*CAP +1 mprj_io_analog_sel[7] 0.000103095 +2 *288:4 0.000103095 +3 *288:4 *291:4 0 +*RES +1 mprj_io_analog_sel[7] *288:4 2.93119 +*END + +*D_NET *289 0.000206191 +*CONN +*P mprj_io_dm[21] I +*CAP +1 mprj_io_dm[21] 0.000103095 +2 *289:4 0.000103095 +3 *286:4 *289:4 0 +4 *287:4 *289:4 0 +*RES +1 mprj_io_dm[21] *289:4 2.93119 +*END + +*D_NET *290 0.000206191 +*CONN +*P mprj_io_dm[22] I +*CAP +1 mprj_io_dm[22] 0.000103095 +2 *290:4 0.000103095 +*RES +1 mprj_io_dm[22] *290:4 2.93119 +*END + +*D_NET *291 0.000206191 +*CONN +*P mprj_io_dm[23] I +*CAP +1 mprj_io_dm[23] 0.000103095 +2 *291:4 0.000103095 +3 *291:4 *292:4 0 +4 *288:4 *291:4 0 +*RES +1 mprj_io_dm[23] *291:4 2.93119 +*END + +*D_NET *292 0.000206191 +*CONN +*P mprj_io_holdover[7] I +*CAP +1 mprj_io_holdover[7] 0.000103095 +2 *292:4 0.000103095 +3 *292:4 *296:4 0 +4 *291:4 *292:4 0 +*RES +1 mprj_io_holdover[7] *292:4 2.93119 +*END + +*D_NET *293 0.000206191 +*CONN +*P mprj_io_ib_mode_sel[7] I +*CAP +1 mprj_io_ib_mode_sel[7] 0.000103095 +2 *293:4 0.000103095 +3 *293:4 *295:4 0 +4 *293:4 *298:4 0 +*RES +1 mprj_io_ib_mode_sel[7] *293:4 2.93119 +*END + +*D_NET *294 0.000206191 +*CONN +*P mprj_io_inp_dis[7] I +*CAP +1 mprj_io_inp_dis[7] 0.000103095 +2 *294:4 0.000103095 +3 *287:4 *294:4 0 +*RES +1 mprj_io_inp_dis[7] *294:4 2.93119 +*END + +*D_NET *295 0.000206191 +*CONN +*P mprj_io_oeb[7] I +*CAP +1 mprj_io_oeb[7] 0.000103095 +2 *295:4 0.000103095 +3 *293:4 *295:4 0 +*RES +1 mprj_io_oeb[7] *295:4 2.93119 +*END + +*D_NET *296 0.000206191 +*CONN +*P mprj_io_out[7] I +*CAP +1 mprj_io_out[7] 0.000103095 +2 *296:4 0.000103095 +3 *292:4 *296:4 0 +*RES +1 mprj_io_out[7] *296:4 2.93119 +*END + +*D_NET *297 0.000206191 +*CONN +*P mprj_io_slow_sel[7] I +*CAP +1 mprj_io_slow_sel[7] 0.000103095 +2 *297:4 0.000103095 +3 *284:4 *297:4 0 +*RES +1 mprj_io_slow_sel[7] *297:4 2.93119 +*END + +*D_NET *298 0.000206191 +*CONN +*P mprj_io_vtrip_sel[7] I +*CAP +1 mprj_io_vtrip_sel[7] 0.000103095 +2 *298:4 0.000103095 +3 *293:4 *298:4 0 +*RES +1 mprj_io_vtrip_sel[7] *298:4 2.93119 +*END + +*D_NET *299 0.000206191 +*CONN +*P mprj_io_in[7] I +*CAP +1 mprj_io_in[7] 0.000103095 +2 *299:4 0.000103095 +*RES +1 mprj_io_in[7] *299:4 2.93119 +*END + +*D_NET *300 0.000206191 +*CONN +*P mprj_analog_io[1] I +*CAP +1 mprj_analog_io[1] 0.000103095 +2 *300:4 0.000103095 +3 *300:4 *313:4 0 +*RES +1 mprj_analog_io[1] *300:4 2.93119 +*END + +*D_NET *301 0.00529339 +*CONN +*P mprj_io[8] I +*CAP +1 mprj_io[8] 0.00264669 +2 *301:4 0.00264669 +*RES +1 mprj_io[8] *301:4 1.14635 +*END + +*D_NET *302 0.000206191 +*CONN +*P mprj_io_analog_en[8] I +*CAP +1 mprj_io_analog_en[8] 0.000103095 +2 *302:4 0.000103095 +3 *302:4 *305:4 0 +*RES +1 mprj_io_analog_en[8] *302:4 2.93119 +*END + +*D_NET *303 0.000206191 +*CONN +*P mprj_io_analog_pol[8] I +*CAP +1 mprj_io_analog_pol[8] 0.000103095 +2 *303:4 0.000103095 +3 *303:4 *305:4 0 +4 *303:4 *310:4 0 +*RES +1 mprj_io_analog_pol[8] *303:4 2.93119 +*END + +*D_NET *304 0.000206191 +*CONN +*P mprj_io_analog_sel[8] I +*CAP +1 mprj_io_analog_sel[8] 0.000103095 +2 *304:4 0.000103095 +3 *304:4 *307:4 0 +*RES +1 mprj_io_analog_sel[8] *304:4 2.93119 +*END + +*D_NET *305 0.000206191 +*CONN +*P mprj_io_dm[24] I +*CAP +1 mprj_io_dm[24] 0.000103095 +2 *305:4 0.000103095 +3 *302:4 *305:4 0 +4 *303:4 *305:4 0 +*RES +1 mprj_io_dm[24] *305:4 2.93119 +*END + +*D_NET *306 0.000206191 +*CONN +*P mprj_io_dm[25] I +*CAP +1 mprj_io_dm[25] 0.000103095 +2 *306:4 0.000103095 +*RES +1 mprj_io_dm[25] *306:4 2.93119 +*END + +*D_NET *307 0.000206191 +*CONN +*P mprj_io_dm[26] I +*CAP +1 mprj_io_dm[26] 0.000103095 +2 *307:4 0.000103095 +3 *307:4 *308:4 0 +4 *304:4 *307:4 0 +*RES +1 mprj_io_dm[26] *307:4 2.93119 +*END + +*D_NET *308 0.000206191 +*CONN +*P mprj_io_holdover[8] I +*CAP +1 mprj_io_holdover[8] 0.000103095 +2 *308:4 0.000103095 +3 *308:4 *312:4 0 +4 *307:4 *308:4 0 +*RES +1 mprj_io_holdover[8] *308:4 2.93119 +*END + +*D_NET *309 0.000206191 +*CONN +*P mprj_io_ib_mode_sel[8] I +*CAP +1 mprj_io_ib_mode_sel[8] 0.000103095 +2 *309:4 0.000103095 +3 *309:4 *311:4 0 +4 *309:4 *314:4 0 +*RES +1 mprj_io_ib_mode_sel[8] *309:4 2.93119 +*END + +*D_NET *310 0.000206191 +*CONN +*P mprj_io_inp_dis[8] I +*CAP +1 mprj_io_inp_dis[8] 0.000103095 +2 *310:4 0.000103095 +3 *303:4 *310:4 0 +*RES +1 mprj_io_inp_dis[8] *310:4 2.93119 +*END + +*D_NET *311 0.000206191 +*CONN +*P mprj_io_oeb[8] I +*CAP +1 mprj_io_oeb[8] 0.000103095 +2 *311:4 0.000103095 +3 *309:4 *311:4 0 +*RES +1 mprj_io_oeb[8] *311:4 2.93119 +*END + +*D_NET *312 0.000206191 +*CONN +*P mprj_io_out[8] I +*CAP +1 mprj_io_out[8] 0.000103095 +2 *312:4 0.000103095 +3 *308:4 *312:4 0 +*RES +1 mprj_io_out[8] *312:4 2.93119 +*END + +*D_NET *313 0.000206191 +*CONN +*P mprj_io_slow_sel[8] I +*CAP +1 mprj_io_slow_sel[8] 0.000103095 +2 *313:4 0.000103095 +3 *300:4 *313:4 0 +*RES +1 mprj_io_slow_sel[8] *313:4 2.93119 +*END + +*D_NET *314 0.000206191 +*CONN +*P mprj_io_vtrip_sel[8] I +*CAP +1 mprj_io_vtrip_sel[8] 0.000103095 +2 *314:4 0.000103095 +3 *309:4 *314:4 0 +*RES +1 mprj_io_vtrip_sel[8] *314:4 2.93119 +*END + +*D_NET *315 0.000206191 +*CONN +*P mprj_io_in[8] I +*CAP +1 mprj_io_in[8] 0.000103095 +2 *315:4 0.000103095 +*RES +1 mprj_io_in[8] *315:4 2.93119 +*END + +*D_NET *316 0.000206191 +*CONN +*P mprj_analog_io[2] I +*CAP +1 mprj_analog_io[2] 0.000103095 +2 *316:4 0.000103095 +3 *316:4 *329:4 0 +*RES +1 mprj_analog_io[2] *316:4 2.93119 +*END + +*D_NET *317 0.00529339 +*CONN +*P mprj_io[9] I +*CAP +1 mprj_io[9] 0.00264669 +2 *317:4 0.00264669 +*RES +1 mprj_io[9] *317:4 1.14635 +*END + +*D_NET *318 0.000206191 +*CONN +*P mprj_io_analog_en[9] I +*CAP +1 mprj_io_analog_en[9] 0.000103095 +2 *318:4 0.000103095 +3 *318:4 *321:4 0 +*RES +1 mprj_io_analog_en[9] *318:4 2.93119 +*END + +*D_NET *319 0.000206191 +*CONN +*P mprj_io_analog_pol[9] I +*CAP +1 mprj_io_analog_pol[9] 0.000103095 +2 *319:4 0.000103095 +3 *319:4 *321:4 0 +4 *319:4 *326:4 0 +*RES +1 mprj_io_analog_pol[9] *319:4 2.93119 +*END + +*D_NET *320 0.000206191 +*CONN +*P mprj_io_analog_sel[9] I +*CAP +1 mprj_io_analog_sel[9] 0.000103095 +2 *320:4 0.000103095 +3 *320:4 *323:4 0 +*RES +1 mprj_io_analog_sel[9] *320:4 2.93119 +*END + +*D_NET *321 0.000206191 +*CONN +*P mprj_io_dm[27] I +*CAP +1 mprj_io_dm[27] 0.000103095 +2 *321:4 0.000103095 +3 *318:4 *321:4 0 +4 *319:4 *321:4 0 +*RES +1 mprj_io_dm[27] *321:4 2.93119 +*END + +*D_NET *322 0.000206191 +*CONN +*P mprj_io_dm[28] I +*CAP +1 mprj_io_dm[28] 0.000103095 +2 *322:4 0.000103095 +*RES +1 mprj_io_dm[28] *322:4 2.93119 +*END + +*D_NET *323 0.000206191 +*CONN +*P mprj_io_dm[29] I +*CAP +1 mprj_io_dm[29] 0.000103095 +2 *323:4 0.000103095 +3 *323:4 *324:4 0 +4 *320:4 *323:4 0 +*RES +1 mprj_io_dm[29] *323:4 2.93119 +*END + +*D_NET *324 0.000206191 +*CONN +*P mprj_io_holdover[9] I +*CAP +1 mprj_io_holdover[9] 0.000103095 +2 *324:4 0.000103095 +3 *324:4 *328:4 0 +4 *323:4 *324:4 0 +*RES +1 mprj_io_holdover[9] *324:4 2.93119 +*END + +*D_NET *325 0.000206191 +*CONN +*P mprj_io_ib_mode_sel[9] I +*CAP +1 mprj_io_ib_mode_sel[9] 0.000103095 +2 *325:4 0.000103095 +3 *325:4 *327:4 0 +4 *325:4 *330:4 0 +*RES +1 mprj_io_ib_mode_sel[9] *325:4 2.93119 +*END + +*D_NET *326 0.000206191 +*CONN +*P mprj_io_inp_dis[9] I +*CAP +1 mprj_io_inp_dis[9] 0.000103095 +2 *326:4 0.000103095 +3 *319:4 *326:4 0 +*RES +1 mprj_io_inp_dis[9] *326:4 2.93119 +*END + +*D_NET *327 0.000206191 +*CONN +*P mprj_io_oeb[9] I +*CAP +1 mprj_io_oeb[9] 0.000103095 +2 *327:4 0.000103095 +3 *325:4 *327:4 0 +*RES +1 mprj_io_oeb[9] *327:4 2.93119 +*END + +*D_NET *328 0.000206191 +*CONN +*P mprj_io_out[9] I +*CAP +1 mprj_io_out[9] 0.000103095 +2 *328:4 0.000103095 +3 *324:4 *328:4 0 +*RES +1 mprj_io_out[9] *328:4 2.93119 +*END + +*D_NET *329 0.000206191 +*CONN +*P mprj_io_slow_sel[9] I +*CAP +1 mprj_io_slow_sel[9] 0.000103095 +2 *329:4 0.000103095 +3 *316:4 *329:4 0 +*RES +1 mprj_io_slow_sel[9] *329:4 2.93119 +*END + +*D_NET *330 0.000206191 +*CONN +*P mprj_io_vtrip_sel[9] I +*CAP +1 mprj_io_vtrip_sel[9] 0.000103095 +2 *330:4 0.000103095 +3 *325:4 *330:4 0 +*RES +1 mprj_io_vtrip_sel[9] *330:4 2.93119 +*END + +*D_NET *331 0.000206191 +*CONN +*P mprj_io_in[9] I +*CAP +1 mprj_io_in[9] 0.000103095 +2 *331:4 0.000103095 +*RES +1 mprj_io_in[9] *331:4 2.93119 +*END + +*D_NET *332 2.40556e-05 +*CONN +*P mprj_analog_io[12] I +*CAP +1 mprj_analog_io[12] 1.20278e-05 +2 *332:4 1.20278e-05 +*RES +1 mprj_analog_io[12] *332:4 0.341972 +*END + +*D_NET *334 2.40556e-05 +*CONN +*P mprj_io_analog_en[19] I +*CAP +1 mprj_io_analog_en[19] 1.20278e-05 +2 *334:4 1.20278e-05 +*RES +1 mprj_io_analog_en[19] *334:4 0.341972 +*END + +*D_NET *335 2.40556e-05 +*CONN +*P mprj_io_analog_pol[19] I +*CAP +1 mprj_io_analog_pol[19] 1.20278e-05 +2 *335:4 1.20278e-05 +*RES +1 mprj_io_analog_pol[19] *335:4 0.341972 +*END + +*D_NET *336 2.40556e-05 +*CONN +*P mprj_io_analog_sel[19] I +*CAP +1 mprj_io_analog_sel[19] 1.20278e-05 +2 *336:4 1.20278e-05 +*RES +1 mprj_io_analog_sel[19] *336:4 0.341972 +*END + +*D_NET *337 2.40556e-05 +*CONN +*P mprj_io_dm[57] I +*CAP +1 mprj_io_dm[57] 1.20278e-05 +2 *337:4 1.20278e-05 +*RES +1 mprj_io_dm[57] *337:4 0.341972 +*END + +*D_NET *338 2.40556e-05 +*CONN +*P mprj_io_dm[58] I +*CAP +1 mprj_io_dm[58] 1.20278e-05 +2 *338:4 1.20278e-05 +*RES +1 mprj_io_dm[58] *338:4 0.341972 +*END + +*D_NET *339 2.40556e-05 +*CONN +*P mprj_io_dm[59] I +*CAP +1 mprj_io_dm[59] 1.20278e-05 +2 *339:4 1.20278e-05 +*RES +1 mprj_io_dm[59] *339:4 0.341972 +*END + +*D_NET *340 2.40556e-05 +*CONN +*P mprj_io_holdover[19] I +*CAP +1 mprj_io_holdover[19] 1.20278e-05 +2 *340:4 1.20278e-05 +*RES +1 mprj_io_holdover[19] *340:4 0.341972 +*END + +*D_NET *341 2.40556e-05 +*CONN +*P mprj_io_ib_mode_sel[19] I +*CAP +1 mprj_io_ib_mode_sel[19] 1.20278e-05 +2 *341:4 1.20278e-05 +*RES +1 mprj_io_ib_mode_sel[19] *341:4 0.341972 +*END + +*D_NET *342 2.40556e-05 +*CONN +*P mprj_io_inp_dis[19] I +*CAP +1 mprj_io_inp_dis[19] 1.20278e-05 +2 *342:4 1.20278e-05 +*RES +1 mprj_io_inp_dis[19] *342:4 0.341972 +*END + +*D_NET *343 2.40556e-05 +*CONN +*P mprj_io_oeb[19] I +*CAP +1 mprj_io_oeb[19] 1.20278e-05 +2 *343:4 1.20278e-05 +*RES +1 mprj_io_oeb[19] *343:4 0.341972 +*END + +*D_NET *344 2.40556e-05 +*CONN +*P mprj_io_out[19] I +*CAP +1 mprj_io_out[19] 1.20278e-05 +2 *344:4 1.20278e-05 +*RES +1 mprj_io_out[19] *344:4 0.341972 +*END + +*D_NET *345 2.40556e-05 +*CONN +*P mprj_io_slow_sel[19] I +*CAP +1 mprj_io_slow_sel[19] 1.20278e-05 +2 *345:4 1.20278e-05 +*RES +1 mprj_io_slow_sel[19] *345:4 0.341972 +*END + +*D_NET *346 2.40556e-05 +*CONN +*P mprj_io_vtrip_sel[19] I +*CAP +1 mprj_io_vtrip_sel[19] 1.20278e-05 +2 *346:4 1.20278e-05 +*RES +1 mprj_io_vtrip_sel[19] *346:4 0.341972 +*END + +*D_NET *347 2.40556e-05 +*CONN +*P mprj_io_in[19] I +*CAP +1 mprj_io_in[19] 1.20278e-05 +2 *347:4 1.20278e-05 +*RES +1 mprj_io_in[19] *347:4 0.341972 +*END + +*D_NET *348 0.000206191 +*CONN +*P mprj_analog_io[22] I +*CAP +1 mprj_analog_io[22] 0.000103095 +2 *348:4 0.000103095 +3 *348:4 *361:4 0 +*RES +1 mprj_analog_io[22] *348:4 2.93119 +*END + +*D_NET *350 0.000206191 +*CONN +*P mprj_io_analog_en[29] I +*CAP +1 mprj_io_analog_en[29] 0.000103095 +2 *350:4 0.000103095 +3 *350:4 *353:4 0 +*RES +1 mprj_io_analog_en[29] *350:4 2.93119 +*END + +*D_NET *351 0.000206191 +*CONN +*P mprj_io_analog_pol[29] I +*CAP +1 mprj_io_analog_pol[29] 0.000103095 +2 *351:4 0.000103095 +3 *351:4 *353:4 0 +4 *351:4 *358:4 0 +*RES +1 mprj_io_analog_pol[29] *351:4 2.93119 +*END + +*D_NET *352 0.000206191 +*CONN +*P mprj_io_analog_sel[29] I +*CAP +1 mprj_io_analog_sel[29] 0.000103095 +2 *352:4 0.000103095 +3 *352:4 *355:4 0 +*RES +1 mprj_io_analog_sel[29] *352:4 2.93119 +*END + +*D_NET *353 0.000206191 +*CONN +*P mprj_io_dm[87] I +*CAP +1 mprj_io_dm[87] 0.000103095 +2 *353:4 0.000103095 +3 *350:4 *353:4 0 +4 *351:4 *353:4 0 +*RES +1 mprj_io_dm[87] *353:4 2.93119 +*END + +*D_NET *354 0.000206191 +*CONN +*P mprj_io_dm[88] I +*CAP +1 mprj_io_dm[88] 0.000103095 +2 *354:4 0.000103095 +*RES +1 mprj_io_dm[88] *354:4 2.93119 +*END + +*D_NET *355 0.000206191 +*CONN +*P mprj_io_dm[89] I +*CAP +1 mprj_io_dm[89] 0.000103095 +2 *355:4 0.000103095 +3 *355:4 *356:4 0 +4 *352:4 *355:4 0 +*RES +1 mprj_io_dm[89] *355:4 2.93119 +*END + +*D_NET *356 0.000206191 +*CONN +*P mprj_io_holdover[29] I +*CAP +1 mprj_io_holdover[29] 0.000103095 +2 *356:4 0.000103095 +3 *356:4 *360:4 0 +4 *355:4 *356:4 0 +*RES +1 mprj_io_holdover[29] *356:4 2.93119 +*END + +*D_NET *357 0.000206191 +*CONN +*P mprj_io_ib_mode_sel[29] I +*CAP +1 mprj_io_ib_mode_sel[29] 0.000103095 +2 *357:4 0.000103095 +3 *357:4 *359:4 0 +4 *357:4 *362:4 0 +*RES +1 mprj_io_ib_mode_sel[29] *357:4 2.93119 +*END + +*D_NET *358 0.000206191 +*CONN +*P mprj_io_inp_dis[29] I +*CAP +1 mprj_io_inp_dis[29] 0.000103095 +2 *358:4 0.000103095 +3 *351:4 *358:4 0 +*RES +1 mprj_io_inp_dis[29] *358:4 2.93119 +*END + +*D_NET *359 0.000206191 +*CONN +*P mprj_io_oeb[29] I +*CAP +1 mprj_io_oeb[29] 0.000103095 +2 *359:4 0.000103095 +3 *357:4 *359:4 0 +*RES +1 mprj_io_oeb[29] *359:4 2.93119 +*END + +*D_NET *360 0.000206191 +*CONN +*P mprj_io_out[29] I +*CAP +1 mprj_io_out[29] 0.000103095 +2 *360:4 0.000103095 +3 *356:4 *360:4 0 +*RES +1 mprj_io_out[29] *360:4 2.93119 +*END + +*D_NET *361 0.000206191 +*CONN +*P mprj_io_slow_sel[29] I +*CAP +1 mprj_io_slow_sel[29] 0.000103095 +2 *361:4 0.000103095 +3 *348:4 *361:4 0 +*RES +1 mprj_io_slow_sel[29] *361:4 2.93119 +*END + +*D_NET *362 0.000206191 +*CONN +*P mprj_io_vtrip_sel[29] I +*CAP +1 mprj_io_vtrip_sel[29] 0.000103095 +2 *362:4 0.000103095 +3 *357:4 *362:4 0 +*RES +1 mprj_io_vtrip_sel[29] *362:4 2.93119 +*END + +*D_NET *363 0.000206191 +*CONN +*P mprj_io_in[29] I +*CAP +1 mprj_io_in[29] 0.000103095 +2 *363:4 0.000103095 +*RES +1 mprj_io_in[29] *363:4 2.93119 +*END + +*D_NET *364 0.000206191 +*CONN +*P mprj_analog_io[23] I +*CAP +1 mprj_analog_io[23] 0.000103095 +2 *364:4 0.000103095 +3 *364:4 *377:4 0 +*RES +1 mprj_analog_io[23] *364:4 2.93119 +*END + +*D_NET *366 0.000206191 +*CONN +*P mprj_io_analog_en[30] I +*CAP +1 mprj_io_analog_en[30] 0.000103095 +2 *366:4 0.000103095 +3 *366:4 *369:4 0 +*RES +1 mprj_io_analog_en[30] *366:4 2.93119 +*END + +*D_NET *367 0.000206191 +*CONN +*P mprj_io_analog_pol[30] I +*CAP +1 mprj_io_analog_pol[30] 0.000103095 +2 *367:4 0.000103095 +3 *367:4 *369:4 0 +4 *367:4 *374:4 0 +*RES +1 mprj_io_analog_pol[30] *367:4 2.93119 +*END + +*D_NET *368 0.000206191 +*CONN +*P mprj_io_analog_sel[30] I +*CAP +1 mprj_io_analog_sel[30] 0.000103095 +2 *368:4 0.000103095 +3 *368:4 *371:4 0 +*RES +1 mprj_io_analog_sel[30] *368:4 2.93119 +*END + +*D_NET *369 0.000206191 +*CONN +*P mprj_io_dm[90] I +*CAP +1 mprj_io_dm[90] 0.000103095 +2 *369:4 0.000103095 +3 *366:4 *369:4 0 +4 *367:4 *369:4 0 +*RES +1 mprj_io_dm[90] *369:4 2.93119 +*END + +*D_NET *370 0.000206191 +*CONN +*P mprj_io_dm[91] I +*CAP +1 mprj_io_dm[91] 0.000103095 +2 *370:4 0.000103095 +*RES +1 mprj_io_dm[91] *370:4 2.93119 +*END + +*D_NET *371 0.000206191 +*CONN +*P mprj_io_dm[92] I +*CAP +1 mprj_io_dm[92] 0.000103095 +2 *371:4 0.000103095 +3 *371:4 *372:4 0 +4 *368:4 *371:4 0 +*RES +1 mprj_io_dm[92] *371:4 2.93119 +*END + +*D_NET *372 0.000206191 +*CONN +*P mprj_io_holdover[30] I +*CAP +1 mprj_io_holdover[30] 0.000103095 +2 *372:4 0.000103095 +3 *372:4 *376:4 0 +4 *371:4 *372:4 0 +*RES +1 mprj_io_holdover[30] *372:4 2.93119 +*END + +*D_NET *373 0.000206191 +*CONN +*P mprj_io_ib_mode_sel[30] I +*CAP +1 mprj_io_ib_mode_sel[30] 0.000103095 +2 *373:4 0.000103095 +3 *373:4 *375:4 0 +4 *373:4 *378:4 0 +*RES +1 mprj_io_ib_mode_sel[30] *373:4 2.93119 +*END + +*D_NET *374 0.000206191 +*CONN +*P mprj_io_inp_dis[30] I +*CAP +1 mprj_io_inp_dis[30] 0.000103095 +2 *374:4 0.000103095 +3 *367:4 *374:4 0 +*RES +1 mprj_io_inp_dis[30] *374:4 2.93119 +*END + +*D_NET *375 0.000206191 +*CONN +*P mprj_io_oeb[30] I +*CAP +1 mprj_io_oeb[30] 0.000103095 +2 *375:4 0.000103095 +3 *373:4 *375:4 0 +*RES +1 mprj_io_oeb[30] *375:4 2.93119 +*END + +*D_NET *376 0.000206191 +*CONN +*P mprj_io_out[30] I +*CAP +1 mprj_io_out[30] 0.000103095 +2 *376:4 0.000103095 +3 *372:4 *376:4 0 +*RES +1 mprj_io_out[30] *376:4 2.93119 +*END + +*D_NET *377 0.000206191 +*CONN +*P mprj_io_slow_sel[30] I +*CAP +1 mprj_io_slow_sel[30] 0.000103095 +2 *377:4 0.000103095 +3 *364:4 *377:4 0 +*RES +1 mprj_io_slow_sel[30] *377:4 2.93119 +*END + +*D_NET *378 0.000206191 +*CONN +*P mprj_io_vtrip_sel[30] I +*CAP +1 mprj_io_vtrip_sel[30] 0.000103095 +2 *378:4 0.000103095 +3 *373:4 *378:4 0 +*RES +1 mprj_io_vtrip_sel[30] *378:4 2.93119 +*END + +*D_NET *379 0.000206191 +*CONN +*P mprj_io_in[30] I +*CAP +1 mprj_io_in[30] 0.000103095 +2 *379:4 0.000103095 +*RES +1 mprj_io_in[30] *379:4 2.93119 +*END + +*D_NET *380 0.000206191 +*CONN +*P mprj_analog_io[24] I +*CAP +1 mprj_analog_io[24] 0.000103095 +2 *380:4 0.000103095 +3 *380:4 *393:4 0 +*RES +1 mprj_analog_io[24] *380:4 2.93119 +*END + +*D_NET *382 0.000206191 +*CONN +*P mprj_io_analog_en[31] I +*CAP +1 mprj_io_analog_en[31] 0.000103095 +2 *382:4 0.000103095 +3 *382:4 *385:4 0 +*RES +1 mprj_io_analog_en[31] *382:4 2.93119 +*END + +*D_NET *383 0.000206191 +*CONN +*P mprj_io_analog_pol[31] I +*CAP +1 mprj_io_analog_pol[31] 0.000103095 +2 *383:4 0.000103095 +3 *383:4 *385:4 0 +4 *383:4 *390:4 0 +*RES +1 mprj_io_analog_pol[31] *383:4 2.93119 +*END + +*D_NET *384 0.000206191 +*CONN +*P mprj_io_analog_sel[31] I +*CAP +1 mprj_io_analog_sel[31] 0.000103095 +2 *384:4 0.000103095 +3 *384:4 *387:4 0 +*RES +1 mprj_io_analog_sel[31] *384:4 2.93119 +*END + +*D_NET *385 0.000206191 +*CONN +*P mprj_io_dm[93] I +*CAP +1 mprj_io_dm[93] 0.000103095 +2 *385:4 0.000103095 +3 *382:4 *385:4 0 +4 *383:4 *385:4 0 +*RES +1 mprj_io_dm[93] *385:4 2.93119 +*END + +*D_NET *386 0.000206191 +*CONN +*P mprj_io_dm[94] I +*CAP +1 mprj_io_dm[94] 0.000103095 +2 *386:4 0.000103095 +*RES +1 mprj_io_dm[94] *386:4 2.93119 +*END + +*D_NET *387 0.000206191 +*CONN +*P mprj_io_dm[95] I +*CAP +1 mprj_io_dm[95] 0.000103095 +2 *387:4 0.000103095 +3 *387:4 *388:4 0 +4 *384:4 *387:4 0 +*RES +1 mprj_io_dm[95] *387:4 2.93119 +*END + +*D_NET *388 0.000206191 +*CONN +*P mprj_io_holdover[31] I +*CAP +1 mprj_io_holdover[31] 0.000103095 +2 *388:4 0.000103095 +3 *388:4 *392:4 0 +4 *387:4 *388:4 0 +*RES +1 mprj_io_holdover[31] *388:4 2.93119 +*END + +*D_NET *389 0.000206191 +*CONN +*P mprj_io_ib_mode_sel[31] I +*CAP +1 mprj_io_ib_mode_sel[31] 0.000103095 +2 *389:4 0.000103095 +3 *389:4 *391:4 0 +4 *389:4 *394:4 0 +*RES +1 mprj_io_ib_mode_sel[31] *389:4 2.93119 +*END + +*D_NET *390 0.000206191 +*CONN +*P mprj_io_inp_dis[31] I +*CAP +1 mprj_io_inp_dis[31] 0.000103095 +2 *390:4 0.000103095 +3 *383:4 *390:4 0 +*RES +1 mprj_io_inp_dis[31] *390:4 2.93119 +*END + +*D_NET *391 0.000206191 +*CONN +*P mprj_io_oeb[31] I +*CAP +1 mprj_io_oeb[31] 0.000103095 +2 *391:4 0.000103095 +3 *389:4 *391:4 0 +*RES +1 mprj_io_oeb[31] *391:4 2.93119 +*END + +*D_NET *392 0.000206191 +*CONN +*P mprj_io_out[31] I +*CAP +1 mprj_io_out[31] 0.000103095 +2 *392:4 0.000103095 +3 *388:4 *392:4 0 +*RES +1 mprj_io_out[31] *392:4 2.93119 +*END + +*D_NET *393 0.000206191 +*CONN +*P mprj_io_slow_sel[31] I +*CAP +1 mprj_io_slow_sel[31] 0.000103095 +2 *393:4 0.000103095 +3 *380:4 *393:4 0 +*RES +1 mprj_io_slow_sel[31] *393:4 2.93119 +*END + +*D_NET *394 0.000206191 +*CONN +*P mprj_io_vtrip_sel[31] I +*CAP +1 mprj_io_vtrip_sel[31] 0.000103095 +2 *394:4 0.000103095 +3 *389:4 *394:4 0 +*RES +1 mprj_io_vtrip_sel[31] *394:4 2.93119 +*END + +*D_NET *395 0.000206191 +*CONN +*P mprj_io_in[31] I +*CAP +1 mprj_io_in[31] 0.000103095 +2 *395:4 0.000103095 +*RES +1 mprj_io_in[31] *395:4 2.93119 +*END + +*D_NET *396 0.000206191 +*CONN +*P mprj_analog_io[25] I +*CAP +1 mprj_analog_io[25] 0.000103095 +2 *396:4 0.000103095 +3 *396:4 *409:4 0 +*RES +1 mprj_analog_io[25] *396:4 2.93119 +*END + +*D_NET *398 0.000206191 +*CONN +*P mprj_io_analog_en[32] I +*CAP +1 mprj_io_analog_en[32] 0.000103095 +2 *398:4 0.000103095 +3 *398:4 *401:4 0 +*RES +1 mprj_io_analog_en[32] *398:4 2.93119 +*END + +*D_NET *399 0.000206191 +*CONN +*P mprj_io_analog_pol[32] I +*CAP +1 mprj_io_analog_pol[32] 0.000103095 +2 *399:4 0.000103095 +3 *399:4 *401:4 0 +4 *399:4 *406:4 0 +*RES +1 mprj_io_analog_pol[32] *399:4 2.93119 +*END + +*D_NET *400 0.000206191 +*CONN +*P mprj_io_analog_sel[32] I +*CAP +1 mprj_io_analog_sel[32] 0.000103095 +2 *400:4 0.000103095 +3 *400:4 *403:4 0 +*RES +1 mprj_io_analog_sel[32] *400:4 2.93119 +*END + +*D_NET *401 0.000206191 +*CONN +*P mprj_io_dm[96] I +*CAP +1 mprj_io_dm[96] 0.000103095 +2 *401:4 0.000103095 +3 *398:4 *401:4 0 +4 *399:4 *401:4 0 +*RES +1 mprj_io_dm[96] *401:4 2.93119 +*END + +*D_NET *402 0.000206191 +*CONN +*P mprj_io_dm[97] I +*CAP +1 mprj_io_dm[97] 0.000103095 +2 *402:4 0.000103095 +*RES +1 mprj_io_dm[97] *402:4 2.93119 +*END + +*D_NET *403 0.000206191 +*CONN +*P mprj_io_dm[98] I +*CAP +1 mprj_io_dm[98] 0.000103095 +2 *403:4 0.000103095 +3 *403:4 *404:4 0 +4 *400:4 *403:4 0 +*RES +1 mprj_io_dm[98] *403:4 2.93119 +*END + +*D_NET *404 0.000206191 +*CONN +*P mprj_io_holdover[32] I +*CAP +1 mprj_io_holdover[32] 0.000103095 +2 *404:4 0.000103095 +3 *404:4 *408:4 0 +4 *403:4 *404:4 0 +*RES +1 mprj_io_holdover[32] *404:4 2.93119 +*END + +*D_NET *405 0.000206191 +*CONN +*P mprj_io_ib_mode_sel[32] I +*CAP +1 mprj_io_ib_mode_sel[32] 0.000103095 +2 *405:4 0.000103095 +3 *405:4 *407:4 0 +4 *405:4 *410:4 0 +*RES +1 mprj_io_ib_mode_sel[32] *405:4 2.93119 +*END + +*D_NET *406 0.000206191 +*CONN +*P mprj_io_inp_dis[32] I +*CAP +1 mprj_io_inp_dis[32] 0.000103095 +2 *406:4 0.000103095 +3 *399:4 *406:4 0 +*RES +1 mprj_io_inp_dis[32] *406:4 2.93119 +*END + +*D_NET *407 0.000206191 +*CONN +*P mprj_io_oeb[32] I +*CAP +1 mprj_io_oeb[32] 0.000103095 +2 *407:4 0.000103095 +3 *405:4 *407:4 0 +*RES +1 mprj_io_oeb[32] *407:4 2.93119 +*END + +*D_NET *408 0.000206191 +*CONN +*P mprj_io_out[32] I +*CAP +1 mprj_io_out[32] 0.000103095 +2 *408:4 0.000103095 +3 *404:4 *408:4 0 +*RES +1 mprj_io_out[32] *408:4 2.93119 +*END + +*D_NET *409 0.000206191 +*CONN +*P mprj_io_slow_sel[32] I +*CAP +1 mprj_io_slow_sel[32] 0.000103095 +2 *409:4 0.000103095 +3 *396:4 *409:4 0 +*RES +1 mprj_io_slow_sel[32] *409:4 2.93119 +*END + +*D_NET *410 0.000206191 +*CONN +*P mprj_io_vtrip_sel[32] I +*CAP +1 mprj_io_vtrip_sel[32] 0.000103095 +2 *410:4 0.000103095 +3 *405:4 *410:4 0 +*RES +1 mprj_io_vtrip_sel[32] *410:4 2.93119 +*END + +*D_NET *411 0.000206191 +*CONN +*P mprj_io_in[32] I +*CAP +1 mprj_io_in[32] 0.000103095 +2 *411:4 0.000103095 +*RES +1 mprj_io_in[32] *411:4 2.93119 +*END + +*D_NET *412 0.000206191 +*CONN +*P mprj_analog_io[26] I +*CAP +1 mprj_analog_io[26] 0.000103095 +2 *412:4 0.000103095 +3 *412:4 *425:4 0 +*RES +1 mprj_analog_io[26] *412:4 2.93119 +*END + +*D_NET *414 0.000206191 +*CONN +*P mprj_io_analog_en[33] I +*CAP +1 mprj_io_analog_en[33] 0.000103095 +2 *414:4 0.000103095 +3 *414:4 *419:4 0 +*RES +1 mprj_io_analog_en[33] *414:4 2.93119 +*END + +*D_NET *415 0.000206191 +*CONN +*P mprj_io_analog_pol[33] I +*CAP +1 mprj_io_analog_pol[33] 0.000103095 +2 *415:4 0.000103095 +3 *415:4 *419:4 0 +4 *415:4 *422:4 0 +*RES +1 mprj_io_analog_pol[33] *415:4 2.93119 +*END + +*D_NET *416 0.000206191 +*CONN +*P mprj_io_analog_sel[33] I +*CAP +1 mprj_io_analog_sel[33] 0.000103095 +2 *416:4 0.000103095 +3 *416:4 *418:4 0 +*RES +1 mprj_io_analog_sel[33] *416:4 2.93119 +*END + +*D_NET *417 0.000206191 +*CONN +*P mprj_io_dm[100] I +*CAP +1 mprj_io_dm[100] 0.000103095 +2 *417:4 0.000103095 +*RES +1 mprj_io_dm[100] *417:4 2.93119 +*END + +*D_NET *418 0.000206191 +*CONN +*P mprj_io_dm[101] I +*CAP +1 mprj_io_dm[101] 0.000103095 +2 *418:4 0.000103095 +3 *418:4 *420:4 0 +4 *416:4 *418:4 0 +*RES +1 mprj_io_dm[101] *418:4 2.93119 +*END + +*D_NET *419 0.000206191 +*CONN +*P mprj_io_dm[99] I +*CAP +1 mprj_io_dm[99] 0.000103095 +2 *419:4 0.000103095 +3 *414:4 *419:4 0 +4 *415:4 *419:4 0 +*RES +1 mprj_io_dm[99] *419:4 2.93119 +*END + +*D_NET *420 0.000206191 +*CONN +*P mprj_io_holdover[33] I +*CAP +1 mprj_io_holdover[33] 0.000103095 +2 *420:4 0.000103095 +3 *420:4 *424:4 0 +4 *418:4 *420:4 0 +*RES +1 mprj_io_holdover[33] *420:4 2.93119 +*END + +*D_NET *421 0.000206191 +*CONN +*P mprj_io_ib_mode_sel[33] I +*CAP +1 mprj_io_ib_mode_sel[33] 0.000103095 +2 *421:4 0.000103095 +3 *421:4 *423:4 0 +4 *421:4 *426:4 0 +*RES +1 mprj_io_ib_mode_sel[33] *421:4 2.93119 +*END + +*D_NET *422 0.000206191 +*CONN +*P mprj_io_inp_dis[33] I +*CAP +1 mprj_io_inp_dis[33] 0.000103095 +2 *422:4 0.000103095 +3 *415:4 *422:4 0 +*RES +1 mprj_io_inp_dis[33] *422:4 2.93119 +*END + +*D_NET *423 0.000206191 +*CONN +*P mprj_io_oeb[33] I +*CAP +1 mprj_io_oeb[33] 0.000103095 +2 *423:4 0.000103095 +3 *421:4 *423:4 0 +*RES +1 mprj_io_oeb[33] *423:4 2.93119 +*END + +*D_NET *424 0.000206191 +*CONN +*P mprj_io_out[33] I +*CAP +1 mprj_io_out[33] 0.000103095 +2 *424:4 0.000103095 +3 *420:4 *424:4 0 +*RES +1 mprj_io_out[33] *424:4 2.93119 +*END + +*D_NET *425 0.000206191 +*CONN +*P mprj_io_slow_sel[33] I +*CAP +1 mprj_io_slow_sel[33] 0.000103095 +2 *425:4 0.000103095 +3 *412:4 *425:4 0 +*RES +1 mprj_io_slow_sel[33] *425:4 2.93119 +*END + +*D_NET *426 0.000206191 +*CONN +*P mprj_io_vtrip_sel[33] I +*CAP +1 mprj_io_vtrip_sel[33] 0.000103095 +2 *426:4 0.000103095 +3 *421:4 *426:4 0 +*RES +1 mprj_io_vtrip_sel[33] *426:4 2.93119 +*END + +*D_NET *427 0.000206191 +*CONN +*P mprj_io_in[33] I +*CAP +1 mprj_io_in[33] 0.000103095 +2 *427:4 0.000103095 +*RES +1 mprj_io_in[33] *427:4 2.93119 +*END + +*D_NET *428 0.000206191 +*CONN +*P mprj_analog_io[27] I +*CAP +1 mprj_analog_io[27] 0.000103095 +2 *428:4 0.000103095 +3 *428:4 *441:4 0 +*RES +1 mprj_analog_io[27] *428:4 2.93119 +*END + +*D_NET *430 0.000206191 +*CONN +*P mprj_io_analog_en[34] I +*CAP +1 mprj_io_analog_en[34] 0.000103095 +2 *430:4 0.000103095 +3 *430:4 *433:4 0 +*RES +1 mprj_io_analog_en[34] *430:4 2.93119 +*END + +*D_NET *431 0.000206191 +*CONN +*P mprj_io_analog_pol[34] I +*CAP +1 mprj_io_analog_pol[34] 0.000103095 +2 *431:4 0.000103095 +3 *431:4 *433:4 0 +4 *431:4 *438:4 0 +*RES +1 mprj_io_analog_pol[34] *431:4 2.93119 +*END + +*D_NET *432 0.000206191 +*CONN +*P mprj_io_analog_sel[34] I +*CAP +1 mprj_io_analog_sel[34] 0.000103095 +2 *432:4 0.000103095 +3 *432:4 *435:4 0 +*RES +1 mprj_io_analog_sel[34] *432:4 2.93119 +*END + +*D_NET *433 0.000206191 +*CONN +*P mprj_io_dm[102] I +*CAP +1 mprj_io_dm[102] 0.000103095 +2 *433:4 0.000103095 +3 *430:4 *433:4 0 +4 *431:4 *433:4 0 +*RES +1 mprj_io_dm[102] *433:4 2.93119 +*END + +*D_NET *434 0.000206191 +*CONN +*P mprj_io_dm[103] I +*CAP +1 mprj_io_dm[103] 0.000103095 +2 *434:4 0.000103095 +*RES +1 mprj_io_dm[103] *434:4 2.93119 +*END + +*D_NET *435 0.000206191 +*CONN +*P mprj_io_dm[104] I +*CAP +1 mprj_io_dm[104] 0.000103095 +2 *435:4 0.000103095 +3 *435:4 *436:4 0 +4 *432:4 *435:4 0 +*RES +1 mprj_io_dm[104] *435:4 2.93119 +*END + +*D_NET *436 0.000206191 +*CONN +*P mprj_io_holdover[34] I +*CAP +1 mprj_io_holdover[34] 0.000103095 +2 *436:4 0.000103095 +3 *436:4 *440:4 0 +4 *435:4 *436:4 0 +*RES +1 mprj_io_holdover[34] *436:4 2.93119 +*END + +*D_NET *437 0.000206191 +*CONN +*P mprj_io_ib_mode_sel[34] I +*CAP +1 mprj_io_ib_mode_sel[34] 0.000103095 +2 *437:4 0.000103095 +3 *437:4 *439:4 0 +4 *437:4 *442:4 0 +*RES +1 mprj_io_ib_mode_sel[34] *437:4 2.93119 +*END + +*D_NET *438 0.000206191 +*CONN +*P mprj_io_inp_dis[34] I +*CAP +1 mprj_io_inp_dis[34] 0.000103095 +2 *438:4 0.000103095 +3 *431:4 *438:4 0 +*RES +1 mprj_io_inp_dis[34] *438:4 2.93119 +*END + +*D_NET *439 0.000206191 +*CONN +*P mprj_io_oeb[34] I +*CAP +1 mprj_io_oeb[34] 0.000103095 +2 *439:4 0.000103095 +3 *437:4 *439:4 0 +*RES +1 mprj_io_oeb[34] *439:4 2.93119 +*END + +*D_NET *440 0.000206191 +*CONN +*P mprj_io_out[34] I +*CAP +1 mprj_io_out[34] 0.000103095 +2 *440:4 0.000103095 +3 *436:4 *440:4 0 +*RES +1 mprj_io_out[34] *440:4 2.93119 +*END + +*D_NET *441 0.000206191 +*CONN +*P mprj_io_slow_sel[34] I +*CAP +1 mprj_io_slow_sel[34] 0.000103095 +2 *441:4 0.000103095 +3 *428:4 *441:4 0 +*RES +1 mprj_io_slow_sel[34] *441:4 2.93119 +*END + +*D_NET *442 0.000206191 +*CONN +*P mprj_io_vtrip_sel[34] I +*CAP +1 mprj_io_vtrip_sel[34] 0.000103095 +2 *442:4 0.000103095 +3 *437:4 *442:4 0 +*RES +1 mprj_io_vtrip_sel[34] *442:4 2.93119 +*END + +*D_NET *443 0.000206191 +*CONN +*P mprj_io_in[34] I +*CAP +1 mprj_io_in[34] 0.000103095 +2 *443:4 0.000103095 +*RES +1 mprj_io_in[34] *443:4 2.93119 +*END + +*D_NET *444 0.000206191 +*CONN +*P mprj_analog_io[28] I +*CAP +1 mprj_analog_io[28] 0.000103095 +2 *444:4 0.000103095 +3 *444:4 *457:4 0 +*RES +1 mprj_analog_io[28] *444:4 2.93119 +*END + +*D_NET *446 0.000206191 +*CONN +*P mprj_io_analog_en[35] I +*CAP +1 mprj_io_analog_en[35] 0.000103095 +2 *446:4 0.000103095 +3 *446:4 *449:4 0 +*RES +1 mprj_io_analog_en[35] *446:4 2.93119 +*END + +*D_NET *447 0.000206191 +*CONN +*P mprj_io_analog_pol[35] I +*CAP +1 mprj_io_analog_pol[35] 0.000103095 +2 *447:4 0.000103095 +3 *447:4 *449:4 0 +4 *447:4 *454:4 0 +*RES +1 mprj_io_analog_pol[35] *447:4 2.93119 +*END + +*D_NET *448 0.000206191 +*CONN +*P mprj_io_analog_sel[35] I +*CAP +1 mprj_io_analog_sel[35] 0.000103095 +2 *448:4 0.000103095 +3 *448:4 *451:4 0 +*RES +1 mprj_io_analog_sel[35] *448:4 2.93119 +*END + +*D_NET *449 0.000206191 +*CONN +*P mprj_io_dm[105] I +*CAP +1 mprj_io_dm[105] 0.000103095 +2 *449:4 0.000103095 +3 *446:4 *449:4 0 +4 *447:4 *449:4 0 +*RES +1 mprj_io_dm[105] *449:4 2.93119 +*END + +*D_NET *450 0.000206191 +*CONN +*P mprj_io_dm[106] I +*CAP +1 mprj_io_dm[106] 0.000103095 +2 *450:4 0.000103095 +*RES +1 mprj_io_dm[106] *450:4 2.93119 +*END + +*D_NET *451 0.000206191 +*CONN +*P mprj_io_dm[107] I +*CAP +1 mprj_io_dm[107] 0.000103095 +2 *451:4 0.000103095 +3 *451:4 *452:4 0 +4 *448:4 *451:4 0 +*RES +1 mprj_io_dm[107] *451:4 2.93119 +*END + +*D_NET *452 0.000206191 +*CONN +*P mprj_io_holdover[35] I +*CAP +1 mprj_io_holdover[35] 0.000103095 +2 *452:4 0.000103095 +3 *452:4 *456:4 0 +4 *451:4 *452:4 0 +*RES +1 mprj_io_holdover[35] *452:4 2.93119 +*END + +*D_NET *453 0.000206191 +*CONN +*P mprj_io_ib_mode_sel[35] I +*CAP +1 mprj_io_ib_mode_sel[35] 0.000103095 +2 *453:4 0.000103095 +3 *453:4 *455:4 0 +4 *453:4 *458:4 0 +*RES +1 mprj_io_ib_mode_sel[35] *453:4 2.93119 +*END + +*D_NET *454 0.000206191 +*CONN +*P mprj_io_inp_dis[35] I +*CAP +1 mprj_io_inp_dis[35] 0.000103095 +2 *454:4 0.000103095 +3 *447:4 *454:4 0 +*RES +1 mprj_io_inp_dis[35] *454:4 2.93119 +*END + +*D_NET *455 0.000206191 +*CONN +*P mprj_io_oeb[35] I +*CAP +1 mprj_io_oeb[35] 0.000103095 +2 *455:4 0.000103095 +3 *453:4 *455:4 0 +*RES +1 mprj_io_oeb[35] *455:4 2.93119 +*END + +*D_NET *456 0.000206191 +*CONN +*P mprj_io_out[35] I +*CAP +1 mprj_io_out[35] 0.000103095 +2 *456:4 0.000103095 +3 *452:4 *456:4 0 +*RES +1 mprj_io_out[35] *456:4 2.93119 +*END + +*D_NET *457 0.000206191 +*CONN +*P mprj_io_slow_sel[35] I +*CAP +1 mprj_io_slow_sel[35] 0.000103095 +2 *457:4 0.000103095 +3 *444:4 *457:4 0 +*RES +1 mprj_io_slow_sel[35] *457:4 2.93119 +*END + +*D_NET *458 0.000206191 +*CONN +*P mprj_io_vtrip_sel[35] I +*CAP +1 mprj_io_vtrip_sel[35] 0.000103095 +2 *458:4 0.000103095 +3 *453:4 *458:4 0 +*RES +1 mprj_io_vtrip_sel[35] *458:4 2.93119 +*END + +*D_NET *459 0.000206191 +*CONN +*P mprj_io_in[35] I +*CAP +1 mprj_io_in[35] 0.000103095 +2 *459:4 0.000103095 +*RES +1 mprj_io_in[35] *459:4 2.93119 +*END + +*D_NET *461 0.000206191 +*CONN +*P mprj_io_analog_en[36] I +*CAP +1 mprj_io_analog_en[36] 0.000103095 +2 *461:4 0.000103095 +3 *461:4 *464:4 0 +*RES +1 mprj_io_analog_en[36] *461:4 2.93119 +*END + +*D_NET *462 0.000206191 +*CONN +*P mprj_io_analog_pol[36] I +*CAP +1 mprj_io_analog_pol[36] 0.000103095 +2 *462:4 0.000103095 +3 *462:4 *464:4 0 +4 *462:4 *469:4 0 +*RES +1 mprj_io_analog_pol[36] *462:4 2.93119 +*END + +*D_NET *463 0.000206191 +*CONN +*P mprj_io_analog_sel[36] I +*CAP +1 mprj_io_analog_sel[36] 0.000103095 +2 *463:4 0.000103095 +3 *463:4 *466:4 0 +*RES +1 mprj_io_analog_sel[36] *463:4 2.93119 +*END + +*D_NET *464 0.000206191 +*CONN +*P mprj_io_dm[108] I +*CAP +1 mprj_io_dm[108] 0.000103095 +2 *464:4 0.000103095 +3 *461:4 *464:4 0 +4 *462:4 *464:4 0 +*RES +1 mprj_io_dm[108] *464:4 2.93119 +*END + +*D_NET *465 0.000206191 +*CONN +*P mprj_io_dm[109] I +*CAP +1 mprj_io_dm[109] 0.000103095 +2 *465:4 0.000103095 +*RES +1 mprj_io_dm[109] *465:4 2.93119 +*END + +*D_NET *466 0.000206191 +*CONN +*P mprj_io_dm[110] I +*CAP +1 mprj_io_dm[110] 0.000103095 +2 *466:4 0.000103095 +3 *466:4 *467:4 0 +4 *463:4 *466:4 0 +*RES +1 mprj_io_dm[110] *466:4 2.93119 +*END + +*D_NET *467 0.000206191 +*CONN +*P mprj_io_holdover[36] I +*CAP +1 mprj_io_holdover[36] 0.000103095 +2 *467:4 0.000103095 +3 *467:4 *471:4 0 +4 *466:4 *467:4 0 +*RES +1 mprj_io_holdover[36] *467:4 2.93119 +*END + +*D_NET *468 0.000206191 +*CONN +*P mprj_io_ib_mode_sel[36] I +*CAP +1 mprj_io_ib_mode_sel[36] 0.000103095 +2 *468:4 0.000103095 +3 *468:4 *470:4 0 +4 *468:4 *473:4 0 +*RES +1 mprj_io_ib_mode_sel[36] *468:4 2.93119 +*END + +*D_NET *469 0.000206191 +*CONN +*P mprj_io_inp_dis[36] I +*CAP +1 mprj_io_inp_dis[36] 0.000103095 +2 *469:4 0.000103095 +3 *462:4 *469:4 0 +*RES +1 mprj_io_inp_dis[36] *469:4 2.93119 +*END + +*D_NET *470 0.000206191 +*CONN +*P mprj_io_oeb[36] I +*CAP +1 mprj_io_oeb[36] 0.000103095 +2 *470:4 0.000103095 +3 *468:4 *470:4 0 +*RES +1 mprj_io_oeb[36] *470:4 2.93119 +*END + +*D_NET *471 0.000206191 +*CONN +*P mprj_io_out[36] I +*CAP +1 mprj_io_out[36] 0.000103095 +2 *471:4 0.000103095 +3 *467:4 *471:4 0 +*RES +1 mprj_io_out[36] *471:4 2.93119 +*END + +*D_NET *472 0.000206191 +*CONN +*P mprj_io_slow_sel[36] I +*CAP +1 mprj_io_slow_sel[36] 0.000103095 +2 *472:4 0.000103095 +*RES +1 mprj_io_slow_sel[36] *472:4 2.93119 +*END + +*D_NET *473 0.000206191 +*CONN +*P mprj_io_vtrip_sel[36] I +*CAP +1 mprj_io_vtrip_sel[36] 0.000103095 +2 *473:4 0.000103095 +3 *468:4 *473:4 0 +*RES +1 mprj_io_vtrip_sel[36] *473:4 2.93119 +*END + +*D_NET *474 0.000206191 +*CONN +*P mprj_io_in[36] I +*CAP +1 mprj_io_in[36] 0.000103095 +2 *474:4 0.000103095 +*RES +1 mprj_io_in[36] *474:4 2.93119 +*END + +*D_NET *476 0.000206191 +*CONN +*P mprj_io_analog_en[37] I +*CAP +1 mprj_io_analog_en[37] 0.000103095 +2 *476:4 0.000103095 +3 *476:4 *479:4 0 +*RES +1 mprj_io_analog_en[37] *476:4 2.93119 +*END + +*D_NET *477 0.000206191 +*CONN +*P mprj_io_analog_pol[37] I +*CAP +1 mprj_io_analog_pol[37] 0.000103095 +2 *477:4 0.000103095 +3 *477:4 *479:4 0 +4 *477:4 *484:4 0 +*RES +1 mprj_io_analog_pol[37] *477:4 2.93119 +*END + +*D_NET *478 0.000206191 +*CONN +*P mprj_io_analog_sel[37] I +*CAP +1 mprj_io_analog_sel[37] 0.000103095 +2 *478:4 0.000103095 +3 *478:4 *481:4 0 +*RES +1 mprj_io_analog_sel[37] *478:4 2.93119 +*END + +*D_NET *479 0.000206191 +*CONN +*P mprj_io_dm[111] I +*CAP +1 mprj_io_dm[111] 0.000103095 +2 *479:4 0.000103095 +3 *476:4 *479:4 0 +4 *477:4 *479:4 0 +*RES +1 mprj_io_dm[111] *479:4 2.93119 +*END + +*D_NET *480 0.000206191 +*CONN +*P mprj_io_dm[112] I +*CAP +1 mprj_io_dm[112] 0.000103095 +2 *480:4 0.000103095 +*RES +1 mprj_io_dm[112] *480:4 2.93119 +*END + +*D_NET *481 0.000206191 +*CONN +*P mprj_io_dm[113] I +*CAP +1 mprj_io_dm[113] 0.000103095 +2 *481:4 0.000103095 +3 *481:4 *482:4 0 +4 *478:4 *481:4 0 +*RES +1 mprj_io_dm[113] *481:4 2.93119 +*END + +*D_NET *482 0.000206191 +*CONN +*P mprj_io_holdover[37] I +*CAP +1 mprj_io_holdover[37] 0.000103095 +2 *482:4 0.000103095 +3 *482:4 *486:4 0 +4 *481:4 *482:4 0 +*RES +1 mprj_io_holdover[37] *482:4 2.93119 +*END + +*D_NET *483 0.000206191 +*CONN +*P mprj_io_ib_mode_sel[37] I +*CAP +1 mprj_io_ib_mode_sel[37] 0.000103095 +2 *483:4 0.000103095 +3 *483:4 *485:4 0 +4 *483:4 *488:4 0 +*RES +1 mprj_io_ib_mode_sel[37] *483:4 2.93119 +*END + +*D_NET *484 0.000206191 +*CONN +*P mprj_io_inp_dis[37] I +*CAP +1 mprj_io_inp_dis[37] 0.000103095 +2 *484:4 0.000103095 +3 *477:4 *484:4 0 +*RES +1 mprj_io_inp_dis[37] *484:4 2.93119 +*END + +*D_NET *485 0.000206191 +*CONN +*P mprj_io_oeb[37] I +*CAP +1 mprj_io_oeb[37] 0.000103095 +2 *485:4 0.000103095 +3 *483:4 *485:4 0 +*RES +1 mprj_io_oeb[37] *485:4 2.93119 +*END + +*D_NET *486 0.000206191 +*CONN +*P mprj_io_out[37] I +*CAP +1 mprj_io_out[37] 0.000103095 +2 *486:4 0.000103095 +3 *482:4 *486:4 0 +*RES +1 mprj_io_out[37] *486:4 2.93119 +*END + +*D_NET *487 0.000206191 +*CONN +*P mprj_io_slow_sel[37] I +*CAP +1 mprj_io_slow_sel[37] 0.000103095 +2 *487:4 0.000103095 +*RES +1 mprj_io_slow_sel[37] *487:4 2.93119 +*END + +*D_NET *488 0.000206191 +*CONN +*P mprj_io_vtrip_sel[37] I +*CAP +1 mprj_io_vtrip_sel[37] 0.000103095 +2 *488:4 0.000103095 +3 *483:4 *488:4 0 +*RES +1 mprj_io_vtrip_sel[37] *488:4 2.93119 +*END + +*D_NET *489 0.000206191 +*CONN +*P mprj_io_in[37] I +*CAP +1 mprj_io_in[37] 0.000103095 +2 *489:4 0.000103095 +*RES +1 mprj_io_in[37] *489:4 2.93119 +*END + +*D_NET *490 2.40556e-05 +*CONN +*P mprj_analog_io[13] I +*CAP +1 mprj_analog_io[13] 1.20278e-05 +2 *490:4 1.20278e-05 +*RES +1 mprj_analog_io[13] *490:4 0.341972 +*END + +*D_NET *492 2.40556e-05 +*CONN +*P mprj_io_analog_en[20] I +*CAP +1 mprj_io_analog_en[20] 1.20278e-05 +2 *492:4 1.20278e-05 +*RES +1 mprj_io_analog_en[20] *492:4 0.341972 +*END + +*D_NET *493 2.40556e-05 +*CONN +*P mprj_io_analog_pol[20] I +*CAP +1 mprj_io_analog_pol[20] 1.20278e-05 +2 *493:4 1.20278e-05 +*RES +1 mprj_io_analog_pol[20] *493:4 0.341972 +*END + +*D_NET *494 2.40556e-05 +*CONN +*P mprj_io_analog_sel[20] I +*CAP +1 mprj_io_analog_sel[20] 1.20278e-05 +2 *494:4 1.20278e-05 +*RES +1 mprj_io_analog_sel[20] *494:4 0.341972 +*END + +*D_NET *495 2.40556e-05 +*CONN +*P mprj_io_dm[60] I +*CAP +1 mprj_io_dm[60] 1.20278e-05 +2 *495:4 1.20278e-05 +*RES +1 mprj_io_dm[60] *495:4 0.341972 +*END + +*D_NET *496 2.40556e-05 +*CONN +*P mprj_io_dm[61] I +*CAP +1 mprj_io_dm[61] 1.20278e-05 +2 *496:4 1.20278e-05 +*RES +1 mprj_io_dm[61] *496:4 0.341972 +*END + +*D_NET *497 2.40556e-05 +*CONN +*P mprj_io_dm[62] I +*CAP +1 mprj_io_dm[62] 1.20278e-05 +2 *497:4 1.20278e-05 +*RES +1 mprj_io_dm[62] *497:4 0.341972 +*END + +*D_NET *498 2.40556e-05 +*CONN +*P mprj_io_holdover[20] I +*CAP +1 mprj_io_holdover[20] 1.20278e-05 +2 *498:4 1.20278e-05 +*RES +1 mprj_io_holdover[20] *498:4 0.341972 +*END + +*D_NET *499 2.40556e-05 +*CONN +*P mprj_io_ib_mode_sel[20] I +*CAP +1 mprj_io_ib_mode_sel[20] 1.20278e-05 +2 *499:4 1.20278e-05 +*RES +1 mprj_io_ib_mode_sel[20] *499:4 0.341972 +*END + +*D_NET *500 2.40556e-05 +*CONN +*P mprj_io_inp_dis[20] I +*CAP +1 mprj_io_inp_dis[20] 1.20278e-05 +2 *500:4 1.20278e-05 +*RES +1 mprj_io_inp_dis[20] *500:4 0.341972 +*END + +*D_NET *501 2.40556e-05 +*CONN +*P mprj_io_oeb[20] I +*CAP +1 mprj_io_oeb[20] 1.20278e-05 +2 *501:4 1.20278e-05 +*RES +1 mprj_io_oeb[20] *501:4 0.341972 +*END + +*D_NET *502 2.40556e-05 +*CONN +*P mprj_io_out[20] I +*CAP +1 mprj_io_out[20] 1.20278e-05 +2 *502:4 1.20278e-05 +*RES +1 mprj_io_out[20] *502:4 0.341972 +*END + +*D_NET *503 2.40556e-05 +*CONN +*P mprj_io_slow_sel[20] I +*CAP +1 mprj_io_slow_sel[20] 1.20278e-05 +2 *503:4 1.20278e-05 +*RES +1 mprj_io_slow_sel[20] *503:4 0.341972 +*END + +*D_NET *504 2.40556e-05 +*CONN +*P mprj_io_vtrip_sel[20] I +*CAP +1 mprj_io_vtrip_sel[20] 1.20278e-05 +2 *504:4 1.20278e-05 +*RES +1 mprj_io_vtrip_sel[20] *504:4 0.341972 +*END + +*D_NET *505 2.40556e-05 +*CONN +*P mprj_io_in[20] I +*CAP +1 mprj_io_in[20] 1.20278e-05 +2 *505:4 1.20278e-05 +*RES +1 mprj_io_in[20] *505:4 0.341972 +*END + +*D_NET *506 2.40556e-05 +*CONN +*P mprj_analog_io[14] I +*CAP +1 mprj_analog_io[14] 1.20278e-05 +2 *506:4 1.20278e-05 +*RES +1 mprj_analog_io[14] *506:4 0.341972 +*END + +*D_NET *508 2.40556e-05 +*CONN +*P mprj_io_analog_en[21] I +*CAP +1 mprj_io_analog_en[21] 1.20278e-05 +2 *508:4 1.20278e-05 +*RES +1 mprj_io_analog_en[21] *508:4 0.341972 +*END + +*D_NET *509 2.40556e-05 +*CONN +*P mprj_io_analog_pol[21] I +*CAP +1 mprj_io_analog_pol[21] 1.20278e-05 +2 *509:4 1.20278e-05 +*RES +1 mprj_io_analog_pol[21] *509:4 0.341972 +*END + +*D_NET *510 2.40556e-05 +*CONN +*P mprj_io_analog_sel[21] I +*CAP +1 mprj_io_analog_sel[21] 1.20278e-05 +2 *510:4 1.20278e-05 +*RES +1 mprj_io_analog_sel[21] *510:4 0.341972 +*END + +*D_NET *511 2.40556e-05 +*CONN +*P mprj_io_dm[63] I +*CAP +1 mprj_io_dm[63] 1.20278e-05 +2 *511:4 1.20278e-05 +*RES +1 mprj_io_dm[63] *511:4 0.341972 +*END + +*D_NET *512 2.40556e-05 +*CONN +*P mprj_io_dm[64] I +*CAP +1 mprj_io_dm[64] 1.20278e-05 +2 *512:4 1.20278e-05 +*RES +1 mprj_io_dm[64] *512:4 0.341972 +*END + +*D_NET *513 2.40556e-05 +*CONN +*P mprj_io_dm[65] I +*CAP +1 mprj_io_dm[65] 1.20278e-05 +2 *513:4 1.20278e-05 +*RES +1 mprj_io_dm[65] *513:4 0.341972 +*END + +*D_NET *514 2.40556e-05 +*CONN +*P mprj_io_holdover[21] I +*CAP +1 mprj_io_holdover[21] 1.20278e-05 +2 *514:4 1.20278e-05 +*RES +1 mprj_io_holdover[21] *514:4 0.341972 +*END + +*D_NET *515 2.40556e-05 +*CONN +*P mprj_io_ib_mode_sel[21] I +*CAP +1 mprj_io_ib_mode_sel[21] 1.20278e-05 +2 *515:4 1.20278e-05 +*RES +1 mprj_io_ib_mode_sel[21] *515:4 0.341972 +*END + +*D_NET *516 2.40556e-05 +*CONN +*P mprj_io_inp_dis[21] I +*CAP +1 mprj_io_inp_dis[21] 1.20278e-05 +2 *516:4 1.20278e-05 +*RES +1 mprj_io_inp_dis[21] *516:4 0.341972 +*END + +*D_NET *517 2.40556e-05 +*CONN +*P mprj_io_oeb[21] I +*CAP +1 mprj_io_oeb[21] 1.20278e-05 +2 *517:4 1.20278e-05 +*RES +1 mprj_io_oeb[21] *517:4 0.341972 +*END + +*D_NET *518 2.40556e-05 +*CONN +*P mprj_io_out[21] I +*CAP +1 mprj_io_out[21] 1.20278e-05 +2 *518:4 1.20278e-05 +*RES +1 mprj_io_out[21] *518:4 0.341972 +*END + +*D_NET *519 2.40556e-05 +*CONN +*P mprj_io_slow_sel[21] I +*CAP +1 mprj_io_slow_sel[21] 1.20278e-05 +2 *519:4 1.20278e-05 +*RES +1 mprj_io_slow_sel[21] *519:4 0.341972 +*END + +*D_NET *520 2.40556e-05 +*CONN +*P mprj_io_vtrip_sel[21] I +*CAP +1 mprj_io_vtrip_sel[21] 1.20278e-05 +2 *520:4 1.20278e-05 +*RES +1 mprj_io_vtrip_sel[21] *520:4 0.341972 +*END + +*D_NET *521 2.40556e-05 +*CONN +*P mprj_io_in[21] I +*CAP +1 mprj_io_in[21] 1.20278e-05 +2 *521:4 1.20278e-05 +*RES +1 mprj_io_in[21] *521:4 0.341972 +*END + +*D_NET *522 2.40556e-05 +*CONN +*P mprj_analog_io[15] I +*CAP +1 mprj_analog_io[15] 1.20278e-05 +2 *522:4 1.20278e-05 +*RES +1 mprj_analog_io[15] *522:4 0.341972 +*END + +*D_NET *524 2.40556e-05 +*CONN +*P mprj_io_analog_en[22] I +*CAP +1 mprj_io_analog_en[22] 1.20278e-05 +2 *524:4 1.20278e-05 +*RES +1 mprj_io_analog_en[22] *524:4 0.341972 +*END + +*D_NET *525 2.40556e-05 +*CONN +*P mprj_io_analog_pol[22] I +*CAP +1 mprj_io_analog_pol[22] 1.20278e-05 +2 *525:4 1.20278e-05 +*RES +1 mprj_io_analog_pol[22] *525:4 0.341972 +*END + +*D_NET *526 2.40556e-05 +*CONN +*P mprj_io_analog_sel[22] I +*CAP +1 mprj_io_analog_sel[22] 1.20278e-05 +2 *526:4 1.20278e-05 +*RES +1 mprj_io_analog_sel[22] *526:4 0.341972 +*END + +*D_NET *527 2.40556e-05 +*CONN +*P mprj_io_dm[66] I +*CAP +1 mprj_io_dm[66] 1.20278e-05 +2 *527:4 1.20278e-05 +*RES +1 mprj_io_dm[66] *527:4 0.341972 +*END + +*D_NET *528 2.40556e-05 +*CONN +*P mprj_io_dm[67] I +*CAP +1 mprj_io_dm[67] 1.20278e-05 +2 *528:4 1.20278e-05 +*RES +1 mprj_io_dm[67] *528:4 0.341972 +*END + +*D_NET *529 2.40556e-05 +*CONN +*P mprj_io_dm[68] I +*CAP +1 mprj_io_dm[68] 1.20278e-05 +2 *529:4 1.20278e-05 +*RES +1 mprj_io_dm[68] *529:4 0.341972 +*END + +*D_NET *530 2.40556e-05 +*CONN +*P mprj_io_holdover[22] I +*CAP +1 mprj_io_holdover[22] 1.20278e-05 +2 *530:4 1.20278e-05 +*RES +1 mprj_io_holdover[22] *530:4 0.341972 +*END + +*D_NET *531 2.40556e-05 +*CONN +*P mprj_io_ib_mode_sel[22] I +*CAP +1 mprj_io_ib_mode_sel[22] 1.20278e-05 +2 *531:4 1.20278e-05 +*RES +1 mprj_io_ib_mode_sel[22] *531:4 0.341972 +*END + +*D_NET *532 2.40556e-05 +*CONN +*P mprj_io_inp_dis[22] I +*CAP +1 mprj_io_inp_dis[22] 1.20278e-05 +2 *532:4 1.20278e-05 +*RES +1 mprj_io_inp_dis[22] *532:4 0.341972 +*END + +*D_NET *533 2.40556e-05 +*CONN +*P mprj_io_oeb[22] I +*CAP +1 mprj_io_oeb[22] 1.20278e-05 +2 *533:4 1.20278e-05 +*RES +1 mprj_io_oeb[22] *533:4 0.341972 +*END + +*D_NET *534 2.40556e-05 +*CONN +*P mprj_io_out[22] I +*CAP +1 mprj_io_out[22] 1.20278e-05 +2 *534:4 1.20278e-05 +*RES +1 mprj_io_out[22] *534:4 0.341972 +*END + +*D_NET *535 2.40556e-05 +*CONN +*P mprj_io_slow_sel[22] I +*CAP +1 mprj_io_slow_sel[22] 1.20278e-05 +2 *535:4 1.20278e-05 +*RES +1 mprj_io_slow_sel[22] *535:4 0.341972 +*END + +*D_NET *536 2.40556e-05 +*CONN +*P mprj_io_vtrip_sel[22] I +*CAP +1 mprj_io_vtrip_sel[22] 1.20278e-05 +2 *536:4 1.20278e-05 +*RES +1 mprj_io_vtrip_sel[22] *536:4 0.341972 +*END + +*D_NET *537 2.40556e-05 +*CONN +*P mprj_io_in[22] I +*CAP +1 mprj_io_in[22] 1.20278e-05 +2 *537:4 1.20278e-05 +*RES +1 mprj_io_in[22] *537:4 0.341972 +*END + +*D_NET *538 2.40556e-05 +*CONN +*P mprj_analog_io[16] I +*CAP +1 mprj_analog_io[16] 1.20278e-05 +2 *538:4 1.20278e-05 +*RES +1 mprj_analog_io[16] *538:4 0.341972 +*END + +*D_NET *540 2.40556e-05 +*CONN +*P mprj_io_analog_en[23] I +*CAP +1 mprj_io_analog_en[23] 1.20278e-05 +2 *540:4 1.20278e-05 +*RES +1 mprj_io_analog_en[23] *540:4 0.341972 +*END + +*D_NET *541 2.40556e-05 +*CONN +*P mprj_io_analog_pol[23] I +*CAP +1 mprj_io_analog_pol[23] 1.20278e-05 +2 *541:4 1.20278e-05 +*RES +1 mprj_io_analog_pol[23] *541:4 0.341972 +*END + +*D_NET *542 2.40556e-05 +*CONN +*P mprj_io_analog_sel[23] I +*CAP +1 mprj_io_analog_sel[23] 1.20278e-05 +2 *542:4 1.20278e-05 +*RES +1 mprj_io_analog_sel[23] *542:4 0.341972 +*END + +*D_NET *543 2.40556e-05 +*CONN +*P mprj_io_dm[69] I +*CAP +1 mprj_io_dm[69] 1.20278e-05 +2 *543:4 1.20278e-05 +*RES +1 mprj_io_dm[69] *543:4 0.341972 +*END + +*D_NET *544 2.40556e-05 +*CONN +*P mprj_io_dm[70] I +*CAP +1 mprj_io_dm[70] 1.20278e-05 +2 *544:4 1.20278e-05 +*RES +1 mprj_io_dm[70] *544:4 0.341972 +*END + +*D_NET *545 2.40556e-05 +*CONN +*P mprj_io_dm[71] I +*CAP +1 mprj_io_dm[71] 1.20278e-05 +2 *545:4 1.20278e-05 +*RES +1 mprj_io_dm[71] *545:4 0.341972 +*END + +*D_NET *546 2.40556e-05 +*CONN +*P mprj_io_holdover[23] I +*CAP +1 mprj_io_holdover[23] 1.20278e-05 +2 *546:4 1.20278e-05 +*RES +1 mprj_io_holdover[23] *546:4 0.341972 +*END + +*D_NET *547 2.40556e-05 +*CONN +*P mprj_io_ib_mode_sel[23] I +*CAP +1 mprj_io_ib_mode_sel[23] 1.20278e-05 +2 *547:4 1.20278e-05 +*RES +1 mprj_io_ib_mode_sel[23] *547:4 0.341972 +*END + +*D_NET *548 2.40556e-05 +*CONN +*P mprj_io_inp_dis[23] I +*CAP +1 mprj_io_inp_dis[23] 1.20278e-05 +2 *548:4 1.20278e-05 +*RES +1 mprj_io_inp_dis[23] *548:4 0.341972 +*END + +*D_NET *549 2.40556e-05 +*CONN +*P mprj_io_oeb[23] I +*CAP +1 mprj_io_oeb[23] 1.20278e-05 +2 *549:4 1.20278e-05 +*RES +1 mprj_io_oeb[23] *549:4 0.341972 +*END + +*D_NET *550 2.40556e-05 +*CONN +*P mprj_io_out[23] I +*CAP +1 mprj_io_out[23] 1.20278e-05 +2 *550:4 1.20278e-05 +*RES +1 mprj_io_out[23] *550:4 0.341972 +*END + +*D_NET *551 2.40556e-05 +*CONN +*P mprj_io_slow_sel[23] I +*CAP +1 mprj_io_slow_sel[23] 1.20278e-05 +2 *551:4 1.20278e-05 +*RES +1 mprj_io_slow_sel[23] *551:4 0.341972 +*END + +*D_NET *552 2.40556e-05 +*CONN +*P mprj_io_vtrip_sel[23] I +*CAP +1 mprj_io_vtrip_sel[23] 1.20278e-05 +2 *552:4 1.20278e-05 +*RES +1 mprj_io_vtrip_sel[23] *552:4 0.341972 +*END + +*D_NET *553 2.40556e-05 +*CONN +*P mprj_io_in[23] I +*CAP +1 mprj_io_in[23] 1.20278e-05 +2 *553:4 1.20278e-05 +*RES +1 mprj_io_in[23] *553:4 0.341972 +*END + +*D_NET *554 0.000206191 +*CONN +*P mprj_analog_io[17] I +*CAP +1 mprj_analog_io[17] 0.000103095 +2 *554:4 0.000103095 +3 *554:4 *567:4 0 +*RES +1 mprj_analog_io[17] *554:4 2.93119 +*END + +*D_NET *556 0.000206191 +*CONN +*P mprj_io_analog_en[24] I +*CAP +1 mprj_io_analog_en[24] 0.000103095 +2 *556:4 0.000103095 +3 *556:4 *559:4 0 +*RES +1 mprj_io_analog_en[24] *556:4 2.93119 +*END + +*D_NET *557 0.000206191 +*CONN +*P mprj_io_analog_pol[24] I +*CAP +1 mprj_io_analog_pol[24] 0.000103095 +2 *557:4 0.000103095 +3 *557:4 *559:4 0 +4 *557:4 *564:4 0 +*RES +1 mprj_io_analog_pol[24] *557:4 2.93119 +*END + +*D_NET *558 0.000206191 +*CONN +*P mprj_io_analog_sel[24] I +*CAP +1 mprj_io_analog_sel[24] 0.000103095 +2 *558:4 0.000103095 +3 *558:4 *561:4 0 +*RES +1 mprj_io_analog_sel[24] *558:4 2.93119 +*END + +*D_NET *559 0.000206191 +*CONN +*P mprj_io_dm[72] I +*CAP +1 mprj_io_dm[72] 0.000103095 +2 *559:4 0.000103095 +3 *556:4 *559:4 0 +4 *557:4 *559:4 0 +*RES +1 mprj_io_dm[72] *559:4 2.93119 +*END + +*D_NET *560 0.000206191 +*CONN +*P mprj_io_dm[73] I +*CAP +1 mprj_io_dm[73] 0.000103095 +2 *560:4 0.000103095 +*RES +1 mprj_io_dm[73] *560:4 2.93119 +*END + +*D_NET *561 0.000206191 +*CONN +*P mprj_io_dm[74] I +*CAP +1 mprj_io_dm[74] 0.000103095 +2 *561:4 0.000103095 +3 *561:4 *562:4 0 +4 *558:4 *561:4 0 +*RES +1 mprj_io_dm[74] *561:4 2.93119 +*END + +*D_NET *562 0.000206191 +*CONN +*P mprj_io_holdover[24] I +*CAP +1 mprj_io_holdover[24] 0.000103095 +2 *562:4 0.000103095 +3 *562:4 *566:4 0 +4 *561:4 *562:4 0 +*RES +1 mprj_io_holdover[24] *562:4 2.93119 +*END + +*D_NET *563 0.000206191 +*CONN +*P mprj_io_ib_mode_sel[24] I +*CAP +1 mprj_io_ib_mode_sel[24] 0.000103095 +2 *563:4 0.000103095 +3 *563:4 *565:4 0 +4 *563:4 *568:4 0 +*RES +1 mprj_io_ib_mode_sel[24] *563:4 2.93119 +*END + +*D_NET *564 0.000206191 +*CONN +*P mprj_io_inp_dis[24] I +*CAP +1 mprj_io_inp_dis[24] 0.000103095 +2 *564:4 0.000103095 +3 *557:4 *564:4 0 +*RES +1 mprj_io_inp_dis[24] *564:4 2.93119 +*END + +*D_NET *565 0.000206191 +*CONN +*P mprj_io_oeb[24] I +*CAP +1 mprj_io_oeb[24] 0.000103095 +2 *565:4 0.000103095 +3 *563:4 *565:4 0 +*RES +1 mprj_io_oeb[24] *565:4 2.93119 +*END + +*D_NET *566 0.000206191 +*CONN +*P mprj_io_out[24] I +*CAP +1 mprj_io_out[24] 0.000103095 +2 *566:4 0.000103095 +3 *562:4 *566:4 0 +*RES +1 mprj_io_out[24] *566:4 2.93119 +*END + +*D_NET *567 0.000206191 +*CONN +*P mprj_io_slow_sel[24] I +*CAP +1 mprj_io_slow_sel[24] 0.000103095 +2 *567:4 0.000103095 +3 *554:4 *567:4 0 +*RES +1 mprj_io_slow_sel[24] *567:4 2.93119 +*END + +*D_NET *568 0.000206191 +*CONN +*P mprj_io_vtrip_sel[24] I +*CAP +1 mprj_io_vtrip_sel[24] 0.000103095 +2 *568:4 0.000103095 +3 *563:4 *568:4 0 +*RES +1 mprj_io_vtrip_sel[24] *568:4 2.93119 +*END + +*D_NET *569 0.000206191 +*CONN +*P mprj_io_in[24] I +*CAP +1 mprj_io_in[24] 0.000103095 +2 *569:4 0.000103095 +*RES +1 mprj_io_in[24] *569:4 2.93119 +*END + +*D_NET *570 0.000206191 +*CONN +*P mprj_analog_io[18] I +*CAP +1 mprj_analog_io[18] 0.000103095 +2 *570:4 0.000103095 +3 *570:4 *583:4 0 +*RES +1 mprj_analog_io[18] *570:4 2.93119 +*END + +*D_NET *572 0.000206191 +*CONN +*P mprj_io_analog_en[25] I +*CAP +1 mprj_io_analog_en[25] 0.000103095 +2 *572:4 0.000103095 +3 *572:4 *575:4 0 +*RES +1 mprj_io_analog_en[25] *572:4 2.93119 +*END + +*D_NET *573 0.000206191 +*CONN +*P mprj_io_analog_pol[25] I +*CAP +1 mprj_io_analog_pol[25] 0.000103095 +2 *573:4 0.000103095 +3 *573:4 *575:4 0 +4 *573:4 *580:4 0 +*RES +1 mprj_io_analog_pol[25] *573:4 2.93119 +*END + +*D_NET *574 0.000206191 +*CONN +*P mprj_io_analog_sel[25] I +*CAP +1 mprj_io_analog_sel[25] 0.000103095 +2 *574:4 0.000103095 +3 *574:4 *577:4 0 +*RES +1 mprj_io_analog_sel[25] *574:4 2.93119 +*END + +*D_NET *575 0.000206191 +*CONN +*P mprj_io_dm[75] I +*CAP +1 mprj_io_dm[75] 0.000103095 +2 *575:4 0.000103095 +3 *572:4 *575:4 0 +4 *573:4 *575:4 0 +*RES +1 mprj_io_dm[75] *575:4 2.93119 +*END + +*D_NET *576 0.000206191 +*CONN +*P mprj_io_dm[76] I +*CAP +1 mprj_io_dm[76] 0.000103095 +2 *576:4 0.000103095 +*RES +1 mprj_io_dm[76] *576:4 2.93119 +*END + +*D_NET *577 0.000206191 +*CONN +*P mprj_io_dm[77] I +*CAP +1 mprj_io_dm[77] 0.000103095 +2 *577:4 0.000103095 +3 *577:4 *578:4 0 +4 *574:4 *577:4 0 +*RES +1 mprj_io_dm[77] *577:4 2.93119 +*END + +*D_NET *578 0.000206191 +*CONN +*P mprj_io_holdover[25] I +*CAP +1 mprj_io_holdover[25] 0.000103095 +2 *578:4 0.000103095 +3 *578:4 *582:4 0 +4 *577:4 *578:4 0 +*RES +1 mprj_io_holdover[25] *578:4 2.93119 +*END + +*D_NET *579 0.000206191 +*CONN +*P mprj_io_ib_mode_sel[25] I +*CAP +1 mprj_io_ib_mode_sel[25] 0.000103095 +2 *579:4 0.000103095 +3 *579:4 *581:4 0 +4 *579:4 *584:4 0 +*RES +1 mprj_io_ib_mode_sel[25] *579:4 2.93119 +*END + +*D_NET *580 0.000206191 +*CONN +*P mprj_io_inp_dis[25] I +*CAP +1 mprj_io_inp_dis[25] 0.000103095 +2 *580:4 0.000103095 +3 *573:4 *580:4 0 +*RES +1 mprj_io_inp_dis[25] *580:4 2.93119 +*END + +*D_NET *581 0.000206191 +*CONN +*P mprj_io_oeb[25] I +*CAP +1 mprj_io_oeb[25] 0.000103095 +2 *581:4 0.000103095 +3 *579:4 *581:4 0 +*RES +1 mprj_io_oeb[25] *581:4 2.93119 +*END + +*D_NET *582 0.000206191 +*CONN +*P mprj_io_out[25] I +*CAP +1 mprj_io_out[25] 0.000103095 +2 *582:4 0.000103095 +3 *578:4 *582:4 0 +*RES +1 mprj_io_out[25] *582:4 2.93119 +*END + +*D_NET *583 0.000206191 +*CONN +*P mprj_io_slow_sel[25] I +*CAP +1 mprj_io_slow_sel[25] 0.000103095 +2 *583:4 0.000103095 +3 *570:4 *583:4 0 +*RES +1 mprj_io_slow_sel[25] *583:4 2.93119 +*END + +*D_NET *584 0.000206191 +*CONN +*P mprj_io_vtrip_sel[25] I +*CAP +1 mprj_io_vtrip_sel[25] 0.000103095 +2 *584:4 0.000103095 +3 *579:4 *584:4 0 +*RES +1 mprj_io_vtrip_sel[25] *584:4 2.93119 +*END + +*D_NET *585 0.000206191 +*CONN +*P mprj_io_in[25] I +*CAP +1 mprj_io_in[25] 0.000103095 +2 *585:4 0.000103095 +*RES +1 mprj_io_in[25] *585:4 2.93119 +*END + +*D_NET *586 0.000206191 +*CONN +*P mprj_analog_io[19] I +*CAP +1 mprj_analog_io[19] 0.000103095 +2 *586:4 0.000103095 +3 *586:4 *599:4 0 +*RES +1 mprj_analog_io[19] *586:4 2.93119 +*END + +*D_NET *588 0.000206191 +*CONN +*P mprj_io_analog_en[26] I +*CAP +1 mprj_io_analog_en[26] 0.000103095 +2 *588:4 0.000103095 +3 *588:4 *591:4 0 +*RES +1 mprj_io_analog_en[26] *588:4 2.93119 +*END + +*D_NET *589 0.000206191 +*CONN +*P mprj_io_analog_pol[26] I +*CAP +1 mprj_io_analog_pol[26] 0.000103095 +2 *589:4 0.000103095 +3 *589:4 *591:4 0 +4 *589:4 *596:4 0 +*RES +1 mprj_io_analog_pol[26] *589:4 2.93119 +*END + +*D_NET *590 0.000206191 +*CONN +*P mprj_io_analog_sel[26] I +*CAP +1 mprj_io_analog_sel[26] 0.000103095 +2 *590:4 0.000103095 +3 *590:4 *593:4 0 +*RES +1 mprj_io_analog_sel[26] *590:4 2.93119 +*END + +*D_NET *591 0.000206191 +*CONN +*P mprj_io_dm[78] I +*CAP +1 mprj_io_dm[78] 0.000103095 +2 *591:4 0.000103095 +3 *588:4 *591:4 0 +4 *589:4 *591:4 0 +*RES +1 mprj_io_dm[78] *591:4 2.93119 +*END + +*D_NET *592 0.000206191 +*CONN +*P mprj_io_dm[79] I +*CAP +1 mprj_io_dm[79] 0.000103095 +2 *592:4 0.000103095 +*RES +1 mprj_io_dm[79] *592:4 2.93119 +*END + +*D_NET *593 0.000206191 +*CONN +*P mprj_io_dm[80] I +*CAP +1 mprj_io_dm[80] 0.000103095 +2 *593:4 0.000103095 +3 *593:4 *594:4 0 +4 *590:4 *593:4 0 +*RES +1 mprj_io_dm[80] *593:4 2.93119 +*END + +*D_NET *594 0.000206191 +*CONN +*P mprj_io_holdover[26] I +*CAP +1 mprj_io_holdover[26] 0.000103095 +2 *594:4 0.000103095 +3 *594:4 *598:4 0 +4 *593:4 *594:4 0 +*RES +1 mprj_io_holdover[26] *594:4 2.93119 +*END + +*D_NET *595 0.000206191 +*CONN +*P mprj_io_ib_mode_sel[26] I +*CAP +1 mprj_io_ib_mode_sel[26] 0.000103095 +2 *595:4 0.000103095 +3 *595:4 *597:4 0 +4 *595:4 *600:4 0 +*RES +1 mprj_io_ib_mode_sel[26] *595:4 2.93119 +*END + +*D_NET *596 0.000206191 +*CONN +*P mprj_io_inp_dis[26] I +*CAP +1 mprj_io_inp_dis[26] 0.000103095 +2 *596:4 0.000103095 +3 *589:4 *596:4 0 +*RES +1 mprj_io_inp_dis[26] *596:4 2.93119 +*END + +*D_NET *597 0.000206191 +*CONN +*P mprj_io_oeb[26] I +*CAP +1 mprj_io_oeb[26] 0.000103095 +2 *597:4 0.000103095 +3 *595:4 *597:4 0 +*RES +1 mprj_io_oeb[26] *597:4 2.93119 +*END + +*D_NET *598 0.000206191 +*CONN +*P mprj_io_out[26] I +*CAP +1 mprj_io_out[26] 0.000103095 +2 *598:4 0.000103095 +3 *594:4 *598:4 0 +*RES +1 mprj_io_out[26] *598:4 2.93119 +*END + +*D_NET *599 0.000206191 +*CONN +*P mprj_io_slow_sel[26] I +*CAP +1 mprj_io_slow_sel[26] 0.000103095 +2 *599:4 0.000103095 +3 *586:4 *599:4 0 +*RES +1 mprj_io_slow_sel[26] *599:4 2.93119 +*END + +*D_NET *600 0.000206191 +*CONN +*P mprj_io_vtrip_sel[26] I +*CAP +1 mprj_io_vtrip_sel[26] 0.000103095 +2 *600:4 0.000103095 +3 *595:4 *600:4 0 +*RES +1 mprj_io_vtrip_sel[26] *600:4 2.93119 +*END + +*D_NET *601 0.000206191 +*CONN +*P mprj_io_in[26] I +*CAP +1 mprj_io_in[26] 0.000103095 +2 *601:4 0.000103095 +*RES +1 mprj_io_in[26] *601:4 2.93119 +*END + +*D_NET *602 0.000206191 +*CONN +*P mprj_analog_io[20] I +*CAP +1 mprj_analog_io[20] 0.000103095 +2 *602:4 0.000103095 +3 *602:4 *615:4 0 +*RES +1 mprj_analog_io[20] *602:4 2.93119 +*END + +*D_NET *604 0.000206191 +*CONN +*P mprj_io_analog_en[27] I +*CAP +1 mprj_io_analog_en[27] 0.000103095 +2 *604:4 0.000103095 +3 *604:4 *607:4 0 +*RES +1 mprj_io_analog_en[27] *604:4 2.93119 +*END + +*D_NET *605 0.000206191 +*CONN +*P mprj_io_analog_pol[27] I +*CAP +1 mprj_io_analog_pol[27] 0.000103095 +2 *605:4 0.000103095 +3 *605:4 *607:4 0 +4 *605:4 *612:4 0 +*RES +1 mprj_io_analog_pol[27] *605:4 2.93119 +*END + +*D_NET *606 0.000206191 +*CONN +*P mprj_io_analog_sel[27] I +*CAP +1 mprj_io_analog_sel[27] 0.000103095 +2 *606:4 0.000103095 +3 *606:4 *609:4 0 +*RES +1 mprj_io_analog_sel[27] *606:4 2.93119 +*END + +*D_NET *607 0.000206191 +*CONN +*P mprj_io_dm[81] I +*CAP +1 mprj_io_dm[81] 0.000103095 +2 *607:4 0.000103095 +3 *604:4 *607:4 0 +4 *605:4 *607:4 0 +*RES +1 mprj_io_dm[81] *607:4 2.93119 +*END + +*D_NET *608 0.000206191 +*CONN +*P mprj_io_dm[82] I +*CAP +1 mprj_io_dm[82] 0.000103095 +2 *608:4 0.000103095 +*RES +1 mprj_io_dm[82] *608:4 2.93119 +*END + +*D_NET *609 0.000206191 +*CONN +*P mprj_io_dm[83] I +*CAP +1 mprj_io_dm[83] 0.000103095 +2 *609:4 0.000103095 +3 *609:4 *610:4 0 +4 *606:4 *609:4 0 +*RES +1 mprj_io_dm[83] *609:4 2.93119 +*END + +*D_NET *610 0.000206191 +*CONN +*P mprj_io_holdover[27] I +*CAP +1 mprj_io_holdover[27] 0.000103095 +2 *610:4 0.000103095 +3 *610:4 *614:4 0 +4 *609:4 *610:4 0 +*RES +1 mprj_io_holdover[27] *610:4 2.93119 +*END + +*D_NET *611 0.000206191 +*CONN +*P mprj_io_ib_mode_sel[27] I +*CAP +1 mprj_io_ib_mode_sel[27] 0.000103095 +2 *611:4 0.000103095 +3 *611:4 *613:4 0 +4 *611:4 *616:4 0 +*RES +1 mprj_io_ib_mode_sel[27] *611:4 2.93119 +*END + +*D_NET *612 0.000206191 +*CONN +*P mprj_io_inp_dis[27] I +*CAP +1 mprj_io_inp_dis[27] 0.000103095 +2 *612:4 0.000103095 +3 *605:4 *612:4 0 +*RES +1 mprj_io_inp_dis[27] *612:4 2.93119 +*END + +*D_NET *613 0.000206191 +*CONN +*P mprj_io_oeb[27] I +*CAP +1 mprj_io_oeb[27] 0.000103095 +2 *613:4 0.000103095 +3 *611:4 *613:4 0 +*RES +1 mprj_io_oeb[27] *613:4 2.93119 +*END + +*D_NET *614 0.000206191 +*CONN +*P mprj_io_out[27] I +*CAP +1 mprj_io_out[27] 0.000103095 +2 *614:4 0.000103095 +3 *610:4 *614:4 0 +*RES +1 mprj_io_out[27] *614:4 2.93119 +*END + +*D_NET *615 0.000206191 +*CONN +*P mprj_io_slow_sel[27] I +*CAP +1 mprj_io_slow_sel[27] 0.000103095 +2 *615:4 0.000103095 +3 *602:4 *615:4 0 +*RES +1 mprj_io_slow_sel[27] *615:4 2.93119 +*END + +*D_NET *616 0.000206191 +*CONN +*P mprj_io_vtrip_sel[27] I +*CAP +1 mprj_io_vtrip_sel[27] 0.000103095 +2 *616:4 0.000103095 +3 *611:4 *616:4 0 +*RES +1 mprj_io_vtrip_sel[27] *616:4 2.93119 +*END + +*D_NET *617 0.000206191 +*CONN +*P mprj_io_in[27] I +*CAP +1 mprj_io_in[27] 0.000103095 +2 *617:4 0.000103095 +*RES +1 mprj_io_in[27] *617:4 2.93119 +*END + +*D_NET *618 0.000206191 +*CONN +*P mprj_analog_io[21] I +*CAP +1 mprj_analog_io[21] 0.000103095 +2 *618:4 0.000103095 +3 *618:4 *631:4 0 +*RES +1 mprj_analog_io[21] *618:4 2.93119 +*END + +*D_NET *620 0.000206191 +*CONN +*P mprj_io_analog_en[28] I +*CAP +1 mprj_io_analog_en[28] 0.000103095 +2 *620:4 0.000103095 +3 *620:4 *623:4 0 +*RES +1 mprj_io_analog_en[28] *620:4 2.93119 +*END + +*D_NET *621 0.000206191 +*CONN +*P mprj_io_analog_pol[28] I +*CAP +1 mprj_io_analog_pol[28] 0.000103095 +2 *621:4 0.000103095 +3 *621:4 *623:4 0 +4 *621:4 *628:4 0 +*RES +1 mprj_io_analog_pol[28] *621:4 2.93119 +*END + +*D_NET *622 0.000206191 +*CONN +*P mprj_io_analog_sel[28] I +*CAP +1 mprj_io_analog_sel[28] 0.000103095 +2 *622:4 0.000103095 +3 *622:4 *625:4 0 +*RES +1 mprj_io_analog_sel[28] *622:4 2.93119 +*END + +*D_NET *623 0.000206191 +*CONN +*P mprj_io_dm[84] I +*CAP +1 mprj_io_dm[84] 0.000103095 +2 *623:4 0.000103095 +3 *620:4 *623:4 0 +4 *621:4 *623:4 0 +*RES +1 mprj_io_dm[84] *623:4 2.93119 +*END + +*D_NET *624 0.000206191 +*CONN +*P mprj_io_dm[85] I +*CAP +1 mprj_io_dm[85] 0.000103095 +2 *624:4 0.000103095 +*RES +1 mprj_io_dm[85] *624:4 2.93119 +*END + +*D_NET *625 0.000206191 +*CONN +*P mprj_io_dm[86] I +*CAP +1 mprj_io_dm[86] 0.000103095 +2 *625:4 0.000103095 +3 *625:4 *626:4 0 +4 *622:4 *625:4 0 +*RES +1 mprj_io_dm[86] *625:4 2.93119 +*END + +*D_NET *626 0.000206191 +*CONN +*P mprj_io_holdover[28] I +*CAP +1 mprj_io_holdover[28] 0.000103095 +2 *626:4 0.000103095 +3 *626:4 *630:4 0 +4 *625:4 *626:4 0 +*RES +1 mprj_io_holdover[28] *626:4 2.93119 +*END + +*D_NET *627 0.000206191 +*CONN +*P mprj_io_ib_mode_sel[28] I +*CAP +1 mprj_io_ib_mode_sel[28] 0.000103095 +2 *627:4 0.000103095 +3 *627:4 *629:4 0 +4 *627:4 *632:4 0 +*RES +1 mprj_io_ib_mode_sel[28] *627:4 2.93119 +*END + +*D_NET *628 0.000206191 +*CONN +*P mprj_io_inp_dis[28] I +*CAP +1 mprj_io_inp_dis[28] 0.000103095 +2 *628:4 0.000103095 +3 *621:4 *628:4 0 +*RES +1 mprj_io_inp_dis[28] *628:4 2.93119 +*END + +*D_NET *629 0.000206191 +*CONN +*P mprj_io_oeb[28] I +*CAP +1 mprj_io_oeb[28] 0.000103095 +2 *629:4 0.000103095 +3 *627:4 *629:4 0 +*RES +1 mprj_io_oeb[28] *629:4 2.93119 +*END + +*D_NET *630 0.000206191 +*CONN +*P mprj_io_out[28] I +*CAP +1 mprj_io_out[28] 0.000103095 +2 *630:4 0.000103095 +3 *626:4 *630:4 0 +*RES +1 mprj_io_out[28] *630:4 2.93119 +*END + +*D_NET *631 0.000206191 +*CONN +*P mprj_io_slow_sel[28] I +*CAP +1 mprj_io_slow_sel[28] 0.000103095 +2 *631:4 0.000103095 +3 *618:4 *631:4 0 +*RES +1 mprj_io_slow_sel[28] *631:4 2.93119 +*END + +*D_NET *632 0.000206191 +*CONN +*P mprj_io_vtrip_sel[28] I +*CAP +1 mprj_io_vtrip_sel[28] 0.000103095 +2 *632:4 0.000103095 +3 *627:4 *632:4 0 +*RES +1 mprj_io_vtrip_sel[28] *632:4 2.93119 +*END + +*D_NET *633 0.000206191 +*CONN +*P mprj_io_in[28] I +*CAP +1 mprj_io_in[28] 0.000103095 +2 *633:4 0.000103095 +*RES +1 mprj_io_in[28] *633:4 2.93119 +*END + +*D_NET *634 0.00315145 +*CONN +*P resetb I +*CAP +1 resetb 0.00157573 +2 *634:4 0.00157573 +*RES +1 resetb *634:4 0.682487 +*END + +*D_NET *635 8.09526e-05 +*CONN +*P vdda I +*CAP +1 vdda 4.04763e-05 +2 *635:4 4.04763e-05 +*RES +1 vdda *635:4 0.16137 +*END + +*D_NET *636 0.00632442 +*CONN +*P vssa I +*CAP +1 vssa 0.00316221 +2 *636:4 0.00316221 +*RES +1 vssa *636:4 12.607 +*END + +*D_NET *637 0.00570109 +*CONN +*P vccd1_pad I +*CAP +1 vccd1_pad 0.00285055 +2 *637:4 0.00285055 +*RES +1 vccd1_pad *637:4 1.23464 +*END + +*D_NET *638 0.00515522 +*CONN +*P vdda1_pad I +*CAP +1 vdda1_pad 0.00257761 +2 *638:4 0.00257761 +*RES +1 vdda1_pad *638:4 1.11643 +*END + +*D_NET *639 0.00515522 +*CONN +*P vdda1_pad2 I +*CAP +1 vdda1_pad2 0.00257761 +2 *639:4 0.00257761 +*RES +1 vdda1_pad2 *639:4 1.11643 +*END + +*D_NET *640 0.00515692 +*CONN +*P vssa1_pad I +*CAP +1 vssa1_pad 0.00257846 +2 *640:4 0.00257846 +*RES +1 vssa1_pad *640:4 1.1168 +*END + +*D_NET *641 0.00515522 +*CONN +*P vssa1_pad2 I +*CAP +1 vssa1_pad2 0.00257761 +2 *641:4 0.00257761 +*RES +1 vssa1_pad2 *641:4 1.11643 +*END + +*D_NET *642 0.000290923 +*CONN +*P vdda1 I +*CAP +1 vdda1 0.000145462 +2 *642:4 0.000145462 +*RES +1 vdda1 *642:4 0.579924 +*END + +*D_NET *643 2.78274e-05 +*CONN +*P vssa1 I +*CAP +1 vssa1 1.39137e-05 +2 *643:4 1.39137e-05 +*RES +1 vssa1 *643:4 0.055471 +*END + +*D_NET *644 0.00570109 +*CONN +*P vssd1_pad I +*CAP +1 vssd1_pad 0.00285055 +2 *644:4 0.00285055 +*RES +1 vssd1_pad *644:4 1.23464 +*END + +*D_NET *645 0.00570109 +*CONN +*P vccd2_pad I +*CAP +1 vccd2_pad 0.00285055 +2 *645:4 0.00285055 +*RES +1 vccd2_pad *645:4 1.23464 +*END + +*D_NET *646 0.00515522 +*CONN +*P vdda2_pad I +*CAP +1 vdda2_pad 0.00257761 +2 *646:4 0.00257761 +*RES +1 vdda2_pad *646:4 1.11643 +*END + +*D_NET *647 0.00515522 +*CONN +*P vssa2_pad I +*CAP +1 vssa2_pad 0.00257761 +2 *647:4 0.00257761 +*RES +1 vssa2_pad *647:4 1.11643 +*END + +*D_NET *648 0.000459575 +*CONN +*P vccd I +*CAP +1 vccd 0.000229787 +2 *648:4 0.000229787 +*RES +1 vccd *648:4 0.916112 +*END + +*D_NET *649 0.000290923 +*CONN +*P vdda2 I +*CAP +1 vdda2 0.000145462 +2 *649:4 0.000145462 +*RES +1 vdda2 *649:4 0.579924 +*END + +*D_NET *650 0.000290923 +*CONN +*P vddio I +*CAP +1 vddio 0.000145462 +2 *650:4 0.000145462 +*RES +1 vddio *650:4 0.579924 +*END + +*D_NET *651 2.78274e-05 +*CONN +*P vssa2 I +*CAP +1 vssa2 1.39137e-05 +2 *651:4 1.39137e-05 +*RES +1 vssa2 *651:4 0.055471 +*END + +*D_NET *652 0.00570109 +*CONN +*P vssd2_pad I +*CAP +1 vssd2_pad 0.00285055 +2 *652:4 0.00285055 +*RES +1 vssd2_pad *652:4 1.23464 +*END + +*D_NET *653 0.00203899 +*CONN +*P vssio I +*CAP +1 vssio 0.0010195 +2 *653:4 0.0010195 +*RES +1 vssio *653:4 4.06451 +*END + +*D_NET *654 0.000112996 +*CONN +*P vssd I +*CAP +1 vssd 5.64982e-05 +2 *654:4 5.64982e-05 +*RES +1 vssd *654:4 0.225246 +*END + +*D_NET *655 6.27163e-05 +*CONN +*P resetb_core_h I +*CAP +1 resetb_core_h 3.13582e-05 +2 *655:4 3.13582e-05 +*RES +1 resetb_core_h *655:4 0.891571 +*END + +*D_NET *656 0.0432846 +*CONN +*CAP +1 *656:179 0.000725622 +2 *656:178 0.000725622 +3 *656:174 0.00121835 +4 *656:173 0.00121835 +5 *656:169 0.000903627 +6 *656:168 0.000903627 +7 *656:164 4.67886e-05 +8 *656:163 4.67886e-05 +9 *656:159 0.00100923 +10 *656:158 0.00100923 +11 *656:154 2.69842e-05 +12 *656:153 2.69842e-05 +13 *656:149 0.00100923 +14 *656:148 0.00100923 +15 *656:144 0.000721277 +16 *656:143 0.000721277 +17 *656:139 0.000904275 +18 *656:138 0.000904275 +19 *656:134 0.00121835 +20 *656:133 0.00121835 +21 *656:129 4.43685e-05 +22 *656:128 4.43685e-05 +23 *656:124 0.000781075 +24 *656:123 0.000781075 +25 *656:119 2.82491e-05 +26 *656:118 2.82491e-05 +27 *656:114 0.00101193 +28 *656:113 0.00101193 +29 *656:109 0.000719973 +30 *656:108 0.000719973 +31 *656:104 0.000959841 +32 *656:103 0.000959841 +33 *656:99 5.2575e-05 +34 *656:98 5.2575e-05 +35 *656:94 0.000964207 +36 *656:93 0.000964207 +37 *656:89 3.2268e-05 +38 *656:88 3.2268e-05 +39 *656:84 0.000799027 +40 *656:83 0.000799027 +41 *656:79 4.59819e-05 +42 *656:78 4.59819e-05 +43 *656:74 0.00104952 +44 *656:73 0.00104952 +45 *656:69 0.000622538 +46 *656:68 0.000622538 +47 *656:64 0.000233589 +48 *656:59 0 +49 *656:52 0.000473641 +50 *656:51 0.000240052 +51 *656:47 0.000550953 +52 *656:46 0.000550953 +53 *656:42 0.000128191 +54 *656:37 0 +55 *656:30 0.000312985 +56 *656:29 0.000184794 +57 *656:25 0.000483143 +58 *656:24 0.000483143 +59 *656:20 0.00016879 +60 *656:15 0 +61 *656:8 0.00039854 +62 *656:7 0.00022975 +63 *656:3 0.000573949 +64 *656:2 0.000573949 +65 *656:25 *657:174 0.00027762 +66 *656:25 *657:179 0.000527143 +67 *656:25 *657:184 0.00013881 +68 *656:74 *657:104 0.000199818 +69 *656:84 *657:134 0.00041057 +70 *656:94 *657:84 0.00040085 +71 *656:94 *657:104 0.000971155 +72 *656:104 *657:47 0.000151769 +73 *656:104 *657:114 0.000204475 +74 *656:104 *657:134 0.00100867 +75 *656:109 *657:47 0.000576355 +76 *656:124 *657:174 0.000374275 +77 *656:139 *657:154 0.000201651 +78 *656:139 *657:174 0.00093361 +79 *656:149 *657:184 0.000187158 +80 *656:169 *657:164 0.00040452 +81 *656:169 *657:184 0.000939181 +*RES +1 *656:2 *656:3 0.238632 +2 *656:7 *656:8 0.0486442 +3 *656:8 *656:15 0.00168684 +4 *656:8 *656:20 0.0473593 +5 *656:24 *656:25 0.238632 +6 *656:29 *656:30 0.0504798 +7 *656:30 *656:37 0.00168684 +8 *656:30 *656:42 0.0455236 +9 *656:46 *656:47 0.238632 +10 *656:51 *656:52 0.0501127 +11 *656:52 *656:59 0.00181331 +12 *656:52 *656:64 0.0497456 +13 *656:68 *656:69 0.238632 +14 *656:73 *656:74 2.1869 +15 *656:78 *656:79 0.0958136 +16 *656:83 *656:84 2.1869 +17 *656:88 *656:89 0.0672376 +18 *656:93 *656:94 4.95856 +19 *656:98 *656:99 0.201202 +20 *656:103 *656:104 4.95856 +21 *656:108 *656:109 2.75531 +22 *656:113 *656:114 2.19363 +23 *656:118 *656:119 0.112623 +24 *656:123 *656:124 2.19363 +25 *656:128 *656:129 0.0924517 +26 *656:133 *656:134 4.66258 +27 *656:138 *656:139 4.66258 +28 *656:143 *656:144 2.7603 +29 *656:148 *656:149 2.19363 +30 *656:153 *656:154 0.10758 +31 *656:158 *656:159 2.19363 +32 *656:163 *656:164 0.0974945 +33 *656:168 *656:169 4.66258 +34 *656:173 *656:174 4.66258 +35 *656:178 *656:179 2.77693 +*END + +*D_NET *657 0.0444972 +*CONN +*CAP +1 *657:189 2.99808e-05 +2 *657:188 2.99808e-05 +3 *657:184 0.000903627 +4 *657:183 0.000903627 +5 *657:179 0.000666964 +6 *657:178 0.000666964 +7 *657:174 0.000904275 +8 *657:173 0.000904275 +9 *657:169 4.92087e-05 +10 *657:168 4.92087e-05 +11 *657:164 0.000801484 +12 *657:163 0.000801484 +13 *657:159 3.54948e-05 +14 *657:158 3.54948e-05 +15 *657:154 0.00105274 +16 *657:153 0.00105274 +17 *657:149 2.95463e-05 +18 *657:148 2.95463e-05 +19 *657:144 0.00129569 +20 *657:143 0.00129569 +21 *657:139 0.000743002 +22 *657:138 0.000743002 +23 *657:134 0.000959841 +24 *657:133 0.000959841 +25 *657:129 4.59819e-05 +26 *657:128 4.59819e-05 +27 *657:124 0.00104952 +28 *657:123 0.00104952 +29 *657:119 3.2268e-05 +30 *657:118 3.2268e-05 +31 *657:114 0.00104952 +32 *657:113 0.00104952 +33 *657:109 2.52012e-05 +34 *657:108 2.52012e-05 +35 *657:104 0.000964208 +36 *657:103 0.000964208 +37 *657:99 0.000747347 +38 *657:98 0.000747347 +39 *657:94 0.00129569 +40 *657:93 0.00129569 +41 *657:89 3.95283e-05 +42 *657:88 3.95283e-05 +43 *657:84 0.000799027 +44 *657:83 0.000799027 +45 *657:79 3.87216e-05 +46 *657:78 3.87216e-05 +47 *657:74 0.00104952 +48 *657:73 0.00104952 +49 *657:69 0.000550953 +50 *657:68 0.000550953 +51 *657:64 0.00017859 +52 *657:59 0 +53 *657:52 0.000329232 +54 *657:51 0.000150643 +55 *657:47 0.000823112 +56 *657:46 0.000823112 +57 *657:42 0.000230285 +58 *657:37 0 +59 *657:30 0.000426227 +60 *657:29 0.000195942 +61 *657:25 0.000550953 +62 *657:24 0.000550953 +63 *657:20 0.000243266 +64 *657:15 0 +65 *657:8 0.000454974 +66 *657:7 0.000211707 +67 *657:3 0.000550953 +68 *657:2 0.000550953 +69 *656:25 *657:174 0.00027762 +70 *656:25 *657:179 0.000527143 +71 *656:25 *657:184 0.00013881 +72 *656:74 *657:104 0.000199818 +73 *656:84 *657:134 0.00041057 +74 *656:94 *657:84 0.00040085 +75 *656:94 *657:104 0.000971155 +76 *656:104 *657:47 0.000151769 +77 *656:104 *657:114 0.000204475 +78 *656:104 *657:134 0.00100867 +79 *656:109 *657:47 0.000576355 +80 *656:124 *657:174 0.000374275 +81 *656:139 *657:154 0.000201651 +82 *656:139 *657:174 0.00093361 +83 *656:149 *657:184 0.000187158 +84 *656:169 *657:164 0.00040452 +85 *656:169 *657:184 0.000939181 +*RES +1 *657:2 *657:3 0.238632 +2 *657:7 *657:8 0.0488278 +3 *657:8 *657:15 0.00181331 +4 *657:8 *657:20 0.0502963 +5 *657:24 *657:25 0.238632 +6 *657:29 *657:30 0.0480935 +7 *657:30 *657:37 0.00168684 +8 *657:30 *657:42 0.0517648 +9 *657:46 *657:47 0.238632 +10 *657:51 *657:52 0.0499291 +11 *657:52 *657:59 0.00168684 +12 *657:52 *657:64 0.0499291 +13 *657:68 *657:69 0.238632 +14 *657:73 *657:74 2.1869 +15 *657:78 *657:79 0.0806851 +16 *657:83 *657:84 2.1869 +17 *657:88 *657:89 0.0823661 +18 *657:93 *657:94 4.95856 +19 *657:98 *657:99 2.86007 +20 *657:103 *657:104 4.95856 +21 *657:108 *657:109 0.0964441 +22 *657:113 *657:114 2.1869 +23 *657:118 *657:119 0.0672376 +24 *657:123 *657:124 2.1869 +25 *657:128 *657:129 0.0958136 +26 *657:133 *657:134 4.95856 +27 *657:138 *657:139 2.84344 +28 *657:143 *657:144 4.95856 +29 *657:148 *657:149 0.113072 +30 *657:153 *657:154 2.19363 +31 *657:158 *657:159 0.0739614 +32 *657:163 *657:164 2.19363 +33 *657:168 *657:169 0.102537 +34 *657:173 *657:174 4.66258 +35 *657:178 *657:179 2.55244 +36 *657:183 *657:184 4.66258 +37 *657:188 *657:189 0.114735 +*END + +*D_NET *658 0.0438276 +*CONN +*CAP +1 *658:189 0.000777328 +2 *658:188 0.000777328 +3 *658:184 0.00132176 +4 *658:183 0.00132176 +5 *658:179 2.99808e-05 +6 *658:178 2.99808e-05 +7 *658:174 0.00098056 +8 *658:173 0.00098056 +9 *658:169 5.08221e-05 +10 *658:168 5.08221e-05 +11 *658:164 0.00104871 +12 *658:163 0.00104871 +13 *658:159 4.27551e-05 +14 *658:158 4.27551e-05 +15 *658:154 0.00104871 +16 *658:153 0.00104871 +17 *658:149 0.000778631 +18 *658:148 0.000778631 +19 *658:144 0.000988321 +20 *658:143 0.000988321 +21 *658:139 2.86773e-05 +22 *658:138 2.86773e-05 +23 *658:134 0.00132176 +24 *658:133 0.00132176 +25 *658:129 5.24355e-05 +26 *658:128 5.24355e-05 +27 *658:124 0.000798413 +28 *658:123 0.000798413 +29 *658:119 4.11417e-05 +30 *658:118 4.11417e-05 +31 *658:114 0.00104871 +32 *658:113 0.00104871 +33 *658:109 0.000740829 +34 *658:108 0.000740829 +35 *658:104 0.000963414 +36 *658:103 0.000963414 +37 *658:99 4.38849e-05 +38 *658:98 4.38849e-05 +39 *658:94 0.000964048 +40 *658:93 0.000964048 +41 *658:89 4.8402e-05 +42 *658:88 4.8402e-05 +43 *658:84 0.00080087 +44 *658:83 0.00080087 +45 *658:79 5.96958e-05 +46 *658:78 5.96958e-05 +47 *658:74 0.00080087 +48 *658:73 0.00080087 +49 *658:69 0.000550953 +50 *658:68 0.000550953 +51 *658:64 0.000160358 +52 *658:59 0 +53 *658:52 0.000337349 +54 *658:51 0.00017699 +55 *658:47 0.000550953 +56 *658:46 0.000550953 +57 *658:42 0.000183972 +58 *658:37 0 +59 *658:30 0.000402128 +60 *658:29 0.000218156 +61 *658:25 0.000822823 +62 *658:24 0.000822823 +63 *658:20 0.00019518 +64 *658:15 0 +65 *658:8 0.000424591 +66 *658:7 0.000229411 +67 *658:3 0.000550953 +68 *658:2 0.000550953 +69 *658:25 *659:179 0.000576355 +70 *658:25 *659:184 0.000151769 +71 *658:74 *659:104 0.000200075 +72 *658:94 *659:84 0.000198184 +73 *658:94 *659:104 0.000954631 +74 *658:104 *659:114 0.000199198 +75 *658:104 *659:134 0.000959347 +76 *658:109 *659:47 0.000576355 +77 *658:124 *659:174 0.000394299 +78 *658:144 *659:154 0.000195829 +79 *658:144 *659:174 0.000956156 +80 *658:154 *659:184 0.000203104 +81 *658:174 *659:164 0.000404463 +82 *658:174 *659:184 0.00101688 +*RES +1 *658:2 *658:3 0.238632 +2 *658:7 *658:8 0.0477264 +3 *658:8 *658:15 0.00181331 +4 *658:8 *658:20 0.0484606 +5 *658:24 *658:25 0.238632 +6 *658:29 *658:30 0.0471757 +7 *658:30 *658:37 0.00181331 +8 *658:30 *658:42 0.0490113 +9 *658:46 *658:47 0.238632 +10 *658:51 *658:52 0.0490113 +11 *658:52 *658:59 0.00194301 +12 *658:52 *658:64 0.0446058 +13 *658:68 *658:69 0.238632 +14 *658:73 *658:74 2.19195 +15 *658:78 *658:79 0.12439 +16 *658:83 *658:84 2.19195 +17 *658:88 *658:89 0.100856 +18 *658:93 *658:94 4.94858 +19 *658:98 *658:99 0.167946 +20 *658:103 *658:104 4.94858 +21 *658:108 *658:109 2.83513 +22 *658:113 *658:114 2.18522 +23 *658:118 *658:119 0.0857279 +24 *658:123 *658:124 2.18522 +25 *658:128 *658:129 0.109261 +26 *658:133 *658:134 5.05833 +27 *658:138 *658:139 0.109747 +28 *658:143 *658:144 5.05833 +29 *658:148 *658:149 2.97979 +30 *658:153 *658:154 2.18522 +31 *658:158 *658:159 0.0890898 +32 *658:163 *658:164 2.18522 +33 *658:168 *658:169 0.105899 +34 *658:173 *658:174 5.05833 +35 *658:178 *658:179 0.114735 +36 *658:183 *658:184 5.05833 +37 *658:188 *658:189 2.9748 +*END + +*D_NET *659 0.0436336 +*CONN +*CAP +1 *659:189 7.95142e-05 +2 *659:188 7.95142e-05 +3 *659:184 0.00098056 +4 *659:183 0.00098056 +5 *659:179 0.000727794 +6 *659:178 0.000727794 +7 *659:174 0.000988321 +8 *659:173 0.000988321 +9 *659:169 4.27551e-05 +10 *659:168 4.27551e-05 +11 *659:164 0.000796571 +12 *659:163 0.000796571 +13 *659:159 4.8402e-05 +14 *659:158 4.8402e-05 +15 *659:154 0.00104629 +16 *659:153 0.00104629 +17 *659:149 4.77954e-05 +18 *659:148 4.77954e-05 +19 *659:144 0.00129308 +20 *659:143 0.00129308 +21 *659:139 0.000738222 +22 *659:138 0.000738222 +23 *659:134 0.000963414 +24 *659:133 0.000963414 +25 *659:129 4.75953e-05 +26 *659:128 4.75953e-05 +27 *659:124 0.00105113 +28 *659:123 0.00105113 +29 *659:119 6.21159e-05 +30 *659:118 6.21159e-05 +31 *659:114 0.00105113 +32 *659:113 0.00105113 +33 *659:109 4.95335e-05 +34 *659:108 4.95335e-05 +35 *659:104 0.000964048 +36 *659:103 0.000964048 +37 *659:99 0.000730836 +38 *659:98 0.000730836 +39 *659:94 0.00129308 +40 *659:93 0.00129308 +41 *659:89 4.8402e-05 +42 *659:88 4.8402e-05 +43 *659:84 0.000800256 +44 *659:83 0.000800256 +45 *659:79 5.08221e-05 +46 *659:78 5.08221e-05 +47 *659:74 0.00105113 +48 *659:73 0.00105113 +49 *659:69 0.000586472 +50 *659:68 0.000586472 +51 *659:64 0.000142385 +52 *659:59 0 +53 *659:52 0.000271459 +54 *659:51 0.000129074 +55 *659:47 0.000483143 +56 *659:46 0.000483143 +57 *659:42 0.000175703 +58 *659:37 0 +59 *659:30 0.000339018 +60 *659:29 0.000163315 +61 *659:25 0.000632495 +62 *659:24 0.000632495 +63 *659:20 0.000241247 +64 *659:15 0 +65 *659:8 0.000484122 +66 *659:7 0.000242874 +67 *659:3 0.000573949 +68 *659:2 0.000573949 +69 *658:25 *659:179 0.000576355 +70 *658:25 *659:184 0.000151769 +71 *658:74 *659:104 0.000200075 +72 *658:94 *659:84 0.000198184 +73 *658:94 *659:104 0.000954631 +74 *658:104 *659:114 0.000199198 +75 *658:104 *659:134 0.000959347 +76 *658:109 *659:47 0.000576355 +77 *658:124 *659:174 0.000394299 +78 *658:144 *659:154 0.000195829 +79 *658:144 *659:174 0.000956156 +80 *658:154 *659:184 0.000203104 +81 *658:174 *659:164 0.000404463 +82 *658:174 *659:184 0.00101688 +*RES +1 *659:2 *659:3 0.238632 +2 *659:7 *659:8 0.0488278 +3 *659:8 *659:15 0.00181331 +4 *659:8 *659:20 0.0473593 +5 *659:24 *659:25 0.238632 +6 *659:29 *659:30 0.0480935 +7 *659:30 *659:37 0.00194301 +8 *659:30 *659:42 0.0449729 +9 *659:46 *659:47 0.238632 +10 *659:51 *659:52 0.0473593 +11 *659:52 *659:59 0.00181331 +12 *659:52 *659:64 0.0480935 +13 *659:68 *659:69 0.238632 +14 *659:73 *659:74 2.19026 +15 *659:78 *659:79 0.105899 +16 *659:83 *659:84 2.19026 +17 *659:88 *659:89 0.100856 +18 *659:93 *659:94 4.94858 +19 *659:98 *659:99 2.79688 +20 *659:103 *659:104 4.94858 +21 *659:108 *659:109 0.189563 +22 *659:113 *659:114 2.19026 +23 *659:118 *659:119 0.129432 +24 *659:123 *659:124 2.19026 +25 *659:128 *659:129 0.0991755 +26 *659:133 *659:134 4.94858 +27 *659:138 *659:139 2.82515 +28 *659:143 *659:144 4.94858 +29 *659:148 *659:149 0.182911 +30 *659:153 *659:154 2.18018 +31 *659:158 *659:159 0.100856 +32 *659:163 *659:164 2.18018 +33 *659:168 *659:169 0.0890898 +34 *659:173 *659:174 5.05833 +35 *659:178 *659:179 2.78524 +36 *659:183 *659:184 5.05833 +37 *659:188 *659:189 0.304298 +*END diff --git a/spef/constant_block/constant_block.nom.spef b/spef/constant_block/constant_block.nom.spef new file mode 100644 index 00000000..df5bed5a --- /dev/null +++ b/spef/constant_block/constant_block.nom.spef @@ -0,0 +1,84 @@ +*SPEF "ieee 1481-1999" +*DESIGN "constant_block" +*DATE "11:11:11 Fri 11 11, 1111" +*VENDOR "OpenRCX" +*PROGRAM "Parallel Extraction" +*VERSION "1.0" +*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE" +*DIVIDER / +*DELIMITER : +*BUS_DELIMITER [] +*T_UNIT 1 NS +*C_UNIT 1 PF +*R_UNIT 1 OHM +*L_UNIT 1 HENRY + +*NAME_MAP +*1 one +*4 zero +*5 one_unbuf +*6 zero_unbuf +*7 FILLER_0_0 +*8 FILLER_0_24 +*9 FILLER_0_27 +*10 FILLER_1_0 +*11 FILLER_1_16 +*12 FILLER_1_24 +*13 FILLER_1_4 +*14 FILLER_1_8 +*15 FILLER_2_0 +*16 FILLER_2_24 +*17 FILLER_2_27 +*18 TAP_0 +*19 TAP_1 +*20 const_one_buf +*21 const_source +*22 const_zero_buf + +*PORTS +one O +zero O + +*D_NET *1 0.000496181 +*CONN +*P one O +*I *20:X O *D sky130_fd_sc_hd__buf_16 +*CAP +1 one 0.00024809 +2 *20:X 0.00024809 +*RES +1 *20:X one 19.8722 +*END + +*D_NET *4 0.000337027 +*CONN +*P zero O +*I *22:X O *D sky130_fd_sc_hd__buf_16 +*CAP +1 zero 0.000168514 +2 *22:X 0.000168514 +*RES +1 *22:X zero 19.5839 +*END + +*D_NET *5 0.000235339 +*CONN +*I *20:A I *D sky130_fd_sc_hd__buf_16 +*I *21:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 *20:A 0.000117669 +2 *21:HI 0.000117669 +*RES +1 *21:HI *20:A 30.1893 +*END + +*D_NET *6 0.000171306 +*CONN +*I *22:A I *D sky130_fd_sc_hd__buf_16 +*I *21:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 *22:A 8.56529e-05 +2 *21:LO 8.56529e-05 +*RES +1 *21:LO *22:A 29.5464 +*END diff --git a/spef/digital_pll/digital_pll.nom.spef b/spef/digital_pll/digital_pll.nom.spef new file mode 100644 index 00000000..87dc290a --- /dev/null +++ b/spef/digital_pll/digital_pll.nom.spef @@ -0,0 +1,11804 @@ +*SPEF "ieee 1481-1999" +*DESIGN "digital_pll" +*DATE "11:11:11 Fri 11 11, 1111" +*VENDOR "OpenRCX" +*PROGRAM "Parallel Extraction" +*VERSION "1.0" +*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE" +*DIVIDER / +*DELIMITER : +*BUS_DELIMITER [] +*T_UNIT 1 NS +*C_UNIT 1 PF +*R_UNIT 1 OHM +*L_UNIT 1 HENRY + +*NAME_MAP +*3 clockp[0] +*4 clockp[1] +*5 dco +*6 div[0] +*7 div[1] +*8 div[2] +*9 div[3] +*10 div[4] +*11 enable +*12 ext_trim[0] +*13 ext_trim[10] +*14 ext_trim[11] +*15 ext_trim[12] +*16 ext_trim[13] +*17 ext_trim[14] +*18 ext_trim[15] +*19 ext_trim[16] +*20 ext_trim[17] +*21 ext_trim[18] +*22 ext_trim[19] +*23 ext_trim[1] +*24 ext_trim[20] +*25 ext_trim[21] +*26 ext_trim[22] +*27 ext_trim[23] +*28 ext_trim[24] +*29 ext_trim[25] +*30 ext_trim[2] +*31 ext_trim[3] +*32 ext_trim[4] +*33 ext_trim[5] +*34 ext_trim[6] +*35 ext_trim[7] +*36 ext_trim[8] +*37 ext_trim[9] +*38 osc +*39 resetb +*40 _000_ +*41 _001_ +*42 _002_ +*43 _003_ +*44 _004_ +*45 _005_ +*46 _006_ +*47 _007_ +*48 _008_ +*49 _009_ +*50 _010_ +*51 _011_ +*52 _012_ +*53 _013_ +*54 _014_ +*55 _015_ +*56 _016_ +*57 _017_ +*58 _018_ +*59 _019_ +*60 _020_ +*61 _021_ +*62 _022_ +*63 _023_ +*64 _024_ +*65 _025_ +*66 _026_ +*67 _027_ +*68 _028_ +*69 _029_ +*70 _030_ +*71 _031_ +*72 _032_ +*73 _033_ +*74 _034_ +*75 _035_ +*76 _036_ +*77 _037_ +*78 _038_ +*79 _039_ +*80 _040_ +*81 _041_ +*82 _042_ +*83 _043_ +*84 _044_ +*85 _045_ +*86 _046_ +*87 _047_ +*88 _048_ +*89 _049_ +*90 _050_ +*91 _051_ +*92 _052_ +*93 _053_ +*94 _054_ +*95 _055_ +*96 _056_ +*97 _057_ +*98 _058_ +*99 _059_ +*100 _060_ +*101 _061_ +*102 _062_ +*103 _063_ +*104 _064_ +*105 _065_ +*106 _066_ +*107 _067_ +*108 _068_ +*109 _069_ +*110 _070_ +*111 _071_ +*112 _072_ +*113 _073_ +*114 _074_ +*115 _075_ +*116 _076_ +*117 _077_ +*118 _078_ +*119 _079_ +*120 _080_ +*121 _081_ +*122 _082_ +*123 _083_ +*124 _084_ +*125 _085_ +*126 _086_ +*127 _087_ +*128 _088_ +*129 _089_ +*130 _090_ +*131 _091_ +*132 _092_ +*133 _093_ +*134 _094_ +*135 _095_ +*136 _096_ +*137 _097_ +*138 _098_ +*139 _099_ +*140 _100_ +*141 _101_ +*142 _102_ +*143 _103_ +*144 _104_ +*145 _105_ +*146 _106_ +*147 _107_ +*148 _108_ +*149 _109_ +*150 _110_ +*151 _111_ +*152 _112_ +*153 _113_ +*154 _114_ +*155 _115_ +*156 _116_ +*157 _117_ +*158 _118_ +*159 _119_ +*160 _120_ +*161 _121_ +*162 _122_ +*163 _123_ +*164 _124_ +*165 _125_ +*166 _126_ +*167 _127_ +*168 _128_ +*169 _129_ +*170 _130_ +*171 _131_ +*172 _132_ +*173 _133_ +*174 _134_ +*175 _135_ +*176 _136_ +*177 _137_ +*178 _138_ +*179 _139_ +*180 _140_ +*181 _141_ +*182 _142_ +*183 _143_ +*184 _144_ +*185 _145_ +*186 _146_ +*187 _147_ +*188 _148_ +*189 _149_ +*190 _150_ +*191 _151_ +*192 _152_ +*193 _153_ +*194 _154_ +*195 _155_ +*196 _156_ +*197 _157_ +*198 _158_ +*199 _159_ +*200 _160_ +*201 _161_ +*202 _162_ +*203 _163_ +*204 pll_control\.clock +*205 pll_control\.count0\[0\] +*206 pll_control\.count0\[1\] +*207 pll_control\.count0\[2\] +*208 pll_control\.count0\[3\] +*209 pll_control\.count0\[4\] +*210 pll_control\.count1\[0\] +*211 pll_control\.count1\[1\] +*212 pll_control\.count1\[2\] +*213 pll_control\.count1\[3\] +*214 pll_control\.count1\[4\] +*215 pll_control\.oscbuf\[0\] +*216 pll_control\.oscbuf\[1\] +*217 pll_control\.oscbuf\[2\] +*218 pll_control\.prep\[0\] +*219 pll_control\.prep\[1\] +*220 pll_control\.prep\[2\] +*221 pll_control\.tint\[0\] +*222 pll_control\.tint\[1\] +*223 pll_control\.tint\[2\] +*224 pll_control\.tint\[3\] +*225 pll_control\.tint\[4\] +*226 pll_control\.tval\[0\] +*227 pll_control\.tval\[1\] +*228 ringosc\.c\[0\] +*229 ringosc\.c\[1\] +*230 ringosc\.dstage\[0\]\.id\.d0 +*231 ringosc\.dstage\[0\]\.id\.d1 +*232 ringosc\.dstage\[0\]\.id\.d2 +*233 ringosc\.dstage\[0\]\.id\.in +*234 ringosc\.dstage\[0\]\.id\.out +*235 ringosc\.dstage\[0\]\.id\.trim\[0\] +*236 ringosc\.dstage\[0\]\.id\.trim\[1\] +*237 ringosc\.dstage\[0\]\.id\.ts +*238 ringosc\.dstage\[10\]\.id\.d0 +*239 ringosc\.dstage\[10\]\.id\.d1 +*240 ringosc\.dstage\[10\]\.id\.d2 +*241 ringosc\.dstage\[10\]\.id\.in +*242 ringosc\.dstage\[10\]\.id\.out +*243 ringosc\.dstage\[10\]\.id\.trim\[0\] +*244 ringosc\.dstage\[10\]\.id\.trim\[1\] +*245 ringosc\.dstage\[10\]\.id\.ts +*246 ringosc\.dstage\[11\]\.id\.d0 +*247 ringosc\.dstage\[11\]\.id\.d1 +*248 ringosc\.dstage\[11\]\.id\.d2 +*249 ringosc\.dstage\[11\]\.id\.out +*250 ringosc\.dstage\[11\]\.id\.trim\[0\] +*251 ringosc\.dstage\[11\]\.id\.trim\[1\] +*252 ringosc\.dstage\[11\]\.id\.ts +*253 ringosc\.dstage\[1\]\.id\.d0 +*254 ringosc\.dstage\[1\]\.id\.d1 +*255 ringosc\.dstage\[1\]\.id\.d2 +*256 ringosc\.dstage\[1\]\.id\.out +*257 ringosc\.dstage\[1\]\.id\.trim\[0\] +*258 ringosc\.dstage\[1\]\.id\.trim\[1\] +*259 ringosc\.dstage\[1\]\.id\.ts +*260 ringosc\.dstage\[2\]\.id\.d0 +*261 ringosc\.dstage\[2\]\.id\.d1 +*262 ringosc\.dstage\[2\]\.id\.d2 +*263 ringosc\.dstage\[2\]\.id\.out +*264 ringosc\.dstage\[2\]\.id\.trim\[0\] +*265 ringosc\.dstage\[2\]\.id\.trim\[1\] +*266 ringosc\.dstage\[2\]\.id\.ts +*267 ringosc\.dstage\[3\]\.id\.d0 +*268 ringosc\.dstage\[3\]\.id\.d1 +*269 ringosc\.dstage\[3\]\.id\.d2 +*270 ringosc\.dstage\[3\]\.id\.out +*271 ringosc\.dstage\[3\]\.id\.trim\[0\] +*272 ringosc\.dstage\[3\]\.id\.trim\[1\] +*273 ringosc\.dstage\[3\]\.id\.ts +*274 ringosc\.dstage\[4\]\.id\.d0 +*275 ringosc\.dstage\[4\]\.id\.d1 +*276 ringosc\.dstage\[4\]\.id\.d2 +*277 ringosc\.dstage\[4\]\.id\.out +*278 ringosc\.dstage\[4\]\.id\.trim\[0\] +*279 ringosc\.dstage\[4\]\.id\.trim\[1\] +*280 ringosc\.dstage\[4\]\.id\.ts +*281 ringosc\.dstage\[5\]\.id\.d0 +*282 ringosc\.dstage\[5\]\.id\.d1 +*283 ringosc\.dstage\[5\]\.id\.d2 +*284 ringosc\.dstage\[5\]\.id\.out +*285 ringosc\.dstage\[5\]\.id\.trim\[0\] +*286 ringosc\.dstage\[5\]\.id\.trim\[1\] +*287 ringosc\.dstage\[5\]\.id\.ts +*288 ringosc\.dstage\[6\]\.id\.d0 +*289 ringosc\.dstage\[6\]\.id\.d1 +*290 ringosc\.dstage\[6\]\.id\.d2 +*291 ringosc\.dstage\[6\]\.id\.out +*292 ringosc\.dstage\[6\]\.id\.trim\[0\] +*293 ringosc\.dstage\[6\]\.id\.trim\[1\] +*294 ringosc\.dstage\[6\]\.id\.ts +*295 ringosc\.dstage\[7\]\.id\.d0 +*296 ringosc\.dstage\[7\]\.id\.d1 +*297 ringosc\.dstage\[7\]\.id\.d2 +*298 ringosc\.dstage\[7\]\.id\.out +*299 ringosc\.dstage\[7\]\.id\.trim\[0\] +*300 ringosc\.dstage\[7\]\.id\.trim\[1\] +*301 ringosc\.dstage\[7\]\.id\.ts +*302 ringosc\.dstage\[8\]\.id\.d0 +*303 ringosc\.dstage\[8\]\.id\.d1 +*304 ringosc\.dstage\[8\]\.id\.d2 +*305 ringosc\.dstage\[8\]\.id\.out +*306 ringosc\.dstage\[8\]\.id\.trim\[0\] +*307 ringosc\.dstage\[8\]\.id\.trim\[1\] +*308 ringosc\.dstage\[8\]\.id\.ts +*309 ringosc\.dstage\[9\]\.id\.d0 +*310 ringosc\.dstage\[9\]\.id\.d1 +*311 ringosc\.dstage\[9\]\.id\.d2 +*312 ringosc\.dstage\[9\]\.id\.trim\[0\] +*313 ringosc\.dstage\[9\]\.id\.trim\[1\] +*314 ringosc\.dstage\[9\]\.id\.ts +*315 ringosc\.iss\.ctrl0 +*316 ringosc\.iss\.d0 +*317 ringosc\.iss\.d1 +*318 ringosc\.iss\.d2 +*319 ringosc\.iss\.one +*320 ringosc\.iss\.reset +*321 ringosc\.iss\.trim\[0\] +*322 ringosc\.iss\.trim\[1\] +*323 ANTENNA__177__A +*324 ANTENNA__181__A +*325 ANTENNA__181__B +*326 ANTENNA__182__A +*327 ANTENNA__201__A1 +*328 ANTENNA__201__B1 +*329 ANTENNA__202__A +*330 ANTENNA__204__A1 +*331 ANTENNA__207__A +*332 ANTENNA__210__A1 +*333 ANTENNA__210__B1 +*334 ANTENNA__211__A1 +*335 ANTENNA__216__A +*336 ANTENNA__218__B1 +*337 ANTENNA__330__A1 +*338 ANTENNA__330__S +*339 ANTENNA__331__A1 +*340 ANTENNA__331__S +*341 ANTENNA__332__A1 +*342 ANTENNA__332__S +*343 ANTENNA__333__A1 +*344 ANTENNA__333__S +*345 ANTENNA__334__A1 +*346 ANTENNA__334__S +*347 ANTENNA__335__A1 +*348 ANTENNA__335__S +*349 ANTENNA__336__A1 +*350 ANTENNA__336__S +*351 ANTENNA__337__A1 +*352 ANTENNA__337__S +*353 ANTENNA__338__A1 +*354 ANTENNA__338__S +*355 ANTENNA__339__A1 +*356 ANTENNA__339__S +*357 ANTENNA__340__A1 +*358 ANTENNA__340__S +*359 ANTENNA__341__A1 +*360 ANTENNA__341__S +*361 ANTENNA__342__A1 +*362 ANTENNA__342__S +*363 ANTENNA__343__A1 +*364 ANTENNA__343__S +*365 ANTENNA__344__A1 +*366 ANTENNA__344__S +*367 ANTENNA__345__A1 +*368 ANTENNA__345__S +*369 ANTENNA__346__A1 +*370 ANTENNA__346__S +*371 ANTENNA__347__A1 +*372 ANTENNA__347__S +*373 ANTENNA__348__A1 +*374 ANTENNA__348__S +*375 ANTENNA__349__A1 +*376 ANTENNA__349__S +*377 ANTENNA__350__A1 +*378 ANTENNA__350__S +*379 ANTENNA__351__A1 +*380 ANTENNA__351__S +*381 ANTENNA__352__A1 +*382 ANTENNA__352__S +*383 ANTENNA__353__A1 +*384 ANTENNA__353__S +*385 ANTENNA__354__A1 +*386 ANTENNA__354__S +*387 ANTENNA__355__A1 +*388 ANTENNA__355__S +*389 ANTENNA__356__D +*390 FILLER_0_10 +*391 FILLER_0_105 +*392 FILLER_0_19 +*393 FILLER_0_3 +*394 FILLER_0_37 +*395 FILLER_0_52 +*396 FILLER_0_62 +*397 FILLER_0_66 +*398 FILLER_0_70 +*399 FILLER_0_82 +*400 FILLER_0_93 +*401 FILLER_10_10 +*402 FILLER_10_104 +*403 FILLER_10_19 +*404 FILLER_10_27 +*405 FILLER_10_36 +*406 FILLER_10_7 +*407 FILLER_10_94 +*408 FILLER_11_101 +*409 FILLER_11_111 +*410 FILLER_11_130 +*411 FILLER_11_23 +*412 FILLER_11_32 +*413 FILLER_11_38 +*414 FILLER_11_50 +*415 FILLER_11_57 +*416 FILLER_11_77 +*417 FILLER_12_101 +*418 FILLER_12_105 +*419 FILLER_12_19 +*420 FILLER_12_27 +*421 FILLER_12_39 +*422 FILLER_12_48 +*423 FILLER_12_68 +*424 FILLER_12_80 +*425 FILLER_13_134 +*426 FILLER_13_34 +*427 FILLER_13_54 +*428 FILLER_14_112 +*429 FILLER_14_13 +*430 FILLER_14_3 +*431 FILLER_14_43 +*432 FILLER_14_63 +*433 FILLER_14_81 +*434 FILLER_14_85 +*435 FILLER_15_109 +*436 FILLER_15_24 +*437 FILLER_15_39 +*438 FILLER_15_5 +*439 FILLER_15_53 +*440 FILLER_15_67 +*441 FILLER_15_76 +*442 FILLER_15_93 +*443 FILLER_16_115 +*444 FILLER_16_135 +*445 FILLER_16_23 +*446 FILLER_16_27 +*447 FILLER_16_32 +*448 FILLER_16_59 +*449 FILLER_16_7 +*450 FILLER_16_72 +*451 FILLER_16_94 +*452 FILLER_16_98 +*453 FILLER_17_100 +*454 FILLER_17_12 +*455 FILLER_17_30 +*456 FILLER_18_112 +*457 FILLER_18_134 +*458 FILLER_18_26 +*459 FILLER_18_49 +*460 FILLER_18_75 +*461 FILLER_18_96 +*462 FILLER_19_100 +*463 FILLER_19_120 +*464 FILLER_19_135 +*465 FILLER_19_28 +*466 FILLER_19_55 +*467 FILLER_19_68 +*468 FILLER_19_87 +*469 FILLER_1_111 +*470 FILLER_1_134 +*471 FILLER_1_54 +*472 FILLER_1_78 +*473 FILLER_20_112 +*474 FILLER_20_134 +*475 FILLER_20_38 +*476 FILLER_20_5 +*477 FILLER_21_104 +*478 FILLER_21_135 +*479 FILLER_21_21 +*480 FILLER_21_55 +*481 FILLER_21_69 +*482 FILLER_22_133 +*483 FILLER_22_3 +*484 FILLER_22_31 +*485 FILLER_22_42 +*486 FILLER_22_7 +*487 FILLER_22_72 +*488 FILLER_2_120 +*489 FILLER_2_134 +*490 FILLER_2_18 +*491 FILLER_2_5 +*492 FILLER_2_78 +*493 FILLER_3_111 +*494 FILLER_3_113 +*495 FILLER_3_28 +*496 FILLER_3_3 +*497 FILLER_3_33 +*498 FILLER_3_37 +*499 FILLER_3_48 +*500 FILLER_3_57 +*501 FILLER_3_71 +*502 FILLER_3_94 +*503 FILLER_4_120 +*504 FILLER_4_129 +*505 FILLER_4_135 +*506 FILLER_4_58 +*507 FILLER_4_81 +*508 FILLER_5_103 +*509 FILLER_5_134 +*510 FILLER_5_19 +*511 FILLER_5_32 +*512 FILLER_5_57 +*513 FILLER_5_69 +*514 FILLER_6_100 +*515 FILLER_6_122 +*516 FILLER_6_134 +*517 FILLER_6_17 +*518 FILLER_6_27 +*519 FILLER_6_3 +*520 FILLER_6_38 +*521 FILLER_6_53 +*522 FILLER_6_62 +*523 FILLER_6_71 +*524 FILLER_6_83 +*525 FILLER_6_88 +*526 FILLER_7_103 +*527 FILLER_7_116 +*528 FILLER_7_127 +*529 FILLER_7_135 +*530 FILLER_7_39 +*531 FILLER_7_81 +*532 FILLER_7_90 +*533 FILLER_7_96 +*534 FILLER_8_27 +*535 FILLER_8_29 +*536 FILLER_8_51 +*537 FILLER_8_63 +*538 FILLER_8_69 +*539 FILLER_9_113 +*540 FILLER_9_27 +*541 FILLER_9_47 +*542 FILLER_9_5 +*543 FILLER_9_52 +*544 FILLER_9_78 +*545 FILLER_9_87 +*546 PHY_0 +*547 PHY_1 +*548 PHY_10 +*549 PHY_11 +*550 PHY_12 +*551 PHY_13 +*552 PHY_14 +*553 PHY_15 +*554 PHY_16 +*555 PHY_17 +*556 PHY_18 +*557 PHY_19 +*558 PHY_2 +*559 PHY_20 +*560 PHY_21 +*561 PHY_22 +*562 PHY_23 +*563 PHY_24 +*564 PHY_25 +*565 PHY_26 +*566 PHY_27 +*567 PHY_28 +*568 PHY_29 +*569 PHY_3 +*570 PHY_30 +*571 PHY_31 +*572 PHY_32 +*573 PHY_33 +*574 PHY_34 +*575 PHY_35 +*576 PHY_36 +*577 PHY_37 +*578 PHY_38 +*579 PHY_39 +*580 PHY_4 +*581 PHY_40 +*582 PHY_41 +*583 PHY_42 +*584 PHY_43 +*585 PHY_44 +*586 PHY_45 +*587 PHY_5 +*588 PHY_6 +*589 PHY_7 +*590 PHY_8 +*591 PHY_9 +*592 TAP_46 +*593 TAP_47 +*594 TAP_48 +*595 TAP_49 +*596 TAP_50 +*597 TAP_51 +*598 TAP_52 +*599 TAP_53 +*600 TAP_54 +*601 TAP_55 +*602 TAP_56 +*603 TAP_57 +*604 TAP_58 +*605 TAP_59 +*606 TAP_60 +*607 TAP_61 +*608 TAP_62 +*609 TAP_63 +*610 TAP_64 +*611 TAP_65 +*612 TAP_66 +*613 TAP_67 +*614 TAP_68 +*615 TAP_69 +*616 TAP_70 +*617 TAP_71 +*618 TAP_72 +*619 TAP_73 +*620 TAP_74 +*621 TAP_75 +*622 TAP_76 +*623 TAP_77 +*624 TAP_78 +*625 TAP_79 +*626 TAP_80 +*627 TAP_81 +*628 TAP_82 +*629 TAP_83 +*630 TAP_84 +*631 TAP_85 +*632 TAP_86 +*633 TAP_87 +*634 TAP_88 +*635 TAP_89 +*636 TAP_90 +*637 TAP_91 +*638 TAP_92 +*639 TAP_93 +*640 TAP_94 +*641 TAP_95 +*642 _164_ +*643 _165_ +*644 _166_ +*645 _167_ +*646 _168_ +*647 _169_ +*648 _170_ +*649 _171_ +*650 _172_ +*651 _173_ +*652 _174_ +*653 _175_ +*654 _176_ +*655 _177_ +*656 _178_ +*657 _179_ +*658 _180_ +*659 _181_ +*660 _182_ +*661 _183_ +*662 _184_ +*663 _185_ +*664 _186_ +*665 _187_ +*666 _188_ +*667 _189_ +*668 _190_ +*669 _191_ +*670 _192_ +*671 _193_ +*672 _194_ +*673 _195_ +*674 _196_ +*675 _197_ +*676 _198_ +*677 _199_ +*678 _200_ +*679 _201_ +*680 _202_ +*681 _203_ +*682 _204_ +*683 _205_ +*684 _206_ +*685 _207_ +*686 _208_ +*687 _209_ +*688 _210_ +*689 _211_ +*690 _212_ +*691 _213_ +*692 _214_ +*693 _215_ +*694 _216_ +*695 _217_ +*696 _218_ +*697 _219_ +*698 _220_ +*699 _221_ +*700 _222_ +*701 _223_ +*702 _224_ +*703 _225_ +*704 _226_ +*705 _227_ +*706 _228_ +*707 _229_ +*708 _230_ +*709 _231_ +*710 _232_ +*711 _233_ +*712 _234_ +*713 _235_ +*714 _236_ +*715 _237_ +*716 _238_ +*717 _239_ +*718 _240_ +*719 _241_ +*720 _242_ +*721 _243_ +*722 _244_ +*723 _245_ +*724 _246_ +*725 _247_ +*726 _248_ +*727 _249_ +*728 _250_ +*729 _251_ +*730 _252_ +*731 _253_ +*732 _254_ +*733 _255_ +*734 _256_ +*735 _257_ +*736 _258_ +*737 _259_ +*738 _260_ +*739 _261_ +*740 _262_ +*741 _263_ +*742 _264_ +*743 _265_ +*744 _266_ +*745 _267_ +*746 _268_ +*747 _269_ +*748 _270_ +*749 _271_ +*750 _272_ +*751 _273_ +*752 _274_ +*753 _275_ +*754 _276_ +*755 _277_ +*756 _278_ +*757 _279_ +*758 _280_ +*759 _281_ +*760 _282_ +*761 _283_ +*762 _284_ +*763 _285_ +*764 _286_ +*765 _287_ +*766 _288_ +*767 _289_ +*768 _290_ +*769 _291_ +*770 _292_ +*771 _293_ +*772 _294_ +*773 _295_ +*774 _296_ +*775 _297_ +*776 _298_ +*777 _299_ +*778 _300_ +*779 _301_ +*780 _302_ +*781 _303_ +*782 _304_ +*783 _305_ +*784 _306_ +*785 _307_ +*786 _308_ +*787 _309_ +*788 _310_ +*789 _311_ +*790 _312_ +*791 _313_ +*792 _314_ +*793 _315_ +*794 _316_ +*795 _317_ +*796 _318_ +*797 _319_ +*798 _320_ +*799 _321_ +*800 _322_ +*801 _323_ +*802 _324_ +*803 _325_ +*804 _326_ +*805 _327_ +*806 _328_ +*807 _329_ +*808 _330_ +*809 _331_ +*810 _332_ +*811 _333_ +*812 _334_ +*813 _335_ +*814 _336_ +*815 _337_ +*816 _338_ +*817 _339_ +*818 _340_ +*819 _341_ +*820 _342_ +*821 _343_ +*822 _344_ +*823 _345_ +*824 _346_ +*825 _347_ +*826 _348_ +*827 _349_ +*828 _350_ +*829 _351_ +*830 _352_ +*831 _353_ +*832 _354_ +*833 _355_ +*834 _356_ +*835 _357_ +*836 _358_ +*837 _359_ +*838 _360_ +*839 _361_ +*840 _362_ +*841 _363_ +*842 _364_ +*843 _365_ +*844 _366_ +*845 _367_ +*846 _368_ +*847 _369_ +*848 _370_ +*849 _371_ +*850 _372_ +*851 _373_ +*852 _374_ +*853 _375_ +*854 _376_ +*855 _377_ +*856 _378_ +*857 ringosc\.dstage\[0\]\.id\.delaybuf0 +*858 ringosc\.dstage\[0\]\.id\.delaybuf1 +*859 ringosc\.dstage\[0\]\.id\.delayen0 +*860 ringosc\.dstage\[0\]\.id\.delayen1 +*861 ringosc\.dstage\[0\]\.id\.delayenb0 +*862 ringosc\.dstage\[0\]\.id\.delayenb1 +*863 ringosc\.dstage\[0\]\.id\.delayint0 +*864 ringosc\.dstage\[10\]\.id\.delaybuf0 +*865 ringosc\.dstage\[10\]\.id\.delaybuf1 +*866 ringosc\.dstage\[10\]\.id\.delayen0 +*867 ringosc\.dstage\[10\]\.id\.delayen1 +*868 ringosc\.dstage\[10\]\.id\.delayenb0 +*869 ringosc\.dstage\[10\]\.id\.delayenb1 +*870 ringosc\.dstage\[10\]\.id\.delayint0 +*871 ringosc\.dstage\[11\]\.id\.delaybuf0 +*872 ringosc\.dstage\[11\]\.id\.delaybuf1 +*873 ringosc\.dstage\[11\]\.id\.delayen0 +*874 ringosc\.dstage\[11\]\.id\.delayen1 +*875 ringosc\.dstage\[11\]\.id\.delayenb0 +*876 ringosc\.dstage\[11\]\.id\.delayenb1 +*877 ringosc\.dstage\[11\]\.id\.delayint0 +*878 ringosc\.dstage\[1\]\.id\.delaybuf0 +*879 ringosc\.dstage\[1\]\.id\.delaybuf1 +*880 ringosc\.dstage\[1\]\.id\.delayen0 +*881 ringosc\.dstage\[1\]\.id\.delayen1 +*882 ringosc\.dstage\[1\]\.id\.delayenb0 +*883 ringosc\.dstage\[1\]\.id\.delayenb1 +*884 ringosc\.dstage\[1\]\.id\.delayint0 +*885 ringosc\.dstage\[2\]\.id\.delaybuf0 +*886 ringosc\.dstage\[2\]\.id\.delaybuf1 +*887 ringosc\.dstage\[2\]\.id\.delayen0 +*888 ringosc\.dstage\[2\]\.id\.delayen1 +*889 ringosc\.dstage\[2\]\.id\.delayenb0 +*890 ringosc\.dstage\[2\]\.id\.delayenb1 +*891 ringosc\.dstage\[2\]\.id\.delayint0 +*892 ringosc\.dstage\[3\]\.id\.delaybuf0 +*893 ringosc\.dstage\[3\]\.id\.delaybuf1 +*894 ringosc\.dstage\[3\]\.id\.delayen0 +*895 ringosc\.dstage\[3\]\.id\.delayen1 +*896 ringosc\.dstage\[3\]\.id\.delayenb0 +*897 ringosc\.dstage\[3\]\.id\.delayenb1 +*898 ringosc\.dstage\[3\]\.id\.delayint0 +*899 ringosc\.dstage\[4\]\.id\.delaybuf0 +*900 ringosc\.dstage\[4\]\.id\.delaybuf1 +*901 ringosc\.dstage\[4\]\.id\.delayen0 +*902 ringosc\.dstage\[4\]\.id\.delayen1 +*903 ringosc\.dstage\[4\]\.id\.delayenb0 +*904 ringosc\.dstage\[4\]\.id\.delayenb1 +*905 ringosc\.dstage\[4\]\.id\.delayint0 +*906 ringosc\.dstage\[5\]\.id\.delaybuf0 +*907 ringosc\.dstage\[5\]\.id\.delaybuf1 +*908 ringosc\.dstage\[5\]\.id\.delayen0 +*909 ringosc\.dstage\[5\]\.id\.delayen1 +*910 ringosc\.dstage\[5\]\.id\.delayenb0 +*911 ringosc\.dstage\[5\]\.id\.delayenb1 +*912 ringosc\.dstage\[5\]\.id\.delayint0 +*913 ringosc\.dstage\[6\]\.id\.delaybuf0 +*914 ringosc\.dstage\[6\]\.id\.delaybuf1 +*915 ringosc\.dstage\[6\]\.id\.delayen0 +*916 ringosc\.dstage\[6\]\.id\.delayen1 +*917 ringosc\.dstage\[6\]\.id\.delayenb0 +*918 ringosc\.dstage\[6\]\.id\.delayenb1 +*919 ringosc\.dstage\[6\]\.id\.delayint0 +*920 ringosc\.dstage\[7\]\.id\.delaybuf0 +*921 ringosc\.dstage\[7\]\.id\.delaybuf1 +*922 ringosc\.dstage\[7\]\.id\.delayen0 +*923 ringosc\.dstage\[7\]\.id\.delayen1 +*924 ringosc\.dstage\[7\]\.id\.delayenb0 +*925 ringosc\.dstage\[7\]\.id\.delayenb1 +*926 ringosc\.dstage\[7\]\.id\.delayint0 +*927 ringosc\.dstage\[8\]\.id\.delaybuf0 +*928 ringosc\.dstage\[8\]\.id\.delaybuf1 +*929 ringosc\.dstage\[8\]\.id\.delayen0 +*930 ringosc\.dstage\[8\]\.id\.delayen1 +*931 ringosc\.dstage\[8\]\.id\.delayenb0 +*932 ringosc\.dstage\[8\]\.id\.delayenb1 +*933 ringosc\.dstage\[8\]\.id\.delayint0 +*934 ringosc\.dstage\[9\]\.id\.delaybuf0 +*935 ringosc\.dstage\[9\]\.id\.delaybuf1 +*936 ringosc\.dstage\[9\]\.id\.delayen0 +*937 ringosc\.dstage\[9\]\.id\.delayen1 +*938 ringosc\.dstage\[9\]\.id\.delayenb0 +*939 ringosc\.dstage\[9\]\.id\.delayenb1 +*940 ringosc\.dstage\[9\]\.id\.delayint0 +*941 ringosc\.ibufp00 +*942 ringosc\.ibufp01 +*943 ringosc\.ibufp10 +*944 ringosc\.ibufp11 +*945 ringosc\.iss\.const1 +*946 ringosc\.iss\.ctrlen0 +*947 ringosc\.iss\.delaybuf0 +*948 ringosc\.iss\.delayen0 +*949 ringosc\.iss\.delayen1 +*950 ringosc\.iss\.delayenb0 +*951 ringosc\.iss\.delayenb1 +*952 ringosc\.iss\.delayint0 +*953 ringosc\.iss\.reseten0 + +*PORTS +clockp[0] O +clockp[1] O +dco I +div[0] I +div[1] I +div[2] I +div[3] I +div[4] I +enable I +ext_trim[0] I +ext_trim[10] I +ext_trim[11] I +ext_trim[12] I +ext_trim[13] I +ext_trim[14] I +ext_trim[15] I +ext_trim[16] I +ext_trim[17] I +ext_trim[18] I +ext_trim[19] I +ext_trim[1] I +ext_trim[20] I +ext_trim[21] I +ext_trim[22] I +ext_trim[23] I +ext_trim[24] I +ext_trim[25] I +ext_trim[2] I +ext_trim[3] I +ext_trim[4] I +ext_trim[5] I +ext_trim[6] I +ext_trim[7] I +ext_trim[8] I +ext_trim[9] I +osc I +resetb I + +*D_NET *3 0.000789648 +*CONN +*P clockp[0] O +*I *806:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 clockp[0] 0.000394824 +2 *806:X 0.000394824 +3 clockp[0] clockp[1] 0 +*RES +1 *806:X clockp[0] 22.4772 +*END + +*D_NET *4 0.00597287 +*CONN +*P clockp[1] O +*I *944:Y O *D sky130_fd_sc_hd__clkinv_8 +*CAP +1 clockp[1] 0.0013368 +2 *944:Y 0.000595063 +3 *4:10 0.00193187 +4 clockp[1] *655:A 2.652e-05 +5 clockp[1] *661:B1 0.000225835 +6 clockp[1] *662:B2 0 +7 clockp[1] *679:B2 0.00028516 +8 clockp[1] *692:A 3.88655e-06 +9 clockp[1] *692:B 0 +10 clockp[1] *693:A2 2.18741e-05 +11 clockp[1] *854:D 3.58321e-05 +12 clockp[1] *854:RESET_B 7.58739e-05 +13 clockp[1] *6:7 0 +14 clockp[1] *6:36 4.73136e-05 +15 clockp[1] *39:11 0 +16 clockp[1] *90:51 4.3116e-06 +17 clockp[1] *127:55 0 +18 clockp[1] *137:10 0 +19 clockp[1] *138:6 0.000367831 +20 clockp[1] *140:8 0 +21 *4:10 *643:A 0 +22 *4:10 *690:B1 6.89317e-05 +23 *4:10 *690:B2 0.000125695 +24 *4:10 *692:A 6.17553e-05 +25 *4:10 *805:A 7.06307e-05 +26 *4:10 *856:D 0 +27 *4:10 *5:22 0.000330596 +28 *4:10 *39:11 0 +29 *4:10 *113:8 0.00035709 +30 clockp[0] clockp[1] 0 +*RES +1 *944:Y *4:10 31.2298 +2 *4:10 clockp[1] 28.8458 +*END + +*D_NET *5 0.0457603 +*CONN +*P dco I +*I *370:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *824:S I *D sky130_fd_sc_hd__mux2_1 +*I *366:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *358:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *818:S I *D sky130_fd_sc_hd__mux2_1 +*I *816:S I *D sky130_fd_sc_hd__mux2_1 +*I *814:S I *D sky130_fd_sc_hd__mux2_1 +*I *356:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *817:S I *D sky130_fd_sc_hd__mux2_1 +*I *360:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *352:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *815:S I *D sky130_fd_sc_hd__mux2_1 +*I *348:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *813:S I *D sky130_fd_sc_hd__mux2_1 +*I *809:S I *D sky130_fd_sc_hd__mux2_1 +*I *811:S I *D sky130_fd_sc_hd__mux2_1 +*I *344:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *340:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *833:S I *D sky130_fd_sc_hd__mux2_1 +*I *388:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *831:S I *D sky130_fd_sc_hd__mux2_1 +*I *384:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *372:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *825:S I *D sky130_fd_sc_hd__mux2_1 +*I *368:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *823:S I *D sky130_fd_sc_hd__mux2_1 +*I *819:S I *D sky130_fd_sc_hd__mux2_1 +*I *827:S I *D sky130_fd_sc_hd__mux2_1 +*I *821:S I *D sky130_fd_sc_hd__mux2_1 +*I *376:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *364:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *829:S I *D sky130_fd_sc_hd__mux2_1 +*I *380:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *346:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *342:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *812:S I *D sky130_fd_sc_hd__mux2_1 +*I *810:S I *D sky130_fd_sc_hd__mux2_1 +*I *350:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *354:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *822:S I *D sky130_fd_sc_hd__mux2_1 +*I *820:S I *D sky130_fd_sc_hd__mux2_1 +*I *338:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *808:S I *D sky130_fd_sc_hd__mux2_1 +*I *832:S I *D sky130_fd_sc_hd__mux2_1 +*I *386:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *362:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *826:S I *D sky130_fd_sc_hd__mux2_1 +*I *374:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *378:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *828:S I *D sky130_fd_sc_hd__mux2_1 +*I *830:S I *D sky130_fd_sc_hd__mux2_1 +*I *660:A I *D sky130_fd_sc_hd__nor2_2 +*I *326:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *382:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 dco 0.00020678 +2 *370:DIODE 0.000108644 +3 *824:S 0.000126967 +4 *366:DIODE 0.00017301 +5 *358:DIODE 0.000216552 +6 *818:S 0 +7 *816:S 9.09498e-05 +8 *814:S 0 +9 *356:DIODE 0 +10 *817:S 0 +11 *360:DIODE 4.52247e-05 +12 *352:DIODE 0 +13 *815:S 0 +14 *348:DIODE 0 +15 *813:S 0.000284018 +16 *809:S 0 +17 *811:S 0.000140161 +18 *344:DIODE 0.000441427 +19 *340:DIODE 9.71006e-05 +20 *833:S 0 +21 *388:DIODE 0 +22 *831:S 4.2094e-05 +23 *384:DIODE 0.000269978 +24 *372:DIODE 0.000189113 +25 *825:S 0 +26 *368:DIODE 0 +27 *823:S 7.11558e-05 +28 *819:S 0 +29 *827:S 0 +30 *821:S 0 +31 *376:DIODE 7.47734e-05 +32 *364:DIODE 0 +33 *829:S 5.99479e-05 +34 *380:DIODE 0 +35 *346:DIODE 6.97636e-05 +36 *342:DIODE 0 +37 *812:S 0.000355184 +38 *810:S 0 +39 *350:DIODE 0 +40 *354:DIODE 0 +41 *822:S 0 +42 *820:S 0 +43 *338:DIODE 0 +44 *808:S 0.000215777 +45 *832:S 0 +46 *386:DIODE 0 +47 *362:DIODE 0 +48 *826:S 0 +49 *374:DIODE 5.2316e-05 +50 *378:DIODE 0 +51 *828:S 3.58865e-05 +52 *830:S 0 +53 *660:A 2.97371e-05 +54 *326:DIODE 0 +55 *382:DIODE 0.000147638 +56 *5:483 0.000356673 +57 *5:414 0.000335446 +58 *5:392 0.000691196 +59 *5:387 0.000208601 +60 *5:380 0.000476438 +61 *5:351 0.00093188 +62 *5:350 0.000851142 +63 *5:339 0.000718983 +64 *5:336 0.00127698 +65 *5:332 0.000629683 +66 *5:329 0.000279299 +67 *5:324 0.000475041 +68 *5:310 0.000339151 +69 *5:302 0.00019545 +70 *5:293 0.000376514 +71 *5:284 0.000380885 +72 *5:281 0.00038595 +73 *5:279 0.000354684 +74 *5:257 7.47734e-05 +75 *5:223 0.000428235 +76 *5:222 0.000307747 +77 *5:213 0.000343829 +78 *5:204 0.00040077 +79 *5:200 0.000471499 +80 *5:195 0.00037559 +81 *5:190 0.000335856 +82 *5:184 0.000256894 +83 *5:179 0.000658013 +84 *5:172 0.000340889 +85 *5:168 0.000974635 +86 *5:163 0.000961684 +87 *5:160 0.00025905 +88 *5:154 0.000270447 +89 *5:148 0.00065251 +90 *5:142 0.000562987 +91 *5:136 0.000170146 +92 *5:130 0.000376562 +93 *5:127 0.000368606 +94 *5:120 0.000210725 +95 *5:98 0.000453588 +96 *5:94 0.000319892 +97 *5:88 0.000554553 +98 *5:84 0.000610165 +99 *5:76 0.000615796 +100 *5:73 0.000832535 +101 *5:66 0.000287275 +102 *5:57 0.000342036 +103 *5:50 0.000214019 +104 *5:41 0.000344409 +105 *5:22 0.000360691 +106 *5:10 0.00060238 +107 *5:8 0.000138559 +108 *5:7 0.00044772 +109 *340:DIODE *809:A0 6.46921e-05 +110 *340:DIODE *872:A 0.00017407 +111 *344:DIODE *739:B2 5.56461e-05 +112 *344:DIODE *792:A 6.36477e-05 +113 *344:DIODE *222:11 1.85917e-05 +114 *344:DIODE *222:13 6.54943e-05 +115 *344:DIODE *244:8 5.48642e-05 +116 *346:DIODE *312:15 0.000103983 +117 *358:DIODE *822:A0 0.000318901 +118 *360:DIODE *770:B 1.88422e-05 +119 *366:DIODE *901:TE 3.49494e-05 +120 *366:DIODE *13:12 0.000382765 +121 *366:DIODE *278:8 3.37671e-05 +122 *370:DIODE *369:DIODE 1.00004e-05 +123 *370:DIODE *824:A0 7.62627e-06 +124 *370:DIODE *824:A1 1.66626e-05 +125 *370:DIODE *271:6 7.60183e-05 +126 *372:DIODE *371:DIODE 0.000477467 +127 *374:DIODE *373:DIODE 3.28898e-06 +128 *376:DIODE *243:20 0.000113968 +129 *382:DIODE *336:DIODE 1.84293e-05 +130 *382:DIODE *381:DIODE 7.40499e-05 +131 *384:DIODE *831:A0 2.84758e-05 +132 *384:DIODE *236:11 3.00829e-05 +133 *660:A *805:A 0.000106215 +134 *660:A *284:36 5.56461e-05 +135 *808:S *337:DIODE 2.41483e-05 +136 *808:S *385:DIODE 0 +137 *808:S *808:A0 0.000164829 +138 *808:S *826:A0 0 +139 *808:S *832:A1 1.00846e-05 +140 *808:S *15:5 0 +141 *808:S *258:9 0.000275256 +142 *808:S *284:36 8.79472e-05 +143 *812:S *345:DIODE 0.000112112 +144 *812:S *312:15 0.000158357 +145 *813:S *813:A1 1.31657e-05 +146 *813:S *864:A 5.56851e-05 +147 *813:S *313:8 0.000169041 +148 *816:S *816:A1 0.000159322 +149 *816:S *35:8 1.61631e-05 +150 *823:S *823:A1 3.41075e-05 +151 *823:S *19:8 0.000118166 +152 *824:S *824:A1 0.000211546 +153 *829:S *779:B 4.70559e-05 +154 *829:S *829:A0 6.36477e-05 +155 *829:S *201:11 6.08467e-05 +156 *831:S *725:A1 0 +157 *831:S *769:A3 2.14842e-06 +158 *831:S *119:25 1.16474e-05 +159 *5:7 *11:10 0 +160 *5:7 *12:8 0 +161 *5:8 *381:DIODE 3.5534e-06 +162 *5:8 *12:8 4.31485e-06 +163 *5:10 *381:DIODE 0.000103312 +164 *5:22 *805:A 0.000171288 +165 *5:22 *859:A 1.41291e-05 +166 *5:22 *944:A 0.000122378 +167 *5:22 *284:36 0.000152239 +168 *5:41 *377:DIODE 2.50491e-05 +169 *5:41 *861:TE_B 0.000152842 +170 *5:41 *12:8 5.14479e-05 +171 *5:50 *377:DIODE 1.77537e-06 +172 *5:50 *23:8 3.40703e-05 +173 *5:57 *23:8 4.77318e-05 +174 *5:66 *373:DIODE 5.53934e-05 +175 *5:73 *373:DIODE 1.50513e-05 +176 *5:73 *30:8 4.31485e-06 +177 *5:73 *264:8 0 +178 *5:76 *887:A 2.20688e-05 +179 *5:76 *889:TE_B 0.000383703 +180 *5:76 *891:A 6.50586e-05 +181 *5:76 *263:8 2.42273e-05 +182 *5:88 *884:A 2.41274e-06 +183 *5:88 *888:A 0 +184 *5:88 *889:A 0 +185 *5:88 *911:A 0 +186 *5:88 *13:12 1.44467e-05 +187 *5:88 *261:6 0 +188 *5:88 *266:6 0 +189 *5:98 *832:A1 1.43983e-05 +190 *5:98 *321:10 1.43848e-05 +191 *5:120 *888:A 0 +192 *5:120 *910:TE_B 0.000156823 +193 *5:120 *13:12 3.91529e-05 +194 *5:127 *892:A 0 +195 *5:127 *13:12 0.000132408 +196 *5:127 *263:8 0 +197 *5:130 *822:A1 1.7883e-05 +198 *5:136 *822:A0 0.000117376 +199 *5:136 *822:A1 0.000117376 +200 *5:136 *34:15 1.5962e-05 +201 *5:142 *818:A1 0.000146858 +202 *5:142 *822:A0 0.000239947 +203 *5:142 *906:A 0.000112367 +204 *5:142 *34:15 0.000508726 +205 *5:148 *901:A 2.20837e-05 +206 *5:148 *902:A 2.99929e-05 +207 *5:148 *902:TE 0 +208 *5:148 *902:Z 4.31539e-05 +209 *5:148 *35:8 2.01874e-05 +210 *5:148 *275:8 8.53106e-06 +211 *5:154 *35:8 4.13614e-05 +212 *5:160 *35:8 1.19618e-05 +213 *5:163 *814:A0 1.18084e-05 +214 *5:163 *37:13 0.000130454 +215 *5:163 *48:8 0 +216 *5:168 *814:A0 3.30938e-05 +217 *5:168 *915:A 1.87146e-05 +218 *5:168 *915:TE 0.000234308 +219 *5:168 *915:Z 0.000365242 +220 *5:168 *37:13 0.000126335 +221 *5:172 *817:A0 2.41961e-05 +222 *5:179 *817:A0 0.00016386 +223 *5:179 *817:A1 0.000214042 +224 *5:179 *823:A0 2.02222e-05 +225 *5:179 *926:A 1.54809e-05 +226 *5:179 *293:11 0.000399216 +227 *5:184 *375:DIODE 0.00025618 +228 *5:184 *807:A1 3.20407e-05 +229 *5:184 *823:A0 1.21985e-05 +230 *5:184 *827:A0 6.01618e-05 +231 *5:184 *922:A 6.46815e-05 +232 *5:184 *926:A 1.35641e-05 +233 *5:184 *55:26 4.04576e-06 +234 *5:190 *779:A 0.000199527 +235 *5:190 *817:A0 0 +236 *5:190 *827:A0 2.2594e-05 +237 *5:190 *18:11 5.03545e-06 +238 *5:190 *55:22 0.000113374 +239 *5:190 *312:15 0.000211464 +240 *5:195 *779:A 0.000377273 +241 *5:195 *817:A0 0.000103493 +242 *5:195 *829:A1 4.52469e-05 +243 *5:195 *17:9 5.8261e-05 +244 *5:195 *312:15 0.000377273 +245 *5:200 *817:A0 2.652e-05 +246 *5:200 *829:A1 3.00073e-05 +247 *5:200 *201:11 0.000197281 +248 *5:204 *201:11 0.000213676 +249 *5:204 *222:77 3.83172e-05 +250 *5:213 *763:A3 0.000160617 +251 *5:213 *764:A2 8.62625e-06 +252 *5:213 *16:9 3.10619e-05 +253 *5:213 *44:10 0.000118171 +254 *5:213 *222:77 0.000158371 +255 *5:213 *222:79 6.50586e-05 +256 *5:213 *223:64 0 +257 *5:222 *759:A3 7.77309e-06 +258 *5:222 *779:B 0 +259 *5:222 *810:A1 0.000113374 +260 *5:222 *914:A 2.652e-05 +261 *5:222 *16:9 1.53688e-05 +262 *5:222 *44:10 5.41227e-05 +263 *5:223 *312:15 9.97706e-05 +264 *5:279 *770:B 8.57967e-05 +265 *5:279 *55:26 0.000210531 +266 *5:284 *819:A0 6.93171e-05 +267 *5:293 *823:A0 0 +268 *5:293 *825:A0 0 +269 *5:293 *930:A 3.62414e-05 +270 *5:293 *24:14 0 +271 *5:302 *19:8 0.000171288 +272 *5:310 *371:DIODE 4.48447e-05 +273 *5:310 *19:8 0.000387915 +274 *5:324 *773:A2 0 +275 *5:324 *773:B1 6.99331e-05 +276 *5:324 *773:C1 2.82537e-05 +277 *5:324 *777:B 3.07658e-05 +278 *5:324 *819:A0 3.40414e-05 +279 *5:324 *307:6 4.53156e-05 +280 *5:324 *312:15 6.89449e-05 +281 *5:329 *773:A3 0 +282 *5:329 *773:B1 3.00073e-05 +283 *5:329 *773:C1 5.22654e-06 +284 *5:329 *815:A0 0.000399507 +285 *5:329 *21:16 3.58044e-05 +286 *5:329 *222:50 8.62625e-06 +287 *5:332 *351:DIODE 0.000116454 +288 *5:332 *864:A 0 +289 *5:336 *351:DIODE 1.07248e-05 +290 *5:336 *864:A 0 +291 *5:336 *866:TE 0.000226281 +292 *5:336 *869:TE_B 0 +293 *5:336 *222:18 0 +294 *5:336 *222:32 0 +295 *5:336 *243:20 0 +296 *5:336 *245:6 0 +297 *5:336 *245:18 0 +298 *5:336 *252:6 2.85637e-05 +299 *5:336 *252:8 4.79669e-05 +300 *5:339 *648:A 1.65872e-05 +301 *5:339 *782:A1 0.000311235 +302 *5:339 *833:A1 0.000415213 +303 *5:339 *873:A 0.000118166 +304 *5:339 *874:TE 1.41291e-05 +305 *5:339 *877:A 0.00011818 +306 *5:339 *29:11 0.000158357 +307 *5:339 *225:24 2.90773e-05 +308 *5:339 *247:7 0.000428134 +309 *5:350 *702:C 1.21602e-05 +310 *5:350 *708:A 5.99361e-05 +311 *5:350 *723:A2 0 +312 *5:350 *782:A1 7.12632e-06 +313 *5:350 *833:A0 3.13173e-05 +314 *5:350 *120:11 5.54675e-05 +315 *5:350 *225:40 6.45852e-05 +316 *5:351 *769:A3 2.58616e-05 +317 *5:351 *831:A0 4.25952e-05 +318 *5:351 *223:28 3.6669e-06 +319 *5:351 *223:84 1.7883e-05 +320 *5:351 *249:23 0.000507889 +321 *5:380 *869:TE_B 8.32204e-06 +322 *5:380 *872:A 0 +323 *5:380 *874:TE 2.38044e-05 +324 *5:380 *876:TE_B 3.11269e-05 +325 *5:380 *222:18 0 +326 *5:380 *244:8 7.82051e-05 +327 *5:380 *251:8 3.37843e-05 +328 *5:380 *252:8 0 +329 *5:387 *809:A0 4.45999e-05 +330 *5:387 *809:A1 0.000118166 +331 *5:392 *343:DIODE 0 +332 *5:392 *811:A0 3.58457e-05 +333 *5:392 *244:8 2.74956e-05 +334 *5:483 *369:DIODE 0.000101987 +335 *5:483 *887:TE 0 +336 *5:483 *264:8 0 +337 *4:10 *5:22 0.000330596 +*RES +1 dco *5:7 4.12039 +2 *5:7 *5:8 0.378612 +3 *5:8 *5:10 3.90826 +4 *5:10 *382:DIODE 17.5503 +5 *5:10 *5:22 16.3155 +6 *5:22 *326:DIODE 9.24915 +7 *5:22 *660:A 11.1059 +8 *5:8 *830:S 13.7491 +9 *5:7 *5:41 6.6074 +10 *5:41 *828:S 14.4725 +11 *5:41 *5:50 2.24725 +12 *5:50 *378:DIODE 13.7491 +13 *5:50 *5:57 3.493 +14 *5:57 *374:DIODE 14.4725 +15 *5:57 *5:66 4.32351 +16 *5:66 *826:S 13.7491 +17 *5:66 *5:73 3.07775 +18 *5:73 *5:76 18.5339 +19 *5:76 *362:DIODE 9.24915 +20 *5:76 *5:84 5.2234 +21 *5:84 *5:88 13.1504 +22 *5:88 *386:DIODE 9.24915 +23 *5:88 *5:94 1.278 +24 *5:94 *5:98 5.59426 +25 *5:98 *832:S 9.24915 +26 *5:98 *808:S 25.8226 +27 *5:94 *338:DIODE 9.24915 +28 *5:84 *5:120 3.90826 +29 *5:120 *820:S 13.7491 +30 *5:120 *5:127 2.6625 +31 *5:127 *5:130 5.778 +32 *5:130 *5:136 3.39996 +33 *5:136 *822:S 9.24915 +34 *5:136 *5:142 7.37864 +35 *5:142 *5:148 18.0657 +36 *5:148 *354:DIODE 9.24915 +37 *5:148 *5:154 2.94181 +38 *5:154 *350:DIODE 9.24915 +39 *5:154 *5:160 1.278 +40 *5:160 *5:163 7.1625 +41 *5:163 *5:168 20.2265 +42 *5:168 *5:172 2.82124 +43 *5:172 *5:179 14.1447 +44 *5:179 *5:184 8.85855 +45 *5:184 *5:190 14.465 +46 *5:190 *5:195 13.2898 +47 *5:195 *5:200 8.30395 +48 *5:200 *5:204 4.07513 +49 *5:204 *5:213 10.4535 +50 *5:213 *810:S 13.7491 +51 *5:213 *5:222 9.23876 +52 *5:222 *5:223 1.278 +53 *5:223 *812:S 15.398 +54 *5:223 *342:DIODE 9.24915 +55 *5:222 *346:DIODE 10.5271 +56 *5:204 *380:DIODE 9.24915 +57 *5:200 *829:S 19.974 +58 *5:195 *5:257 4.5 +59 *5:257 *364:DIODE 9.24915 +60 *5:257 *376:DIODE 10.5271 +61 *5:190 *821:S 9.24915 +62 *5:184 *827:S 9.24915 +63 *5:179 *5:279 9.83842 +64 *5:279 *5:281 4.5 +65 *5:281 *5:284 3.3953 +66 *5:284 *819:S 13.7491 +67 *5:284 *5:293 10.8998 +68 *5:293 *823:S 11.6605 +69 *5:293 *5:302 1.8326 +70 *5:302 *368:DIODE 9.24915 +71 *5:302 *5:310 5.73894 +72 *5:310 *825:S 9.24915 +73 *5:310 *372:DIODE 15.5186 +74 *5:281 *5:324 7.54782 +75 *5:324 *5:329 11.077 +76 *5:329 *5:332 6.74725 +77 *5:332 *5:336 18.3743 +78 *5:336 *5:339 16.2764 +79 *5:339 *5:350 18.5881 +80 *5:350 *5:351 10.1517 +81 *5:351 *384:DIODE 13.3002 +82 *5:351 *831:S 19.2506 +83 *5:350 *388:DIODE 9.24915 +84 *5:339 *833:S 9.24915 +85 *5:336 *5:380 15.7107 +86 *5:380 *340:DIODE 12.7456 +87 *5:380 *5:387 2.94181 +88 *5:387 *5:392 12.0778 +89 *5:392 *344:DIODE 18.3398 +90 *5:392 *811:S 12.191 +91 *5:387 *809:S 9.24915 +92 *5:332 *5:414 5.2234 +93 *5:414 *813:S 17.2306 +94 *5:414 *348:DIODE 9.24915 +95 *5:329 *815:S 9.24915 +96 *5:324 *352:DIODE 13.7491 +97 *5:279 *360:DIODE 9.97254 +98 *5:172 *817:S 9.24915 +99 *5:168 *356:DIODE 9.24915 +100 *5:163 *814:S 13.7491 +101 *5:160 *816:S 12.4803 +102 *5:142 *818:S 9.24915 +103 *5:130 *358:DIODE 13.3002 +104 *5:127 *366:DIODE 20.5642 +105 *5:73 *5:483 3.493 +106 *5:483 *824:S 17.2697 +107 *5:483 *370:DIODE 16.8269 +*END + +*D_NET *6 0.00344704 +*CONN +*P div[0] I +*I *323:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *655:A I *D sky130_fd_sc_hd__inv_2 +*I *688:B1 I *D sky130_fd_sc_hd__o221a_2 +*I *333:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 div[0] 0.000287775 +2 *323:DIODE 3.27515e-05 +3 *655:A 6.08552e-05 +4 *688:B1 0 +5 *333:DIODE 0.000237299 +6 *6:36 0.000429958 +7 *6:20 0.000396452 +8 *6:7 0.000585174 +9 *323:DIODE *162:13 6.50727e-05 +10 *333:DIODE *332:DIODE 2.01853e-05 +11 *333:DIODE *806:A 6.31664e-05 +12 *333:DIODE *90:51 0 +13 *655:A *662:B2 0 +14 *6:7 *7:8 0 +15 *6:20 *332:DIODE 2.32912e-05 +16 *6:20 *688:C1 1.07248e-05 +17 *6:20 *7:28 1.42447e-05 +18 *6:20 *144:18 1.56631e-05 +19 *6:36 *688:B2 0.000177657 +20 *6:36 *7:28 1.42827e-05 +21 *6:36 *90:51 0.000179286 +22 *6:36 *138:6 7.50722e-05 +23 *6:36 *144:18 0.00047703 +24 *6:36 *162:13 0.000207266 +25 clockp[1] *655:A 2.652e-05 +26 clockp[1] *6:7 0 +27 clockp[1] *6:36 4.73136e-05 +*RES +1 div[0] *6:7 4.34986 +2 *6:7 *333:DIODE 19.0037 +3 *6:7 *6:20 6.42648 +4 *6:20 *688:B1 9.24915 +5 *6:20 *6:36 21.4879 +6 *6:36 *655:A 19.6659 +7 *6:36 *323:DIODE 9.97254 +*END + +*D_NET *7 0.00442871 +*CONN +*P div[1] I +*I *685:A I *D sky130_fd_sc_hd__nand2_2 +*I *689:A1 I *D sky130_fd_sc_hd__a21oi_2 +*I *334:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *688:A1 I *D sky130_fd_sc_hd__o221a_2 +*I *331:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *332:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 div[1] 0.000278271 +2 *685:A 0 +3 *689:A1 5.50208e-05 +4 *334:DIODE 0.000303305 +5 *688:A1 4.55359e-05 +6 *331:DIODE 5.38534e-05 +7 *332:DIODE 0.000171416 +8 *7:45 0.000635487 +9 *7:28 0.000588001 +10 *7:21 0.000319157 +11 *7:10 0.000263179 +12 *7:8 0.000370034 +13 *332:DIODE *688:C1 8.52652e-05 +14 *332:DIODE *204:82 1.61631e-05 +15 *334:DIODE *703:A2 2.41274e-06 +16 *334:DIODE *90:51 0.000423922 +17 *334:DIODE *146:5 7.92757e-06 +18 *688:A1 *687:A 0 +19 *688:A1 *144:18 5.22654e-06 +20 *688:A1 *144:25 3.49272e-05 +21 *688:A1 *148:6 1.44467e-05 +22 *689:A1 *683:A 5.92342e-05 +23 *689:A1 *703:C1 6.43474e-05 +24 *689:A1 *138:6 3.58321e-05 +25 *7:8 *703:D1 3.13173e-05 +26 *7:8 *8:7 0 +27 *7:10 *688:C1 7.50872e-05 +28 *7:10 *703:D1 5.22654e-06 +29 *7:10 *144:25 0 +30 *7:28 *688:B2 6.73186e-05 +31 *7:45 *687:A 0.000118485 +32 *7:45 *688:B2 9.39797e-05 +33 *7:45 *689:B1 1.66228e-05 +34 *7:45 *703:C1 1.07248e-05 +35 *7:45 *90:51 3.61282e-05 +36 *7:45 *138:6 5.66868e-06 +37 *7:45 *148:6 6.31809e-05 +38 *333:DIODE *332:DIODE 2.01853e-05 +39 *6:7 *7:8 0 +40 *6:20 *332:DIODE 2.32912e-05 +41 *6:20 *7:28 1.42447e-05 +42 *6:36 *7:28 1.42827e-05 +*RES +1 div[1] *7:8 5.40599 +2 *7:8 *7:10 2.6625 +3 *7:10 *332:DIODE 17.9655 +4 *7:10 *7:21 4.5 +5 *7:21 *331:DIODE 9.97254 +6 *7:21 *7:28 4.05102 +7 *7:28 *688:A1 19.6659 +8 *7:28 *7:45 11.284 +9 *7:45 *334:DIODE 18.9094 +10 *7:45 *689:A1 15.9964 +11 *7:8 *685:A 13.7491 +*END + +*D_NET *8 0.00186009 +*CONN +*P div[2] I +*I *679:B1 I *D sky130_fd_sc_hd__a22oi_2 +*I *328:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *682:A1 I *D sky130_fd_sc_hd__o211a_2 +*I *330:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 div[2] 0.000243517 +2 *679:B1 0.000186065 +3 *328:DIODE 0 +4 *682:A1 9.94026e-05 +5 *330:DIODE 4.90967e-05 +6 *8:12 0.000245842 +7 *8:8 0.000110229 +8 *8:7 0.000442467 +9 *679:B1 *327:DIODE 9.78334e-05 +10 *679:B1 *679:A1 6.08467e-05 +11 *679:B1 *679:B2 1.63255e-05 +12 *679:B1 *136:5 4.01825e-05 +13 *679:B1 *154:8 0.000135423 +14 *682:A1 *682:A2 0 +15 *682:A1 *142:9 6.33254e-05 +16 *8:7 *9:7 0 +17 *8:8 *703:D1 3.5534e-06 +18 *8:8 *154:8 7.61444e-07 +19 *8:12 *703:D1 6.52182e-05 +20 *7:8 *8:7 0 +*RES +1 div[2] *8:7 4.19688 +2 *8:7 *8:8 0.378612 +3 *8:8 *8:12 7.1625 +4 *8:12 *330:DIODE 9.97254 +5 *8:12 *682:A1 12.2754 +6 *8:8 *328:DIODE 13.7491 +7 *8:7 *679:B1 19.9027 +*END + +*D_NET *9 0.0017481 +*CONN +*P div[3] I +*I *329:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *680:A I *D sky130_fd_sc_hd__or2_2 +*I *679:A1 I *D sky130_fd_sc_hd__a22oi_2 +*I *327:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 div[3] 0.000256345 +2 *329:DIODE 9.92074e-05 +3 *680:A 0 +4 *679:A1 3.95129e-05 +5 *327:DIODE 0.000134284 +6 *9:26 0.000116797 +7 *9:8 0.000289748 +8 *9:7 0.000389886 +9 *327:DIODE *154:8 8.70662e-06 +10 *329:DIODE *154:8 4.2524e-05 +11 *679:A1 *136:5 4.47179e-05 +12 *9:7 *10:7 0 +13 *9:8 *680:B 5.65148e-05 +14 *9:8 *154:8 7.59763e-05 +15 *9:26 *680:B 1.58247e-05 +16 *9:26 *154:8 1.93781e-05 +17 *679:B1 *327:DIODE 9.78334e-05 +18 *679:B1 *679:A1 6.08467e-05 +19 *8:7 *9:7 0 +*RES +1 div[3] *9:7 4.19688 +2 *9:7 *9:8 3.28538 +3 *9:8 *327:DIODE 16.7198 +4 *9:8 *679:A1 15.0271 +5 *9:7 *9:26 0.793864 +6 *9:26 *680:A 13.7491 +7 *9:26 *329:DIODE 16.9002 +*END + +*D_NET *10 0.00214954 +*CONN +*P div[4] I +*I *336:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *335:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *694:A I *D sky130_fd_sc_hd__nand2_2 +*I *696:B1 I *D sky130_fd_sc_hd__o221ai_2 +*CAP +1 div[4] 0.000192509 +2 *336:DIODE 0.000342241 +3 *335:DIODE 0 +4 *694:A 0 +5 *696:B1 0.000291759 +6 *10:27 0.00036127 +7 *10:11 0.000482611 +8 *10:7 0.000402389 +9 *336:DIODE *381:DIODE 3.62662e-06 +10 *696:B1 *696:B2 4.55055e-05 +11 *696:B1 *154:8 9.19886e-06 +12 *10:7 *11:10 0 +13 *10:11 *154:8 0 +14 *382:DIODE *336:DIODE 1.84293e-05 +15 *9:7 *10:7 0 +*RES +1 div[4] *10:7 4.04389 +2 *10:7 *10:11 8.78748 +3 *10:11 *696:B1 14.7228 +4 *10:11 *694:A 9.24915 +5 *10:7 *10:27 0.378612 +6 *10:27 *335:DIODE 13.7491 +7 *10:27 *336:DIODE 20.184 +*END + +*D_NET *11 0.00228023 +*CONN +*P enable I +*I *324:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *659:A I *D sky130_fd_sc_hd__nand2_2 +*CAP +1 enable 0.00104943 +2 *324:DIODE 8.02339e-05 +3 *659:A 0 +4 *11:10 0.00112967 +5 *11:10 *643:A 1.77537e-06 +6 *11:10 *805:A 0 +7 *11:10 *830:A0 0 +8 *11:10 *942:A 0 +9 *11:10 *320:6 1.91246e-05 +10 *5:7 *11:10 0 +11 *10:7 *11:10 0 +*RES +1 enable *11:10 12.31 +2 *11:10 *659:A 9.24915 +3 *11:10 *324:DIODE 11.0817 +*END + +*D_NET *12 0.00197141 +*CONN +*P ext_trim[0] I +*I *830:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *381:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 ext_trim[0] 0.000457998 +2 *830:A1 0 +3 *381:DIODE 0.000292845 +4 *12:8 0.000750844 +5 *381:DIODE *830:A0 2.37478e-05 +6 *12:8 *377:DIODE 5.64215e-05 +7 *12:8 *861:TE_B 0.000149244 +8 *12:8 *23:8 0 +9 *336:DIODE *381:DIODE 3.62662e-06 +10 *382:DIODE *381:DIODE 7.40499e-05 +11 *5:7 *12:8 0 +12 *5:8 *381:DIODE 3.5534e-06 +13 *5:8 *12:8 4.31485e-06 +14 *5:10 *381:DIODE 0.000103312 +15 *5:41 *12:8 5.14479e-05 +*RES +1 ext_trim[0] *12:8 9.635 +2 *12:8 *381:DIODE 21.0117 +3 *12:8 *830:A1 13.7491 +*END + +*D_NET *13 0.00671608 +*CONN +*P ext_trim[10] I +*I *810:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *341:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 ext_trim[10] 0.00198736 +2 *810:A1 0.000248565 +3 *341:DIODE 0 +4 *13:12 0.00223593 +5 *810:A1 *345:DIODE 1.80257e-05 +6 *810:A1 *766:B 3.66916e-05 +7 *810:A1 *914:A 2.65667e-05 +8 *810:A1 *16:9 4.70005e-05 +9 *810:A1 *44:13 0.000107496 +10 *810:A1 *44:27 1.03434e-05 +11 *810:A1 *160:43 0 +12 *810:A1 *201:58 9.89523e-05 +13 *13:12 *345:DIODE 5.83122e-05 +14 *13:12 *761:A1 6.67095e-06 +15 *13:12 *761:A2 3.51086e-06 +16 *13:12 *761:A3 1.58551e-05 +17 *13:12 *761:B1 3.78939e-05 +18 *13:12 *812:A0 6.50586e-05 +19 *13:12 *812:A1 6.50586e-05 +20 *13:12 *820:A0 4.69326e-06 +21 *13:12 *901:TE 0 +22 *13:12 *910:TE_B 0.00015321 +23 *13:12 *911:A 9.9028e-05 +24 *13:12 *33:11 0 +25 *13:12 *34:15 0.000690549 +26 *13:12 *284:8 1.71673e-05 +27 *13:12 *287:8 0 +28 *366:DIODE *13:12 0.000382765 +29 *5:88 *13:12 1.44467e-05 +30 *5:120 *13:12 3.91529e-05 +31 *5:127 *13:12 0.000132408 +32 *5:222 *810:A1 0.000113374 +*RES +1 ext_trim[10] *13:12 45.6242 +2 *13:12 *341:DIODE 9.24915 +3 *13:12 *810:A1 24.9091 +*END + +*D_NET *14 0.00372734 +*CONN +*P ext_trim[11] I +*I *337:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *808:A1 I *D sky130_fd_sc_hd__mux2_1 +*CAP +1 ext_trim[11] 0.00104107 +2 *337:DIODE 0.000120081 +3 *808:A1 0 +4 *14:11 0.00116115 +5 *337:DIODE *808:A0 0.000268812 +6 *14:11 *832:A0 0.000151741 +7 *14:11 *901:Z 5.92573e-05 +8 *14:11 *902:TE 0.000181357 +9 *14:11 *906:A 4.07982e-05 +10 *14:11 *908:A 0.000298488 +11 *14:11 *909:A 2.14657e-05 +12 *14:11 *913:A 1.66771e-05 +13 *14:11 *284:8 0.000115878 +14 *14:11 *284:36 0.00010984 +15 *14:11 *287:8 5.19521e-05 +16 *14:11 *287:12 2.19276e-05 +17 *14:11 *321:10 4.26859e-05 +18 *808:S *337:DIODE 2.41483e-05 +*RES +1 ext_trim[11] *14:11 34.3493 +2 *14:11 *808:A1 9.24915 +3 *14:11 *337:DIODE 12.7456 +*END + +*D_NET *15 0.00467088 +*CONN +*P ext_trim[12] I +*I *832:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *385:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 ext_trim[12] 0.0010047 +2 *832:A1 0.000399312 +3 *385:DIODE 0.000135562 +4 *15:5 0.00153957 +5 *385:DIODE *808:A0 0.000228593 +6 *385:DIODE *225:81 9.75356e-05 +7 *832:A1 *826:A0 2.41483e-05 +8 *832:A1 *43:27 0.000171273 +9 *15:5 *762:A1 5.88052e-06 +10 *15:5 *762:A2 4.68822e-05 +11 *15:5 *814:A1 8.01597e-05 +12 *15:5 *818:A0 0.000444423 +13 *15:5 *822:A0 0 +14 *15:5 *826:A0 0 +15 *15:5 *37:13 0 +16 *15:5 *44:40 9.2346e-06 +17 *15:5 *201:63 7.14746e-05 +18 *15:5 *201:76 0.000195154 +19 *15:5 *222:90 1.11594e-05 +20 *15:5 *225:81 5.05252e-05 +21 *15:5 *225:96 0.000130808 +22 *15:5 *275:8 0 +23 *808:S *385:DIODE 0 +24 *808:S *832:A1 1.00846e-05 +25 *808:S *15:5 0 +26 *5:98 *832:A1 1.43983e-05 +*RES +1 ext_trim[12] *15:5 27.2845 +2 *15:5 *385:DIODE 17.9683 +3 *15:5 *832:A1 20.0186 +*END + +*D_NET *16 0.00541648 +*CONN +*P ext_trim[13] I +*I *383:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *831:A1 I *D sky130_fd_sc_hd__mux2_1 +*CAP +1 ext_trim[13] 0.000961636 +2 *383:DIODE 0.000379578 +3 *831:A1 0.000159344 +4 *16:9 0.00150056 +5 *383:DIODE *201:8 0.000118166 +6 *383:DIODE *250:9 0.000186161 +7 *831:A1 *768:A3 1.70077e-05 +8 *831:A1 *831:A0 3.00829e-05 +9 *831:A1 *48:8 3.67708e-05 +10 *831:A1 *119:25 1.6352e-05 +11 *831:A1 *119:38 9.69716e-06 +12 *831:A1 *223:28 2.83365e-05 +13 *831:A1 *223:35 4.51118e-05 +14 *16:9 *764:A2 4.33979e-05 +15 *16:9 *914:A 0.000208877 +16 *16:9 *917:A 0.000159968 +17 *16:9 *920:A 3.63588e-05 +18 *16:9 *924:TE_B 0 +19 *16:9 *37:13 0 +20 *16:9 *48:8 0.00118551 +21 *16:9 *223:35 2.652e-05 +22 *16:9 *223:64 0.000173617 +23 *810:A1 *16:9 4.70005e-05 +24 *5:213 *16:9 3.10619e-05 +25 *5:222 *16:9 1.53688e-05 +*RES +1 ext_trim[13] *16:9 32.7561 +2 *16:9 *831:A1 17.9655 +3 *16:9 *383:DIODE 20.0186 +*END + +*D_NET *17 0.00366684 +*CONN +*P ext_trim[14] I +*I *379:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *829:A1 I *D sky130_fd_sc_hd__mux2_1 +*CAP +1 ext_trim[14] 0.000899709 +2 *379:DIODE 0.000223732 +3 *829:A1 0.000181487 +4 *17:9 0.00130493 +5 *379:DIODE *810:A0 6.50727e-05 +6 *379:DIODE *160:35 5.82695e-05 +7 *829:A1 *775:D 8.62625e-06 +8 *829:A1 *779:B 0.000254075 +9 *829:A1 *817:A0 0 +10 *829:A1 *829:A0 0.000110297 +11 *829:A1 *222:77 0.000114523 +12 *17:9 *779:B 0.000106333 +13 *17:9 *817:A0 0 +14 *17:9 *919:A 8.92089e-05 +15 *17:9 *922:Z 0 +16 *17:9 *924:TE_B 0 +17 *17:9 *279:13 9.04668e-05 +18 *17:9 *286:13 2.65948e-05 +19 *5:195 *829:A1 4.52469e-05 +20 *5:195 *17:9 5.8261e-05 +21 *5:200 *829:A1 3.00073e-05 +*RES +1 ext_trim[14] *17:9 22.79 +2 *17:9 *829:A1 20.1812 +3 *17:9 *379:DIODE 17.2456 +*END + +*D_NET *18 0.00429077 +*CONN +*P ext_trim[15] I +*I *827:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *375:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 ext_trim[15] 0.000543664 +2 *827:A1 0 +3 *375:DIODE 0.000434722 +4 *18:11 0.000978386 +5 *375:DIODE *770:B 0.000404059 +6 *375:DIODE *777:A 4.0752e-05 +7 *375:DIODE *807:A1 9.59526e-05 +8 *375:DIODE *827:A0 2.60631e-05 +9 *375:DIODE *55:22 0.000315016 +10 *375:DIODE *55:26 2.23042e-05 +11 *375:DIODE *62:7 0.000304887 +12 *18:11 *922:A 0.00045584 +13 *18:11 *923:TE 0.000162455 +14 *18:11 *24:14 0 +15 *18:11 *293:11 0.000107116 +16 *18:11 *300:8 0.000138334 +17 *5:184 *375:DIODE 0.00025618 +18 *5:190 *18:11 5.03545e-06 +*RES +1 ext_trim[15] *18:11 21.8917 +2 *18:11 *375:DIODE 24.6093 +3 *18:11 *827:A1 9.24915 +*END + +*D_NET *19 0.002677 +*CONN +*P ext_trim[16] I +*I *371:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *825:A1 I *D sky130_fd_sc_hd__mux2_1 +*CAP +1 ext_trim[16] 0.000312286 +2 *371:DIODE 0.000201101 +3 *825:A1 0 +4 *19:8 0.000513387 +5 *371:DIODE *20:8 6.92705e-05 +6 *19:8 *823:A1 0.000171288 +7 *19:8 *20:8 0.000209985 +8 *19:8 *272:8 0 +9 *372:DIODE *371:DIODE 0.000477467 +10 *823:S *19:8 0.000118166 +11 *5:302 *19:8 0.000171288 +12 *5:310 *371:DIODE 4.48447e-05 +13 *5:310 *19:8 0.000387915 +*RES +1 ext_trim[16] *19:8 17.4307 +2 *19:8 *825:A1 9.24915 +3 *19:8 *371:DIODE 16.0973 +*END + +*D_NET *20 0.00180274 +*CONN +*P ext_trim[17] I +*I *367:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *823:A1 I *D sky130_fd_sc_hd__mux2_1 +*CAP +1 ext_trim[17] 0.000522114 +2 *367:DIODE 0 +3 *823:A1 0.000136931 +4 *20:8 0.000659045 +5 *371:DIODE *20:8 6.92705e-05 +6 *823:S *823:A1 3.41075e-05 +7 *19:8 *823:A1 0.000171288 +8 *19:8 *20:8 0.000209985 +*RES +1 ext_trim[17] *20:8 15.491 +2 *20:8 *823:A1 13.3484 +3 *20:8 *367:DIODE 9.24915 +*END + +*D_NET *21 0.00594135 +*CONN +*P ext_trim[18] I +*I *363:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *821:A1 I *D sky130_fd_sc_hd__mux2_1 +*CAP +1 ext_trim[18] 0.00156503 +2 *363:DIODE 0 +3 *821:A1 0.000100694 +4 *21:22 0.00018458 +5 *21:16 0.00164891 +6 *821:A1 *779:A 0.000268798 +7 *21:16 *771:A2 4.39324e-05 +8 *21:16 *771:B1 6.08467e-05 +9 *21:16 *774:A4 6.50727e-05 +10 *21:16 *815:A0 0.000477044 +11 *21:16 *929:A 0.000109024 +12 *21:16 *934:A 0.000168186 +13 *21:16 *937:Z 0.000145529 +14 *21:16 *939:TE_B 0.000332677 +15 *21:16 *160:35 6.73022e-05 +16 *21:16 *222:50 4.82966e-05 +17 *21:16 *222:59 0.000393863 +18 *21:22 *774:A4 9.75356e-05 +19 *21:22 *779:A 6.50586e-05 +20 *21:22 *55:22 5.53934e-05 +21 *21:22 *222:59 7.77309e-06 +22 *5:329 *21:16 3.58044e-05 +*RES +1 ext_trim[18] *21:16 47.0628 +2 *21:16 *21:22 11.9706 +3 *21:22 *821:A1 12.191 +4 *21:22 *363:DIODE 9.24915 +*END + +*D_NET *22 0.00308993 +*CONN +*P ext_trim[19] I +*I *359:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *819:A1 I *D sky130_fd_sc_hd__mux2_1 +*CAP +1 ext_trim[19] 0.00107046 +2 *359:DIODE 0 +3 *819:A1 0.000135296 +4 *22:8 0.00120575 +5 *819:A1 *819:A0 4.89251e-05 +6 *22:8 *930:A 0.000286923 +7 *22:8 *930:Z 6.3657e-05 +8 *22:8 *932:A 0.000165521 +9 *22:8 *933:A 8.49163e-05 +10 *22:8 *314:8 2.84758e-05 +*RES +1 ext_trim[19] *22:8 35.7214 +2 *22:8 *819:A1 12.7697 +3 *22:8 *359:DIODE 9.24915 +*END + +*D_NET *23 0.00157041 +*CONN +*P ext_trim[1] I +*I *828:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *377:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 ext_trim[1] 0.000461884 +2 *828:A1 0 +3 *377:DIODE 0.000240796 +4 *23:8 0.00070268 +5 *23:8 *882:TE_B 0 +6 *23:8 *30:8 0 +7 *5:41 *377:DIODE 2.50491e-05 +8 *5:50 *377:DIODE 1.77537e-06 +9 *5:50 *23:8 3.40703e-05 +10 *5:57 *23:8 4.77318e-05 +11 *12:8 *377:DIODE 5.64215e-05 +12 *12:8 *23:8 0 +*RES +1 ext_trim[1] *23:8 8.88099 +2 *23:8 *377:DIODE 18.2442 +3 *23:8 *828:A1 13.7491 +*END + +*D_NET *24 0.00421965 +*CONN +*P ext_trim[20] I +*I *355:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *817:A1 I *D sky130_fd_sc_hd__mux2_1 +*CAP +1 ext_trim[20] 0.00167747 +2 *355:DIODE 0 +3 *817:A1 0.000218792 +4 *24:14 0.00189627 +5 *817:A1 *300:8 4.24488e-05 +6 *24:14 *823:A0 6.1151e-05 +7 *24:14 *923:A 0.000109472 +8 *5:179 *817:A1 0.000214042 +9 *5:293 *24:14 0 +10 *18:11 *24:14 0 +*RES +1 ext_trim[20] *24:14 17.6626 +2 *24:14 *817:A1 15.9526 +3 *24:14 *355:DIODE 9.24915 +*END + +*D_NET *25 0.00211501 +*CONN +*P ext_trim[21] I +*I *815:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *351:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 ext_trim[21] 0.000861744 +2 *815:A1 0 +3 *351:DIODE 0.000131097 +4 *25:8 0.00099284 +5 *351:DIODE *773:B1 0 +6 *351:DIODE *864:A 0 +7 *351:DIODE *936:TE 0 +8 *351:DIODE *243:20 0 +9 *351:DIODE *307:6 0 +10 *25:8 *770:A 2.14842e-06 +11 *25:8 *813:A0 0 +12 *25:8 *936:TE 0 +13 *25:8 *241:6 0 +14 *25:8 *307:6 0 +15 *5:332 *351:DIODE 0.000116454 +16 *5:336 *351:DIODE 1.07248e-05 +*RES +1 ext_trim[21] *25:8 8.13786 +2 *25:8 *351:DIODE 17.2421 +3 *25:8 *815:A1 13.7491 +*END + +*D_NET *26 0.0013606 +*CONN +*P ext_trim[22] I +*I *813:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *347:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 ext_trim[22] 0.00031565 +2 *813:A1 0.00028802 +3 *347:DIODE 0 +4 *26:8 0.00060367 +5 *813:A1 *870:A 3.8079e-05 +6 *26:8 *867:Z 3.04571e-05 +7 *26:8 *870:A 7.15593e-05 +8 *813:S *813:A1 1.31657e-05 +*RES +1 ext_trim[22] *26:8 7.66803 +2 *26:8 *347:DIODE 13.7491 +3 *26:8 *813:A1 19.6294 +*END + +*D_NET *27 0.00197567 +*CONN +*P ext_trim[23] I +*I *343:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *811:A1 I *D sky130_fd_sc_hd__mux2_1 +*CAP +1 ext_trim[23] 0.000336911 +2 *343:DIODE 0.000270476 +3 *811:A1 0 +4 *27:8 0.000607387 +5 *343:DIODE *811:A0 0.000151741 +6 *343:DIODE *225:8 0.000273277 +7 *343:DIODE *225:24 0.000175802 +8 *27:8 *845:RESET_B 0 +9 *27:8 *225:8 0.000160073 +10 *5:392 *343:DIODE 0 +*RES +1 ext_trim[23] *27:8 7.067 +2 *27:8 *811:A1 13.7491 +3 *27:8 *343:DIODE 21.8422 +*END + +*D_NET *28 0.00281528 +*CONN +*P ext_trim[24] I +*I *809:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *339:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 ext_trim[24] 0.000975435 +2 *809:A1 0.00027537 +3 *339:DIODE 0 +4 *28:10 0.0012508 +5 *28:10 *843:RESET_B 0 +6 *28:10 *848:RESET_B 0 +7 *28:10 *225:8 0.000195505 +8 *5:387 *809:A1 0.000118166 +*RES +1 ext_trim[24] *28:10 29.6086 +2 *28:10 *339:DIODE 9.24915 +3 *28:10 *809:A1 16.1455 +*END + +*D_NET *29 0.00807285 +*CONN +*P ext_trim[25] I +*I *387:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *833:A1 I *D sky130_fd_sc_hd__mux2_1 +*CAP +1 ext_trim[25] 0.00255147 +2 *387:DIODE 0 +3 *833:A1 0.000119929 +4 *29:11 0.000164859 +5 *29:8 0.0025964 +6 *833:A1 *717:A 0.000111708 +7 *833:A1 *830:A0 0.000171273 +8 *833:A1 *41:9 8.57221e-05 +9 *29:8 *653:A 3.52645e-06 +10 *29:8 *667:A 0 +11 *29:8 *704:D 0.000172165 +12 *29:8 *711:A 0 +13 *29:8 *712:C1 0.000330432 +14 *29:8 *715:A2 3.3556e-05 +15 *29:8 *715:B1 0.00012136 +16 *29:8 *718:B1 3.93117e-06 +17 *29:8 *718:B2 5.05252e-05 +18 *29:8 *719:B1 0.000134323 +19 *29:8 *738:C1 4.98393e-05 +20 *29:8 *809:A0 0.000165495 +21 *29:8 *850:D 0 +22 *29:8 *851:D 3.60268e-05 +23 *29:8 *853:CLK 0 +24 *29:8 *90:155 0 +25 *29:8 *118:14 1.87269e-05 +26 *29:8 *123:18 0.00017772 +27 *29:8 *126:20 0 +28 *29:8 *127:129 5.05252e-05 +29 *29:8 *157:114 7.56859e-06 +30 *29:8 *170:63 0 +31 *29:8 *173:8 0.000147913 +32 *29:8 *204:127 0 +33 *29:8 *204:209 0 +34 *29:8 *221:12 3.54287e-05 +35 *29:8 *221:23 3.61659e-05 +36 *29:8 *221:33 2.08194e-05 +37 *29:8 *221:46 3.51288e-06 +38 *29:8 *221:55 0 +39 *29:8 *225:33 2.95757e-05 +40 *29:11 *648:A 6.08467e-05 +41 *29:11 *118:7 7.92757e-06 +42 *5:339 *833:A1 0.000415213 +43 *5:339 *29:11 0.000158357 +*RES +1 ext_trim[25] *29:8 47.0732 +2 *29:8 *29:11 6.3326 +3 *29:11 *833:A1 15.7115 +4 *29:11 *387:DIODE 9.24915 +*END + +*D_NET *30 0.00101727 +*CONN +*P ext_trim[2] I +*I *826:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *373:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 ext_trim[2] 0.00027408 +2 *826:A1 0 +3 *373:DIODE 0.000195529 +4 *30:8 0.000469609 +5 *30:8 *31:7 0 +6 *374:DIODE *373:DIODE 3.28898e-06 +7 *5:66 *373:DIODE 5.53934e-05 +8 *5:73 *373:DIODE 1.50513e-05 +9 *5:73 *30:8 4.31485e-06 +10 *23:8 *30:8 0 +*RES +1 ext_trim[2] *30:8 4.65198 +2 *30:8 *373:DIODE 17.6896 +3 *30:8 *826:A1 13.7491 +*END + +*D_NET *31 0.00107754 +*CONN +*P ext_trim[3] I +*I *824:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *369:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 ext_trim[3] 0.00018737 +2 *824:A1 0.000115867 +3 *369:DIODE 6.54337e-05 +4 *31:7 0.00036867 +5 *31:7 *32:10 0 +6 *370:DIODE *369:DIODE 1.00004e-05 +7 *370:DIODE *824:A1 1.66626e-05 +8 *824:S *824:A1 0.000211546 +9 *5:483 *369:DIODE 0.000101987 +10 *30:8 *31:7 0 +*RES +1 ext_trim[3] *31:7 4.04389 +2 *31:7 *369:DIODE 15.7888 +3 *31:7 *824:A1 17.0696 +*END + +*D_NET *32 0.00251547 +*CONN +*P ext_trim[4] I +*I *365:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *822:A1 I *D sky130_fd_sc_hd__mux2_1 +*CAP +1 ext_trim[4] 0.000883246 +2 *365:DIODE 0 +3 *822:A1 0.0001873 +4 *32:10 0.00107055 +5 *822:A1 *34:15 6.64392e-05 +6 *822:A1 *278:8 5.31465e-05 +7 *32:10 *33:11 5.9765e-05 +8 *32:10 *278:8 5.9765e-05 +9 *5:130 *822:A1 1.7883e-05 +10 *5:136 *822:A1 0.000117376 +11 *31:7 *32:10 0 +*RES +1 ext_trim[4] *32:10 11.5123 +2 *32:10 *822:A1 14.8434 +3 *32:10 *365:DIODE 9.24915 +*END + +*D_NET *33 0.00399046 +*CONN +*P ext_trim[5] I +*I *820:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *361:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 ext_trim[5] 0.00139766 +2 *820:A1 0.000210895 +3 *361:DIODE 0 +4 *33:11 0.00160856 +5 *820:A1 *265:13 0.00013389 +6 *33:11 *892:A 4.40158e-05 +7 *33:11 *893:A 0.000172799 +8 *33:11 *897:A 8.23577e-05 +9 *33:11 *901:TE 7.35211e-05 +10 *33:11 *34:15 0 +11 *33:11 *265:13 3.00829e-05 +12 *33:11 *272:12 0 +13 *33:11 *273:15 0.000141958 +14 *33:11 *278:8 3.49586e-05 +15 *13:12 *33:11 0 +16 *32:10 *33:11 5.9765e-05 +*RES +1 ext_trim[5] *33:11 21.2947 +2 *33:11 *361:DIODE 9.24915 +3 *33:11 *820:A1 12.7456 +*END + +*D_NET *34 0.00462433 +*CONN +*P ext_trim[6] I +*I *818:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *357:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 ext_trim[6] 0.0014565 +2 *818:A1 0.000129306 +3 *357:DIODE 0 +4 *34:15 0.00158581 +5 *818:A1 *822:A0 2.41827e-05 +6 *822:A1 *34:15 6.64392e-05 +7 *5:136 *34:15 1.5962e-05 +8 *5:142 *818:A1 0.000146858 +9 *5:142 *34:15 0.000508726 +10 *13:12 *34:15 0.000690549 +11 *33:11 *34:15 0 +*RES +1 ext_trim[6] *34:15 31.7414 +2 *34:15 *357:DIODE 9.24915 +3 *34:15 *818:A1 12.7697 +*END + +*D_NET *35 0.00662843 +*CONN +*P ext_trim[7] I +*I *816:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *353:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 ext_trim[7] 0.000929793 +2 *816:A1 0.000123374 +3 *353:DIODE 0 +4 *35:8 0.00105317 +5 *816:A1 *299:7 0 +6 *35:8 *814:A1 4.88955e-05 +7 *35:8 *894:A 0.000640564 +8 *35:8 *894:TE 0.000123176 +9 *35:8 *894:Z 1.41291e-05 +10 *35:8 *901:A 0.000593901 +11 *35:8 *901:TE 0.000177325 +12 *35:8 *36:8 0.00267511 +13 *816:S *816:A1 0.000159322 +14 *816:S *35:8 1.61631e-05 +15 *5:148 *35:8 2.01874e-05 +16 *5:154 *35:8 4.13614e-05 +17 *5:160 *35:8 1.19618e-05 +*RES +1 ext_trim[7] *35:8 47.5186 +2 *35:8 *353:DIODE 9.24915 +3 *35:8 *816:A1 12.7697 +*END + +*D_NET *36 0.00731653 +*CONN +*P ext_trim[8] I +*I *814:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *349:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 ext_trim[8] 0.00176986 +2 *814:A1 0.000317648 +3 *349:DIODE 0 +4 *36:8 0.00208751 +5 *814:A1 *37:13 8.01597e-05 +6 *814:A1 *279:13 0.00025718 +7 *36:8 *272:12 0 +8 *15:5 *814:A1 8.01597e-05 +9 *35:8 *814:A1 4.88955e-05 +10 *35:8 *36:8 0.00267511 +*RES +1 ext_trim[8] *36:8 38.2297 +2 *36:8 *349:DIODE 9.24915 +3 *36:8 *814:A1 25.4074 +*END + +*D_NET *37 0.00649371 +*CONN +*P ext_trim[9] I +*I *812:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *345:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 ext_trim[9] 0.000652839 +2 *812:A1 8.41956e-06 +3 *345:DIODE 0.000177916 +4 *37:13 0.000845528 +5 *37:10 0.00131203 +6 *345:DIODE *761:B1 3.23231e-06 +7 *345:DIODE *812:A0 3.53803e-05 +8 *345:DIODE *914:A 0.000113968 +9 *345:DIODE *312:15 0.000258128 +10 *37:10 *272:9 0.00216356 +11 *37:13 *761:A3 5.92192e-05 +12 *37:13 *814:A0 0 +13 *37:13 *818:A0 1.84969e-05 +14 *37:13 *824:A0 1.66771e-05 +15 *37:13 *43:8 0.000169093 +16 *37:13 *44:57 6.8762e-05 +17 *810:A1 *345:DIODE 1.80257e-05 +18 *812:S *345:DIODE 0.000112112 +19 *814:A1 *37:13 8.01597e-05 +20 *5:163 *37:13 0.000130454 +21 *5:168 *37:13 0.000126335 +22 *13:12 *345:DIODE 5.83122e-05 +23 *13:12 *812:A1 6.50586e-05 +24 *15:5 *37:13 0 +25 *16:9 *37:13 0 +*RES +1 ext_trim[9] *37:10 35.5227 +2 *37:10 *37:13 21.2811 +3 *37:13 *345:DIODE 17.2065 +4 *37:13 *812:A1 9.97254 +*END + +*D_NET *38 0.00120671 +*CONN +*P osc I +*I *834:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *389:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 osc 0.000275126 +2 *834:D 0.00024632 +3 *389:DIODE 0 +4 *38:8 0.000521446 +5 *834:D *835:D 5.88662e-05 +6 *834:D *835:RESET_B 0 +7 *834:D *835:CLK 8.62555e-05 +8 *38:8 *835:CLK 4.30017e-06 +9 *38:8 *90:142 2.41274e-06 +10 *38:8 *90:155 1.19856e-05 +*RES +1 osc *38:8 9.67184 +2 *38:8 *389:DIODE 9.24915 +3 *38:8 *834:D 24.4053 +*END + +*D_NET *39 0.00520631 +*CONN +*P resetb I +*I *659:B I *D sky130_fd_sc_hd__nand2_2 +*I *325:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 resetb 0.00103223 +2 *659:B 8.7919e-05 +3 *325:DIODE 4.31257e-05 +4 *39:11 0.00116328 +5 *325:DIODE *643:A 2.15184e-05 +6 *659:B *643:A 6.50586e-05 +7 *39:11 *643:A 0.000193093 +8 *39:11 *658:A2 3.51288e-06 +9 *39:11 *662:A2 0.000160328 +10 *39:11 *662:B2 0.00068818 +11 *39:11 *675:B1 0.000160467 +12 *39:11 *690:A2 1.91391e-05 +13 *39:11 *691:B1 0.000163359 +14 *39:11 *692:B 0.000226867 +15 *39:11 *856:D 8.12737e-05 +16 *39:11 *126:65 0 +17 *39:11 *127:55 0.00035923 +18 *39:11 *127:90 0.00019097 +19 *39:11 *130:8 0.00025439 +20 *39:11 *213:16 2.7961e-05 +21 *39:11 *213:27 2.41999e-06 +22 *39:11 *214:8 0.000261984 +23 clockp[1] *39:11 0 +24 *4:10 *39:11 0 +*RES +1 resetb *39:11 41.4086 +2 *39:11 *325:DIODE 9.97254 +3 *39:11 *659:B 11.6846 +*END + +*D_NET *40 0.00433196 +*CONN +*I *807:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *771:C1 I *D sky130_fd_sc_hd__o311a_2 +*I *710:B I *D sky130_fd_sc_hd__or2_2 +*I *782:C1 I *D sky130_fd_sc_hd__o311a_2 +*I *709:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *807:A1 0.000226888 +2 *771:C1 0 +3 *710:B 0 +4 *782:C1 8.69281e-05 +5 *709:X 0.000173936 +6 *40:27 0.000369777 +7 *40:12 0.000253808 +8 *40:9 0.000483705 +9 *782:C1 *710:A 6.08467e-05 +10 *782:C1 *782:B1 1.47978e-05 +11 *782:C1 *122:38 0.000202719 +12 *782:C1 *165:5 0.0001139 +13 *807:A1 *770:A 3.29488e-05 +14 *807:A1 *807:S 0.000328289 +15 *807:A1 *823:A0 8.70662e-06 +16 *807:A1 *827:A0 2.10004e-05 +17 *807:A1 *55:26 0.000159038 +18 *807:A1 *118:93 0.000151779 +19 *807:A1 *225:206 1.07248e-05 +20 *40:9 *709:B 0.000160617 +21 *40:9 *164:20 1.4091e-06 +22 *40:12 *781:B1 0.000236233 +23 *40:12 *809:A0 8.46774e-05 +24 *40:12 *118:46 0.000397564 +25 *40:12 *225:206 2.82537e-05 +26 *40:27 *118:46 9.04083e-05 +27 *40:27 *118:93 0.000224523 +28 *40:27 *225:206 0.000280491 +29 *375:DIODE *807:A1 9.59526e-05 +30 *5:184 *807:A1 3.20407e-05 +*RES +1 *709:X *40:9 16.7151 +2 *40:9 *40:12 11.7303 +3 *40:12 *782:C1 12.9385 +4 *40:12 *710:B 9.24915 +5 *40:9 *40:27 5.98452 +6 *40:27 *771:C1 13.7491 +7 *40:27 *807:A1 22.3968 +*END + +*D_NET *41 0.00974574 +*CONN +*I *711:C I *D sky130_fd_sc_hd__or3_2 +*I *830:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *710:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *711:C 0.000895933 +2 *830:A0 0.0023628 +3 *710:X 0.000215391 +4 *41:9 0.00347412 +5 *711:C *654:A 4.7798e-05 +6 *711:C *702:C 0.000905287 +7 *711:C *712:B2 0 +8 *711:C *712:C1 0 +9 *711:C *713:A1 7.73818e-05 +10 *711:C *723:B2 0 +11 *711:C *728:B1 9.60366e-05 +12 *711:C *734:B 0 +13 *711:C *744:A2 3.23117e-05 +14 *711:C *744:B2 0 +15 *711:C *118:14 6.03391e-06 +16 *711:C *176:16 5.0124e-05 +17 *711:C *177:8 0 +18 *711:C *180:10 0 +19 *711:C *204:200 3.78294e-05 +20 *711:C *226:11 7.88354e-05 +21 *830:A0 *708:A 0.000115934 +22 *830:A0 *720:B1 5.39635e-06 +23 *830:A0 *723:B2 4.82966e-05 +24 *830:A0 *730:B1 2.14842e-06 +25 *830:A0 *119:5 0.000161234 +26 *830:A0 *119:72 0.000313495 +27 *830:A0 *120:11 6.11359e-06 +28 *830:A0 *225:40 4.81992e-06 +29 *830:A0 *225:44 2.65832e-06 +30 *830:A0 *249:23 0.000241368 +31 *830:A0 *322:7 3.1759e-05 +32 *41:9 *717:A 0.000136533 +33 *41:9 *719:B2 1.25775e-05 +34 *41:9 *118:46 4.3116e-06 +35 *41:9 *160:6 6.51423e-05 +36 *41:9 *165:14 3.33235e-05 +37 *381:DIODE *830:A0 2.37478e-05 +38 *833:A1 *830:A0 0.000171273 +39 *833:A1 *41:9 8.57221e-05 +40 *11:10 *830:A0 0 +*RES +1 *710:X *41:9 24.2687 +2 *41:9 *830:A0 39.2344 +3 *41:9 *711:C 44.581 +*END + +*D_NET *42 0.00387885 +*CONN +*I *828:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *767:X O *D sky130_fd_sc_hd__o31a_2 +*CAP +1 *828:A0 0.00160679 +2 *767:X 0.00160679 +3 *828:A0 *857:A 6.45772e-05 +4 *828:A0 *880:A 3.83429e-05 +5 *828:A0 *880:TE 0.000122378 +6 *828:A0 *880:Z 6.50586e-05 +7 *828:A0 *881:TE 7.16754e-05 +8 *828:A0 *237:5 0.000107629 +9 *828:A0 *250:9 0.000195621 +*RES +1 *767:X *828:A0 46.8554 +*END + +*D_NET *43 0.00651871 +*CONN +*I *763:B1 I *D sky130_fd_sc_hd__o41a_2 +*I *764:B1 I *D sky130_fd_sc_hd__o41a_2 +*I *826:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *765:B1 I *D sky130_fd_sc_hd__o41a_2 +*I *767:B1 I *D sky130_fd_sc_hd__o31a_2 +*I *762:X O *D sky130_fd_sc_hd__o21a_2 +*CAP +1 *763:B1 0.000113414 +2 *764:B1 0 +3 *826:A0 0.001098 +4 *765:B1 0 +5 *767:B1 0.000155836 +6 *762:X 0.000341819 +7 *43:27 0.00123867 +8 *43:24 0.000254076 +9 *43:10 0.000239384 +10 *43:8 0.000425366 +11 *763:B1 *763:A1 6.08467e-05 +12 *763:B1 *764:A1 0.000213725 +13 *763:B1 *222:79 1.65872e-05 +14 *767:B1 *765:A4 3.67708e-05 +15 *767:B1 *767:A2 2.692e-05 +16 *767:B1 *119:38 0 +17 *826:A0 *760:A2 2.41274e-06 +18 *826:A0 *822:A0 2.82537e-05 +19 *826:A0 *832:A0 0.000271044 +20 *826:A0 *886:A 7.24449e-05 +21 *826:A0 *890:TE_B 0.000132047 +22 *826:A0 *908:A 9.75148e-06 +23 *826:A0 *909:Z 7.23987e-05 +24 *826:A0 *911:TE_B 5.05707e-05 +25 *826:A0 *912:A 6.01398e-05 +26 *826:A0 *201:63 1.77537e-06 +27 *826:A0 *225:96 9.04224e-05 +28 *826:A0 *264:8 1.84293e-05 +29 *826:A0 *266:9 0.000123176 +30 *826:A0 *284:36 0 +31 *43:8 *761:A3 0.000143912 +32 *43:8 *765:A4 0.000163997 +33 *43:8 *812:A0 3.10924e-05 +34 *43:8 *814:A0 3.94229e-05 +35 *43:8 *44:40 8.21849e-06 +36 *43:8 *222:90 7.87416e-05 +37 *43:8 *286:13 6.50727e-05 +38 *43:10 *765:A4 0.000148144 +39 *43:10 *225:81 0 +40 *43:27 *764:A1 0.000171273 +41 *43:27 *764:A3 0.000111708 +42 *43:27 *764:A4 3.83336e-05 +43 *808:S *826:A0 0 +44 *832:A1 *826:A0 2.41483e-05 +45 *832:A1 *43:27 0.000171273 +46 *15:5 *826:A0 0 +47 *37:13 *43:8 0.000169093 +*RES +1 *762:X *43:8 24.1943 +2 *43:8 *43:10 2.6625 +3 *43:10 *767:B1 17.6574 +4 *43:10 *765:B1 13.7491 +5 *43:8 *43:24 4.5 +6 *43:24 *43:27 5.18434 +7 *43:27 *826:A0 48.5025 +8 *43:27 *764:B1 9.24915 +9 *43:24 *763:B1 12.7697 +*END + +*D_NET *44 0.00965391 +*CONN +*I *766:B I *D sky130_fd_sc_hd__or2_2 +*I *757:B I *D sky130_fd_sc_hd__or2_2 +*I *824:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *762:B1 I *D sky130_fd_sc_hd__o21a_2 +*I *761:B1 I *D sky130_fd_sc_hd__o31a_2 +*I *760:B1 I *D sky130_fd_sc_hd__o31a_2 +*I *759:B1 I *D sky130_fd_sc_hd__o31a_2 +*I *756:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *766:B 7.79526e-05 +2 *757:B 0 +3 *824:A0 0.00174778 +4 *762:B1 2.09004e-05 +5 *761:B1 4.07872e-05 +6 *760:B1 6.00455e-05 +7 *759:B1 1.06342e-05 +8 *756:X 0.000183845 +9 *44:57 0.00191086 +10 *44:40 0.000258607 +11 *44:31 0.000199404 +12 *44:27 0.000212212 +13 *44:13 0.000204828 +14 *44:10 0.000307496 +15 *759:B1 *759:A3 3.25765e-05 +16 *760:B1 *760:A2 6.50586e-05 +17 *760:B1 *760:A3 0.00021569 +18 *761:B1 *761:A3 0.000110364 +19 *762:B1 *265:13 2.16355e-05 +20 *762:B1 *286:13 3.39346e-05 +21 *766:B *759:A3 6.08467e-05 +22 *766:B *810:A0 0.000273772 +23 *766:B *160:43 0.000124919 +24 *766:B *201:58 6.49003e-05 +25 *824:A0 *818:A0 3.27606e-06 +26 *824:A0 *913:A 0.000945655 +27 *44:10 *759:A3 0.000146646 +28 *44:10 *764:A2 2.53624e-06 +29 *44:10 *769:A3 0.000175689 +30 *44:10 *225:76 6.50727e-05 +31 *44:10 *225:135 0.000217587 +32 *44:13 *759:A3 0.000169343 +33 *44:13 *201:58 9.82896e-06 +34 *44:27 *759:A1 6.50586e-05 +35 *44:27 *759:A3 7.92757e-06 +36 *44:27 *760:A3 1.64789e-05 +37 *44:27 *761:A3 7.92757e-06 +38 *44:27 *814:A0 1.43983e-05 +39 *44:27 *201:58 0.000156343 +40 *44:27 *201:63 0.000262402 +41 *44:31 *760:A2 0 +42 *44:31 *760:A3 3.01723e-05 +43 *44:31 *761:A3 0.000118166 +44 *44:31 *201:63 1.96574e-05 +45 *44:40 *761:A3 0.000122083 +46 *44:40 *818:A0 0.000148129 +47 *44:40 *222:90 8.62625e-06 +48 *44:57 *761:A3 2.95757e-05 +49 *44:57 *818:A0 0.000193813 +50 *345:DIODE *761:B1 3.23231e-06 +51 *370:DIODE *824:A0 7.62627e-06 +52 *810:A1 *766:B 3.66916e-05 +53 *810:A1 *44:13 0.000107496 +54 *810:A1 *44:27 1.03434e-05 +55 *5:213 *44:10 0.000118171 +56 *5:222 *44:10 5.41227e-05 +57 *13:12 *761:B1 3.78939e-05 +58 *15:5 *44:40 9.2346e-06 +59 *37:13 *824:A0 1.66771e-05 +60 *37:13 *44:57 6.8762e-05 +61 *43:8 *44:40 8.21849e-06 +*RES +1 *756:X *44:10 25.7876 +2 *44:10 *44:13 2.38721 +3 *44:13 *759:B1 10.1654 +4 *44:13 *44:27 6.19709 +5 *44:27 *44:31 2.41132 +6 *44:31 *760:B1 11.6364 +7 *44:31 *44:40 7.57775 +8 *44:40 *761:B1 15.5576 +9 *44:40 *44:57 4.64105 +10 *44:57 *762:B1 14.4725 +11 *44:57 *824:A0 25.2713 +12 *44:27 *757:B 9.24915 +13 *44:10 *766:B 14.0477 +*END + +*D_NET *45 0.00278603 +*CONN +*I *822:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *764:X O *D sky130_fd_sc_hd__o41a_2 +*CAP +1 *822:A0 0.000647422 +2 *764:X 0.000647422 +3 *822:A0 *762:A1 3.3239e-06 +4 *822:A0 *820:A0 0.000134069 +5 *822:A0 *906:A 0.000114271 +6 *822:A0 *909:A 0 +7 *822:A0 *909:Z 0 +8 *822:A0 *911:TE_B 0.000307046 +9 *822:A0 *225:96 8.37812e-05 +10 *822:A0 *225:105 9.57557e-06 +11 *822:A0 *284:36 0 +12 *822:A0 *287:8 0.000110458 +13 *358:DIODE *822:A0 0.000318901 +14 *818:A1 *822:A0 2.41827e-05 +15 *826:A0 *822:A0 2.82537e-05 +16 *5:136 *822:A0 0.000117376 +17 *5:142 *822:A0 0.000239947 +18 *15:5 *822:A0 0 +*RES +1 *764:X *822:A0 48.8934 +*END + +*D_NET *46 0.00199186 +*CONN +*I *820:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *761:X O *D sky130_fd_sc_hd__o31a_2 +*CAP +1 *820:A0 0.000404918 +2 *761:X 0.000404918 +3 *820:A0 *907:A 7.41247e-05 +4 *820:A0 *908:TE 6.55651e-05 +5 *820:A0 *908:Z 2.16355e-05 +6 *820:A0 *909:Z 2.29319e-05 +7 *820:A0 *910:TE_B 5.48756e-05 +8 *820:A0 *265:13 0.000804128 +9 *822:A0 *820:A0 0.000134069 +10 *13:12 *820:A0 4.69326e-06 +*RES +1 *761:X *820:A0 40.4812 +*END + +*D_NET *47 0.00173967 +*CONN +*I *818:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *757:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *818:A0 0.000454615 +2 *757:X 0.000454615 +3 *818:A0 *915:TE 7.92757e-06 +4 *818:A0 *292:7 1.43698e-05 +5 *824:A0 *818:A0 3.27606e-06 +6 *15:5 *818:A0 0.000444423 +7 *37:13 *818:A0 1.84969e-05 +8 *44:40 *818:A0 0.000148129 +9 *44:57 *818:A0 0.000193813 +*RES +1 *757:X *818:A0 39.4707 +*END + +*D_NET *48 0.00328028 +*CONN +*I *816:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *768:X O *D sky130_fd_sc_hd__a31o_2 +*CAP +1 *816:A0 0 +2 *768:X 0.00066989 +3 *48:8 0.00066989 +4 *48:8 *759:A1 0.000134323 +5 *48:8 *768:A3 0.000143017 +6 *48:8 *812:A0 0 +7 *48:8 *814:A0 0.000173261 +8 *48:8 *913:A 1.83188e-05 +9 *48:8 *164:42 0.000249293 +10 *831:A1 *48:8 3.67708e-05 +11 *5:163 *48:8 0 +12 *16:9 *48:8 0.00118551 +*RES +1 *768:X *48:8 43.3353 +2 *48:8 *816:A0 9.24915 +*END + +*D_NET *49 0.00138372 +*CONN +*I *814:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *759:X O *D sky130_fd_sc_hd__o31a_2 +*CAP +1 *814:A0 0.000487942 +2 *759:X 0.000487942 +3 *814:A0 *812:A0 9.24241e-05 +4 *814:A0 *913:A 4.34267e-05 +5 *5:163 *814:A0 1.18084e-05 +6 *5:168 *814:A0 3.30938e-05 +7 *37:13 *814:A0 0 +8 *43:8 *814:A0 3.94229e-05 +9 *44:27 *814:A0 1.43983e-05 +10 *48:8 *814:A0 0.000173261 +*RES +1 *759:X *814:A0 40.8502 +*END + +*D_NET *50 0.000788803 +*CONN +*I *812:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *763:X O *D sky130_fd_sc_hd__o41a_2 +*CAP +1 *812:A0 0.000166011 +2 *763:X 0.000166011 +3 *812:A0 *759:A1 3.04973e-05 +4 *812:A0 *761:A3 0.000123582 +5 *812:A0 *765:A4 7.50722e-05 +6 *812:A0 *164:42 3.67528e-06 +7 *345:DIODE *812:A0 3.53803e-05 +8 *814:A0 *812:A0 9.24241e-05 +9 *13:12 *812:A0 6.50586e-05 +10 *43:8 *812:A0 3.10924e-05 +11 *48:8 *812:A0 0 +*RES +1 *763:X *812:A0 33.3757 +*END + +*D_NET *51 0.00076079 +*CONN +*I *810:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *766:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *810:A0 0.000168514 +2 *766:X 0.000168514 +3 *810:A0 *160:35 4.31703e-05 +4 *810:A0 *160:43 4.17467e-05 +5 *379:DIODE *810:A0 6.50727e-05 +6 *766:B *810:A0 0.000273772 +*RES +1 *766:X *810:A0 23.6585 +*END + +*D_NET *52 0.00121037 +*CONN +*I *808:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *765:X O *D sky130_fd_sc_hd__o41a_2 +*CAP +1 *808:A0 0.000106616 +2 *765:X 0.000106616 +3 *808:A0 *225:81 6.92705e-05 +4 *808:A0 *258:9 0.000265631 +5 *337:DIODE *808:A0 0.000268812 +6 *385:DIODE *808:A0 0.000228593 +7 *808:S *808:A0 0.000164829 +*RES +1 *765:X *808:A0 26.4315 +*END + +*D_NET *53 0.000992693 +*CONN +*I *832:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *760:X O *D sky130_fd_sc_hd__o31a_2 +*CAP +1 *832:A0 0.000210889 +2 *760:X 0.000210889 +3 *832:A0 *284:36 0.000148129 +4 *826:A0 *832:A0 0.000271044 +5 *14:11 *832:A0 0.000151741 +*RES +1 *760:X *832:A0 33.1026 +*END + +*D_NET *54 0.000294661 +*CONN +*I *831:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *769:X O *D sky130_fd_sc_hd__a31o_2 +*CAP +1 *831:A0 8.38228e-05 +2 *769:X 8.38228e-05 +3 *831:A0 *223:28 2.58616e-05 +4 *384:DIODE *831:A0 2.84758e-05 +5 *831:A1 *831:A0 3.00829e-05 +6 *5:351 *831:A0 4.25952e-05 +*RES +1 *769:X *831:A0 21.4401 +*END + +*D_NET *55 0.00856284 +*CONN +*I *807:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *770:B I *D sky130_fd_sc_hd__nand2_2 +*I *702:C I *D sky130_fd_sc_hd__or3_2 +*I *701:X O *D sky130_fd_sc_hd__or3_2 +*CAP +1 *807:A0 0 +2 *770:B 0.000122366 +3 *702:C 0.000593428 +4 *701:X 0.00011261 +5 *55:26 0.000187338 +6 *55:23 0.000149287 +7 *55:22 0.000790066 +8 *55:7 0.00141179 +9 *702:C *702:A 6.92705e-05 +10 *702:C *719:A1 0.000130777 +11 *702:C *719:A2 2.5386e-05 +12 *702:C *719:B2 3.26195e-05 +13 *702:C *782:A1 0.000113773 +14 *702:C *782:B1 1.91391e-05 +15 *702:C *833:A0 0 +16 *702:C *848:D 0.000180515 +17 *702:C *118:14 0.000670858 +18 *702:C *118:24 6.50586e-05 +19 *702:C *119:72 0 +20 *702:C *122:38 4.18215e-06 +21 *702:C *124:18 0.000110583 +22 *702:C *124:28 2.41483e-05 +23 *702:C *160:6 0 +24 *702:C *165:14 0.000171474 +25 *702:C *166:38 0.000112897 +26 *702:C *250:20 2.22075e-05 +27 *55:7 *700:A 1.65872e-05 +28 *55:7 *701:C 0.000112361 +29 *55:22 *699:A 0.00040973 +30 *55:22 *774:A3 3.21402e-05 +31 *55:22 *774:A4 4.3116e-06 +32 *55:22 *774:B1 0.00023344 +33 *55:22 *776:B1 2.29287e-05 +34 *55:22 *817:A0 0 +35 *55:22 *65:10 0.000139435 +36 *55:22 *119:81 0 +37 *55:22 *122:47 2.53624e-06 +38 *55:22 *166:38 0.00010969 +39 *55:22 *222:59 0 +40 *55:22 *243:20 4.51619e-05 +41 *55:22 *250:20 8.89497e-06 +42 *360:DIODE *770:B 1.88422e-05 +43 *375:DIODE *770:B 0.000404059 +44 *375:DIODE *55:22 0.000315016 +45 *375:DIODE *55:26 2.23042e-05 +46 *711:C *702:C 0.000905287 +47 *807:A1 *55:26 0.000159038 +48 *5:184 *55:26 4.04576e-06 +49 *5:190 *55:22 0.000113374 +50 *5:279 *770:B 8.57967e-05 +51 *5:279 *55:26 0.000210531 +52 *5:350 *702:C 1.21602e-05 +53 *21:22 *55:22 5.53934e-05 +*RES +1 *701:X *55:7 15.5817 +2 *55:7 *702:C 40.5341 +3 *55:7 *55:22 34.0266 +4 *55:22 *55:23 104.301 +5 *55:23 *55:26 12.191 +6 *55:26 *770:B 14.4335 +7 *55:26 *807:A0 9.24915 +*END + +*D_NET *56 0.00054619 +*CONN +*I *829:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *776:X O *D sky130_fd_sc_hd__o311a_2 +*CAP +1 *829:A0 5.37936e-05 +2 *776:X 5.37936e-05 +3 *829:A0 *775:D 0.00011818 +4 *829:A0 *201:11 0.000109364 +5 *829:A0 *222:77 3.71142e-05 +6 *829:A1 *829:A0 0.000110297 +7 *829:S *829:A0 6.36477e-05 +*RES +1 *776:X *829:A0 21.9947 +*END + +*D_NET *57 0.00203173 +*CONN +*I *827:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *774:B1 I *D sky130_fd_sc_hd__o41a_2 +*I *773:X O *D sky130_fd_sc_hd__o311a_2 +*CAP +1 *827:A0 0.000258712 +2 *774:B1 0.000341113 +3 *773:X 0 +4 *57:4 0.000599825 +5 *774:B1 *817:A0 0 +6 *774:B1 *160:35 6.50586e-05 +7 *774:B1 *312:15 0.000264586 +8 *827:A0 *922:A 7.136e-05 +9 *827:A0 *926:A 6.27718e-05 +10 *827:A0 *293:11 5.04829e-06 +11 *375:DIODE *827:A0 2.60631e-05 +12 *807:A1 *827:A0 2.10004e-05 +13 *5:184 *827:A0 6.01618e-05 +14 *5:190 *827:A0 2.2594e-05 +15 *55:22 *774:B1 0.00023344 +*RES +1 *773:X *57:4 9.24915 +2 *57:4 *774:B1 26.2379 +3 *57:4 *827:A0 24.8233 +*END + +*D_NET *58 0.000577843 +*CONN +*I *777:A I *D sky130_fd_sc_hd__and2_2 +*I *807:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *777:A 0.000203241 +2 *807:X 0.000203241 +3 *777:A *777:B 4.00438e-05 +4 *777:A *823:A0 2.54919e-05 +5 *777:A *62:7 6.50727e-05 +6 *375:DIODE *777:A 4.0752e-05 +*RES +1 *807:X *777:A 31.5781 +*END + +*D_NET *59 0.00175536 +*CONN +*I *825:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *777:X O *D sky130_fd_sc_hd__and2_2 +*CAP +1 *825:A0 0.000723797 +2 *777:X 0.000723797 +3 *825:A0 *770:A 6.18342e-05 +4 *825:A0 *773:B1 0.000113968 +5 *825:A0 *929:TE 0 +6 *825:A0 *930:Z 6.72449e-05 +7 *825:A0 *936:TE 5.67857e-05 +8 *825:A0 *312:15 7.92757e-06 +9 *5:293 *825:A0 0 +*RES +1 *777:X *825:A0 42.9321 +*END + +*D_NET *60 0.00265941 +*CONN +*I *823:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *778:X O *D sky130_fd_sc_hd__o41a_2 +*CAP +1 *823:A0 0.000818701 +2 *778:X 0.000818701 +3 *823:A0 *773:A2 0.000179964 +4 *823:A0 *777:B 0 +5 *823:A0 *807:S 0.000330147 +6 *823:A0 *166:52 8.86331e-05 +7 *823:A0 *201:27 0.000158371 +8 *823:A0 *225:206 0.000137128 +9 *777:A *823:A0 2.54919e-05 +10 *807:A1 *823:A0 8.70662e-06 +11 *5:179 *823:A0 2.02222e-05 +12 *5:184 *823:A0 1.21985e-05 +13 *5:293 *823:A0 0 +14 *24:14 *823:A0 6.1151e-05 +*RES +1 *778:X *823:A0 47.3577 +*END + +*D_NET *61 0.000555729 +*CONN +*I *821:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *779:Y O *D sky130_fd_sc_hd__nor2_2 +*CAP +1 *821:A0 0.000116905 +2 *779:Y 0.000116905 +3 *821:A0 *779:A 0.000321919 +*RES +1 *779:Y *821:A0 21.9947 +*END + +*D_NET *62 0.00159147 +*CONN +*I *819:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *777:B I *D sky130_fd_sc_hd__and2_2 +*I *770:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *819:A0 0.000235577 +2 *777:B 0.000116264 +3 *770:Y 0.000111102 +4 *62:7 0.000462942 +5 *777:B *312:15 7.25274e-05 +6 *375:DIODE *62:7 0.000304887 +7 *777:A *777:B 4.00438e-05 +8 *777:A *62:7 6.50727e-05 +9 *819:A1 *819:A0 4.89251e-05 +10 *823:A0 *777:B 0 +11 *5:284 *819:A0 6.93171e-05 +12 *5:324 *777:B 3.07658e-05 +13 *5:324 *819:A0 3.40414e-05 +*RES +1 *770:Y *62:7 17.2456 +2 *62:7 *777:B 16.8269 +3 *62:7 *819:A0 18.6595 +*END + +*D_NET *63 0.00210329 +*CONN +*I *817:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *781:X O *D sky130_fd_sc_hd__o31a_2 +*CAP +1 *817:A0 0.000831209 +2 *781:X 0.000831209 +3 *817:A0 *775:D 2.21133e-05 +4 *817:A0 *776:B1 0 +5 *817:A0 *65:10 0 +6 *817:A0 *286:13 0.000100687 +7 *774:B1 *817:A0 0 +8 *829:A1 *817:A0 0 +9 *5:172 *817:A0 2.41961e-05 +10 *5:179 *817:A0 0.00016386 +11 *5:190 *817:A0 0 +12 *5:195 *817:A0 0.000103493 +13 *5:200 *817:A0 2.652e-05 +14 *17:9 *817:A0 0 +15 *55:22 *817:A0 0 +*RES +1 *781:X *817:A0 45.5602 +*END + +*D_NET *64 0.00155795 +*CONN +*I *773:C1 I *D sky130_fd_sc_hd__o311a_2 +*I *815:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *771:X O *D sky130_fd_sc_hd__o311a_2 +*CAP +1 *773:C1 0.000150203 +2 *815:A0 8.79775e-05 +3 *771:X 0 +4 *64:5 0.00023818 +5 *773:C1 *773:A3 3.32802e-05 +6 *773:C1 *773:B1 5.10036e-05 +7 *815:A0 *222:50 6.50586e-05 +8 *815:A0 *222:59 2.22198e-05 +9 *5:324 *773:C1 2.82537e-05 +10 *5:329 *773:C1 5.22654e-06 +11 *5:329 *815:A0 0.000399507 +12 *21:16 *815:A0 0.000477044 +*RES +1 *771:X *64:5 13.7491 +2 *64:5 *815:A0 19.9109 +3 *64:5 *773:C1 18.1559 +*END + +*D_NET *65 0.003599 +*CONN +*I *778:B1 I *D sky130_fd_sc_hd__o41a_2 +*I *813:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *776:C1 I *D sky130_fd_sc_hd__o311a_2 +*I *774:X O *D sky130_fd_sc_hd__o41a_2 +*CAP +1 *778:B1 0 +2 *813:A0 0.000824513 +3 *776:C1 0 +4 *774:X 0.00015966 +5 *65:15 0.000929155 +6 *65:10 0.000264302 +7 *813:A0 *770:A 0 +8 *813:A0 *864:A 2.87136e-06 +9 *813:A0 *166:52 1.5714e-05 +10 *813:A0 *225:206 1.9101e-05 +11 *813:A0 *243:20 0.000123896 +12 *65:10 *776:B1 5.22654e-06 +13 *65:10 *243:20 0.000118166 +14 *65:15 *776:A3 0.000107496 +15 *65:15 *118:49 0.000351426 +16 *65:15 *118:73 0.000158371 +17 *65:15 *121:13 0.000379666 +18 *817:A0 *65:10 0 +19 *25:8 *813:A0 0 +20 *55:22 *65:10 0.000139435 +*RES +1 *774:X *65:10 22.1896 +2 *65:10 *776:C1 9.24915 +3 *65:10 *65:15 5.71483 +4 *65:15 *813:A0 27.8591 +5 *65:15 *778:B1 9.24915 +*END + +*D_NET *66 0.000317636 +*CONN +*I *811:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *804:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *811:A0 6.50246e-05 +2 *804:X 6.50246e-05 +3 *343:DIODE *811:A0 0.000151741 +4 *5:392 *811:A0 3.58457e-05 +*RES +1 *804:X *811:A0 30.1608 +*END + +*D_NET *67 0.00472435 +*CONN +*I *781:B1 I *D sky130_fd_sc_hd__o31a_2 +*I *809:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *780:X O *D sky130_fd_sc_hd__and3_2 +*CAP +1 *781:B1 0.000295656 +2 *809:A0 0.000583021 +3 *780:X 0 +4 *67:5 0.000878677 +5 *781:B1 *709:B 2.16355e-05 +6 *781:B1 *776:B1 4.30017e-06 +7 *781:B1 *780:A 0.000101262 +8 *781:B1 *781:A2 1.64789e-05 +9 *781:B1 *781:A3 0.000364342 +10 *781:B1 *164:28 6.89789e-05 +11 *781:B1 *225:206 0.00014533 +12 *809:A0 *652:A 0.000373061 +13 *809:A0 *719:B1 5.04829e-06 +14 *809:A0 *737:A0 6.08467e-05 +15 *809:A0 *737:S 4.17142e-05 +16 *809:A0 *780:A 1.03594e-05 +17 *809:A0 *872:A 0.000222979 +18 *809:A0 *874:A 0.000481241 +19 *809:A0 *118:14 0.000101118 +20 *809:A0 *118:46 0.000241585 +21 *809:A0 *122:7 7.98425e-06 +22 *809:A0 *225:33 7.5018e-05 +23 *809:A0 *225:187 2.80136e-05 +24 *340:DIODE *809:A0 6.46921e-05 +25 *5:387 *809:A0 4.45999e-05 +26 *29:8 *809:A0 0.000165495 +27 *40:12 *781:B1 0.000236233 +28 *40:12 *809:A0 8.46774e-05 +*RES +1 *780:X *67:5 13.7491 +2 *67:5 *809:A0 35.1499 +3 *67:5 *781:B1 24.9208 +*END + +*D_NET *68 0.000323665 +*CONN +*I *833:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *782:X O *D sky130_fd_sc_hd__o311a_2 +*CAP +1 *833:A0 7.22498e-05 +2 *782:X 7.22498e-05 +3 *833:A0 *782:A1 0 +4 *833:A0 *119:72 0.000147848 +5 *702:C *833:A0 0 +6 *5:350 *833:A0 3.13173e-05 +*RES +1 *782:X *833:A0 30.1608 +*END + +*D_NET *69 0.00115379 +*CONN +*I *834:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *803:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *834:RESET_B 0.000227635 +2 *803:X 0.000227635 +3 *834:RESET_B *666:A1 3.20069e-06 +4 *834:RESET_B *666:B2 0 +5 *834:RESET_B *835:D 2.73369e-05 +6 *834:RESET_B *835:CLK 7.15593e-05 +7 *834:RESET_B *90:155 0.000156823 +8 *834:RESET_B *90:167 0.00043038 +9 *834:RESET_B *204:213 9.22013e-06 +*RES +1 *803:X *834:RESET_B 26.9573 +*END + +*D_NET *70 0.000664113 +*CONN +*I *835:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *802:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *835:RESET_B 0.000327575 +2 *802:X 0.000327575 +3 *835:RESET_B *835:D 0 +4 *835:RESET_B *90:167 0 +5 *835:RESET_B *126:11 8.96314e-06 +6 *834:D *835:RESET_B 0 +*RES +1 *802:X *835:RESET_B 25.3723 +*END + +*D_NET *71 0.000272422 +*CONN +*I *836:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *801:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *836:RESET_B 0.000136211 +2 *801:X 0.000136211 +*RES +1 *801:X *836:RESET_B 20.8045 +*END + +*D_NET *72 0.0010343 +*CONN +*I *837:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *800:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *837:RESET_B 0.000263792 +2 *800:X 0.000263792 +3 *837:RESET_B *750:C 9.43419e-05 +4 *837:RESET_B *837:CLK 5.52256e-05 +5 *837:RESET_B *127:44 0.000206696 +6 *837:RESET_B *155:20 0.000150455 +*RES +1 *800:X *837:RESET_B 24.2166 +*END + +*D_NET *73 0.000415552 +*CONN +*I *838:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *799:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *838:RESET_B 0.000148606 +2 *799:X 0.000148606 +3 *838:RESET_B *838:D 0 +4 *838:RESET_B *126:30 0 +5 *838:RESET_B *206:11 0.000100489 +6 *838:RESET_B *206:33 1.78514e-05 +*RES +1 *799:X *838:RESET_B 22.0503 +*END + +*D_NET *74 0.00098068 +*CONN +*I *839:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *798:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *839:RESET_B 0.00032432 +2 *798:X 0.00032432 +3 *839:RESET_B *745:A 0.000122378 +4 *839:RESET_B *745:C 2.42273e-05 +5 *839:RESET_B *753:B1 1.1246e-05 +6 *839:RESET_B *195:13 0.00017419 +*RES +1 *798:X *839:RESET_B 25.7904 +*END + +*D_NET *75 0.000366663 +*CONN +*I *840:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *797:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *840:RESET_B 0.000134963 +2 *797:X 0.000134963 +3 *840:RESET_B *90:82 3.00829e-05 +4 *840:RESET_B *156:10 0 +5 *840:RESET_B *204:47 6.66538e-05 +*RES +1 *797:X *840:RESET_B 21.635 +*END + +*D_NET *76 0.00036181 +*CONN +*I *841:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *796:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *841:RESET_B 9.45596e-05 +2 *796:X 9.45596e-05 +3 *841:RESET_B *90:24 0.000172691 +4 *841:RESET_B *204:11 0 +*RES +1 *796:X *841:RESET_B 21.3269 +*END + +*D_NET *77 0.000302782 +*CONN +*I *842:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *795:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *842:RESET_B 0.000117639 +2 *795:X 0.000117639 +3 *842:RESET_B *785:A 2.14065e-05 +4 *842:RESET_B *90:98 2.58757e-05 +5 *842:RESET_B *90:110 2.02222e-05 +*RES +1 *795:X *842:RESET_B 20.8045 +*END + +*D_NET *78 0.000494724 +*CONN +*I *843:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *794:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *843:RESET_B 0.000204089 +2 *794:X 0.000204089 +3 *843:RESET_B *794:A 2.41274e-06 +4 *843:RESET_B *801:A 1.43983e-05 +5 *843:RESET_B *90:194 6.97354e-05 +6 *28:10 *843:RESET_B 0 +*RES +1 *794:X *843:RESET_B 22.6049 +*END + +*D_NET *79 0.000335465 +*CONN +*I *844:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *793:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *844:RESET_B 7.78235e-05 +2 *793:X 7.78235e-05 +3 *844:RESET_B *743:B1 3.31733e-05 +4 *844:RESET_B *845:CLK 0 +5 *844:RESET_B *157:105 0.000146645 +*RES +1 *793:X *844:RESET_B 20.9116 +*END + +*D_NET *80 0.000222582 +*CONN +*I *845:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *792:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *845:RESET_B 6.51276e-05 +2 *792:X 6.51276e-05 +3 *845:RESET_B *792:A 9.23267e-05 +4 *27:8 *845:RESET_B 0 +*RES +1 *792:X *845:RESET_B 20.0811 +*END + +*D_NET *81 0.000160888 +*CONN +*I *846:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *791:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *846:RESET_B 6.53623e-05 +2 *791:X 6.53623e-05 +3 *846:RESET_B *846:CLK 3.01634e-05 +*RES +1 *791:X *846:RESET_B 20.0811 +*END + +*D_NET *82 0.000623549 +*CONN +*I *847:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *790:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *847:RESET_B 0.000221902 +2 *790:X 0.000221902 +3 *847:RESET_B *847:D 9.90116e-05 +4 *847:RESET_B *204:40 5.56461e-05 +5 *847:RESET_B *204:47 2.50864e-05 +*RES +1 *790:X *847:RESET_B 15.4583 +*END + +*D_NET *83 0.000212944 +*CONN +*I *848:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *789:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *848:RESET_B 5.80502e-05 +2 *789:X 5.80502e-05 +3 *848:RESET_B *90:203 9.68437e-05 +4 *28:10 *848:RESET_B 0 +*RES +1 *789:X *848:RESET_B 20.0811 +*END + +*D_NET *84 0.000506038 +*CONN +*I *849:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *788:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *849:RESET_B 0.000163462 +2 *788:X 0.000163462 +3 *849:RESET_B *663:A2 5.25402e-05 +4 *849:RESET_B *667:B 7.58595e-05 +5 *849:RESET_B *90:113 0 +6 *849:RESET_B *90:142 5.0715e-05 +*RES +1 *788:X *849:RESET_B 22.6049 +*END + +*D_NET *85 0.000253582 +*CONN +*I *850:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *787:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *850:RESET_B 4.81064e-05 +2 *787:X 4.81064e-05 +3 *850:RESET_B *666:A1 7.86847e-05 +4 *850:RESET_B *666:B2 7.86847e-05 +*RES +1 *787:X *850:RESET_B 20.1602 +*END + +*D_NET *86 0.000622858 +*CONN +*I *851:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *786:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *851:RESET_B 0.000273885 +2 *786:X 0.000273885 +3 *851:RESET_B *90:179 0 +4 *851:RESET_B *204:209 0 +5 *851:RESET_B *204:211 0 +6 *851:RESET_B *204:213 0 +7 *851:RESET_B *220:9 7.50872e-05 +*RES +1 *786:X *851:RESET_B 24.5968 +*END + +*D_NET *87 0.000432583 +*CONN +*I *852:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *785:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *852:RESET_B 0.000165832 +2 *785:X 0.000165832 +3 *852:RESET_B *664:A2 0 +4 *852:RESET_B *712:A2 6.50727e-05 +5 *852:RESET_B *842:D 0 +6 *852:RESET_B *210:10 3.58457e-05 +*RES +1 *785:X *852:RESET_B 22.0503 +*END + +*D_NET *88 0.000415068 +*CONN +*I *853:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *784:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *853:RESET_B 0.000173604 +2 *784:X 0.000173604 +3 *853:RESET_B *663:A1 6.78596e-05 +4 *853:RESET_B *90:110 0 +5 *853:RESET_B *211:8 0 +*RES +1 *784:X *853:RESET_B 22.0503 +*END + +*D_NET *89 0.000410107 +*CONN +*I *854:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *783:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *854:RESET_B 0.000127443 +2 *783:X 0.000127443 +3 *854:RESET_B *90:51 7.93468e-05 +4 clockp[1] *854:RESET_B 7.58739e-05 +*RES +1 *783:X *854:RESET_B 22.0503 +*END + +*D_NET *90 0.0279793 +*CONN +*I *790:A I *D sky130_fd_sc_hd__buf_1 +*I *791:A I *D sky130_fd_sc_hd__buf_1 +*I *796:A I *D sky130_fd_sc_hd__buf_1 +*I *795:A I *D sky130_fd_sc_hd__buf_1 +*I *784:A I *D sky130_fd_sc_hd__buf_1 +*I *802:A I *D sky130_fd_sc_hd__buf_1 +*I *792:A I *D sky130_fd_sc_hd__buf_1 +*I *793:A I *D sky130_fd_sc_hd__buf_1 +*I *789:A I *D sky130_fd_sc_hd__buf_1 +*I *801:A I *D sky130_fd_sc_hd__buf_1 +*I *794:A I *D sky130_fd_sc_hd__buf_1 +*I *786:A I *D sky130_fd_sc_hd__buf_1 +*I *787:A I *D sky130_fd_sc_hd__buf_1 +*I *803:A I *D sky130_fd_sc_hd__buf_1 +*I *788:A I *D sky130_fd_sc_hd__buf_1 +*I *799:A I *D sky130_fd_sc_hd__buf_1 +*I *798:A I *D sky130_fd_sc_hd__buf_1 +*I *785:A I *D sky130_fd_sc_hd__buf_1 +*I *797:A I *D sky130_fd_sc_hd__buf_1 +*I *800:A I *D sky130_fd_sc_hd__buf_1 +*I *783:A I *D sky130_fd_sc_hd__buf_1 +*I *855:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *805:A I *D sky130_fd_sc_hd__buf_1 +*I *660:Y O *D sky130_fd_sc_hd__nor2_2 +*CAP +1 *790:A 5.80863e-05 +2 *791:A 0 +3 *796:A 0 +4 *795:A 0 +5 *784:A 4.68571e-05 +6 *802:A 0 +7 *792:A 0.000188337 +8 *793:A 0.000572279 +9 *789:A 3.61343e-05 +10 *801:A 2.48228e-05 +11 *794:A 5.85326e-05 +12 *786:A 0 +13 *787:A 0 +14 *803:A 2.18282e-05 +15 *788:A 0 +16 *799:A 0 +17 *798:A 9.62611e-06 +18 *785:A 0.000353756 +19 *797:A 2.64507e-05 +20 *800:A 4.9019e-05 +21 *783:A 0 +22 *855:RESET_B 0.00124944 +23 *805:A 0.000449066 +24 *660:Y 0 +25 *90:245 0.000112615 +26 *90:239 0.000104897 +27 *90:203 0.000983158 +28 *90:194 0.000698076 +29 *90:181 8.33553e-05 +30 *90:179 0.000827122 +31 *90:172 0.000443765 +32 *90:167 0.000489854 +33 *90:155 0.000566433 +34 *90:142 0.000566836 +35 *90:134 0.00052619 +36 *90:121 6.03556e-05 +37 *90:120 0.000591075 +38 *90:114 0.000871848 +39 *90:113 0.000677819 +40 *90:110 0.0010661 +41 *90:98 0.000881119 +42 *90:82 0.000796474 +43 *90:70 0.000654831 +44 *90:58 0.000643433 +45 *90:51 0.000922206 +46 *90:24 0.000989842 +47 *90:22 0.000823258 +48 *90:4 0.000873703 +49 *784:A *663:A2 0 +50 *785:A *664:A1 1.63255e-05 +51 *785:A *712:A1 0.000168313 +52 *785:A *712:A2 0.000100253 +53 *790:A *204:40 0.00021243 +54 *792:A *222:11 2.29125e-05 +55 *793:A *845:D 3.27877e-05 +56 *793:A *845:CLK 5.07314e-05 +57 *793:A *173:11 0 +58 *793:A *222:11 1.00617e-05 +59 *798:A *745:A 6.65788e-05 +60 *798:A *753:B1 2.88836e-05 +61 *800:A *750:C 5.23435e-05 +62 *800:A *116:16 1.37421e-05 +63 *800:A *204:47 0 +64 *800:A *209:8 5.79027e-06 +65 *805:A *113:8 0.000164829 +66 *805:A *284:36 4.60283e-05 +67 *805:A *320:6 0 +68 *855:RESET_B *755:A3 5.23577e-05 +69 *855:RESET_B *855:D 4.32761e-05 +70 *855:RESET_B *135:11 0.000106298 +71 *855:RESET_B *196:9 0.000111081 +72 *855:RESET_B *196:12 0.00014899 +73 *855:RESET_B *196:25 3.98646e-06 +74 *855:RESET_B *209:22 2.219e-05 +75 *90:22 *846:D 7.50872e-05 +76 *90:22 *846:CLK 0 +77 *90:22 *942:A 4.87301e-05 +78 *90:22 *284:36 0.000284983 +79 *90:24 *942:A 0 +80 *90:24 *204:11 0 +81 *90:24 *209:8 0 +82 *90:24 *209:22 0.000148144 +83 *90:51 *674:A 6.08467e-05 +84 *90:51 *687:A 0.000200221 +85 *90:51 *703:A1 0.000111722 +86 *90:51 *746:A 2.95999e-05 +87 *90:51 *752:A2 0 +88 *90:51 *839:D 8.62625e-06 +89 *90:51 *135:11 9.60366e-05 +90 *90:51 *138:6 3.74738e-05 +91 *90:51 *144:18 0 +92 *90:51 *146:5 0.000377689 +93 *90:58 *750:C 0.000160047 +94 *90:58 *208:42 1.65872e-05 +95 *90:58 *209:11 0.000253367 +96 *90:70 *750:C 4.66492e-05 +97 *90:70 *116:5 9.00181e-05 +98 *90:70 *127:44 1.04731e-05 +99 *90:82 *712:A1 0.000504253 +100 *90:82 *157:8 0.000384477 +101 *90:82 *158:9 0.000114584 +102 *90:82 *204:167 8.06202e-05 +103 *90:98 *158:9 0.000308814 +104 *90:110 *663:A2 5.05252e-05 +105 *90:110 *663:B1 0.00031994 +106 *90:110 *665:B2 0 +107 *90:110 *667:B 1.36691e-05 +108 *90:110 *744:B1 0.000340372 +109 *90:110 *853:D 7.28127e-05 +110 *90:110 *124:18 4.68256e-05 +111 *90:110 *127:26 0 +112 *90:113 *663:A2 0 +113 *90:113 *667:B 0 +114 *90:113 *849:D 0.000148144 +115 *90:114 *849:D 2.65667e-05 +116 *90:114 *206:11 0.000103943 +117 *90:120 *745:A 0.000119695 +118 *90:120 *753:B1 1.00937e-05 +119 *90:120 *754:B 3.14978e-05 +120 *90:120 *206:11 0.000104887 +121 *90:134 *667:A 4.82966e-05 +122 *90:134 *667:B 6.92705e-05 +123 *90:134 *849:D 5.07314e-05 +124 *90:142 *667:A 8.07303e-05 +125 *90:142 *667:B 4.33655e-05 +126 *90:155 *666:B2 3.67528e-06 +127 *90:155 *835:CLK 1.46079e-05 +128 *90:167 *204:213 0 +129 *90:167 *218:19 0 +130 *90:172 *126:11 0.000162739 +131 *90:179 *836:D 0.000117613 +132 *90:179 *204:213 0 +133 *90:179 *220:9 0 +134 *90:203 *848:D 0 +135 *90:239 *204:5 0.000171288 +136 *90:245 *204:5 0.000102003 +137 *90:245 *204:40 6.50727e-05 +138 clockp[1] *90:51 4.3116e-06 +139 *333:DIODE *90:51 0 +140 *334:DIODE *90:51 0.000423922 +141 *344:DIODE *792:A 6.36477e-05 +142 *660:A *805:A 0.000106215 +143 *834:RESET_B *90:155 0.000156823 +144 *834:RESET_B *90:167 0.00043038 +145 *835:RESET_B *90:167 0 +146 *840:RESET_B *90:82 3.00829e-05 +147 *841:RESET_B *90:24 0.000172691 +148 *842:RESET_B *785:A 2.14065e-05 +149 *842:RESET_B *90:98 2.58757e-05 +150 *842:RESET_B *90:110 2.02222e-05 +151 *843:RESET_B *794:A 2.41274e-06 +152 *843:RESET_B *801:A 1.43983e-05 +153 *843:RESET_B *90:194 6.97354e-05 +154 *845:RESET_B *792:A 9.23267e-05 +155 *848:RESET_B *90:203 9.68437e-05 +156 *849:RESET_B *90:113 0 +157 *849:RESET_B *90:142 5.0715e-05 +158 *851:RESET_B *90:179 0 +159 *853:RESET_B *90:110 0 +160 *854:RESET_B *90:51 7.93468e-05 +161 *4:10 *805:A 7.06307e-05 +162 *5:22 *805:A 0.000171288 +163 *6:36 *90:51 0.000179286 +164 *7:45 *90:51 3.61282e-05 +165 *11:10 *805:A 0 +166 *29:8 *90:155 0 +167 *38:8 *90:142 2.41274e-06 +168 *38:8 *90:155 1.19856e-05 +*RES +1 *660:Y *90:4 9.24915 +2 *90:4 *805:A 30.5623 +3 *90:4 *90:22 17.7238 +4 *90:22 *90:24 9.30653 +5 *90:24 *855:RESET_B 14.1696 +6 *855:RESET_B *90:51 46.0989 +7 *90:51 *783:A 9.24915 +8 *90:24 *90:58 9.66022 +9 *90:58 *800:A 19.6659 +10 *90:58 *90:70 5.03966 +11 *90:70 *797:A 9.82786 +12 *90:70 *90:82 13.1176 +13 *90:82 *785:A 27.9452 +14 *90:82 *90:98 3.49641 +15 *90:98 *90:110 32.7516 +16 *90:110 *90:113 10.4845 +17 *90:113 *90:114 9.04245 +18 *90:114 *90:120 18.7256 +19 *90:120 *90:121 57.9449 +20 *90:121 *798:A 19.2217 +21 *90:114 *799:A 9.24915 +22 *90:113 *90:134 4.05102 +23 *90:134 *788:A 9.24915 +24 *90:134 *90:142 11.285 +25 *90:142 *803:A 9.82786 +26 *90:142 *90:155 13.2164 +27 *90:155 *787:A 9.24915 +28 *90:155 *90:167 17.3961 +29 *90:167 *786:A 9.24915 +30 *90:167 *90:172 1.8326 +31 *90:172 *90:179 13.3424 +32 *90:179 *90:181 4.5 +33 *90:181 *794:A 10.5271 +34 *90:181 *801:A 9.97254 +35 *90:179 *90:194 10.5523 +36 *90:194 *789:A 14.4725 +37 *90:194 *90:203 5.56926 +38 *90:203 *793:A 23.081 +39 *90:203 *792:A 18.7961 +40 *90:172 *802:A 9.24915 +41 *90:110 *784:A 14.7506 +42 *90:98 *795:A 9.24915 +43 *90:22 *90:239 6.3326 +44 *90:239 *796:A 9.24915 +45 *90:239 *90:245 1.8326 +46 *90:245 *791:A 9.24915 +47 *90:245 *790:A 11.6364 +*END + +*D_NET *91 0.000207886 +*CONN +*I *856:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *805:X O *D sky130_fd_sc_hd__buf_1 +*CAP +1 *856:RESET_B 6.46007e-05 +2 *805:X 6.46007e-05 +3 *856:RESET_B *113:8 7.86847e-05 +*RES +1 *805:X *856:RESET_B 20.1602 +*END + +*D_NET *92 0.000690596 +*CONN +*I *837:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *755:X O *D sky130_fd_sc_hd__a311o_2 +*CAP +1 *837:D 0.000223178 +2 *755:X 0.000223178 +3 *837:D *127:54 0.000208627 +4 *837:D *135:11 3.56119e-05 +*RES +1 *755:X *837:D 31.7175 +*END + +*D_NET *93 0.000265933 +*CONN +*I *838:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *754:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *838:D 5.22717e-05 +2 *754:X 5.22717e-05 +3 *838:D *754:A 0.000130777 +4 *838:D *126:30 3.06126e-05 +5 *838:RESET_B *838:D 0 +*RES +1 *754:X *838:D 29.7455 +*END + +*D_NET *94 0.00175214 +*CONN +*I *839:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *752:X O *D sky130_fd_sc_hd__a31o_2 +*CAP +1 *839:D 0.00051447 +2 *752:X 0.00051447 +3 *839:D *745:B 0.000169078 +4 *839:D *752:A3 6.89449e-05 +5 *839:D *753:B2 7.50722e-05 +6 *839:D *753:C1 0 +7 *839:D *116:20 0.000118485 +8 *839:D *126:38 0 +9 *839:D *135:11 4.55024e-05 +10 *839:D *146:5 0.000160462 +11 *839:D *204:67 7.70295e-05 +12 *90:51 *839:D 8.62625e-06 +*RES +1 *752:X *839:D 48.5052 +*END + +*D_NET *95 0.00136694 +*CONN +*I *840:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *749:X O *D sky130_fd_sc_hd__o221a_2 +*CAP +1 *840:D 0.000489835 +2 *749:X 0.000489835 +3 *840:D *747:B 6.92705e-05 +4 *840:D *197:7 0.000122378 +5 *840:D *204:178 2.65831e-05 +6 *840:D *208:7 0.000120742 +7 *840:D *208:11 4.82966e-05 +*RES +1 *749:X *840:D 31.9776 +*END + +*D_NET *96 0.000184743 +*CONN +*I *841:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *748:Y O *D sky130_fd_sc_hd__a21oi_2 +*CAP +1 *841:D 7.31185e-05 +2 *748:Y 7.31185e-05 +3 *841:D *642:A 2.0517e-05 +4 *841:D *658:A2 3.20069e-06 +5 *841:D *748:A1 1.47882e-05 +*RES +1 *748:Y *841:D 29.3303 +*END + +*D_NET *97 0.00104602 +*CONN +*I *842:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *744:X O *D sky130_fd_sc_hd__o22a_2 +*CAP +1 *842:D 0.000485101 +2 *744:X 0.000485101 +3 *842:D *210:10 7.58194e-05 +4 *852:RESET_B *842:D 0 +*RES +1 *744:X *842:D 35.4604 +*END + +*D_NET *98 0.00119954 +*CONN +*I *843:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *743:Y O *D sky130_fd_sc_hd__o22ai_2 +*CAP +1 *843:D 0.000207832 +2 *743:Y 0.000207832 +3 *843:D *716:B2 1.02917e-05 +4 *843:D *742:A1_N 1.65872e-05 +5 *843:D *742:A2_N 0.000110458 +6 *843:D *743:B1 6.27782e-05 +7 *843:D *743:B2 0.000465801 +8 *843:D *124:40 5.795e-05 +9 *843:D *172:8 6.00124e-05 +*RES +1 *743:Y *843:D 35.1817 +*END + +*D_NET *99 0.000281087 +*CONN +*I *844:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *741:X O *D sky130_fd_sc_hd__a32o_2 +*CAP +1 *844:D 5.07301e-05 +2 *741:X 5.07301e-05 +3 *844:D *741:B2 6.64609e-05 +4 *844:D *743:B1 5.92192e-05 +5 *844:D *118:14 5.39463e-05 +*RES +1 *741:X *844:D 29.7455 +*END + +*D_NET *100 0.000516672 +*CONN +*I *845:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *739:X O *D sky130_fd_sc_hd__o2bb2a_2 +*CAP +1 *845:D 0.000196282 +2 *739:X 0.000196282 +3 *845:D *222:11 9.13202e-05 +4 *793:A *845:D 3.27877e-05 +*RES +1 *739:X *845:D 31.8863 +*END + +*D_NET *101 0.00233526 +*CONN +*I *846:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *735:X O *D sky130_fd_sc_hd__a32o_2 +*CAP +1 *846:D 0.000980308 +2 *735:X 0.000980308 +3 *846:D *733:A2 5.04054e-06 +4 *846:D *846:CLK 2.89269e-05 +5 *846:D *947:A 8.9075e-05 +6 *846:D *949:A 4.73037e-06 +7 *846:D *119:22 0.000162583 +8 *846:D *284:36 9.19886e-06 +9 *90:22 *846:D 7.50872e-05 +*RES +1 *735:X *846:D 43.1961 +*END + +*D_NET *102 0.000923142 +*CONN +*I *847:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *733:Y O *D sky130_fd_sc_hd__o21ai_2 +*CAP +1 *847:D 0.000390651 +2 *733:Y 0.000390651 +3 *847:D *170:29 0 +4 *847:D *204:47 5.04829e-06 +5 *847:D *223:11 3.77804e-05 +6 *847:RESET_B *847:D 9.90116e-05 +*RES +1 *733:Y *847:D 36.2853 +*END + +*D_NET *103 0.0031912 +*CONN +*I *848:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *728:Y O *D sky130_fd_sc_hd__o21ai_2 +*CAP +1 *848:D 0.00113475 +2 *728:Y 0.00113475 +3 *848:D *653:A 0.000464127 +4 *848:D *702:A 6.95945e-06 +5 *848:D *716:B1 6.53891e-05 +6 *848:D *728:B1 0.000160617 +7 *848:D *118:24 1.777e-05 +8 *848:D *204:200 2.63247e-05 +9 *848:D *204:250 0 +10 *702:C *848:D 0.000180515 +11 *90:203 *848:D 0 +*RES +1 *728:Y *848:D 46.7159 +*END + +*D_NET *104 0.000537329 +*CONN +*I *849:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *667:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *849:D 0.000155944 +2 *667:X 0.000155944 +3 *90:113 *849:D 0.000148144 +4 *90:114 *849:D 2.65667e-05 +5 *90:134 *849:D 5.07314e-05 +*RES +1 *667:X *849:D 31.9934 +*END + +*D_NET *105 0.000352436 +*CONN +*I *850:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *666:X O *D sky130_fd_sc_hd__a22o_2 +*CAP +1 *850:D 0.000137173 +2 *666:X 0.000137173 +3 *850:D *666:A2 5.11839e-05 +4 *850:D *204:127 2.69064e-05 +5 *29:8 *850:D 0 +*RES +1 *666:X *850:D 30.5058 +*END + +*D_NET *106 0.000542129 +*CONN +*I *851:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *665:X O *D sky130_fd_sc_hd__a22o_2 +*CAP +1 *851:D 0.000154426 +2 *665:X 0.000154426 +3 *851:D *711:A 2.16355e-05 +4 *851:D *712:C1 9.60216e-05 +5 *851:D *851:CLK 6.08467e-05 +6 *851:D *127:116 1.87469e-05 +7 *29:8 *851:D 3.60268e-05 +*RES +1 *665:X *851:D 31.7147 +*END + +*D_NET *107 0.000409438 +*CONN +*I *852:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *664:X O *D sky130_fd_sc_hd__a22o_2 +*CAP +1 *852:D 0.000106794 +2 *664:X 0.000106794 +3 *852:D *664:A2 0.000130777 +4 *852:D *117:8 6.50727e-05 +5 *852:D *210:10 0 +*RES +1 *664:X *852:D 30.4689 +*END + +*D_NET *108 0.000693782 +*CONN +*I *853:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *663:X O *D sky130_fd_sc_hd__a22o_2 +*CAP +1 *853:D 0.000220856 +2 *663:X 0.000220856 +3 *853:D *663:A1 0.000171273 +4 *853:D *663:B1 7.98425e-06 +5 *853:D *665:B2 0 +6 *853:D *127:26 0 +7 *90:110 *853:D 7.28127e-05 +*RES +1 *663:X *853:D 32.1327 +*END + +*D_NET *109 0.00174056 +*CONN +*I *854:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *662:X O *D sky130_fd_sc_hd__a22o_2 +*CAP +1 *854:D 0.000602868 +2 *662:X 0.000602868 +3 *854:D *662:B2 2.7961e-05 +4 *854:D *670:A 0.000205006 +5 *854:D *670:B 1.00937e-05 +6 *854:D *204:82 0.000250884 +7 *854:D *212:7 5.04829e-06 +8 clockp[1] *854:D 3.58321e-05 +*RES +1 *662:X *854:D 42.2844 +*END + +*D_NET *110 0.00146554 +*CONN +*I *855:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *661:X O *D sky130_fd_sc_hd__a22o_2 +*CAP +1 *855:D 0.000309933 +2 *661:X 0.000309933 +3 *855:D *661:A1 9.91804e-05 +4 *855:D *661:A2 0.000211478 +5 *855:D *750:B 7.92757e-06 +6 *855:D *126:37 5.04829e-06 +7 *855:D *126:61 0.000299373 +8 *855:D *126:65 0.000113968 +9 *855:D *196:9 4.12753e-05 +10 *855:D *213:16 2.41483e-05 +11 *855:RESET_B *855:D 4.32761e-05 +*RES +1 *661:X *855:D 30.3379 +*END + +*D_NET *111 0.00165083 +*CONN +*I *856:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *658:X O *D sky130_fd_sc_hd__a22o_2 +*CAP +1 *856:D 0.000579378 +2 *658:X 0.000579378 +3 *856:D *690:B1 3.82232e-05 +4 *856:D *692:B 0.00019607 +5 *856:D *856:CLK 0.000171456 +6 *856:D *214:7 5.04829e-06 +7 *4:10 *856:D 0 +8 *39:11 *856:D 8.12737e-05 +*RES +1 *658:X *856:D 43.771 +*END + +*D_NET *112 0.00271307 +*CONN +*I *748:A1 I *D sky130_fd_sc_hd__a21oi_2 +*I *690:B1 I *D sky130_fd_sc_hd__a22o_2 +*I *696:A1 I *D sky130_fd_sc_hd__o221ai_2 +*I *642:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *748:A1 0.000366167 +2 *690:B1 0.000122723 +3 *696:A1 9.36721e-06 +4 *642:Y 6.6862e-05 +5 *112:13 0.000326646 +6 *112:8 0.000627585 +7 *690:B1 *690:A1 4.17481e-05 +8 *690:B1 *690:B2 3.85049e-05 +9 *696:A1 *692:A 6.50586e-05 +10 *696:A1 *856:CLK 6.50586e-05 +11 *748:A1 *658:A2 0 +12 *748:A1 *856:CLK 1.03403e-05 +13 *748:A1 *204:11 7.11697e-05 +14 *112:8 *642:A 0.000195154 +15 *112:8 *643:A 8.62625e-06 +16 *112:8 *214:8 0.000191541 +17 *112:13 *692:A 0.000103983 +18 *112:13 *856:CLK 0.000280597 +19 *841:D *748:A1 1.47882e-05 +20 *856:D *690:B1 3.82232e-05 +21 *4:10 *690:B1 6.89317e-05 +*RES +1 *642:Y *112:8 21.7421 +2 *112:8 *112:13 5.20845 +3 *112:13 *696:A1 9.97254 +4 *112:13 *690:B1 21.773 +5 *112:8 *748:A1 24.5474 +*END + +*D_NET *113 0.00186097 +*CONN +*I *696:A2 I *D sky130_fd_sc_hd__o221ai_2 +*I *690:B2 I *D sky130_fd_sc_hd__a22o_2 +*I *643:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *696:A2 0 +2 *690:B2 0.000192888 +3 *643:Y 0.000263444 +4 *113:8 0.000456332 +5 *690:B2 *690:A1 4.69454e-05 +6 *690:B2 *690:A2 1.16623e-05 +7 *690:B2 *692:A 0.000101564 +8 *690:B2 *693:B1_N 0 +9 *690:B2 *152:8 0 +10 *113:8 *856:CLK 2.33334e-05 +11 *113:8 *152:8 0 +12 *690:B1 *690:B2 3.85049e-05 +13 *805:A *113:8 0.000164829 +14 *856:RESET_B *113:8 7.86847e-05 +15 *4:10 *690:B2 0.000125695 +16 *4:10 *113:8 0.00035709 +*RES +1 *643:Y *113:8 22.5361 +2 *113:8 *690:B2 19.541 +3 *113:8 *696:A2 13.7491 +*END + +*D_NET *114 0.00121072 +*CONN +*I *751:B1 I *D sky130_fd_sc_hd__o21ai_2 +*I *745:C I *D sky130_fd_sc_hd__or3_2 +*I *644:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *751:B1 0 +2 *745:C 0.00037723 +3 *644:Y 2.74444e-05 +4 *114:5 0.000404675 +5 *745:C *645:A 0 +6 *745:C *745:A 4.24529e-05 +7 *745:C *753:B1 6.92705e-05 +8 *745:C *195:13 4.81015e-05 +9 *745:C *207:21 2.36494e-05 +10 *745:C *207:41 6.23338e-05 +11 *114:5 *135:11 1.31657e-05 +12 *114:5 *144:18 0.000118166 +13 *839:RESET_B *745:C 2.42273e-05 +*RES +1 *644:Y *114:5 10.5271 +2 *114:5 *745:C 28.8634 +3 *114:5 *751:B1 9.24915 +*END + +*D_NET *115 0.00239889 +*CONN +*I *753:A1 I *D sky130_fd_sc_hd__o221a_2 +*I *751:A1 I *D sky130_fd_sc_hd__o21ai_2 +*I *745:A I *D sky130_fd_sc_hd__or3_2 +*I *645:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *753:A1 0 +2 *751:A1 0.000183476 +3 *745:A 0.000167902 +4 *645:Y 0 +5 *115:26 0.000359692 +6 *115:5 0.000344117 +7 *745:A *753:B1 6.1028e-05 +8 *745:A *754:B 3.84001e-05 +9 *751:A1 *751:A2 8.87122e-05 +10 *751:A1 *753:A2 2.65831e-05 +11 *751:A1 *753:B1 1.86988e-05 +12 *751:A1 *144:18 0.000459316 +13 *115:26 *745:B 0 +14 *115:26 *753:B1 7.35836e-08 +15 *115:26 *754:A 0 +16 *115:26 *754:B 0.000128067 +17 *115:26 *144:18 8.1971e-06 +18 *115:26 *204:67 0.00016352 +19 *745:C *745:A 4.24529e-05 +20 *798:A *745:A 6.65788e-05 +21 *839:RESET_B *745:A 0.000122378 +22 *90:120 *745:A 0.000119695 +*RES +1 *645:Y *115:5 13.7491 +2 *115:5 *745:A 20.2109 +3 *115:5 *115:26 11.0458 +4 *115:26 *751:A1 16.5675 +5 *115:26 *753:A1 9.24915 +*END + +*D_NET *116 0.00543527 +*CONN +*I *671:A I *D sky130_fd_sc_hd__nor2_2 +*I *753:A2 I *D sky130_fd_sc_hd__o221a_2 +*I *686:A1 I *D sky130_fd_sc_hd__a21oi_2 +*I *751:A2 I *D sky130_fd_sc_hd__o21ai_2 +*I *745:B I *D sky130_fd_sc_hd__or3_2 +*I *755:B1 I *D sky130_fd_sc_hd__a311o_2 +*I *646:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *671:A 3.16828e-05 +2 *753:A2 0.000151597 +3 *686:A1 0 +4 *751:A2 9.17e-05 +5 *745:B 0.000213586 +6 *755:B1 5.19168e-05 +7 *646:Y 6.3592e-05 +8 *116:47 0.000207635 +9 *116:41 3.64194e-05 +10 *116:20 0.000438799 +11 *116:16 0.00051732 +12 *116:5 0.000487251 +13 *671:A *686:B1 0.000171273 +14 *671:A *752:B1 0.00027329 +15 *671:A *146:5 5.08751e-05 +16 *745:B *753:B1 0.000199884 +17 *745:B *753:C1 3.31733e-05 +18 *745:B *204:67 0 +19 *751:A2 *753:B1 0.000107496 +20 *753:A2 *753:B2 3.75603e-05 +21 *753:A2 *754:A 0 +22 *753:A2 *127:32 0 +23 *753:A2 *204:67 0.000162951 +24 *755:B1 *750:C 4.76794e-05 +25 *755:B1 *755:C1 4.76161e-06 +26 *755:B1 *127:44 2.58616e-05 +27 *755:B1 *127:54 7.67734e-06 +28 *755:B1 *208:30 3.52355e-05 +29 *755:B1 *208:42 3.42102e-05 +30 *755:B1 *209:11 0.000111352 +31 *116:5 *750:C 0.000157107 +32 *116:5 *127:44 0.000152751 +33 *116:16 *750:A 0.000108029 +34 *116:16 *750:C 1.90679e-05 +35 *116:16 *753:B2 0.000294805 +36 *116:16 *753:C1 1.55462e-05 +37 *116:16 *837:CLK 4.88617e-05 +38 *116:16 *126:61 0 +39 *116:16 *208:14 2.24632e-05 +40 *116:20 *753:B2 1.83627e-05 +41 *116:20 *753:C1 0.000170177 +42 *116:41 *752:B1 6.08467e-05 +43 *116:41 *146:5 6.50586e-05 +44 *116:47 *752:B1 0.000107496 +45 *116:47 *146:5 9.32983e-05 +46 *751:A1 *751:A2 8.87122e-05 +47 *751:A1 *753:A2 2.65831e-05 +48 *800:A *116:16 1.37421e-05 +49 *839:D *745:B 0.000169078 +50 *839:D *116:20 0.000118485 +51 *90:70 *116:5 9.00181e-05 +52 *115:26 *745:B 0 +*RES +1 *646:Y *116:5 13.3002 +2 *116:5 *755:B1 11.6605 +3 *116:5 *116:16 14.637 +4 *116:16 *116:20 4.2258 +5 *116:20 *745:B 20.4571 +6 *116:20 *751:A2 16.7151 +7 *116:16 *116:41 5.2234 +8 *116:41 *686:A1 9.24915 +9 *116:41 *116:47 1.278 +10 *116:47 *753:A2 22.629 +11 *116:47 *671:A 12.191 +*END + +*D_NET *117 0.00313592 +*CONN +*I *686:A2 I *D sky130_fd_sc_hd__a21oi_2 +*I *671:B I *D sky130_fd_sc_hd__nor2_2 +*I *647:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *686:A2 1.69719e-05 +2 *671:B 2.52541e-05 +3 *647:Y 0.000208899 +4 *117:21 6.77015e-05 +5 *117:20 0.000485177 +6 *117:8 0.0006686 +7 *671:B *754:A 1.44467e-05 +8 *671:B *126:30 5.92192e-05 +9 *671:B *198:8 1.09738e-05 +10 *686:A2 *752:B1 5.88657e-05 +11 *686:A2 *146:5 6.08467e-05 +12 *117:8 *664:A2 0.000193108 +13 *117:8 *712:A2 0.000324151 +14 *117:8 *126:30 9.75356e-05 +15 *117:8 *127:32 1.57871e-05 +16 *117:8 *198:8 2.33193e-05 +17 *117:8 *204:51 0.000149643 +18 *117:20 *686:B1 2.61147e-05 +19 *117:20 *752:B1 0.000564236 +20 *852:D *117:8 6.50727e-05 +*RES +1 *647:Y *117:8 22.3996 +2 *117:8 *671:B 14.7506 +3 *117:8 *117:20 21.1278 +4 *117:20 *117:21 57.9449 +5 *117:21 *686:A2 19.2217 +*END + +*D_NET *118 0.0161403 +*CONN +*I *770:A I *D sky130_fd_sc_hd__nand2_2 +*I *773:A1 I *D sky130_fd_sc_hd__o311a_2 +*I *774:A4 I *D sky130_fd_sc_hd__o41a_2 +*I *779:A I *D sky130_fd_sc_hd__nor2_2 +*I *778:A3 I *D sky130_fd_sc_hd__o41a_2 +*I *772:C I *D sky130_fd_sc_hd__or3_2 +*I *776:A1 I *D sky130_fd_sc_hd__o311a_2 +*I *775:D I *D sky130_fd_sc_hd__or4_2 +*I *728:A1 I *D sky130_fd_sc_hd__o21ai_2 +*I *725:B1 I *D sky130_fd_sc_hd__o22a_2 +*I *713:A1 I *D sky130_fd_sc_hd__o31a_2 +*I *648:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *770:A 0.000645259 +2 *773:A1 0 +3 *774:A4 0.000164695 +4 *779:A 0.00023677 +5 *778:A3 1.6785e-05 +6 *772:C 0.000273713 +7 *776:A1 2.06324e-05 +8 *775:D 0.000411257 +9 *728:A1 0 +10 *725:B1 0.000226103 +11 *713:A1 0.000129747 +12 *648:Y 9.16217e-05 +13 *118:96 0.000461487 +14 *118:95 6.00226e-05 +15 *118:93 0.000813043 +16 *118:73 0.00040492 +17 *118:49 0.000561473 +18 *118:48 0.000244005 +19 *118:46 0.000520707 +20 *118:24 0.00029453 +21 *118:14 0.000849652 +22 *118:7 0.00109602 +23 *713:A1 *713:A3 4.09271e-05 +24 *713:A1 *713:B1 3.44412e-06 +25 *713:A1 *170:63 0 +26 *725:B1 *724:A 0.000110297 +27 *725:B1 *725:A2 6.36477e-05 +28 *725:B1 *727:A1 1.65872e-05 +29 *725:B1 *158:35 0.000176145 +30 *725:B1 *170:10 9.35753e-06 +31 *725:B1 *170:22 2.75427e-05 +32 *725:B1 *171:15 8.62625e-06 +33 *725:B1 *171:25 2.1558e-06 +34 *725:B1 *204:200 0.000153404 +35 *770:A *773:A2 0.000271475 +36 *770:A *243:20 0 +37 *770:A *307:6 6.61709e-05 +38 *772:C *772:B 7.02442e-06 +39 *772:C *778:A1 2.16355e-05 +40 *772:C *121:13 5.51483e-06 +41 *774:A4 *773:A2 8.10016e-06 +42 *774:A4 *160:35 1.41291e-05 +43 *774:A4 *222:59 8.62625e-06 +44 *775:D *779:B 4.49217e-05 +45 *775:D *201:8 9.84167e-06 +46 *775:D *201:11 3.61993e-05 +47 *775:D *222:77 0.000271058 +48 *775:D *225:40 0 +49 *778:A3 *121:13 9.95922e-06 +50 *779:A *312:15 0.000117469 +51 *118:7 *648:A 2.16355e-05 +52 *118:14 *719:A1 0 +53 *118:14 *719:A2 8.52968e-05 +54 *118:14 *719:A3 6.50586e-05 +55 *118:14 *719:B1 5.05252e-05 +56 *118:14 *719:B2 6.12686e-06 +57 *118:14 *737:S 0.000152878 +58 *118:14 *741:B2 8.20492e-06 +59 *118:14 *170:63 0 +60 *118:14 *173:26 6.08697e-06 +61 *118:14 *175:10 0.000237068 +62 *118:24 *728:B1 2.41483e-05 +63 *118:24 *124:18 0.000366603 +64 *118:24 *204:200 0.000222149 +65 *118:46 *717:A 2.652e-05 +66 *118:46 *719:B2 0 +67 *118:46 *160:6 0.000273949 +68 *118:46 *160:35 0.000310094 +69 *118:49 *776:A3 6.49003e-05 +70 *118:49 *201:11 1.84293e-05 +71 *118:49 *201:17 9.82896e-06 +72 *118:49 *222:77 0.000501057 +73 *118:73 *121:13 3.58044e-05 +74 *118:93 *773:A2 1.66626e-05 +75 *118:93 *160:35 0.000191365 +76 *118:93 *222:59 2.22198e-05 +77 *118:93 *222:61 1.12605e-05 +78 *118:96 *773:A2 6.08467e-05 +79 *118:96 *312:15 4.0752e-05 +80 *702:C *118:14 0.000670858 +81 *702:C *118:24 6.50586e-05 +82 *711:C *713:A1 7.73818e-05 +83 *711:C *118:14 6.03391e-06 +84 *807:A1 *770:A 3.29488e-05 +85 *807:A1 *118:93 0.000151779 +86 *809:A0 *118:14 0.000101118 +87 *809:A0 *118:46 0.000241585 +88 *813:A0 *770:A 0 +89 *817:A0 *775:D 2.21133e-05 +90 *821:A0 *779:A 0.000321919 +91 *821:A1 *779:A 0.000268798 +92 *825:A0 *770:A 6.18342e-05 +93 *829:A0 *775:D 0.00011818 +94 *829:A1 *775:D 8.62625e-06 +95 *844:D *118:14 5.39463e-05 +96 *848:D *118:24 1.777e-05 +97 *5:190 *779:A 0.000199527 +98 *5:195 *779:A 0.000377273 +99 *21:16 *774:A4 6.50727e-05 +100 *21:22 *774:A4 9.75356e-05 +101 *21:22 *779:A 6.50586e-05 +102 *25:8 *770:A 2.14842e-06 +103 *29:8 *118:14 1.87269e-05 +104 *29:11 *118:7 7.92757e-06 +105 *40:12 *118:46 0.000397564 +106 *40:27 *118:46 9.04083e-05 +107 *40:27 *118:93 0.000224523 +108 *41:9 *118:46 4.3116e-06 +109 *55:22 *774:A4 4.3116e-06 +110 *65:15 *118:49 0.000351426 +111 *65:15 *118:73 0.000158371 +*RES +1 *648:Y *118:7 15.0271 +2 *118:7 *118:14 28.618 +3 *118:14 *713:A1 17.2421 +4 *118:14 *118:24 9.10562 +5 *118:24 *725:B1 25.962 +6 *118:24 *728:A1 9.24915 +7 *118:7 *118:46 14.7781 +8 *118:46 *118:48 4.5 +9 *118:48 *118:49 6.82404 +10 *118:49 *775:D 31.1943 +11 *118:49 *776:A1 9.82786 +12 *118:48 *118:73 2.94181 +13 *118:73 *772:C 14.4817 +14 *118:73 *778:A3 9.82786 +15 *118:46 *118:93 7.23027 +16 *118:93 *118:95 4.5 +17 *118:95 *118:96 1.8326 +18 *118:96 *779:A 20.727 +19 *118:96 *774:A4 22.763 +20 *118:95 *773:A1 9.24915 +21 *118:93 *770:A 25.7632 +*END + +*D_NET *119 0.0101946 +*CONN +*I *781:A1 I *D sky130_fd_sc_hd__o31a_2 +*I *775:A I *D sky130_fd_sc_hd__or4_2 +*I *701:A I *D sky130_fd_sc_hd__or3_2 +*I *733:A1 I *D sky130_fd_sc_hd__o21ai_2 +*I *764:A1 I *D sky130_fd_sc_hd__o41a_2 +*I *763:A1 I *D sky130_fd_sc_hd__o41a_2 +*I *765:A1 I *D sky130_fd_sc_hd__o41a_2 +*I *767:A1 I *D sky130_fd_sc_hd__o31a_2 +*I *721:A1 I *D sky130_fd_sc_hd__o22a_2 +*I *649:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *781:A1 0 +2 *775:A 9.46193e-05 +3 *701:A 0 +4 *733:A1 0 +5 *764:A1 7.49022e-05 +6 *763:A1 0.000240273 +7 *765:A1 0 +8 *767:A1 0.000240499 +9 *721:A1 0.000130625 +10 *649:Y 0.00014273 +11 *119:81 0.000255693 +12 *119:72 0.000587456 +13 *119:45 0.000371354 +14 *119:38 0.000185594 +15 *119:25 0.000808255 +16 *119:22 0.000694034 +17 *119:8 0.000483742 +18 *119:5 0.000666537 +19 *721:A1 *720:A2 0.000101133 +20 *721:A1 *734:A 3.5534e-06 +21 *721:A1 *735:A3 1.74215e-05 +22 *721:A1 *157:29 4.59978e-05 +23 *721:A1 *158:47 2.94253e-05 +24 *763:A1 *222:77 0 +25 *763:A1 *222:79 3.57683e-05 +26 *764:A1 *764:A4 6.92705e-05 +27 *764:A1 *765:A4 9.98029e-06 +28 *764:A1 *164:42 5.05252e-05 +29 *764:A1 *222:79 0.00030153 +30 *767:A1 *767:A3 0.000300363 +31 *767:A1 *250:9 8.07791e-05 +32 *775:A *756:B 0.000184217 +33 *775:A *776:B1 3.61993e-05 +34 *775:A *781:A2 0.000251285 +35 *775:A *164:28 7.73707e-05 +36 *119:5 *322:7 9.75148e-06 +37 *119:8 *720:A1 3.42931e-05 +38 *119:8 *720:A2 5.41377e-05 +39 *119:8 *720:B1 0.000100222 +40 *119:8 *723:B2 0 +41 *119:8 *224:10 0 +42 *119:22 *730:A2 0.000309483 +43 *119:22 *733:A2 7.97098e-06 +44 *119:22 *735:A2 6.00782e-06 +45 *119:22 *735:A3 0.000314504 +46 *119:22 *157:29 0.000112125 +47 *119:22 *223:11 0.000337073 +48 *119:22 *223:22 6.10162e-05 +49 *119:25 *725:A1 0 +50 *119:25 *730:A1 0 +51 *119:25 *730:A2 0 +52 *119:25 *733:B1 1.2693e-05 +53 *119:25 *769:A3 0 +54 *119:25 *949:A 0 +55 *119:25 *952:A 0 +56 *119:25 *170:29 0 +57 *119:25 *186:10 0 +58 *119:25 *223:11 1.07248e-05 +59 *119:38 *765:A4 1.2601e-05 +60 *119:38 *767:A2 9.75356e-05 +61 *119:38 *768:A3 0.00019364 +62 *119:38 *164:42 2.6046e-05 +63 *119:45 *765:A4 3.08133e-05 +64 *119:45 *164:42 0.000122083 +65 *119:72 *701:B 3.31882e-05 +66 *119:72 *708:A 6.84784e-06 +67 *119:72 *723:B2 8.01837e-05 +68 *119:72 *782:A1 1.28344e-05 +69 *119:72 *120:11 0.000173882 +70 *119:72 *120:35 9.48649e-05 +71 *119:72 *166:38 0 +72 *119:81 *701:B 7.30564e-05 +73 *119:81 *756:B 2.72267e-05 +74 *119:81 *166:38 0 +75 *702:C *119:72 0 +76 *763:B1 *763:A1 6.08467e-05 +77 *763:B1 *764:A1 0.000213725 +78 *767:B1 *119:38 0 +79 *830:A0 *119:5 0.000161234 +80 *830:A0 *119:72 0.000313495 +81 *831:A1 *119:25 1.6352e-05 +82 *831:A1 *119:38 9.69716e-06 +83 *831:S *119:25 1.16474e-05 +84 *833:A0 *119:72 0.000147848 +85 *846:D *119:22 0.000162583 +86 *43:27 *764:A1 0.000171273 +87 *55:22 *119:81 0 +*RES +1 *649:Y *119:5 11.6364 +2 *119:5 *119:8 7.57775 +3 *119:8 *721:A1 17.5597 +4 *119:8 *119:22 14.6758 +5 *119:22 *119:25 13.8065 +6 *119:25 *767:A1 18.9576 +7 *119:25 *119:38 4.73876 +8 *119:38 *765:A1 13.7491 +9 *119:38 *119:45 2.24725 +10 *119:45 *763:A1 17.6796 +11 *119:45 *764:A1 18.8017 +12 *119:22 *733:A1 9.24915 +13 *119:5 *119:72 15.6419 +14 *119:72 *701:A 13.7491 +15 *119:72 *119:81 8.40826 +16 *119:81 *775:A 15.0544 +17 *119:81 *781:A1 9.24915 +*END + +*D_NET *120 0.00447761 +*CONN +*I *701:B I *D sky130_fd_sc_hd__or3_2 +*I *758:B I *D sky130_fd_sc_hd__or2_2 +*I *720:B1 I *D sky130_fd_sc_hd__a22o_2 +*I *730:A1 I *D sky130_fd_sc_hd__o21ai_2 +*I *650:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *701:B 4.04466e-05 +2 *758:B 0.000216639 +3 *720:B1 0.000184979 +4 *730:A1 0.000366347 +5 *650:Y 0.000112671 +6 *120:35 0.000305751 +7 *120:11 0.000878699 +8 *120:7 0.000488709 +9 *701:B *756:B 4.4434e-05 +10 *720:B1 *720:A1 1.47033e-05 +11 *720:B1 *730:B1 0 +12 *720:B1 *735:A3 4.09708e-05 +13 *720:B1 *779:B 5.01737e-05 +14 *720:B1 *185:7 1.8456e-05 +15 *720:B1 *224:10 0 +16 *730:A1 *725:A1 2.22198e-05 +17 *730:A1 *322:7 0.000508618 +18 *758:B *250:9 0.000174698 +19 *120:7 *166:5 6.08467e-05 +20 *120:7 *166:32 0.000110458 +21 *120:11 *708:A 3.77686e-05 +22 *120:11 *723:B2 2.15348e-05 +23 *120:11 *756:B 8.80283e-06 +24 *120:11 *322:7 0.000193069 +25 *120:35 *756:B 3.44197e-05 +26 *830:A0 *720:B1 5.39635e-06 +27 *830:A0 *120:11 6.11359e-06 +28 *5:350 *120:11 5.54675e-05 +29 *119:8 *720:B1 0.000100222 +30 *119:25 *730:A1 0 +31 *119:72 *701:B 3.31882e-05 +32 *119:72 *120:11 0.000173882 +33 *119:72 *120:35 9.48649e-05 +34 *119:81 *701:B 7.30564e-05 +*RES +1 *650:Y *120:7 15.5817 +2 *120:7 *120:11 11.4894 +3 *120:11 *730:A1 24.9655 +4 *120:11 *720:B1 23.1849 +5 *120:7 *120:35 1.832 +6 *120:35 *758:B 19.0876 +7 *120:35 *701:B 15.5811 +*END + +*D_NET *121 0.0045811 +*CONN +*I *773:A3 I *D sky130_fd_sc_hd__o311a_2 +*I *778:A1 I *D sky130_fd_sc_hd__o41a_2 +*I *699:A I *D sky130_fd_sc_hd__or2_2 +*I *776:A3 I *D sky130_fd_sc_hd__o311a_2 +*I *651:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *773:A3 0.000410481 +2 *778:A1 2.29696e-05 +3 *699:A 0.000197002 +4 *776:A3 4.69226e-05 +5 *651:Y 0.000112011 +6 *121:13 0.000393253 +7 *121:10 0.000172297 +8 *121:8 0.000522492 +9 *699:A *122:47 0.000144078 +10 *699:A *250:20 5.41227e-05 +11 *773:A3 *773:A2 0.000163982 +12 *773:A3 *773:B1 2.19549e-05 +13 *773:A3 *778:A2 4.79289e-05 +14 *773:A3 *780:C 6.8327e-05 +15 *773:A3 *166:52 5.82161e-05 +16 *773:A3 *222:50 1.35282e-05 +17 *773:A3 *222:59 5.41227e-05 +18 *773:A3 *312:15 2.17953e-06 +19 *776:A3 *201:17 0.000264572 +20 *778:A1 *778:A2 1.65872e-05 +21 *121:8 *651:A 1.43848e-05 +22 *121:8 *778:A2 0.00011191 +23 *121:8 *780:C 0.000110477 +24 *121:13 *772:B 1.15389e-05 +25 *121:13 *778:A2 1.41976e-05 +26 *121:13 *201:17 6.50727e-05 +27 *121:13 *201:27 0.000381912 +28 *121:13 *201:35 1.65872e-05 +29 *772:C *778:A1 2.16355e-05 +30 *772:C *121:13 5.51483e-06 +31 *773:C1 *773:A3 3.32802e-05 +32 *778:A3 *121:13 9.95922e-06 +33 *5:329 *773:A3 0 +34 *55:22 *699:A 0.00040973 +35 *65:15 *776:A3 0.000107496 +36 *65:15 *121:13 0.000379666 +37 *118:49 *776:A3 6.49003e-05 +38 *118:73 *121:13 3.58044e-05 +*RES +1 *651:Y *121:8 16.7198 +2 *121:8 *121:10 4.5 +3 *121:10 *121:13 6.84815 +4 *121:13 *776:A3 12.191 +5 *121:13 *699:A 25.8947 +6 *121:10 *778:A1 9.97254 +7 *121:8 *773:A3 23.4587 +*END + +*D_NET *122 0.00626749 +*CONN +*I *771:A2 I *D sky130_fd_sc_hd__o311a_2 +*I *774:A2 I *D sky130_fd_sc_hd__o41a_2 +*I *699:B I *D sky130_fd_sc_hd__or2_2 +*I *738:A1 I *D sky130_fd_sc_hd__o211a_2 +*I *718:B1 I *D sky130_fd_sc_hd__a22o_2 +*I *652:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *771:A2 0.000342937 +2 *774:A2 0 +3 *699:B 0 +4 *738:A1 3.93458e-05 +5 *718:B1 0.000134031 +6 *652:Y 0.000221108 +7 *122:47 0.000607702 +8 *122:38 0.00101477 +9 *122:8 0.000286261 +10 *122:7 0.00108399 +11 *718:B1 *718:B2 6.50586e-05 +12 *718:B1 *738:B1 0.000275256 +13 *718:B1 *738:C1 3.67528e-06 +14 *718:B1 *221:33 8.90486e-05 +15 *718:B1 *221:46 0 +16 *718:B1 *222:18 0 +17 *771:A2 *771:B1 2.21251e-05 +18 *771:A2 *160:35 3.82228e-05 +19 *771:A2 *222:50 3.01683e-06 +20 *122:7 *652:A 6.44576e-05 +21 *122:7 *737:S 1.41976e-05 +22 *122:8 *737:A1 7.50872e-05 +23 *122:8 *738:C1 3.89332e-06 +24 *122:8 *221:33 1.55462e-05 +25 *122:8 *222:18 0 +26 *122:38 *706:A 8.8761e-05 +27 *122:38 *737:A1 4.70104e-05 +28 *122:38 *873:Z 0.000109421 +29 *122:38 *874:A 9.96342e-05 +30 *122:38 *160:6 3.72649e-05 +31 *122:38 *165:5 1.9898e-05 +32 *122:38 *221:33 9.22013e-06 +33 *122:38 *221:46 4.3937e-05 +34 *122:38 *221:55 0.000362153 +35 *122:38 *222:18 0 +36 *122:38 *249:23 5.03013e-06 +37 *122:38 *250:20 8.55614e-05 +38 *122:47 *774:A3 9.46387e-05 +39 *122:47 *781:A3 0.000170577 +40 *122:47 *160:6 3.84001e-05 +41 *122:47 *160:35 3.71118e-05 +42 *122:47 *222:61 0.000166385 +43 *122:47 *250:20 4.33979e-05 +44 *699:A *122:47 0.000144078 +45 *702:C *122:38 4.18215e-06 +46 *782:C1 *122:38 0.000202719 +47 *809:A0 *122:7 7.98425e-06 +48 *21:16 *771:A2 4.39324e-05 +49 *29:8 *718:B1 3.93117e-06 +50 *55:22 *122:47 2.53624e-06 +*RES +1 *652:Y *122:7 17.2456 +2 *122:7 *122:8 2.6625 +3 *122:8 *718:B1 18.7428 +4 *122:8 *738:A1 14.6568 +5 *122:7 *122:38 25.9927 +6 *122:38 *699:B 13.7491 +7 *122:38 *122:47 14.2218 +8 *122:47 *774:A2 9.24915 +9 *122:47 *771:A2 15.0122 +*END + +*D_NET *123 0.00222397 +*CONN +*I *716:A1 I *D sky130_fd_sc_hd__o22a_2 +*I *743:A1 I *D sky130_fd_sc_hd__o22ai_2 +*I *715:B1 I *D sky130_fd_sc_hd__a22o_2 +*I *702:A I *D sky130_fd_sc_hd__or3_2 +*I *653:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *716:A1 0 +2 *743:A1 0 +3 *715:B1 0.000155945 +4 *702:A 4.42831e-05 +5 *653:Y 9.43371e-05 +6 *123:18 0.000238505 +7 *123:9 0.000226274 +8 *123:7 0.000193768 +9 *702:A *716:B1 5.88657e-05 +10 *702:A *124:28 0.00011628 +11 *715:B1 *712:B1 4.30017e-06 +12 *715:B1 *715:A2 0.000128357 +13 *715:B1 *157:114 7.02172e-06 +14 *123:7 *716:B2 5.99527e-05 +15 *123:9 *653:A 1.15389e-05 +16 *123:9 *716:A2 4.58003e-05 +17 *123:9 *716:B1 0.000167076 +18 *123:9 *716:B2 0.000164843 +19 *123:9 *157:114 3.82228e-05 +20 *123:18 *653:A 1.45944e-05 +21 *123:18 *715:A2 3.20264e-05 +22 *123:18 *157:114 4.66634e-05 +23 *702:C *702:A 6.92705e-05 +24 *848:D *702:A 6.95945e-06 +25 *29:8 *715:B1 0.00012136 +26 *29:8 *123:18 0.00017772 +*RES +1 *653:Y *123:7 11.6605 +2 *123:7 *123:9 4.05102 +3 *123:9 *702:A 11.0817 +4 *123:9 *123:18 7.993 +5 *123:18 *715:B1 17.8531 +6 *123:18 *743:A1 13.7491 +7 *123:7 *716:A1 9.24915 +*END + +*D_NET *124 0.00546813 +*CONN +*I *742:A1_N I *D sky130_fd_sc_hd__a2bb2o_2 +*I *742:B1 I *D sky130_fd_sc_hd__a2bb2o_2 +*I *716:B1 I *D sky130_fd_sc_hd__o22a_2 +*I *702:B I *D sky130_fd_sc_hd__or3_2 +*I *744:B1 I *D sky130_fd_sc_hd__o22a_2 +*I *654:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *742:A1_N 0.000135829 +2 *742:B1 0 +3 *716:B1 4.06558e-05 +4 *702:B 2.06324e-05 +5 *744:B1 9.78682e-05 +6 *654:Y 0 +7 *124:40 0.000667209 +8 *124:29 8.61317e-05 +9 *124:28 0.000102729 +10 *124:18 0.00040442 +11 *124:7 0.000516692 +12 *124:4 0.00062367 +13 *716:B1 *653:A 6.50586e-05 +14 *742:A1_N *742:A2_N 0.000159038 +15 *742:A1_N *743:B2 2.1846e-05 +16 *744:B1 *744:B2 0.000337014 +17 *124:7 *158:9 1.58551e-05 +18 *124:18 *713:A3 6.50727e-05 +19 *124:18 *744:B2 0.00021364 +20 *124:18 *170:10 2.69064e-05 +21 *124:40 *715:A2 0.000183941 +22 *124:40 *743:A2 7.92757e-06 +23 *124:40 *743:B1 6.46815e-05 +24 *124:40 *743:B2 0.000112367 +25 *124:40 *158:9 0.00012826 +26 *702:A *716:B1 5.88657e-05 +27 *702:A *124:28 0.00011628 +28 *702:C *124:18 0.000110583 +29 *702:C *124:28 2.41483e-05 +30 *843:D *742:A1_N 1.65872e-05 +31 *843:D *124:40 5.795e-05 +32 *848:D *716:B1 6.53891e-05 +33 *90:110 *744:B1 0.000340372 +34 *90:110 *124:18 4.68256e-05 +35 *118:24 *124:18 0.000366603 +36 *123:9 *716:B1 0.000167076 +*RES +1 *654:Y *124:4 9.24915 +2 *124:4 *124:7 5.778 +3 *124:7 *744:B1 19.7337 +4 *124:7 *124:18 15.2323 +5 *124:18 *702:B 9.82786 +6 *124:18 *124:28 11.0817 +7 *124:28 *124:29 57.9449 +8 *124:29 *716:B1 20.8855 +9 *124:4 *124:40 13.5034 +10 *124:40 *742:B1 9.24915 +11 *124:40 *742:A1_N 13.059 +*END + +*D_NET *125 0.000264775 +*CONN +*I *703:A1 I *D sky130_fd_sc_hd__o2111ai_2 +*I *655:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *703:A1 2.06659e-05 +2 *655:Y 2.06659e-05 +3 *703:A1 *146:5 0.000111722 +4 *90:51 *703:A1 0.000111722 +*RES +1 *655:Y *703:A1 19.7763 +*END + +*D_NET *126 0.017861 +*CONN +*I *665:B2 I *D sky130_fd_sc_hd__a22o_2 +*I *666:A2 I *D sky130_fd_sc_hd__a22o_2 +*I *663:A2 I *D sky130_fd_sc_hd__a22o_2 +*I *664:A2 I *D sky130_fd_sc_hd__a22o_2 +*I *750:D I *D sky130_fd_sc_hd__and4_2 +*I *658:A2 I *D sky130_fd_sc_hd__a22o_2 +*I *749:C1 I *D sky130_fd_sc_hd__o221a_2 +*I *662:A2 I *D sky130_fd_sc_hd__a22o_2 +*I *661:A2 I *D sky130_fd_sc_hd__a22o_2 +*I *753:C1 I *D sky130_fd_sc_hd__o221a_2 +*I *752:A1 I *D sky130_fd_sc_hd__a31o_2 +*I *657:A I *D sky130_fd_sc_hd__inv_2 +*I *656:X O *D sky130_fd_sc_hd__a2bb2o_2 +*CAP +1 *665:B2 8.83257e-05 +2 *666:A2 0.000129687 +3 *663:A2 0.000172568 +4 *664:A2 0.000104969 +5 *750:D 0 +6 *658:A2 0.000277811 +7 *749:C1 0.000188 +8 *662:A2 0.000437362 +9 *661:A2 7.62179e-05 +10 *753:C1 0.000206629 +11 *752:A1 3.91997e-05 +12 *657:A 4.85885e-05 +13 *656:X 0.000785553 +14 *126:87 0.000841468 +15 *126:65 0.000611141 +16 *126:61 0.00101074 +17 *126:38 0.000327021 +18 *126:37 0.000735034 +19 *126:33 0.000256409 +20 *126:30 0.00156613 +21 *126:25 0.00180096 +22 *126:20 0.000545231 +23 *126:19 0.000196551 +24 *126:11 0.000834142 +25 *657:A *127:7 6.50586e-05 +26 *658:A2 *642:A 2.95757e-05 +27 *658:A2 *690:A1 6.37047e-05 +28 *658:A2 *690:A2 2.05342e-06 +29 *658:A2 *209:30 6.08467e-05 +30 *658:A2 *209:34 3.02961e-05 +31 *661:A2 *661:A1 2.02722e-05 +32 *661:A2 *661:B2 0.000141256 +33 *662:A2 *662:B2 8.79741e-05 +34 *662:A2 *670:B 9.2172e-05 +35 *662:A2 *674:A 4.00504e-05 +36 *662:A2 *675:A2_N 0 +37 *662:A2 *675:B1 0.000169093 +38 *662:A2 *675:B2 7.50872e-05 +39 *662:A2 *130:8 0.000210977 +40 *662:A2 *133:26 3.03866e-05 +41 *662:A2 *162:13 0 +42 *662:A2 *207:41 0 +43 *662:A2 *212:14 4.4647e-05 +44 *662:A2 *212:33 1.6594e-05 +45 *663:A2 *663:A1 5.94977e-06 +46 *663:A2 *663:B1 1.67329e-05 +47 *663:A2 *667:B 0 +48 *664:A2 *664:A1 1.67329e-05 +49 *664:A2 *712:A2 1.67329e-05 +50 *664:A2 *204:51 1.8706e-05 +51 *664:A2 *210:10 0 +52 *665:B2 *853:CLK 0.000191541 +53 *665:B2 *127:26 0.000161951 +54 *665:B2 *127:116 0 +55 *666:A2 *204:127 2.65831e-05 +56 *666:A2 *218:19 0.000246924 +57 *749:C1 *658:B2 0 +58 *749:C1 *748:A2 0.000228593 +59 *749:C1 *748:B1 4.94594e-05 +60 *749:C1 *127:90 1.41976e-05 +61 *749:C1 *209:30 0.000344708 +62 *752:A1 *195:13 6.92705e-05 +63 *753:C1 *753:B1 5.41227e-05 +64 *753:C1 *195:13 6.24956e-05 +65 *126:11 *663:A1 0 +66 *126:11 *836:D 5.04829e-06 +67 *126:11 *850:CLK 1.09551e-05 +68 *126:11 *127:7 3.01683e-06 +69 *126:11 *219:7 7.88559e-05 +70 *126:20 *667:A 3.67528e-06 +71 *126:20 *667:B 4.36187e-05 +72 *126:20 *853:CLK 6.77777e-06 +73 *126:20 *127:26 2.95757e-05 +74 *126:20 *204:127 0.000116804 +75 *126:25 *667:A 1.82832e-05 +76 *126:25 *667:B 1.82832e-05 +77 *126:25 *204:127 1.87482e-05 +78 *126:30 *673:A1 0 +79 *126:30 *673:B1 0 +80 *126:30 *754:A 0.000101116 +81 *126:30 *131:16 0.000164017 +82 *126:30 *204:114 5.74686e-05 +83 *126:30 *204:127 2.63421e-05 +84 *126:30 *206:7 0.000122392 +85 *126:30 *206:33 0 +86 *126:33 *198:8 0.000164815 +87 *126:33 *204:52 0.000144695 +88 *126:37 *750:A 1.00937e-05 +89 *126:37 *750:B 0.000313481 +90 *126:37 *855:CLK 5.97411e-05 +91 *126:37 *204:52 4.56831e-05 +92 *126:38 *196:12 3.31882e-05 +93 *126:61 *750:A 3.20069e-06 +94 *126:61 *196:12 9.55049e-05 +95 *126:65 *213:16 8.62625e-06 +96 *126:87 *691:A2_N 9.78191e-05 +97 *126:87 *127:90 3.80615e-05 +98 *126:87 *208:49 1.59966e-05 +99 *126:87 *213:16 0.000109859 +100 *126:87 *213:27 5.77203e-05 +101 *671:B *126:30 5.92192e-05 +102 *745:B *753:C1 3.31733e-05 +103 *748:A1 *658:A2 0 +104 *784:A *663:A2 0 +105 *835:RESET_B *126:11 8.96314e-06 +106 *838:D *126:30 3.06126e-05 +107 *838:RESET_B *126:30 0 +108 *839:D *753:C1 0 +109 *839:D *126:38 0 +110 *841:D *658:A2 3.20069e-06 +111 *849:RESET_B *663:A2 5.25402e-05 +112 *850:D *666:A2 5.11839e-05 +113 *852:D *664:A2 0.000130777 +114 *852:RESET_B *664:A2 0 +115 *853:D *665:B2 0 +116 *855:D *661:A2 0.000211478 +117 *855:D *126:37 5.04829e-06 +118 *855:D *126:61 0.000299373 +119 *855:D *126:65 0.000113968 +120 *29:8 *126:20 0 +121 *39:11 *658:A2 3.51288e-06 +122 *39:11 *662:A2 0.000160328 +123 *39:11 *126:65 0 +124 *90:110 *663:A2 5.05252e-05 +125 *90:110 *665:B2 0 +126 *90:113 *663:A2 0 +127 *90:172 *126:11 0.000162739 +128 *116:16 *753:C1 1.55462e-05 +129 *116:16 *126:61 0 +130 *116:20 *753:C1 0.000170177 +131 *117:8 *664:A2 0.000193108 +132 *117:8 *126:30 9.75356e-05 +*RES +1 *656:X *126:11 27.9369 +2 *126:11 *657:A 10.5271 +3 *126:11 *126:19 4.5 +4 *126:19 *126:20 3.493 +5 *126:20 *126:25 9.55251 +6 *126:25 *126:30 29.6408 +7 *126:30 *126:33 9.10562 +8 *126:33 *126:37 9.10562 +9 *126:37 *126:38 1.832 +10 *126:38 *752:A1 14.4725 +11 *126:38 *753:C1 19.7825 +12 *126:37 *126:61 18.7652 +13 *126:61 *126:65 7.19474 +14 *126:65 *661:A2 12.4321 +15 *126:65 *662:A2 32.6727 +16 *126:61 *126:87 9.37981 +17 *126:87 *749:C1 21.176 +18 *126:87 *658:A2 19.1559 +19 *126:33 *750:D 9.24915 +20 *126:30 *664:A2 18.2716 +21 *126:25 *663:A2 22.7716 +22 *126:20 *666:A2 18.62 +23 *126:19 *665:B2 17.6574 +*END + +*D_NET *127 0.0164354 +*CONN +*I *704:B I *D sky130_fd_sc_hd__and4_2 +*I *666:B2 I *D sky130_fd_sc_hd__a22o_2 +*I *665:A2 I *D sky130_fd_sc_hd__a22o_2 +*I *755:C1 I *D sky130_fd_sc_hd__a311o_2 +*I *748:B1 I *D sky130_fd_sc_hd__a21oi_2 +*I *658:B2 I *D sky130_fd_sc_hd__a22o_2 +*I *661:B2 I *D sky130_fd_sc_hd__a22o_2 +*I *662:B2 I *D sky130_fd_sc_hd__a22o_2 +*I *664:B2 I *D sky130_fd_sc_hd__a22o_2 +*I *663:B2 I *D sky130_fd_sc_hd__a22o_2 +*I *667:B I *D sky130_fd_sc_hd__or2_2 +*I *657:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *704:B 1.14333e-05 +2 *666:B2 0.000172079 +3 *665:A2 0 +4 *755:C1 1.81048e-05 +5 *748:B1 1.22163e-05 +6 *658:B2 1.37829e-05 +7 *661:B2 0.000188849 +8 *662:B2 0.000522875 +9 *664:B2 0 +10 *663:B2 0 +11 *667:B 0.000296984 +12 *657:Y 0 +13 *127:129 0.000401872 +14 *127:116 0.000390269 +15 *127:90 0.00021711 +16 *127:55 0.000905946 +17 *127:54 0.000883708 +18 *127:44 0.000770001 +19 *127:32 0.00192782 +20 *127:26 0.00196671 +21 *127:7 0.000369457 +22 *127:4 0.000192977 +23 *658:B2 *690:A1 3.96305e-06 +24 *658:B2 *209:34 1.09551e-05 +25 *661:B2 *661:A1 1.88157e-05 +26 *661:B2 *682:A2 1.21461e-06 +27 *662:B2 *670:A 0.000351506 +28 *662:B2 *670:B 9.79132e-05 +29 *662:B2 *137:10 9.24241e-05 +30 *662:B2 *207:21 7.24048e-05 +31 *666:B2 *666:A1 9.2346e-06 +32 *666:B2 *704:D 0.00017046 +33 *666:B2 *219:19 0.000108726 +34 *667:B *667:A 0.000175485 +35 *704:B *665:A1 6.50727e-05 +36 *704:B *665:B1 1.41976e-05 +37 *704:B *220:9 2.15348e-05 +38 *748:B1 *209:30 2.57986e-05 +39 *755:C1 *208:30 1.7012e-06 +40 *127:7 *663:A1 3.01683e-06 +41 *127:7 *850:CLK 0 +42 *127:32 *673:A2 0.000110342 +43 *127:32 *686:B1 8.65358e-05 +44 *127:32 *750:C 0 +45 *127:32 *754:A 8.98943e-05 +46 *127:32 *853:CLK 2.15184e-05 +47 *127:32 *131:16 1.65872e-05 +48 *127:32 *198:8 8.37979e-05 +49 *127:32 *204:51 4.87198e-05 +50 *127:32 *204:67 0 +51 *127:32 *205:20 7.95572e-05 +52 *127:32 *211:5 0.00011818 +53 *127:44 *646:A 8.5976e-05 +54 *127:44 *205:20 3.55179e-05 +55 *127:54 *691:A2_N 0.000161243 +56 *127:54 *135:19 5.8911e-05 +57 *127:54 *208:30 0.000118636 +58 *127:54 *208:42 0.000474608 +59 *127:54 *208:49 0.000211961 +60 *127:55 *661:B1 0 +61 *127:55 *129:8 0.000121494 +62 *127:90 *690:A1 2.6813e-05 +63 *127:90 *129:8 4.19841e-05 +64 *127:90 *135:19 0.000109427 +65 *127:90 *151:5 0.000107496 +66 *127:90 *209:30 1.92172e-05 +67 *127:90 *209:34 5.31074e-05 +68 *127:116 *665:A1 0.000107041 +69 *127:116 *665:B1 5.61116e-05 +70 *127:116 *853:CLK 0.000113583 +71 *127:129 *665:A1 0.000222631 +72 *127:129 *665:B1 0.000234021 +73 *127:129 *704:D 8.62625e-06 +74 clockp[1] *662:B2 0 +75 clockp[1] *127:55 0 +76 *655:A *662:B2 0 +77 *657:A *127:7 6.50586e-05 +78 *661:A2 *661:B2 0.000141256 +79 *662:A2 *662:B2 8.79741e-05 +80 *663:A2 *667:B 0 +81 *665:B2 *127:26 0.000161951 +82 *665:B2 *127:116 0 +83 *749:C1 *658:B2 0 +84 *749:C1 *748:B1 4.94594e-05 +85 *749:C1 *127:90 1.41976e-05 +86 *753:A2 *127:32 0 +87 *755:B1 *755:C1 4.76161e-06 +88 *755:B1 *127:44 2.58616e-05 +89 *755:B1 *127:54 7.67734e-06 +90 *834:RESET_B *666:B2 0 +91 *837:D *127:54 0.000208627 +92 *837:RESET_B *127:44 0.000206696 +93 *849:RESET_B *667:B 7.58595e-05 +94 *850:RESET_B *666:B2 7.86847e-05 +95 *851:D *127:116 1.87469e-05 +96 *853:D *127:26 0 +97 *854:D *662:B2 2.7961e-05 +98 *29:8 *127:129 5.05252e-05 +99 *39:11 *662:B2 0.00068818 +100 *39:11 *127:55 0.00035923 +101 *39:11 *127:90 0.00019097 +102 *90:70 *127:44 1.04731e-05 +103 *90:110 *667:B 1.36691e-05 +104 *90:110 *127:26 0 +105 *90:113 *667:B 0 +106 *90:134 *667:B 6.92705e-05 +107 *90:142 *667:B 4.33655e-05 +108 *90:155 *666:B2 3.67528e-06 +109 *116:5 *127:44 0.000152751 +110 *117:8 *127:32 1.57871e-05 +111 *126:11 *127:7 3.01683e-06 +112 *126:20 *667:B 4.36187e-05 +113 *126:20 *127:26 2.95757e-05 +114 *126:25 *667:B 1.82832e-05 +115 *126:87 *127:90 3.80615e-05 +*RES +1 *657:Y *127:4 9.24915 +2 *127:4 *127:7 1.85672 +3 *127:7 *667:B 27.312 +4 *127:7 *663:B2 9.24915 +5 *127:4 *127:26 12.493 +6 *127:26 *127:32 33.274 +7 *127:32 *664:B2 13.7491 +8 *127:32 *127:44 12.4862 +9 *127:44 *127:54 18.1963 +10 *127:54 *127:55 6.81502 +11 *127:55 *662:B2 32.2854 +12 *127:55 *661:B2 18.3789 +13 *127:54 *127:90 20.2987 +14 *127:90 *658:B2 9.82786 +15 *127:90 *748:B1 9.97254 +16 *127:44 *755:C1 9.82786 +17 *127:26 *127:116 13.4256 +18 *127:116 *665:A2 9.24915 +19 *127:116 *127:129 10.9877 +20 *127:129 *666:B2 20.5642 +21 *127:129 *704:B 14.4725 +*END + +*D_NET *128 0.000923 +*CONN +*I *691:B1 I *D sky130_fd_sc_hd__o2bb2a_2 +*I *669:B1 I *D sky130_fd_sc_hd__a21o_2 +*I *668:Y O *D sky130_fd_sc_hd__nor2_2 +*CAP +1 *691:B1 8.34533e-05 +2 *669:B1 0 +3 *668:Y 3.81239e-05 +4 *128:5 0.000121577 +5 *691:B1 *668:B 5.08751e-05 +6 *691:B1 *691:A2_N 5.70488e-06 +7 *691:B1 *208:49 0.000118017 +8 *691:B1 *208:52 2.16355e-05 +9 *691:B1 *213:27 1.37566e-05 +10 *128:5 *668:B 0.000217923 +11 *128:5 *208:52 8.85759e-05 +12 *39:11 *691:B1 0.000163359 +*RES +1 *668:Y *128:5 11.6364 +2 *128:5 *669:B1 9.24915 +3 *128:5 *691:B1 22.0503 +*END + +*D_NET *129 0.000834805 +*CONN +*I *676:B1 I *D sky130_fd_sc_hd__a2bb2o_2 +*I *676:A1_N I *D sky130_fd_sc_hd__a2bb2o_2 +*I *669:X O *D sky130_fd_sc_hd__a21o_2 +*CAP +1 *676:B1 0 +2 *676:A1_N 6.16827e-05 +3 *669:X 0.000101908 +4 *129:8 0.000163591 +5 *676:A1_N *676:A2_N 0.00016386 +6 *676:A1_N *693:A2 0.000117515 +7 *676:A1_N *135:19 6.27718e-05 +8 *127:55 *129:8 0.000121494 +9 *127:90 *129:8 4.19841e-05 +*RES +1 *669:X *129:8 21.3269 +2 *129:8 *676:A1_N 12.3115 +3 *129:8 *676:B1 9.24915 +*END + +*D_NET *130 0.00179688 +*CONN +*I *675:B1 I *D sky130_fd_sc_hd__o2bb2a_2 +*I *677:B1 I *D sky130_fd_sc_hd__a21oi_2 +*I *670:Y O *D sky130_fd_sc_hd__nor2_2 +*CAP +1 *675:B1 5.66371e-05 +2 *677:B1 5.19477e-05 +3 *670:Y 0.000215686 +4 *130:8 0.000324271 +5 *677:B1 *204:82 0.000158357 +6 *677:B1 *212:7 3.024e-05 +7 *130:8 *662:B1 0 +8 *130:8 *670:B 0.000164815 +9 *662:A2 *675:B1 0.000169093 +10 *662:A2 *130:8 0.000210977 +11 *39:11 *675:B1 0.000160467 +12 *39:11 *130:8 0.00025439 +*RES +1 *670:Y *130:8 20.3205 +2 *130:8 *677:B1 15.5817 +3 *130:8 *675:B1 16.8269 +*END + +*D_NET *131 0.00194068 +*CONN +*I *673:B1 I *D sky130_fd_sc_hd__a22o_2 +*I *684:A1_N I *D sky130_fd_sc_hd__o2bb2ai_2 +*I *684:B1 I *D sky130_fd_sc_hd__o2bb2ai_2 +*I *686:B1 I *D sky130_fd_sc_hd__a21oi_2 +*I *671:Y O *D sky130_fd_sc_hd__nor2_2 +*CAP +1 *673:B1 0.000149048 +2 *684:A1_N 0 +3 *684:B1 5.60214e-05 +4 *686:B1 0.000107474 +5 *671:Y 0 +6 *131:23 0.000182663 +7 *131:16 0.000196499 +8 *131:4 0.000214336 +9 *673:B1 *673:B2 5.65074e-05 +10 *673:B1 *684:B2 5.31074e-05 +11 *673:B1 *132:9 0.000149532 +12 *684:B1 *684:B2 0.000169041 +13 *686:B1 *752:B1 1.65872e-05 +14 *131:16 *133:11 1.91246e-05 +15 *131:23 *684:B2 0.000106215 +16 *671:A *686:B1 0.000171273 +17 *117:20 *686:B1 2.61147e-05 +18 *126:30 *673:B1 0 +19 *126:30 *131:16 0.000164017 +20 *127:32 *686:B1 8.65358e-05 +21 *127:32 *131:16 1.65872e-05 +*RES +1 *671:Y *131:4 9.24915 +2 *131:4 *686:B1 13.3002 +3 *131:4 *131:16 12.8011 +4 *131:16 *684:B1 11.0817 +5 *131:16 *131:23 1.278 +6 *131:23 *684:A1_N 9.24915 +7 *131:23 *673:B1 23.5748 +*END + +*D_NET *132 0.00136446 +*CONN +*I *673:B2 I *D sky130_fd_sc_hd__a22o_2 +*I *684:A2_N I *D sky130_fd_sc_hd__o2bb2ai_2 +*I *684:B2 I *D sky130_fd_sc_hd__o2bb2ai_2 +*I *672:X O *D sky130_fd_sc_hd__o2bb2a_2 +*CAP +1 *673:B2 6.25991e-05 +2 *684:A2_N 0 +3 *684:B2 9.52516e-05 +4 *672:X 0 +5 *132:9 0.000201491 +6 *132:5 0.000168839 +7 *673:B2 *673:A1 0.000146645 +8 *684:B2 *144:18 6.99486e-05 +9 *132:9 *673:A1 7.66539e-05 +10 *132:9 *206:33 8.62625e-06 +11 *673:B1 *673:B2 5.65074e-05 +12 *673:B1 *684:B2 5.31074e-05 +13 *673:B1 *132:9 0.000149532 +14 *684:B1 *684:B2 0.000169041 +15 *131:23 *684:B2 0.000106215 +*RES +1 *672:X *132:5 13.7491 +2 *132:5 *132:9 8.30395 +3 *132:9 *684:B2 13.3002 +4 *132:9 *684:A2_N 9.24915 +5 *132:5 *673:B2 16.4116 +*END + +*D_NET *133 0.0040016 +*CONN +*I *678:B1 I *D sky130_fd_sc_hd__a2bb2o_2 +*I *678:A1_N I *D sky130_fd_sc_hd__a2bb2o_2 +*I *674:A I *D sky130_fd_sc_hd__inv_2 +*I *673:X O *D sky130_fd_sc_hd__a22o_2 +*CAP +1 *678:B1 0 +2 *678:A1_N 0.000101097 +3 *674:A 4.50219e-05 +4 *673:X 0.00136457 +5 *133:26 0.000358528 +6 *133:11 0.00166702 +7 *674:A *146:5 6.08467e-05 +8 *674:A *162:13 0 +9 *678:A1_N *678:A2_N 7.48633e-05 +10 *678:A1_N *144:18 2.51283e-05 +11 *133:26 *675:B2 0.00010167 +12 *133:26 *135:11 0 +13 *133:26 *144:18 5.24379e-05 +14 *662:A2 *674:A 4.00504e-05 +15 *662:A2 *133:26 3.03866e-05 +16 *90:51 *674:A 6.08467e-05 +17 *131:16 *133:11 1.91246e-05 +*RES +1 *673:X *133:11 23.4394 +2 *133:11 *674:A 15.2664 +3 *133:11 *133:26 11.0447 +4 *133:26 *678:A1_N 12.3115 +5 *133:26 *678:B1 9.24915 +*END + +*D_NET *134 0.000330459 +*CONN +*I *675:B2 I *D sky130_fd_sc_hd__o2bb2a_2 +*I *674:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *675:B2 7.68506e-05 +2 *674:Y 7.68506e-05 +3 *662:A2 *675:B2 7.50872e-05 +4 *133:26 *675:B2 0.00010167 +*RES +1 *674:Y *675:B2 29.6384 +*END + +*D_NET *135 0.00335751 +*CONN +*I *691:B2 I *D sky130_fd_sc_hd__o2bb2a_2 +*I *676:B2 I *D sky130_fd_sc_hd__a2bb2o_2 +*I *676:A2_N I *D sky130_fd_sc_hd__a2bb2o_2 +*I *675:X O *D sky130_fd_sc_hd__o2bb2a_2 +*CAP +1 *691:B2 0 +2 *676:B2 0 +3 *676:A2_N 5.07531e-05 +4 *675:X 0.000731193 +5 *135:19 0.000174633 +6 *135:11 0.000855072 +7 *135:11 *675:A1_N 2.7837e-05 +8 *135:11 *752:A3 1.43055e-05 +9 *135:11 *144:18 1.75637e-06 +10 *135:11 *151:5 0.00031463 +11 *135:11 *162:13 7.8406e-05 +12 *135:11 *196:9 0.000157469 +13 *135:11 *208:42 0.000140251 +14 *135:11 *208:49 8.3864e-05 +15 *135:19 *151:5 2.15591e-05 +16 *135:19 *208:49 1.41976e-05 +17 *676:A1_N *676:A2_N 0.00016386 +18 *676:A1_N *135:19 6.27718e-05 +19 *837:D *135:11 3.56119e-05 +20 *839:D *135:11 4.55024e-05 +21 *855:RESET_B *135:11 0.000106298 +22 *90:51 *135:11 9.60366e-05 +23 *114:5 *135:11 1.31657e-05 +24 *127:54 *135:19 5.8911e-05 +25 *127:90 *135:19 0.000109427 +26 *133:26 *135:11 0 +*RES +1 *675:X *135:11 39.395 +2 *135:11 *135:19 4.26804 +3 *135:19 *676:A2_N 11.0817 +4 *135:19 *676:B2 9.24915 +5 *135:11 *691:B2 9.24915 +*END + +*D_NET *136 0.00135523 +*CONN +*I *679:A2 I *D sky130_fd_sc_hd__a22oi_2 +*I *680:B I *D sky130_fd_sc_hd__or2_2 +*I *676:X O *D sky130_fd_sc_hd__a2bb2o_2 +*CAP +1 *679:A2 3.6352e-05 +2 *680:B 0.000145765 +3 *676:X 0.000336055 +4 *136:5 0.000518172 +5 *136:5 *679:B2 9.07054e-05 +6 *136:5 *693:A2 6.46815e-05 +7 *136:5 *695:A2 6.25883e-06 +8 *679:A1 *136:5 4.47179e-05 +9 *679:B1 *136:5 4.01825e-05 +10 *9:8 *680:B 5.65148e-05 +11 *9:26 *680:B 1.58247e-05 +*RES +1 *676:X *136:5 17.737 +2 *136:5 *680:B 22.0503 +3 *136:5 *679:A2 10.2378 +*END + +*D_NET *137 0.00071476 +*CONN +*I *678:B2 I *D sky130_fd_sc_hd__a2bb2o_2 +*I *678:A2_N I *D sky130_fd_sc_hd__a2bb2o_2 +*I *677:Y O *D sky130_fd_sc_hd__a21oi_2 +*CAP +1 *678:B2 0 +2 *678:A2_N 4.15923e-05 +3 *677:Y 0.000113978 +4 *137:10 0.000155571 +5 *678:A2_N *144:18 0.000171273 +6 *137:10 *204:82 6.50586e-05 +7 clockp[1] *137:10 0 +8 *662:B2 *137:10 9.24241e-05 +9 *678:A1_N *678:A2_N 7.48633e-05 +*RES +1 *677:Y *137:10 20.8045 +2 *137:10 *678:A2_N 11.6605 +3 *137:10 *678:B2 9.24915 +*END + +*D_NET *138 0.00331162 +*CONN +*I *679:B2 I *D sky130_fd_sc_hd__a22oi_2 +*I *682:A2 I *D sky130_fd_sc_hd__o211a_2 +*I *678:X O *D sky130_fd_sc_hd__a2bb2o_2 +*CAP +1 *679:B2 0.000332846 +2 *682:A2 0.000460522 +3 *678:X 0.000191787 +4 *138:6 0.000985155 +5 *679:B2 *683:A 0 +6 *679:B2 *693:A2 6.24474e-06 +7 *679:B2 *695:A2 0.000159032 +8 *682:A2 *682:B1 1.09551e-05 +9 *682:A2 *689:A2 2.75108e-05 +10 *682:A2 *695:B2 0.000217951 +11 *682:A2 *142:9 4.33117e-06 +12 *138:6 *683:A 0 +13 clockp[1] *679:B2 0.00028516 +14 clockp[1] *138:6 0.000367831 +15 *661:B2 *682:A2 1.21461e-06 +16 *679:B1 *679:B2 1.63255e-05 +17 *682:A1 *682:A2 0 +18 *689:A1 *138:6 3.58321e-05 +19 *6:36 *138:6 7.50722e-05 +20 *7:45 *138:6 5.66868e-06 +21 *90:51 *138:6 3.74738e-05 +22 *136:5 *679:B2 9.07054e-05 +*RES +1 *678:X *138:6 20.5642 +2 *138:6 *682:A2 21.4171 +3 *138:6 *679:B2 24.0875 +*END + +*D_NET *139 0.000828968 +*CONN +*I *695:A1 I *D sky130_fd_sc_hd__o221a_2 +*I *682:C1 I *D sky130_fd_sc_hd__o211a_2 +*I *679:Y O *D sky130_fd_sc_hd__a22oi_2 +*CAP +1 *695:A1 0 +2 *682:C1 0.000150418 +3 *679:Y 0.000109873 +4 *139:6 0.000260292 +5 *682:C1 *682:B1 5.95349e-05 +6 *682:C1 *689:A2 3.31733e-05 +7 *682:C1 *689:B1 5.22654e-06 +8 *682:C1 *695:A2 5.03545e-06 +9 *682:C1 *695:B2 5.63629e-05 +10 *682:C1 *703:D1 0 +11 *139:6 *682:B1 6.57892e-05 +12 *139:6 *695:A2 4.77444e-05 +13 *139:6 *140:8 3.55179e-05 +*RES +1 *679:Y *139:6 17.2421 +2 *139:6 *682:C1 18.5612 +3 *139:6 *695:A1 13.7491 +*END + +*D_NET *140 0.00236985 +*CONN +*I *682:B1 I *D sky130_fd_sc_hd__o211a_2 +*I *681:A I *D sky130_fd_sc_hd__inv_2 +*I *680:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *682:B1 0.000322717 +2 *681:A 0 +3 *680:X 0.000431075 +4 *140:8 0.000753792 +5 *682:B1 *683:A 0.000236427 +6 *682:B1 *689:A2 5.99691e-05 +7 *682:B1 *689:B1 9.46343e-05 +8 *682:B1 *695:B2 1.19721e-05 +9 *682:B1 *142:9 0.000268812 +10 *140:8 *693:A1 1.41976e-05 +11 *140:8 *693:A2 0 +12 *140:8 *693:B1_N 4.45375e-06 +13 *140:8 *154:8 0 +14 clockp[1] *140:8 0 +15 *682:A2 *682:B1 1.09551e-05 +16 *682:C1 *682:B1 5.95349e-05 +17 *139:6 *682:B1 6.57892e-05 +18 *139:6 *140:8 3.55179e-05 +*RES +1 *680:X *140:8 21.0173 +2 *140:8 *681:A 13.7491 +3 *140:8 *682:B1 25.2327 +*END + +*D_NET *141 0.000681628 +*CONN +*I *695:A2 I *D sky130_fd_sc_hd__o221a_2 +*I *681:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *695:A2 0.000231779 +2 *681:Y 0.000231779 +3 *679:B2 *695:A2 0.000159032 +4 *682:C1 *695:A2 5.03545e-06 +5 *136:5 *695:A2 6.25883e-06 +6 *139:6 *695:A2 4.77444e-05 +*RES +1 *681:Y *695:A2 32.1327 +*END + +*D_NET *142 0.00224582 +*CONN +*I *683:A I *D sky130_fd_sc_hd__inv_2 +*I *703:C1 I *D sky130_fd_sc_hd__o2111ai_2 +*I *682:X O *D sky130_fd_sc_hd__o211a_2 +*CAP +1 *683:A 0.000136007 +2 *703:C1 0.000183558 +3 *682:X 0.000402793 +4 *142:9 0.000722359 +5 *683:A *689:B1 4.57241e-06 +6 *703:C1 *685:B 0 +7 *703:C1 *689:B1 2.99929e-05 +8 *703:C1 *703:A2 5.04829e-06 +9 *703:C1 *162:13 4.81714e-05 +10 *142:9 *689:A2 6.12085e-06 +11 *679:B2 *683:A 0 +12 *682:A1 *142:9 6.33254e-05 +13 *682:A2 *142:9 4.33117e-06 +14 *682:B1 *683:A 0.000236427 +15 *682:B1 *142:9 0.000268812 +16 *689:A1 *683:A 5.92342e-05 +17 *689:A1 *703:C1 6.43474e-05 +18 *7:45 *703:C1 1.07248e-05 +19 *138:6 *683:A 0 +*RES +1 *682:X *142:9 20.5973 +2 *142:9 *703:C1 18.7105 +3 *142:9 *683:A 18.4879 +*END + +*D_NET *143 0.00085682 +*CONN +*I *695:B1 I *D sky130_fd_sc_hd__o221a_2 +*I *683:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *695:B1 0.000372549 +2 *683:Y 0.000372549 +3 *695:B1 *155:20 0.000111722 +*RES +1 *683:Y *695:B1 24.6773 +*END + +*D_NET *144 0.00672964 +*CONN +*I *689:A2 I *D sky130_fd_sc_hd__a21oi_2 +*I *685:B I *D sky130_fd_sc_hd__nand2_2 +*I *688:A2 I *D sky130_fd_sc_hd__o221a_2 +*I *684:Y O *D sky130_fd_sc_hd__o2bb2ai_2 +*CAP +1 *689:A2 0.000209205 +2 *685:B 0.000101789 +3 *688:A2 0 +4 *684:Y 0.00188379 +5 *144:25 0.00047516 +6 *144:18 0.00204795 +7 *685:B *688:C1 3.072e-06 +8 *685:B *703:D1 6.92705e-05 +9 *685:B *162:13 2.41274e-06 +10 *689:A2 *689:B1 0.00010017 +11 *689:A2 *695:B2 0.000164829 +12 *689:A2 *703:D1 0 +13 *144:18 *675:A1_N 9.68043e-05 +14 *144:25 *688:C1 0 +15 *144:25 *689:B1 0 +16 *144:25 *703:D1 9.34396e-06 +17 *144:25 *148:6 0 +18 *678:A1_N *144:18 2.51283e-05 +19 *678:A2_N *144:18 0.000171273 +20 *682:A2 *689:A2 2.75108e-05 +21 *682:B1 *689:A2 5.99691e-05 +22 *682:C1 *689:A2 3.31733e-05 +23 *684:B2 *144:18 6.99486e-05 +24 *688:A1 *144:18 5.22654e-06 +25 *688:A1 *144:25 3.49272e-05 +26 *703:C1 *685:B 0 +27 *751:A1 *144:18 0.000459316 +28 *6:20 *144:18 1.56631e-05 +29 *6:36 *144:18 0.00047703 +30 *7:10 *144:25 0 +31 *90:51 *144:18 0 +32 *114:5 *144:18 0.000118166 +33 *115:26 *144:18 8.1971e-06 +34 *133:26 *144:18 5.24379e-05 +35 *135:11 *144:18 1.75637e-06 +36 *142:9 *689:A2 6.12085e-06 +*RES +1 *684:Y *144:18 45.8326 +2 *144:18 *688:A2 13.7491 +3 *144:18 *144:25 4.32351 +4 *144:25 *685:B 16.1605 +5 *144:25 *689:A2 20.2242 +*END + +*D_NET *145 0.000440943 +*CONN +*I *688:C1 I *D sky130_fd_sc_hd__o221a_2 +*I *685:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *688:C1 0.000133397 +2 *685:Y 0.000133397 +3 *332:DIODE *688:C1 8.52652e-05 +4 *685:B *688:C1 3.072e-06 +5 *6:20 *688:C1 1.07248e-05 +6 *7:10 *688:C1 7.50872e-05 +7 *144:25 *688:C1 0 +*RES +1 *685:Y *688:C1 30.8842 +*END + +*D_NET *146 0.00387092 +*CONN +*I *687:A I *D sky130_fd_sc_hd__inv_2 +*I *703:A2 I *D sky130_fd_sc_hd__o2111ai_2 +*I *686:Y O *D sky130_fd_sc_hd__a21oi_2 +*CAP +1 *687:A 7.55718e-05 +2 *703:A2 4.66011e-05 +3 *686:Y 0.000454375 +4 *146:5 0.000576548 +5 *687:A *148:6 7.56859e-06 +6 *703:A2 *162:13 6.08467e-05 +7 *146:5 *746:A 0.000131277 +8 *146:5 *752:A3 0.000101954 +9 *146:5 *752:B1 3.57037e-05 +10 *146:5 *162:13 0.00104637 +11 *146:5 *195:13 1.92172e-05 +12 *334:DIODE *703:A2 2.41274e-06 +13 *334:DIODE *146:5 7.92757e-06 +14 *671:A *146:5 5.08751e-05 +15 *674:A *146:5 6.08467e-05 +16 *686:A2 *146:5 6.08467e-05 +17 *688:A1 *687:A 0 +18 *703:A1 *146:5 0.000111722 +19 *703:C1 *703:A2 5.04829e-06 +20 *839:D *146:5 0.000160462 +21 *7:45 *687:A 0.000118485 +22 *90:51 *687:A 0.000200221 +23 *90:51 *146:5 0.000377689 +24 *116:41 *146:5 6.50586e-05 +25 *116:47 *146:5 9.32983e-05 +*RES +1 *686:Y *146:5 29.9383 +2 *146:5 *703:A2 10.5513 +3 *146:5 *687:A 21.7421 +*END + +*D_NET *147 0.000456797 +*CONN +*I *688:B2 I *D sky130_fd_sc_hd__o221a_2 +*I *687:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *688:B2 5.89208e-05 +2 *687:Y 5.89208e-05 +3 *6:36 *688:B2 0.000177657 +4 *7:28 *688:B2 6.73186e-05 +5 *7:45 *688:B2 9.39797e-05 +*RES +1 *687:Y *688:B2 22.5493 +*END + +*D_NET *148 0.000763548 +*CONN +*I *689:B1 I *D sky130_fd_sc_hd__a21oi_2 +*I *703:B1 I *D sky130_fd_sc_hd__o2111ai_2 +*I *688:X O *D sky130_fd_sc_hd__o221a_2 +*CAP +1 *689:B1 0.000107347 +2 *703:B1 0 +3 *688:X 0.000106219 +4 *148:6 0.000213566 +5 *682:B1 *689:B1 9.46343e-05 +6 *682:C1 *689:B1 5.22654e-06 +7 *683:A *689:B1 4.57241e-06 +8 *687:A *148:6 7.56859e-06 +9 *688:A1 *148:6 1.44467e-05 +10 *689:A2 *689:B1 0.00010017 +11 *703:C1 *689:B1 2.99929e-05 +12 *7:45 *689:B1 1.66228e-05 +13 *7:45 *148:6 6.31809e-05 +14 *144:25 *689:B1 0 +15 *144:25 *148:6 0 +*RES +1 *688:X *148:6 16.8269 +2 *148:6 *703:B1 13.7491 +3 *148:6 *689:B1 17.5503 +*END + +*D_NET *149 0.000763494 +*CONN +*I *695:B2 I *D sky130_fd_sc_hd__o221a_2 +*I *689:Y O *D sky130_fd_sc_hd__a21oi_2 +*CAP +1 *695:B2 0.000132182 +2 *689:Y 0.000132182 +3 *695:B2 *703:D1 4.80148e-05 +4 *682:A2 *695:B2 0.000217951 +5 *682:B1 *695:B2 1.19721e-05 +6 *682:C1 *695:B2 5.63629e-05 +7 *689:A2 *695:B2 0.000164829 +*RES +1 *689:Y *695:B2 32.548 +*END + +*D_NET *150 0.00147421 +*CONN +*I *692:A I *D sky130_fd_sc_hd__or2_2 +*I *693:A1 I *D sky130_fd_sc_hd__a21bo_2 +*I *690:X O *D sky130_fd_sc_hd__a22o_2 +*CAP +1 *692:A 0.000332847 +2 *693:A1 8.11653e-05 +3 *690:X 0 +4 *150:4 0.000414012 +5 *692:A *690:A2 2.63201e-05 +6 *692:A *693:A2 9.46343e-05 +7 *692:A *693:B1_N 0 +8 *692:A *856:CLK 5.24081e-05 +9 *693:A1 *690:A1 0 +10 *693:A1 *693:A2 0.000122378 +11 clockp[1] *692:A 3.88655e-06 +12 *690:B2 *692:A 0.000101564 +13 *696:A1 *692:A 6.50586e-05 +14 *4:10 *692:A 6.17553e-05 +15 *112:13 *692:A 0.000103983 +16 *140:8 *693:A1 1.41976e-05 +*RES +1 *690:X *150:4 9.24915 +2 *150:4 *693:A1 11.6605 +3 *150:4 *692:A 27.4203 +*END + +*D_NET *151 0.00312776 +*CONN +*I *692:B I *D sky130_fd_sc_hd__or2_2 +*I *693:A2 I *D sky130_fd_sc_hd__a21bo_2 +*I *691:X O *D sky130_fd_sc_hd__o2bb2a_2 +*CAP +1 *692:B 0.000134032 +2 *693:A2 0.000412565 +3 *691:X 0.000337778 +4 *151:5 0.000884375 +5 *693:A2 *690:A2 6.50586e-05 +6 clockp[1] *692:B 0 +7 clockp[1] *693:A2 2.18741e-05 +8 *676:A1_N *693:A2 0.000117515 +9 *679:B2 *693:A2 6.24474e-06 +10 *692:A *693:A2 9.46343e-05 +11 *693:A1 *693:A2 0.000122378 +12 *856:D *692:B 0.00019607 +13 *39:11 *692:B 0.000226867 +14 *127:90 *151:5 0.000107496 +15 *135:11 *151:5 0.00031463 +16 *135:19 *151:5 2.15591e-05 +17 *136:5 *693:A2 6.46815e-05 +18 *140:8 *693:A2 0 +*RES +1 *691:X *151:5 14.964 +2 *151:5 *693:A2 26.9346 +3 *151:5 *692:B 23.4032 +*END + +*D_NET *152 0.00177785 +*CONN +*I *696:C1 I *D sky130_fd_sc_hd__o221ai_2 +*I *693:B1_N I *D sky130_fd_sc_hd__a21bo_2 +*I *692:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *696:C1 0 +2 *693:B1_N 0.000100492 +3 *692:X 0.000119037 +4 *152:8 0.000219529 +5 *693:B1_N *690:A2 0 +6 *693:B1_N *153:8 0.00012568 +7 *693:B1_N *154:8 1.78514e-05 +8 *152:8 *696:B2 0.000277502 +9 *152:8 *856:CLK 0.000317707 +10 *152:8 *154:8 1.45944e-05 +11 *152:8 *156:7 0.000580998 +12 *690:B2 *693:B1_N 0 +13 *690:B2 *152:8 0 +14 *692:A *693:B1_N 0 +15 *113:8 *152:8 0 +16 *140:8 *693:B1_N 4.45375e-06 +*RES +1 *692:X *152:8 21.5747 +2 *152:8 *693:B1_N 17.0017 +3 *152:8 *696:C1 13.7491 +*END + +*D_NET *153 0.000840098 +*CONN +*I *696:B2 I *D sky130_fd_sc_hd__o221ai_2 +*I *694:B I *D sky130_fd_sc_hd__nand2_2 +*I *693:X O *D sky130_fd_sc_hd__a21bo_2 +*CAP +1 *696:B2 0.000115682 +2 *694:B 0 +3 *693:X 5.30495e-05 +4 *153:8 0.000168732 +5 *153:8 *154:8 5.39463e-05 +6 *693:B1_N *153:8 0.00012568 +7 *696:B1 *696:B2 4.55055e-05 +8 *152:8 *696:B2 0.000277502 +*RES +1 *693:X *153:8 20.4964 +2 *153:8 *694:B 9.24915 +3 *153:8 *696:B2 13.903 +*END + +*D_NET *154 0.00206198 +*CONN +*I *695:C1 I *D sky130_fd_sc_hd__o221a_2 +*I *703:D1 I *D sky130_fd_sc_hd__o2111ai_2 +*I *694:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *695:C1 0 +2 *703:D1 0.000330904 +3 *694:Y 0.000394933 +4 *154:8 0.000725837 +5 *327:DIODE *154:8 8.70662e-06 +6 *329:DIODE *154:8 4.2524e-05 +7 *679:B1 *154:8 0.000135423 +8 *682:C1 *703:D1 0 +9 *685:B *703:D1 6.92705e-05 +10 *689:A2 *703:D1 0 +11 *693:B1_N *154:8 1.78514e-05 +12 *695:B2 *703:D1 4.80148e-05 +13 *696:B1 *154:8 9.19886e-06 +14 *7:8 *703:D1 3.13173e-05 +15 *7:10 *703:D1 5.22654e-06 +16 *8:8 *703:D1 3.5534e-06 +17 *8:8 *154:8 7.61444e-07 +18 *8:12 *703:D1 6.52182e-05 +19 *9:8 *154:8 7.59763e-05 +20 *9:26 *154:8 1.93781e-05 +21 *10:11 *154:8 0 +22 *140:8 *154:8 0 +23 *144:25 *703:D1 9.34396e-06 +24 *152:8 *154:8 1.45944e-05 +25 *153:8 *154:8 5.39463e-05 +*RES +1 *694:Y *154:8 25.0248 +2 *154:8 *703:D1 22.0204 +3 *154:8 *695:C1 13.7491 +*END + +*D_NET *155 0.00445785 +*CONN +*I *697:A I *D sky130_fd_sc_hd__or2_2 +*I *695:X O *D sky130_fd_sc_hd__o221a_2 +*CAP +1 *697:A 0 +2 *695:X 0.00131448 +3 *155:20 0.00131448 +4 *155:20 *664:A1 0.000362216 +5 *155:20 *664:B1 6.08467e-05 +6 *155:20 *668:A 2.16355e-05 +7 *155:20 *668:B 0.000423908 +8 *155:20 *712:A2 0.000262293 +9 *155:20 *837:CLK 0.000200356 +10 *155:20 *162:13 0.000230538 +11 *155:20 *208:52 4.91225e-06 +12 *695:B1 *155:20 0.000111722 +13 *837:RESET_B *155:20 0.000150455 +*RES +1 *695:X *155:20 47.7964 +2 *155:20 *697:A 13.7491 +*END + +*D_NET *156 0.0085373 +*CONN +*I *712:A1 I *D sky130_fd_sc_hd__o221a_2 +*I *697:B I *D sky130_fd_sc_hd__or2_2 +*I *696:Y O *D sky130_fd_sc_hd__o221ai_2 +*CAP +1 *712:A1 0.000907 +2 *697:B 0 +3 *696:Y 0.00191703 +4 *156:10 0.0012304 +5 *156:7 0.00224043 +6 *712:A1 *712:A2 7.84191e-05 +7 *712:A1 *713:B1 6.46815e-05 +8 *712:A1 *842:CLK 1.6352e-05 +9 *712:A1 *204:167 0.000157785 +10 *712:A1 *204:178 1.37921e-05 +11 *712:A1 *226:7 6.27782e-05 +12 *156:7 *856:CLK 0.000158027 +13 *156:7 *204:11 0.000409852 +14 *156:10 *157:12 0 +15 *156:10 *157:21 0 +16 *156:10 *170:29 2.71953e-05 +17 *156:10 *204:47 0 +18 *156:10 *204:178 0 +19 *785:A *712:A1 0.000168313 +20 *840:RESET_B *156:10 0 +21 *90:82 *712:A1 0.000504253 +22 *152:8 *156:7 0.000580998 +*RES +1 *696:Y *156:7 44.4211 +2 *156:7 *156:10 12.5608 +3 *156:10 *697:B 9.24915 +4 *156:10 *712:A1 38.7983 +*END + +*D_NET *157 0.0117757 +*CONN +*I *698:A I *D sky130_fd_sc_hd__inv_2 +*I *725:B2 I *D sky130_fd_sc_hd__o22a_2 +*I *738:A2 I *D sky130_fd_sc_hd__o211a_2 +*I *716:A2 I *D sky130_fd_sc_hd__o22a_2 +*I *715:B2 I *D sky130_fd_sc_hd__a22o_2 +*I *712:B1 I *D sky130_fd_sc_hd__o221a_2 +*I *719:B1 I *D sky130_fd_sc_hd__o32a_2 +*I *718:B2 I *D sky130_fd_sc_hd__a22o_2 +*I *723:B1 I *D sky130_fd_sc_hd__o32a_2 +*I *721:B2 I *D sky130_fd_sc_hd__o22a_2 +*I *720:B2 I *D sky130_fd_sc_hd__a22o_2 +*I *730:A2 I *D sky130_fd_sc_hd__o21ai_2 +*I *697:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *698:A 0 +2 *725:B2 7.72827e-05 +3 *738:A2 0 +4 *716:A2 7.18729e-05 +5 *715:B2 1.31378e-05 +6 *712:B1 0.000127773 +7 *719:B1 0.000144782 +8 *718:B2 5.02153e-05 +9 *723:B1 1.81917e-05 +10 *721:B2 0 +11 *720:B2 1.1898e-05 +12 *730:A2 0.000321563 +13 *697:X 0.000255928 +14 *157:114 0.000469235 +15 *157:105 0.000784192 +16 *157:98 0.000498863 +17 *157:75 0.000194998 +18 *157:73 0.000213934 +19 *157:66 0.000149797 +20 *157:65 0.000109806 +21 *157:55 0.000339716 +22 *157:42 8.29194e-05 +23 *157:41 7.10213e-05 +24 *157:29 0.000488636 +25 *157:23 0.000191504 +26 *157:21 0.000719256 +27 *157:12 0.000687611 +28 *157:8 0.00043388 +29 *712:B1 *712:A2 4.78319e-06 +30 *715:B2 *715:A2 0 +31 *718:B2 *221:23 5.22654e-06 +32 *718:B2 *221:33 6.08467e-05 +33 *719:B1 *719:A3 3.01683e-06 +34 *719:B1 *719:B2 4.80635e-06 +35 *719:B1 *737:S 0.000111708 +36 *720:B2 *735:A3 6.39797e-05 +37 *720:B2 *185:7 2.73375e-05 +38 *723:B1 *718:A2 1.09551e-05 +39 *725:B2 *724:A 6.64392e-05 +40 *725:B2 *725:A2 6.3657e-05 +41 *725:B2 *158:35 3.09374e-06 +42 *730:A2 *725:A1 0.000230407 +43 *730:A2 *735:B2 7.50722e-05 +44 *730:A2 *186:10 4.37999e-05 +45 *157:8 *158:9 9.35979e-05 +46 *157:8 *158:35 2.39287e-05 +47 *157:8 *204:178 0 +48 *157:12 *204:178 9.34396e-06 +49 *157:21 *721:B1 4.58907e-05 +50 *157:21 *725:A1 0.000142221 +51 *157:21 *158:35 0 +52 *157:21 *158:47 0 +53 *157:21 *170:29 0 +54 *157:21 *178:5 0.000110306 +55 *157:21 *224:6 7.50722e-05 +56 *157:29 *158:47 3.26138e-05 +57 *157:29 *224:10 0 +58 *157:55 *723:A1 0.00011818 +59 *157:55 *723:B2 1.41291e-05 +60 *157:55 *734:A 8.14711e-05 +61 *157:55 *158:47 2.65831e-05 +62 *157:55 *158:55 2.41483e-05 +63 *157:55 *158:67 2.11063e-05 +64 *157:55 *180:10 0.000113968 +65 *157:65 *718:A2 5.88594e-05 +66 *157:65 *158:67 3.99086e-06 +67 *157:73 *718:A2 0.000166522 +68 *157:73 *737:A1 0.000248762 +69 *157:73 *738:B1 3.99086e-06 +70 *157:73 *175:7 6.50727e-05 +71 *157:73 *221:33 0.000122972 +72 *157:98 *737:A1 0.000258142 +73 *157:98 *738:B1 1.00937e-05 +74 *157:98 *739:A2_N 0 +75 *157:98 *221:33 0.000193069 +76 *157:105 *653:A 9.09474e-05 +77 *157:105 *738:B1 5.96839e-05 +78 *157:105 *739:A2_N 0 +79 *157:105 *743:B1 8.90468e-05 +80 *157:105 *845:CLK 0 +81 *157:105 *173:8 9.37563e-05 +82 *157:114 *653:A 0.000292027 +83 *157:114 *711:A 8.62625e-06 +84 *157:114 *712:A2 0 +85 *157:114 *715:A2 0.000275764 +86 *157:114 *227:21 4.4885e-05 +87 *715:B1 *712:B1 4.30017e-06 +88 *715:B1 *157:114 7.02172e-06 +89 *718:B1 *718:B2 6.50586e-05 +90 *721:A1 *157:29 4.59978e-05 +91 *809:A0 *719:B1 5.04829e-06 +92 *844:RESET_B *157:105 0.000146645 +93 *29:8 *718:B2 5.05252e-05 +94 *29:8 *719:B1 0.000134323 +95 *29:8 *157:114 7.56859e-06 +96 *90:82 *157:8 0.000384477 +97 *118:14 *719:B1 5.05252e-05 +98 *119:22 *730:A2 0.000309483 +99 *119:22 *157:29 0.000112125 +100 *119:25 *730:A2 0 +101 *123:9 *716:A2 4.58003e-05 +102 *123:9 *157:114 3.82228e-05 +103 *123:18 *157:114 4.66634e-05 +104 *156:10 *157:12 0 +105 *156:10 *157:21 0 +*RES +1 *697:X *157:8 21.4325 +2 *157:8 *157:12 4.16474 +3 *157:12 *157:21 14.9455 +4 *157:21 *157:23 0.578717 +5 *157:23 *157:29 12.9405 +6 *157:29 *730:A2 26.0691 +7 *157:29 *157:41 9.24915 +8 *157:41 *157:42 81.1229 +9 *157:42 *720:B2 19.2217 +10 *157:23 *721:B2 9.24915 +11 *157:21 *157:55 7.37864 +12 *157:55 *723:B1 9.82786 +13 *157:55 *157:65 10.5271 +14 *157:65 *157:66 57.9449 +15 *157:66 *157:73 14.0477 +16 *157:73 *157:75 4.5 +17 *157:75 *718:B2 15.474 +18 *157:75 *719:B1 18.2684 +19 *157:73 *157:98 4.48505 +20 *157:98 *157:105 20.1257 +21 *157:105 *157:114 18.5345 +22 *157:114 *712:B1 12.209 +23 *157:114 *715:B2 9.82786 +24 *157:105 *716:A2 10.5271 +25 *157:98 *738:A2 9.24915 +26 *157:12 *725:B2 16.1458 +27 *157:8 *698:A 13.7491 +*END + +*D_NET *158 0.00912215 +*CONN +*I *737:A1 I *D sky130_fd_sc_hd__mux2_1 +*I *718:A2 I *D sky130_fd_sc_hd__a22o_2 +*I *720:A2 I *D sky130_fd_sc_hd__a22o_2 +*I *721:A2 I *D sky130_fd_sc_hd__o22a_2 +*I *725:A2 I *D sky130_fd_sc_hd__o22a_2 +*I *715:A2 I *D sky130_fd_sc_hd__a22o_2 +*I *713:A2 I *D sky130_fd_sc_hd__o31a_2 +*I *698:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *737:A1 0.000488414 +2 *718:A2 0.000131939 +3 *720:A2 7.77574e-05 +4 *721:A2 0 +5 *725:A2 3.03627e-05 +6 *715:A2 0.000267254 +7 *713:A2 2.12792e-05 +8 *698:Y 0 +9 *158:67 0.00101771 +10 *158:55 0.000526165 +11 *158:47 0.000351504 +12 *158:35 0.000547239 +13 *158:9 0.000621461 +14 *158:5 0.000549349 +15 *715:A2 *743:A2 0.000304838 +16 *715:A2 *170:60 6.91907e-05 +17 *715:A2 *227:11 6.63851e-05 +18 *718:A2 *723:B2 1.03434e-05 +19 *718:A2 *221:33 0 +20 *720:A2 *720:A1 1.67329e-05 +21 *720:A2 *729:B 4.3116e-06 +22 *720:A2 *735:A3 6.86533e-05 +23 *725:A2 *724:A 3.89073e-05 +24 *725:A2 *727:A1 0.000216458 +25 *737:A1 *175:7 6.50727e-05 +26 *737:A1 *221:33 0.000122098 +27 *158:9 *170:5 6.27332e-05 +28 *158:9 *170:10 0.000105163 +29 *158:9 *170:60 6.0497e-05 +30 *158:35 *171:15 6.84074e-06 +31 *158:47 *726:A 0.000132607 +32 *158:47 *734:A 3.5756e-05 +33 *158:47 *171:15 1.51779e-05 +34 *158:55 *734:A 1.09551e-05 +35 *158:67 *723:B2 0.000217951 +36 *158:67 *734:A 5.73392e-05 +37 *715:B1 *715:A2 0.000128357 +38 *715:B2 *715:A2 0 +39 *721:A1 *720:A2 0.000101133 +40 *721:A1 *158:47 2.94253e-05 +41 *723:B1 *718:A2 1.09551e-05 +42 *725:B1 *725:A2 6.36477e-05 +43 *725:B1 *158:35 0.000176145 +44 *725:B2 *725:A2 6.3657e-05 +45 *725:B2 *158:35 3.09374e-06 +46 *29:8 *715:A2 3.3556e-05 +47 *90:82 *158:9 0.000114584 +48 *90:98 *158:9 0.000308814 +49 *119:8 *720:A2 5.41377e-05 +50 *122:8 *737:A1 7.50872e-05 +51 *122:38 *737:A1 4.70104e-05 +52 *123:18 *715:A2 3.20264e-05 +53 *124:7 *158:9 1.58551e-05 +54 *124:40 *715:A2 0.000183941 +55 *124:40 *158:9 0.00012826 +56 *157:8 *158:9 9.35979e-05 +57 *157:8 *158:35 2.39287e-05 +58 *157:21 *158:35 0 +59 *157:21 *158:47 0 +60 *157:29 *158:47 3.26138e-05 +61 *157:55 *158:47 2.65831e-05 +62 *157:55 *158:55 2.41483e-05 +63 *157:55 *158:67 2.11063e-05 +64 *157:65 *718:A2 5.88594e-05 +65 *157:65 *158:67 3.99086e-06 +66 *157:73 *718:A2 0.000166522 +67 *157:73 *737:A1 0.000248762 +68 *157:98 *737:A1 0.000258142 +69 *157:114 *715:A2 0.000275764 +*RES +1 *698:Y *158:5 13.7491 +2 *158:5 *158:9 16.3443 +3 *158:9 *713:A2 9.82786 +4 *158:9 *715:A2 29.9279 +5 *158:5 *158:35 6.03337 +6 *158:35 *725:A2 16.1364 +7 *158:35 *158:47 12.0384 +8 *158:47 *721:A2 9.24915 +9 *158:47 *158:55 1.71204 +10 *158:55 *720:A2 21.1106 +11 *158:55 *158:67 6.26943 +12 *158:67 *718:A2 13.2761 +13 *158:67 *737:A1 27.875 +*END + +*D_NET *159 0.000928283 +*CONN +*I *700:A I *D sky130_fd_sc_hd__inv_2 +*I *701:C I *D sky130_fd_sc_hd__or3_2 +*I *699:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *700:A 0.000121402 +2 *701:C 0.000126345 +3 *699:X 0 +4 *159:4 0.000247746 +5 *700:A *166:38 1.99131e-05 +6 *700:A *250:9 0.000154145 +7 *701:C *250:9 0.000129784 +8 *55:7 *700:A 1.65872e-05 +9 *55:7 *701:C 0.000112361 +*RES +1 *699:X *159:4 9.24915 +2 *159:4 *701:C 13.3243 +3 *159:4 *700:A 12.7697 +*END + +*D_NET *160 0.00964298 +*CONN +*I *766:A I *D sky130_fd_sc_hd__or2_2 +*I *761:A3 I *D sky130_fd_sc_hd__o31a_2 +*I *765:A4 I *D sky130_fd_sc_hd__o41a_2 +*I *782:A1 I *D sky130_fd_sc_hd__o311a_2 +*I *717:A I *D sky130_fd_sc_hd__nor2_2 +*I *700:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *766:A 1.97543e-05 +2 *761:A3 0.000330619 +3 *765:A4 0.000167373 +4 *782:A1 0.000361098 +5 *717:A 7.19925e-05 +6 *700:Y 0 +7 *160:43 0.00107783 +8 *160:35 0.00105035 +9 *160:6 0.000627081 +10 *160:5 0.000644745 +11 *765:A4 *164:42 0 +12 *782:A1 *166:38 3.5534e-06 +13 *160:6 *710:A 1.5714e-05 +14 *160:35 *781:A3 0.000170577 +15 *160:35 *222:61 0.00022094 +16 *160:35 *243:20 0.000711255 +17 *160:43 *201:58 0.000490324 +18 *160:43 *201:63 0.000215753 +19 *160:43 *243:20 0.000130087 +20 *379:DIODE *160:35 5.82695e-05 +21 *702:C *782:A1 0.000113773 +22 *702:C *160:6 0 +23 *761:B1 *761:A3 0.000110364 +24 *764:A1 *765:A4 9.98029e-06 +25 *766:B *160:43 0.000124919 +26 *767:B1 *765:A4 3.67708e-05 +27 *771:A2 *160:35 3.82228e-05 +28 *774:A4 *160:35 1.41291e-05 +29 *774:B1 *160:35 6.50586e-05 +30 *810:A0 *160:35 4.31703e-05 +31 *810:A0 *160:43 4.17467e-05 +32 *810:A1 *160:43 0 +33 *812:A0 *761:A3 0.000123582 +34 *812:A0 *765:A4 7.50722e-05 +35 *833:A0 *782:A1 0 +36 *833:A1 *717:A 0.000111708 +37 *5:339 *782:A1 0.000311235 +38 *5:350 *782:A1 7.12632e-06 +39 *13:12 *761:A3 1.58551e-05 +40 *21:16 *160:35 6.73022e-05 +41 *37:13 *761:A3 5.92192e-05 +42 *41:9 *717:A 0.000136533 +43 *41:9 *160:6 6.51423e-05 +44 *43:8 *761:A3 0.000143912 +45 *43:8 *765:A4 0.000163997 +46 *43:10 *765:A4 0.000148144 +47 *44:27 *761:A3 7.92757e-06 +48 *44:31 *761:A3 0.000118166 +49 *44:40 *761:A3 0.000122083 +50 *44:57 *761:A3 2.95757e-05 +51 *118:46 *717:A 2.652e-05 +52 *118:46 *160:6 0.000273949 +53 *118:46 *160:35 0.000310094 +54 *118:93 *160:35 0.000191365 +55 *119:38 *765:A4 1.2601e-05 +56 *119:45 *765:A4 3.08133e-05 +57 *119:72 *782:A1 1.28344e-05 +58 *122:38 *160:6 3.72649e-05 +59 *122:47 *160:6 3.84001e-05 +60 *122:47 *160:35 3.71118e-05 +*RES +1 *700:Y *160:5 13.7491 +2 *160:5 *160:6 6.39977 +3 *160:6 *717:A 16.4439 +4 *160:6 *782:A1 30.3737 +5 *160:5 *160:35 23.9582 +6 *160:35 *160:43 16.3637 +7 *160:43 *765:A4 19.7337 +8 *160:43 *761:A3 31.8787 +9 *160:35 *766:A 9.82786 +*END + +*D_NET *161 0.000346073 +*CONN +*I *713:A3 I *D sky130_fd_sc_hd__o31a_2 +*I *702:X O *D sky130_fd_sc_hd__or3_2 +*CAP +1 *713:A3 0.000101317 +2 *702:X 0.000101317 +3 *713:A3 *170:63 3.74397e-05 +4 *713:A1 *713:A3 4.09271e-05 +5 *124:18 *713:A3 6.50727e-05 +*RES +1 *702:X *713:A3 30.0537 +*END + +*D_NET *162 0.00844552 +*CONN +*I *712:A2 I *D sky130_fd_sc_hd__o221a_2 +*I *703:Y O *D sky130_fd_sc_hd__o2111ai_2 +*CAP +1 *712:A2 0.00136399 +2 *703:Y 0.00117819 +3 *162:13 0.00254218 +4 *712:A2 *664:A1 0.000157962 +5 *712:A2 *664:B1 1.29759e-05 +6 *712:A2 *713:B1 7.25324e-06 +7 *712:A2 *753:B2 0.000158371 +8 *712:A2 *205:20 0.00027103 +9 *162:13 *196:9 0.000162782 +10 *323:DIODE *162:13 6.50727e-05 +11 *662:A2 *162:13 0 +12 *664:A2 *712:A2 1.67329e-05 +13 *674:A *162:13 0 +14 *685:B *162:13 2.41274e-06 +15 *703:A2 *162:13 6.08467e-05 +16 *703:C1 *162:13 4.81714e-05 +17 *712:A1 *712:A2 7.84191e-05 +18 *712:B1 *712:A2 4.78319e-06 +19 *785:A *712:A2 0.000100253 +20 *852:RESET_B *712:A2 6.50727e-05 +21 *6:36 *162:13 0.000207266 +22 *117:8 *712:A2 0.000324151 +23 *135:11 *162:13 7.8406e-05 +24 *146:5 *162:13 0.00104637 +25 *155:20 *712:A2 0.000262293 +26 *155:20 *162:13 0.000230538 +27 *157:114 *712:A2 0 +*RES +1 *703:Y *162:13 47.1948 +2 *162:13 *712:A2 39.8247 +*END + +*D_NET *163 0.00103152 +*CONN +*I *712:C1 I *D sky130_fd_sc_hd__o221a_2 +*I *704:X O *D sky130_fd_sc_hd__and4_2 +*CAP +1 *712:C1 0.000224496 +2 *704:X 0.000224496 +3 *712:C1 *665:B1 0.000111708 +4 *712:C1 *712:B2 4.43615e-05 +5 *711:C *712:C1 0 +6 *851:D *712:C1 9.60216e-05 +7 *29:8 *712:C1 0.000330432 +*RES +1 *704:X *712:C1 34.7608 +*END + +*D_NET *164 0.00837628 +*CONN +*I *772:A I *D sky130_fd_sc_hd__or3_2 +*I *709:A I *D sky130_fd_sc_hd__or2_2 +*I *775:C I *D sky130_fd_sc_hd__or4_2 +*I *759:A1 I *D sky130_fd_sc_hd__o31a_2 +*I *763:A4 I *D sky130_fd_sc_hd__o41a_2 +*I *768:A3 I *D sky130_fd_sc_hd__a31o_2 +*I *706:A I *D sky130_fd_sc_hd__inv_2 +*I *705:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *772:A 0.000181062 +2 *709:A 4.40512e-05 +3 *775:C 0 +4 *759:A1 0.000105193 +5 *763:A4 4.51842e-05 +6 *768:A3 9.51947e-05 +7 *706:A 0.000225352 +8 *705:X 4.99032e-05 +9 *164:42 0.000292734 +10 *164:32 0.000382648 +11 *164:28 0.000963444 +12 *164:20 0.0010963 +13 *164:17 0.000473616 +14 *164:7 0.000333907 +15 *706:A *778:A2 6.34599e-05 +16 *706:A *873:Z 0.00015607 +17 *706:A *221:55 2.25867e-05 +18 *706:A *222:18 0 +19 *706:A *222:32 0 +20 *706:A *249:23 9.87197e-05 +21 *706:A *250:20 2.21161e-05 +22 *709:A *651:A 1.03434e-05 +23 *709:A *709:B 4.80635e-06 +24 *763:A4 *764:A2 6.08467e-05 +25 *768:A3 *767:A3 0.000169041 +26 *768:A3 *250:9 0.000164843 +27 *772:A *778:A2 2.26567e-05 +28 *772:A *866:TE 0 +29 *772:A *222:32 0 +30 *772:A *222:50 0.00019108 +31 *772:A *243:20 5.11783e-05 +32 *164:7 *705:A 9.19886e-06 +33 *164:17 *778:A2 2.47663e-05 +34 *164:20 *651:A 6.73186e-05 +35 *164:20 *709:B 5.20546e-06 +36 *164:28 *709:B 3.99086e-06 +37 *164:28 *756:B 2.06458e-05 +38 *164:28 *776:B1 2.52287e-06 +39 *164:28 *781:A2 0.0002646 +40 *164:28 *781:A3 0.000421676 +41 *164:32 *756:B 8.82765e-05 +42 *164:32 *775:B 6.29128e-05 +43 *164:32 *225:76 0.000353686 +44 *164:32 *258:9 0.000453457 +45 *764:A1 *164:42 5.05252e-05 +46 *765:A4 *164:42 0 +47 *775:A *164:28 7.73707e-05 +48 *781:B1 *164:28 6.89789e-05 +49 *812:A0 *759:A1 3.04973e-05 +50 *812:A0 *164:42 3.67528e-06 +51 *831:A1 *768:A3 1.70077e-05 +52 *40:9 *164:20 1.4091e-06 +53 *44:27 *759:A1 6.50586e-05 +54 *48:8 *759:A1 0.000134323 +55 *48:8 *768:A3 0.000143017 +56 *48:8 *164:42 0.000249293 +57 *119:38 *768:A3 0.00019364 +58 *119:38 *164:42 2.6046e-05 +59 *119:45 *164:42 0.000122083 +60 *122:38 *706:A 8.8761e-05 +*RES +1 *705:X *164:7 14.4725 +2 *164:7 *706:A 21.0145 +3 *164:7 *164:17 1.41674 +4 *164:17 *164:20 7.99641 +5 *164:20 *164:28 14.8055 +6 *164:28 *164:32 11.8786 +7 *164:32 *768:A3 19.0748 +8 *164:32 *164:42 4.73876 +9 *164:42 *763:A4 14.4725 +10 *164:42 *759:A1 17.135 +11 *164:28 *775:C 9.24915 +12 *164:20 *709:A 10.6477 +13 *164:17 *772:A 19.3917 +*END + +*D_NET *165 0.00210841 +*CONN +*I *717:B I *D sky130_fd_sc_hd__nor2_2 +*I *719:B2 I *D sky130_fd_sc_hd__o32a_2 +*I *782:A2 I *D sky130_fd_sc_hd__o311a_2 +*I *706:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *717:B 0 +2 *719:B2 0.000193323 +3 *782:A2 4.38123e-05 +4 *706:Y 9.6009e-05 +5 *165:14 0.00030292 +6 *165:5 0.000249419 +7 *719:B2 *719:A1 0.000104731 +8 *719:B2 *719:A3 1.43848e-05 +9 *719:B2 *737:S 2.41274e-06 +10 *719:B2 *185:7 0 +11 *782:A2 *782:B1 6.08467e-05 +12 *782:A2 *166:32 2.58616e-05 +13 *782:A2 *249:23 0.00015607 +14 *165:5 *710:A 6.27718e-05 +15 *165:5 *782:B1 1.41976e-05 +16 *165:5 *249:23 0.000386928 +17 *702:C *719:B2 3.26195e-05 +18 *702:C *165:14 0.000171474 +19 *719:B1 *719:B2 4.80635e-06 +20 *782:C1 *165:5 0.0001139 +21 *41:9 *719:B2 1.25775e-05 +22 *41:9 *165:14 3.33235e-05 +23 *118:14 *719:B2 6.12686e-06 +24 *118:46 *719:B2 0 +25 *122:38 *165:5 1.9898e-05 +*RES +1 *706:Y *165:5 13.8548 +2 *165:5 *782:A2 11.0817 +3 *165:5 *165:14 7.993 +4 *165:14 *719:B2 19.1721 +5 *165:14 *717:B 13.7491 +*END + +*D_NET *166 0.00799332 +*CONN +*I *782:A3 I *D sky130_fd_sc_hd__o311a_2 +*I *780:B I *D sky130_fd_sc_hd__and3_2 +*I *773:A2 I *D sky130_fd_sc_hd__o311a_2 +*I *771:A3 I *D sky130_fd_sc_hd__o311a_2 +*I *709:B I *D sky130_fd_sc_hd__or2_2 +*I *756:B I *D sky130_fd_sc_hd__or2_2 +*I *708:A I *D sky130_fd_sc_hd__inv_2 +*I *707:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *782:A3 0 +2 *780:B 0 +3 *773:A2 0.000287896 +4 *771:A3 0 +5 *709:B 7.77013e-05 +6 *756:B 0.000399561 +7 *708:A 0.000153372 +8 *707:X 6.39684e-05 +9 *166:52 0.000459291 +10 *166:41 0.000305763 +11 *166:38 0.000695504 +12 *166:32 0.000822344 +13 *166:7 0.000552933 +14 *166:5 0.000247475 +15 *708:A *720:A1 0.000196819 +16 *708:A *723:B2 0.000122682 +17 *708:A *781:A2 2.43314e-05 +18 *756:B *776:B1 8.42898e-05 +19 *756:B *779:B 0 +20 *756:B *781:A2 0.000288851 +21 *773:A2 *243:20 2.11494e-05 +22 *773:A2 *312:15 0.000107496 +23 *166:5 *223:90 6.27782e-05 +24 *166:5 *223:98 6.27718e-05 +25 *166:5 *249:23 7.92757e-06 +26 *166:32 *782:B1 1.47978e-05 +27 *166:32 *249:23 7.99701e-05 +28 *166:38 *780:A 1.4091e-06 +29 *166:38 *780:C 1.41976e-05 +30 *166:38 *250:9 5.481e-05 +31 *166:41 *780:C 0.000170577 +32 *166:41 *225:206 0.000170577 +33 *166:52 *780:C 0.000199738 +34 *166:52 *225:206 0.000110758 +35 *700:A *166:38 1.99131e-05 +36 *701:B *756:B 4.4434e-05 +37 *702:C *166:38 0.000112897 +38 *709:A *709:B 4.80635e-06 +39 *770:A *773:A2 0.000271475 +40 *773:A3 *773:A2 0.000163982 +41 *773:A3 *166:52 5.82161e-05 +42 *774:A4 *773:A2 8.10016e-06 +43 *775:A *756:B 0.000184217 +44 *781:B1 *709:B 2.16355e-05 +45 *782:A1 *166:38 3.5534e-06 +46 *782:A2 *166:32 2.58616e-05 +47 *813:A0 *166:52 1.5714e-05 +48 *823:A0 *773:A2 0.000179964 +49 *823:A0 *166:52 8.86331e-05 +50 *830:A0 *708:A 0.000115934 +51 *5:324 *773:A2 0 +52 *5:350 *708:A 5.99361e-05 +53 *40:9 *709:B 0.000160617 +54 *55:22 *166:38 0.00010969 +55 *118:93 *773:A2 1.66626e-05 +56 *118:96 *773:A2 6.08467e-05 +57 *119:72 *708:A 6.84784e-06 +58 *119:72 *166:38 0 +59 *119:81 *756:B 2.72267e-05 +60 *119:81 *166:38 0 +61 *120:7 *166:5 6.08467e-05 +62 *120:7 *166:32 0.000110458 +63 *120:11 *708:A 3.77686e-05 +64 *120:11 *756:B 8.80283e-06 +65 *120:35 *756:B 3.44197e-05 +66 *164:20 *709:B 5.20546e-06 +67 *164:28 *709:B 3.99086e-06 +68 *164:28 *756:B 2.06458e-05 +69 *164:32 *756:B 8.82765e-05 +*RES +1 *707:X *166:5 11.0817 +2 *166:5 *166:7 4.5 +3 *166:7 *708:A 19.3507 +4 *166:7 *756:B 26.6113 +5 *166:5 *166:32 5.18434 +6 *166:32 *166:38 19.5929 +7 *166:38 *166:41 7.57775 +8 *166:41 *709:B 16.1605 +9 *166:41 *166:52 5.56926 +10 *166:52 *771:A3 13.7491 +11 *166:52 *773:A2 26.6915 +12 *166:38 *780:B 9.24915 +13 *166:32 *782:A3 9.24915 +*END + +*D_NET *167 0.00414333 +*CONN +*I *723:B2 I *D sky130_fd_sc_hd__o32a_2 +*I *779:B I *D sky130_fd_sc_hd__nor2_2 +*I *708:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *723:B2 0.000321306 +2 *779:B 0.000879024 +3 *708:Y 0 +4 *167:4 0.00120033 +5 *723:B2 *720:A1 2.58696e-05 +6 *723:B2 *729:B 8.16827e-05 +7 *723:B2 *734:A 0.000171273 +8 *723:B2 *176:16 4.58124e-05 +9 *723:B2 *177:8 9.60216e-05 +10 *723:B2 *322:7 0.000160617 +11 *779:B *720:A1 6.65341e-05 +12 *779:B *781:A2 7.71831e-05 +13 *779:B *224:21 0 +14 *779:B *224:38 0 +15 *779:B *225:69 0 +16 *708:A *723:B2 0.000122682 +17 *711:C *723:B2 0 +18 *718:A2 *723:B2 1.03434e-05 +19 *720:B1 *779:B 5.01737e-05 +20 *756:B *779:B 0 +21 *775:D *779:B 4.49217e-05 +22 *829:A1 *779:B 0.000254075 +23 *829:S *779:B 4.70559e-05 +24 *830:A0 *723:B2 4.82966e-05 +25 *5:222 *779:B 0 +26 *17:9 *779:B 0.000106333 +27 *119:8 *723:B2 0 +28 *119:72 *723:B2 8.01837e-05 +29 *120:11 *723:B2 2.15348e-05 +30 *157:55 *723:B2 1.41291e-05 +31 *158:67 *723:B2 0.000217951 +*RES +1 *708:Y *167:4 9.24915 +2 *167:4 *779:B 38.8408 +3 *167:4 *723:B2 30.7348 +*END + +*D_NET *168 0.000492523 +*CONN +*I *712:B2 I *D sky130_fd_sc_hd__o221a_2 +*I *711:X O *D sky130_fd_sc_hd__or3_2 +*CAP +1 *712:B2 0.000196258 +2 *711:X 0.000196258 +3 *712:B2 *711:A 5.56461e-05 +4 *711:C *712:B2 0 +5 *712:C1 *712:B2 4.43615e-05 +*RES +1 *711:X *712:B2 31.4388 +*END + +*D_NET *169 0.000506531 +*CONN +*I *713:B1 I *D sky130_fd_sc_hd__o31a_2 +*I *712:X O *D sky130_fd_sc_hd__o221a_2 +*CAP +1 *713:B1 0.000215576 +2 *712:X 0.000215576 +3 *712:A1 *713:B1 6.46815e-05 +4 *712:A2 *713:B1 7.25324e-06 +5 *713:A1 *713:B1 3.44412e-06 +*RES +1 *712:X *713:B1 31.5781 +*END + +*D_NET *170 0.0104356 +*CONN +*I *743:A2 I *D sky130_fd_sc_hd__o22ai_2 +*I *738:B1 I *D sky130_fd_sc_hd__o211a_2 +*I *741:A1 I *D sky130_fd_sc_hd__a32o_2 +*I *744:A2 I *D sky130_fd_sc_hd__o22a_2 +*I *714:A I *D sky130_fd_sc_hd__inv_2 +*I *735:A1 I *D sky130_fd_sc_hd__a32o_2 +*I *733:A2 I *D sky130_fd_sc_hd__o21ai_2 +*I *728:A2 I *D sky130_fd_sc_hd__o21ai_2 +*I *713:X O *D sky130_fd_sc_hd__o31a_2 +*CAP +1 *743:A2 0.000174798 +2 *738:B1 0.000335054 +3 *741:A1 7.65268e-05 +4 *744:A2 0.000216391 +5 *714:A 0 +6 *735:A1 0.000214625 +7 *733:A2 0.000278847 +8 *728:A2 0 +9 *713:X 0 +10 *170:63 0.000828592 +11 *170:60 0.000820553 +12 *170:29 0.000893104 +13 *170:22 0.00103788 +14 *170:10 0.000928265 +15 *170:5 0.00061664 +16 *170:4 0.000338978 +17 *733:A2 *223:11 3.24105e-05 +18 *735:A1 *735:B2 2.16355e-05 +19 *735:A1 *223:11 1.96466e-05 +20 *735:A1 *223:22 1.20637e-05 +21 *738:B1 *173:26 6.79599e-05 +22 *738:B1 *221:23 7.14746e-05 +23 *738:B1 *221:33 1.00981e-05 +24 *741:A1 *173:11 0.000111722 +25 *743:A2 *743:B2 5.98993e-05 +26 *744:A2 *654:A 0.000104943 +27 *744:A2 *744:B2 0.000427334 +28 *744:A2 *226:11 0.000200433 +29 *170:10 *744:B2 0 +30 *170:22 *724:A 0.000515001 +31 *170:22 *725:A1 2.82583e-05 +32 *170:22 *744:B2 0 +33 *170:22 *171:25 8.62625e-06 +34 *170:29 *725:A1 0 +35 *170:29 *732:A2 5.41227e-05 +36 *170:29 *733:B1 5.33266e-05 +37 *170:29 *171:6 4.61732e-05 +38 *170:29 *171:72 8.93454e-05 +39 *170:29 *186:10 0.000208976 +40 *170:63 *741:B2 0.000139435 +41 *170:63 *743:B1 5.56367e-05 +42 *170:63 *173:26 1.07248e-05 +43 *170:63 *175:10 9.57557e-06 +44 *170:63 *221:12 0.000139968 +45 *170:63 *221:23 4.33979e-05 +46 *711:C *744:A2 3.23117e-05 +47 *713:A1 *170:63 0 +48 *713:A3 *170:63 3.74397e-05 +49 *715:A2 *743:A2 0.000304838 +50 *715:A2 *170:60 6.91907e-05 +51 *718:B1 *738:B1 0.000275256 +52 *725:B1 *170:10 9.35753e-06 +53 *725:B1 *170:22 2.75427e-05 +54 *846:D *733:A2 5.04054e-06 +55 *847:D *170:29 0 +56 *29:8 *170:63 0 +57 *118:14 *170:63 0 +58 *119:22 *733:A2 7.97098e-06 +59 *119:25 *170:29 0 +60 *124:18 *170:10 2.69064e-05 +61 *124:40 *743:A2 7.92757e-06 +62 *156:10 *170:29 2.71953e-05 +63 *157:21 *170:29 0 +64 *157:73 *738:B1 3.99086e-06 +65 *157:98 *738:B1 1.00937e-05 +66 *157:105 *738:B1 5.96839e-05 +67 *158:9 *170:5 6.27332e-05 +68 *158:9 *170:10 0.000105163 +69 *158:9 *170:60 6.0497e-05 +*RES +1 *713:X *170:4 9.24915 +2 *170:4 *170:5 1.8326 +3 *170:5 *170:10 10.1043 +4 *170:10 *728:A2 13.7491 +5 *170:10 *170:22 16.2237 +6 *170:22 *170:29 21.1062 +7 *170:29 *733:A2 13.7342 +8 *170:29 *735:A1 12.191 +9 *170:22 *714:A 9.24915 +10 *170:5 *744:A2 26.6181 +11 *170:4 *170:60 4.07513 +12 *170:60 *170:63 15.0523 +13 *170:63 *741:A1 15.0271 +14 *170:63 *738:B1 20.7602 +15 *170:60 *743:A2 14.2888 +*END + +*D_NET *171 0.00859807 +*CONN +*I *732:C1 I *D sky130_fd_sc_hd__a221o_2 +*I *735:B2 I *D sky130_fd_sc_hd__a32o_2 +*I *727:C1 I *D sky130_fd_sc_hd__a221o_2 +*I *741:B2 I *D sky130_fd_sc_hd__a32o_2 +*I *743:B1 I *D sky130_fd_sc_hd__o22ai_2 +*I *744:B2 I *D sky130_fd_sc_hd__o22a_2 +*I *714:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *732:C1 0.000126728 +2 *735:B2 9.30778e-05 +3 *727:C1 0 +4 *741:B2 7.33463e-05 +5 *743:B1 0.000477791 +6 *744:B2 0.000338282 +7 *714:Y 4.94391e-05 +8 *171:72 0.000347696 +9 *171:43 0.000949424 +10 *171:25 0.000941497 +11 *171:15 0.000605433 +12 *171:6 0.000577836 +13 *732:C1 *725:A1 2.01653e-05 +14 *732:C1 *732:B2 8.36075e-05 +15 *732:C1 *178:5 3.88473e-05 +16 *735:B2 *725:A1 4.20667e-05 +17 *735:B2 *732:A2 1.04747e-05 +18 *735:B2 *186:10 0.000165495 +19 *735:B2 *223:11 6.08467e-05 +20 *741:B2 *736:B 6.50586e-05 +21 *741:B2 *740:A 6.50586e-05 +22 *741:B2 *175:10 1.44611e-05 +23 *743:B1 *653:A 3.37623e-05 +24 *743:B1 *845:CLK 5.66868e-06 +25 *743:B1 *204:206 0.000145053 +26 *743:B1 *204:207 7.02001e-05 +27 *743:B1 *204:250 1.65078e-05 +28 *743:B1 *221:12 0.000189542 +29 *744:B2 *204:200 4.20662e-05 +30 *171:6 *725:A1 4.27003e-05 +31 *171:15 *724:A 0.000122378 +32 *171:15 *726:A 0.000157741 +33 *171:15 *727:A1 1.92336e-05 +34 *171:15 *727:B2 0.000338976 +35 *171:15 *735:A3 3.20069e-06 +36 *171:15 *180:10 0 +37 *171:25 *724:A 0.00011818 +38 *171:25 *727:A1 4.89898e-06 +39 *171:25 *180:10 1.77537e-06 +40 *171:43 *724:A 1.52648e-05 +41 *171:43 *727:A1 4.30017e-06 +42 *171:43 *727:A2 0.000116842 +43 *171:43 *204:200 1.82679e-05 +44 *171:43 *204:206 1.71232e-05 +45 *171:72 *725:A1 9.74251e-05 +46 *171:72 *732:A2 4.00504e-05 +47 *711:C *744:B2 0 +48 *725:B1 *171:15 8.62625e-06 +49 *725:B1 *171:25 2.1558e-06 +50 *730:A2 *735:B2 7.50722e-05 +51 *735:A1 *735:B2 2.16355e-05 +52 *744:A2 *744:B2 0.000427334 +53 *744:B1 *744:B2 0.000337014 +54 *843:D *743:B1 6.27782e-05 +55 *844:D *741:B2 6.64609e-05 +56 *844:D *743:B1 5.92192e-05 +57 *844:RESET_B *743:B1 3.31733e-05 +58 *118:14 *741:B2 8.20492e-06 +59 *124:18 *744:B2 0.00021364 +60 *124:40 *743:B1 6.46815e-05 +61 *157:105 *743:B1 8.90468e-05 +62 *158:35 *171:15 6.84074e-06 +63 *158:47 *171:15 1.51779e-05 +64 *170:10 *744:B2 0 +65 *170:22 *744:B2 0 +66 *170:22 *171:25 8.62625e-06 +67 *170:29 *171:6 4.61732e-05 +68 *170:29 *171:72 8.93454e-05 +69 *170:63 *741:B2 0.000139435 +70 *170:63 *743:B1 5.56367e-05 +*RES +1 *714:Y *171:6 15.5811 +2 *171:6 *171:15 24.6798 +3 *171:15 *171:25 12.7379 +4 *171:25 *744:B2 30.8777 +5 *171:25 *171:43 12.2162 +6 *171:43 *743:B1 38.5283 +7 *171:43 *741:B2 17.135 +8 *171:15 *727:C1 9.24915 +9 *171:6 *171:72 4.53113 +10 *171:72 *735:B2 17.7579 +11 *171:72 *732:C1 17.2719 +*END + +*D_NET *172 0.00252509 +*CONN +*I *716:B2 I *D sky130_fd_sc_hd__o22a_2 +*I *742:A2_N I *D sky130_fd_sc_hd__a2bb2o_2 +*I *742:B2 I *D sky130_fd_sc_hd__a2bb2o_2 +*I *715:X O *D sky130_fd_sc_hd__a22o_2 +*CAP +1 *716:B2 0.000181177 +2 *742:A2_N 3.0613e-05 +3 *742:B2 0 +4 *715:X 0.000264642 +5 *172:10 3.0613e-05 +6 *172:8 0.000445819 +7 *716:B2 *653:A 0.000313495 +8 *716:B2 *204:207 0.000203781 +9 *742:A2_N *743:B2 2.08274e-05 +10 *172:8 *204:207 0.000197462 +11 *172:8 *204:209 5.41377e-05 +12 *172:8 *227:11 0.000217923 +13 *742:A1_N *742:A2_N 0.000159038 +14 *843:D *716:B2 1.02917e-05 +15 *843:D *742:A2_N 0.000110458 +16 *843:D *172:8 6.00124e-05 +17 *123:7 *716:B2 5.99527e-05 +18 *123:9 *716:B2 0.000164843 +*RES +1 *715:X *172:8 20.4599 +2 *172:8 *172:10 4.5 +3 *172:10 *742:B2 9.24915 +4 *172:10 *742:A2_N 11.0817 +5 *172:8 *716:B2 21.1538 +*END + +*D_NET *173 0.00363093 +*CONN +*I *736:A I *D sky130_fd_sc_hd__or2_2 +*I *737:S I *D sky130_fd_sc_hd__mux2_1 +*I *719:A3 I *D sky130_fd_sc_hd__o32a_2 +*I *740:A I *D sky130_fd_sc_hd__nand2_2 +*I *716:X O *D sky130_fd_sc_hd__o22a_2 +*CAP +1 *736:A 2.31893e-05 +2 *737:S 0.000191282 +3 *719:A3 3.53856e-05 +4 *740:A 0.000182766 +5 *716:X 0.000193674 +6 *173:26 0.000437372 +7 *173:11 0.00049572 +8 *173:8 0.000319113 +9 *736:A *741:A2 2.15348e-05 +10 *737:S *652:A 3.82228e-05 +11 *737:S *738:C1 0.000160617 +12 *740:A *736:B 1.92336e-05 +13 *740:A *740:B 4.30291e-05 +14 *740:A *741:A3 7.92757e-06 +15 *173:8 *653:A 1.07248e-05 +16 *173:11 *736:B 0.000207747 +17 *173:11 *741:A2 0.000172058 +18 *173:11 *741:B1 2.43387e-05 +19 *173:26 *719:A2 4.55535e-05 +20 *173:26 *175:10 7.09395e-05 +21 *173:26 *221:23 2.19131e-05 +22 *719:B1 *719:A3 3.01683e-06 +23 *719:B1 *737:S 0.000111708 +24 *719:B2 *719:A3 1.43848e-05 +25 *719:B2 *737:S 2.41274e-06 +26 *738:B1 *173:26 6.79599e-05 +27 *741:A1 *173:11 0.000111722 +28 *741:B2 *740:A 6.50586e-05 +29 *793:A *173:11 0 +30 *809:A0 *737:S 4.17142e-05 +31 *29:8 *173:8 0.000147913 +32 *118:14 *719:A3 6.50586e-05 +33 *118:14 *737:S 0.000152878 +34 *118:14 *173:26 6.08697e-06 +35 *122:7 *737:S 1.41976e-05 +36 *157:105 *173:8 9.37563e-05 +37 *170:63 *173:26 1.07248e-05 +*RES +1 *716:X *173:8 24.6489 +2 *173:8 *173:11 4.62973 +3 *173:11 *740:A 13.3243 +4 *173:11 *173:26 14.7327 +5 *173:26 *719:A3 10.5513 +6 *173:26 *737:S 15.5427 +7 *173:8 *736:A 9.97254 +*END + +*D_NET *174 0.000311475 +*CONN +*I *719:A1 I *D sky130_fd_sc_hd__o32a_2 +*I *717:Y O *D sky130_fd_sc_hd__nor2_2 +*CAP +1 *719:A1 3.79836e-05 +2 *717:Y 3.79836e-05 +3 *702:C *719:A1 0.000130777 +4 *719:B2 *719:A1 0.000104731 +5 *118:14 *719:A1 0 +*RES +1 *717:Y *719:A1 29.7455 +*END + +*D_NET *175 0.00210412 +*CONN +*I *719:A2 I *D sky130_fd_sc_hd__o32a_2 +*I *736:B I *D sky130_fd_sc_hd__or2_2 +*I *740:B I *D sky130_fd_sc_hd__nand2_2 +*I *718:X O *D sky130_fd_sc_hd__a22o_2 +*CAP +1 *719:A2 8.17795e-05 +2 *736:B 8.97491e-05 +3 *740:B 5.1502e-05 +4 *718:X 9.34923e-06 +5 *175:10 0.000246659 +6 *175:7 0.000196537 +7 *736:B *741:A3 0.000338989 +8 *736:B *741:B1 2.43387e-05 +9 *740:B *741:A3 0.000111722 +10 *702:C *719:A2 2.5386e-05 +11 *737:A1 *175:7 6.50727e-05 +12 *740:A *736:B 1.92336e-05 +13 *740:A *740:B 4.30291e-05 +14 *741:B2 *736:B 6.50586e-05 +15 *741:B2 *175:10 1.44611e-05 +16 *118:14 *719:A2 8.52968e-05 +17 *118:14 *175:10 0.000237068 +18 *157:73 *175:7 6.50727e-05 +19 *170:63 *175:10 9.57557e-06 +20 *173:11 *736:B 0.000207747 +21 *173:26 *719:A2 4.55535e-05 +22 *173:26 *175:10 7.09395e-05 +*RES +1 *718:X *175:7 14.4725 +2 *175:7 *175:10 8.82351 +3 *175:10 *740:B 11.1059 +4 *175:10 *736:B 14.6023 +5 *175:7 *719:A2 16.4116 +*END + +*D_NET *176 0.0015781 +*CONN +*I *723:A3 I *D sky130_fd_sc_hd__o32a_2 +*I *734:A I *D sky130_fd_sc_hd__nand2_2 +*I *729:A I *D sky130_fd_sc_hd__or2_2 +*I *719:X O *D sky130_fd_sc_hd__o32a_2 +*CAP +1 *723:A3 0 +2 *734:A 0.000163254 +3 *729:A 2.52739e-05 +4 *719:X 7.22101e-05 +5 *176:16 0.000290526 +6 *176:5 0.000224756 +7 *729:A *185:7 2.65667e-05 +8 *734:A *723:A1 0.00011818 +9 *734:A *726:A 3.20069e-06 +10 *734:A *735:A3 0.000171263 +11 *176:5 *185:7 2.65831e-05 +12 *176:16 *177:8 0 +13 *711:C *176:16 5.0124e-05 +14 *721:A1 *734:A 3.5534e-06 +15 *723:B2 *734:A 0.000171273 +16 *723:B2 *176:16 4.58124e-05 +17 *157:55 *734:A 8.14711e-05 +18 *158:47 *734:A 3.5756e-05 +19 *158:55 *734:A 1.09551e-05 +20 *158:67 *734:A 5.73392e-05 +*RES +1 *719:X *176:5 11.0817 +2 *176:5 *729:A 9.97254 +3 *176:5 *176:16 12.493 +4 *176:16 *734:A 25.402 +5 *176:16 *723:A3 9.24915 +*END + +*D_NET *177 0.00140511 +*CONN +*I *729:B I *D sky130_fd_sc_hd__or2_2 +*I *723:A1 I *D sky130_fd_sc_hd__o32a_2 +*I *734:B I *D sky130_fd_sc_hd__nand2_2 +*I *720:X O *D sky130_fd_sc_hd__a22o_2 +*CAP +1 *729:B 5.66094e-05 +2 *723:A1 1.23957e-05 +3 *734:B 8.26747e-05 +4 *720:X 5.29682e-05 +5 *177:8 0.000165853 +6 *177:7 0.00018036 +7 *729:B *735:A3 4.70104e-05 +8 *729:B *185:7 2.16355e-05 +9 *734:B *723:A2 2.33103e-06 +10 *734:B *735:A3 0.000171263 +11 *734:B *180:10 5.53789e-05 +12 *177:7 *735:A3 5.04829e-06 +13 *177:7 *185:7 9.80242e-07 +14 *177:8 *723:A2 1.05272e-06 +15 *177:8 *735:A3 0.000131175 +16 *711:C *734:B 0 +17 *711:C *177:8 0 +18 *720:A2 *729:B 4.3116e-06 +19 *723:B2 *729:B 8.16827e-05 +20 *723:B2 *177:8 9.60216e-05 +21 *734:A *723:A1 0.00011818 +22 *157:55 *723:A1 0.00011818 +23 *176:16 *177:8 0 +*RES +1 *720:X *177:7 14.4725 +2 *177:7 *177:8 2.6625 +3 *177:8 *734:B 16.8269 +4 *177:8 *723:A1 15.0271 +5 *177:7 *729:B 15.8893 +*END + +*D_NET *178 0.00282724 +*CONN +*I *723:A2 I *D sky130_fd_sc_hd__o32a_2 +*I *732:B1 I *D sky130_fd_sc_hd__a221o_2 +*I *722:A I *D sky130_fd_sc_hd__inv_2 +*I *721:X O *D sky130_fd_sc_hd__o22a_2 +*CAP +1 *723:A2 0.000582867 +2 *732:B1 0 +3 *722:A 0.000326668 +4 *721:X 0 +5 *178:5 0.000408959 +6 *178:4 0.000665159 +7 *722:A *731:A 3.01683e-06 +8 *722:A *732:A1 0.000393422 +9 *722:A *732:B2 0.000132365 +10 *723:A2 *224:6 1.77894e-05 +11 *178:5 *721:B1 6.08467e-05 +12 *178:5 *732:B2 8.36075e-05 +13 *732:C1 *178:5 3.88473e-05 +14 *734:B *723:A2 2.33103e-06 +15 *5:350 *723:A2 0 +16 *157:21 *178:5 0.000110306 +17 *177:8 *723:A2 1.05272e-06 +*RES +1 *721:X *178:4 9.24915 +2 *178:4 *178:5 2.94181 +3 *178:5 *722:A 16.7001 +4 *178:5 *732:B1 9.24915 +5 *178:4 *723:A2 26.7117 +*END + +*D_NET *179 0.000604136 +*CONN +*I *732:A1 I *D sky130_fd_sc_hd__a221o_2 +*I *722:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *732:A1 4.1456e-05 +2 *722:Y 4.1456e-05 +3 *732:A1 *732:A2 1.90494e-05 +4 *732:A1 *732:B2 0.000108753 +5 *722:A *732:A1 0.000393422 +*RES +1 *722:Y *732:A1 21.9947 +*END + +*D_NET *180 0.00228134 +*CONN +*I *727:B1 I *D sky130_fd_sc_hd__a221o_2 +*I *724:A I *D sky130_fd_sc_hd__inv_2 +*I *723:X O *D sky130_fd_sc_hd__o32a_2 +*CAP +1 *727:B1 0 +2 *724:A 0.00015375 +3 *723:X 0.000343638 +4 *180:10 0.000497388 +5 *724:A *725:A1 2.65667e-05 +6 *724:A *727:A1 5.22117e-05 +7 *724:A *727:B2 3.23428e-05 +8 *180:10 *735:A3 1.78514e-05 +9 *180:10 *204:200 0 +10 *711:C *180:10 0 +11 *725:A2 *724:A 3.89073e-05 +12 *725:B1 *724:A 0.000110297 +13 *725:B2 *724:A 6.64392e-05 +14 *734:B *180:10 5.53789e-05 +15 *157:55 *180:10 0.000113968 +16 *170:22 *724:A 0.000515001 +17 *171:15 *724:A 0.000122378 +18 *171:15 *180:10 0 +19 *171:25 *724:A 0.00011818 +20 *171:25 *180:10 1.77537e-06 +21 *171:43 *724:A 1.52648e-05 +*RES +1 *723:X *180:10 25.5117 +2 *180:10 *724:A 17.7852 +3 *180:10 *727:B1 9.24915 +*END + +*D_NET *181 0.00147068 +*CONN +*I *727:A1 I *D sky130_fd_sc_hd__a221o_2 +*I *724:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *727:A1 0.000242026 +2 *724:Y 0.000242026 +3 *727:A1 *725:A1 0.000114518 +4 *727:A1 *727:A2 0.000432734 +5 *727:A1 *727:B2 0.000125687 +6 *724:A *727:A1 5.22117e-05 +7 *725:A2 *727:A1 0.000216458 +8 *725:B1 *727:A1 1.65872e-05 +9 *171:15 *727:A1 1.92336e-05 +10 *171:25 *727:A1 4.89898e-06 +11 *171:43 *727:A1 4.30017e-06 +*RES +1 *724:Y *727:A1 27.9748 +*END + +*D_NET *182 0.00169558 +*CONN +*I *727:A2 I *D sky130_fd_sc_hd__a221o_2 +*I *726:A I *D sky130_fd_sc_hd__inv_2 +*I *725:X O *D sky130_fd_sc_hd__o22a_2 +*CAP +1 *727:A2 0.000282008 +2 *726:A 0.000138482 +3 *725:X 0 +4 *182:4 0.00042049 +5 *726:A *735:A3 1.14755e-05 +6 *727:A1 *727:A2 0.000432734 +7 *734:A *726:A 3.20069e-06 +8 *158:47 *726:A 0.000132607 +9 *171:15 *726:A 0.000157741 +10 *171:43 *727:A2 0.000116842 +*RES +1 *725:X *182:4 9.24915 +2 *182:4 *726:A 22.7442 +3 *182:4 *727:A2 15.4523 +*END + +*D_NET *183 0.00105384 +*CONN +*I *727:B2 I *D sky130_fd_sc_hd__a221o_2 +*I *726:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *727:B2 0.000278418 +2 *726:Y 0.000278418 +3 *724:A *727:B2 3.23428e-05 +4 *727:A1 *727:B2 0.000125687 +5 *171:15 *727:B2 0.000338976 +*RES +1 *726:Y *727:B2 34.1643 +*END + +*D_NET *184 0.000626454 +*CONN +*I *728:B1 I *D sky130_fd_sc_hd__o21ai_2 +*I *727:X O *D sky130_fd_sc_hd__a221o_2 +*CAP +1 *728:B1 6.78236e-05 +2 *727:X 6.78236e-05 +3 *728:B1 *204:200 0.000210005 +4 *711:C *728:B1 9.60366e-05 +5 *848:D *728:B1 0.000160617 +6 *118:24 *728:B1 2.41483e-05 +*RES +1 *727:X *728:B1 31.1629 +*END + +*D_NET *185 0.00175979 +*CONN +*I *730:B1 I *D sky130_fd_sc_hd__o21ai_2 +*I *735:A2 I *D sky130_fd_sc_hd__a32o_2 +*I *729:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *730:B1 6.6631e-05 +2 *735:A2 5.05522e-05 +3 *729:X 0.000564184 +4 *185:7 0.000681367 +5 *730:B1 *224:10 9.32927e-05 +6 *735:A2 *735:A3 4.17531e-06 +7 *185:7 *720:A1 0.000113968 +8 *185:7 *735:A3 5.59012e-05 +9 *719:B2 *185:7 0 +10 *720:B1 *730:B1 0 +11 *720:B1 *185:7 1.8456e-05 +12 *720:B2 *185:7 2.73375e-05 +13 *729:A *185:7 2.65667e-05 +14 *729:B *185:7 2.16355e-05 +15 *830:A0 *730:B1 2.14842e-06 +16 *119:22 *735:A2 6.00782e-06 +17 *176:5 *185:7 2.65831e-05 +18 *177:7 *185:7 9.80242e-07 +*RES +1 *729:X *185:7 18.3157 +2 *185:7 *735:A2 9.97254 +3 *185:7 *730:B1 20.0811 +*END + +*D_NET *186 0.0025139 +*CONN +*I *731:A I *D sky130_fd_sc_hd__inv_2 +*I *732:A2 I *D sky130_fd_sc_hd__a221o_2 +*I *730:Y O *D sky130_fd_sc_hd__o21ai_2 +*CAP +1 *731:A 0.000149158 +2 *732:A2 2.56545e-05 +3 *730:Y 0.000368183 +4 *186:10 0.000542995 +5 *731:A *732:B2 0.000493179 +6 *731:A *733:B1 6.92705e-05 +7 *731:A *223:11 0.000275256 +8 *732:A2 *732:B2 1.67329e-05 +9 *186:10 *649:A 2.41274e-06 +10 *186:10 *322:7 2.60704e-05 +11 *722:A *731:A 3.01683e-06 +12 *730:A2 *186:10 4.37999e-05 +13 *732:A1 *732:A2 1.90494e-05 +14 *735:B2 *732:A2 1.04747e-05 +15 *735:B2 *186:10 0.000165495 +16 *119:25 *186:10 0 +17 *170:29 *732:A2 5.41227e-05 +18 *170:29 *186:10 0.000208976 +19 *171:72 *732:A2 4.00504e-05 +*RES +1 *730:Y *186:10 22.4237 +2 *186:10 *732:A2 14.9496 +3 *186:10 *731:A 21.176 +*END + +*D_NET *187 0.00118435 +*CONN +*I *732:B2 I *D sky130_fd_sc_hd__a221o_2 +*I *731:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *732:B2 0.000133055 +2 *731:Y 0.000133055 +3 *722:A *732:B2 0.000132365 +4 *731:A *732:B2 0.000493179 +5 *732:A1 *732:B2 0.000108753 +6 *732:A2 *732:B2 1.67329e-05 +7 *732:C1 *732:B2 8.36075e-05 +8 *178:5 *732:B2 8.36075e-05 +*RES +1 *731:Y *732:B2 25.7323 +*END + +*D_NET *188 0.000484501 +*CONN +*I *733:B1 I *D sky130_fd_sc_hd__o21ai_2 +*I *732:X O *D sky130_fd_sc_hd__a221o_2 +*CAP +1 *733:B1 0.000117919 +2 *732:X 0.000117919 +3 *733:B1 *223:11 0.000113374 +4 *731:A *733:B1 6.92705e-05 +5 *119:25 *733:B1 1.2693e-05 +6 *170:29 *733:B1 5.33266e-05 +*RES +1 *732:X *733:B1 30.8842 +*END + +*D_NET *189 0.00173669 +*CONN +*I *735:A3 I *D sky130_fd_sc_hd__a32o_2 +*I *734:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *735:A3 0.000287787 +2 *734:Y 0.000287787 +3 *735:A3 *720:A1 3.72206e-05 +4 *720:A2 *735:A3 6.86533e-05 +5 *720:B1 *735:A3 4.09708e-05 +6 *720:B2 *735:A3 6.39797e-05 +7 *721:A1 *735:A3 1.74215e-05 +8 *726:A *735:A3 1.14755e-05 +9 *729:B *735:A3 4.70104e-05 +10 *734:A *735:A3 0.000171263 +11 *734:B *735:A3 0.000171263 +12 *735:A2 *735:A3 4.17531e-06 +13 *119:22 *735:A3 0.000314504 +14 *171:15 *735:A3 3.20069e-06 +15 *177:7 *735:A3 5.04829e-06 +16 *177:8 *735:A3 0.000131175 +17 *180:10 *735:A3 1.78514e-05 +18 *185:7 *735:A3 5.59012e-05 +*RES +1 *734:Y *735:A3 39.8888 +*END + +*D_NET *190 0.000664816 +*CONN +*I *741:A2 I *D sky130_fd_sc_hd__a32o_2 +*I *736:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *741:A2 0.000235612 +2 *736:X 0.000235612 +3 *736:A *741:A2 2.15348e-05 +4 *173:11 *741:A2 0.000172058 +*RES +1 *736:X *741:A2 22.5734 +*END + +*D_NET *191 0.000718546 +*CONN +*I *738:C1 I *D sky130_fd_sc_hd__o211a_2 +*I *737:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *738:C1 0.000193574 +2 *737:X 0.000193574 +3 *738:C1 *221:33 0.000113374 +4 *718:B1 *738:C1 3.67528e-06 +5 *737:S *738:C1 0.000160617 +6 *29:8 *738:C1 4.98393e-05 +7 *122:8 *738:C1 3.89332e-06 +*RES +1 *737:X *738:C1 31.9934 +*END + +*D_NET *192 0.00117829 +*CONN +*I *739:B2 I *D sky130_fd_sc_hd__o2bb2a_2 +*I *739:A2_N I *D sky130_fd_sc_hd__o2bb2a_2 +*I *738:X O *D sky130_fd_sc_hd__o211a_2 +*CAP +1 *739:B2 6.02212e-05 +2 *739:A2_N 0.000319575 +3 *738:X 0 +4 *192:4 0.000379796 +5 *739:A2_N *222:13 0.000119957 +6 *739:A2_N *222:18 5.56461e-05 +7 *739:B2 *222:13 6.92705e-05 +8 *739:B2 *222:18 0.00011818 +9 *344:DIODE *739:B2 5.56461e-05 +10 *157:98 *739:A2_N 0 +11 *157:105 *739:A2_N 0 +*RES +1 *738:X *192:4 9.24915 +2 *192:4 *739:A2_N 15.2533 +3 *192:4 *739:B2 12.2151 +*END + +*D_NET *193 0.0009399 +*CONN +*I *741:A3 I *D sky130_fd_sc_hd__a32o_2 +*I *740:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *741:A3 0.000240631 +2 *740:Y 0.000240631 +3 *736:B *741:A3 0.000338989 +4 *740:A *741:A3 7.92757e-06 +5 *740:B *741:A3 0.000111722 +*RES +1 *740:Y *741:A3 24.9606 +*END + +*D_NET *194 0.00131586 +*CONN +*I *743:B2 I *D sky130_fd_sc_hd__o22ai_2 +*I *742:X O *D sky130_fd_sc_hd__a2bb2o_2 +*CAP +1 *743:B2 0.00031756 +2 *742:X 0.00031756 +3 *742:A1_N *743:B2 2.1846e-05 +4 *742:A2_N *743:B2 2.08274e-05 +5 *743:A2 *743:B2 5.98993e-05 +6 *843:D *743:B2 0.000465801 +7 *124:40 *743:B2 0.000112367 +*RES +1 *742:X *743:B2 28.1436 +*END + +*D_NET *195 0.00204141 +*CONN +*I *752:A2 I *D sky130_fd_sc_hd__a31o_2 +*I *746:A I *D sky130_fd_sc_hd__inv_2 +*I *745:X O *D sky130_fd_sc_hd__or3_2 +*CAP +1 *752:A2 1.60516e-05 +2 *746:A 9.49325e-05 +3 *745:X 0.00039199 +4 *195:13 0.000502975 +5 *746:A *752:A3 4.88469e-05 +6 *195:13 *752:A3 9.536e-05 +7 *195:13 *753:B1 0.000357105 +8 *195:13 *207:41 0 +9 *745:C *195:13 4.81015e-05 +10 *752:A1 *195:13 6.92705e-05 +11 *753:C1 *195:13 6.24956e-05 +12 *839:RESET_B *195:13 0.00017419 +13 *90:51 *746:A 2.95999e-05 +14 *90:51 *752:A2 0 +15 *146:5 *746:A 0.000131277 +16 *146:5 *195:13 1.92172e-05 +*RES +1 *745:X *195:13 30.6904 +2 *195:13 *746:A 12.3839 +3 *195:13 *752:A2 9.82786 +*END + +*D_NET *196 0.00378781 +*CONN +*I *750:B I *D sky130_fd_sc_hd__and4_2 +*I *747:B I *D sky130_fd_sc_hd__nand2_2 +*I *749:A2 I *D sky130_fd_sc_hd__o221a_2 +*I *755:A2 I *D sky130_fd_sc_hd__a311o_2 +*I *746:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *750:B 0.000235424 +2 *747:B 8.30016e-05 +3 *749:A2 0 +4 *755:A2 0 +5 *746:Y 0.000314216 +6 *196:25 0.000272206 +7 *196:12 0.000414679 +8 *196:9 0.000775115 +9 *747:B *747:A 0 +10 *747:B *197:7 0.000174803 +11 *747:B *208:11 0.000145722 +12 *196:12 *750:A 6.5545e-05 +13 *196:25 *750:A 3.44412e-06 +14 *196:25 *755:A3 2.1032e-05 +15 *196:25 *208:14 7.8293e-05 +16 *196:25 *209:22 5.93684e-05 +17 *840:D *747:B 6.92705e-05 +18 *855:D *750:B 7.92757e-06 +19 *855:D *196:9 4.12753e-05 +20 *855:RESET_B *196:9 0.000111081 +21 *855:RESET_B *196:12 0.00014899 +22 *855:RESET_B *196:25 3.98646e-06 +23 *126:37 *750:B 0.000313481 +24 *126:38 *196:12 3.31882e-05 +25 *126:61 *196:12 9.55049e-05 +26 *135:11 *196:9 0.000157469 +27 *162:13 *196:9 0.000162782 +*RES +1 *746:Y *196:9 24.8233 +2 *196:9 *196:12 11.7303 +3 *196:12 *755:A2 13.7491 +4 *196:12 *196:25 9.31204 +5 *196:25 *749:A2 9.24915 +6 *196:25 *747:B 12.9385 +7 *196:9 *750:B 13.3002 +*END + +*D_NET *197 0.0011647 +*CONN +*I *749:B2 I *D sky130_fd_sc_hd__o221a_2 +*I *748:A2 I *D sky130_fd_sc_hd__a21oi_2 +*I *747:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *749:B2 0 +2 *748:A2 0.000127552 +3 *747:Y 0.000169273 +4 *197:7 0.000296825 +5 *748:A2 *209:22 1.47046e-05 +6 *748:A2 *209:30 3.05758e-05 +7 *747:B *197:7 0.000174803 +8 *749:C1 *748:A2 0.000228593 +9 *840:D *197:7 0.000122378 +*RES +1 *747:Y *197:7 13.8789 +2 *197:7 *748:A2 13.3002 +3 *197:7 *749:B2 9.24915 +*END + +*D_NET *198 0.00309612 +*CONN +*I *752:B1 I *D sky130_fd_sc_hd__a31o_2 +*I *754:A I *D sky130_fd_sc_hd__or2_2 +*I *750:X O *D sky130_fd_sc_hd__and4_2 +*CAP +1 *752:B1 0.000120853 +2 *754:A 0.0003461 +3 *750:X 0.000161988 +4 *198:8 0.000628941 +5 *754:A *754:B 0.000102073 +6 *754:A *204:67 0 +7 *671:A *752:B1 0.00027329 +8 *671:B *754:A 1.44467e-05 +9 *671:B *198:8 1.09738e-05 +10 *686:A2 *752:B1 5.88657e-05 +11 *686:B1 *752:B1 1.65872e-05 +12 *753:A2 *754:A 0 +13 *838:D *754:A 0.000130777 +14 *115:26 *754:A 0 +15 *116:41 *752:B1 6.08467e-05 +16 *116:47 *752:B1 0.000107496 +17 *117:8 *198:8 2.33193e-05 +18 *117:20 *752:B1 0.000564236 +19 *126:30 *754:A 0.000101116 +20 *126:33 *198:8 0.000164815 +21 *127:32 *754:A 8.98943e-05 +22 *127:32 *198:8 8.37979e-05 +23 *146:5 *752:B1 3.57037e-05 +*RES +1 *750:X *198:8 17.4137 +2 *198:8 *754:A 24.7167 +3 *198:8 *752:B1 20.5732 +*END + +*D_NET *199 0.000744834 +*CONN +*I *752:A3 I *D sky130_fd_sc_hd__a31o_2 +*I *751:Y O *D sky130_fd_sc_hd__o21ai_2 +*CAP +1 *752:A3 0.000207711 +2 *751:Y 0.000207711 +3 *746:A *752:A3 4.88469e-05 +4 *839:D *752:A3 6.89449e-05 +5 *135:11 *752:A3 1.43055e-05 +6 *146:5 *752:A3 0.000101954 +7 *195:13 *752:A3 9.536e-05 +*RES +1 *751:Y *752:A3 32.9557 +*END + +*D_NET *200 0.000781979 +*CONN +*I *754:B I *D sky130_fd_sc_hd__or2_2 +*I *753:X O *D sky130_fd_sc_hd__o221a_2 +*CAP +1 *754:B 0.00022768 +2 *753:X 0.00022768 +3 *754:B *206:11 2.65831e-05 +4 *745:A *754:B 3.84001e-05 +5 *754:A *754:B 0.000102073 +6 *90:120 *754:B 3.14978e-05 +7 *115:26 *754:B 0.000128067 +*RES +1 *753:X *754:B 34.6215 +*END + +*D_NET *201 0.00969077 +*CONN +*I *759:A2 I *D sky130_fd_sc_hd__o31a_2 +*I *762:A2 I *D sky130_fd_sc_hd__o21a_2 +*I *761:A2 I *D sky130_fd_sc_hd__o31a_2 +*I *760:A2 I *D sky130_fd_sc_hd__o31a_2 +*I *772:B I *D sky130_fd_sc_hd__or3_2 +*I *780:C I *D sky130_fd_sc_hd__and3_2 +*I *778:A4 I *D sky130_fd_sc_hd__o41a_2 +*I *774:A3 I *D sky130_fd_sc_hd__o41a_2 +*I *776:A2 I *D sky130_fd_sc_hd__o311a_2 +*I *758:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *759:A2 0 +2 *762:A2 0.000146953 +3 *761:A2 8.23441e-06 +4 *760:A2 9.23389e-05 +5 *772:B 0.000159375 +6 *780:C 0.000304409 +7 *778:A4 0 +8 *774:A3 4.90535e-05 +9 *776:A2 0 +10 *758:X 0.000292045 +11 *201:76 0.000262198 +12 *201:63 0.000397361 +13 *201:58 0.000408253 +14 *201:35 0.000532676 +15 *201:27 0.000208315 +16 *201:17 0.000331524 +17 *201:11 0.000331784 +18 *201:8 0.000691023 +19 *760:A2 *760:A3 2.41483e-05 +20 *760:A2 *911:TE_B 1.09551e-05 +21 *760:A2 *225:96 4.91225e-06 +22 *762:A2 *762:A1 0.000128033 +23 *762:A2 *286:13 0.000203756 +24 *772:B *778:A2 6.08467e-05 +25 *774:A3 *243:20 1.91391e-05 +26 *780:C *778:A2 9.45864e-05 +27 *780:C *780:A 2.15141e-05 +28 *780:C *225:206 1.1246e-05 +29 *201:8 *225:69 2.4815e-05 +30 *201:8 *225:135 2.78316e-05 +31 *201:8 *250:9 0.000120962 +32 *201:8 *258:9 0.000169108 +33 *201:11 *222:77 3.84937e-05 +34 *201:58 *759:A3 1.90218e-05 +35 *201:58 *225:135 4.3116e-06 +36 *201:63 *760:A3 0.000213725 +37 *201:63 *225:96 0.000111708 +38 *201:63 *225:105 1.44467e-05 +39 *201:76 *762:A1 2.19276e-05 +40 *201:76 *225:105 5.65074e-05 +41 *383:DIODE *201:8 0.000118166 +42 *760:B1 *760:A2 6.50586e-05 +43 *766:B *201:58 6.49003e-05 +44 *772:C *772:B 7.02442e-06 +45 *773:A3 *780:C 6.8327e-05 +46 *775:D *201:8 9.84167e-06 +47 *775:D *201:11 3.61993e-05 +48 *776:A3 *201:17 0.000264572 +49 *810:A1 *201:58 9.89523e-05 +50 *823:A0 *201:27 0.000158371 +51 *826:A0 *760:A2 2.41274e-06 +52 *826:A0 *201:63 1.77537e-06 +53 *829:A0 *201:11 0.000109364 +54 *829:S *201:11 6.08467e-05 +55 *5:200 *201:11 0.000197281 +56 *5:204 *201:11 0.000213676 +57 *13:12 *761:A2 3.51086e-06 +58 *15:5 *762:A2 4.68822e-05 +59 *15:5 *201:63 7.14746e-05 +60 *15:5 *201:76 0.000195154 +61 *44:13 *201:58 9.82896e-06 +62 *44:27 *201:58 0.000156343 +63 *44:27 *201:63 0.000262402 +64 *44:31 *760:A2 0 +65 *44:31 *201:63 1.96574e-05 +66 *55:22 *774:A3 3.21402e-05 +67 *118:49 *201:11 1.84293e-05 +68 *118:49 *201:17 9.82896e-06 +69 *121:8 *780:C 0.000110477 +70 *121:13 *772:B 1.15389e-05 +71 *121:13 *201:17 6.50727e-05 +72 *121:13 *201:27 0.000381912 +73 *121:13 *201:35 1.65872e-05 +74 *122:47 *774:A3 9.46387e-05 +75 *160:43 *201:58 0.000490324 +76 *160:43 *201:63 0.000215753 +77 *166:38 *780:C 1.41976e-05 +78 *166:41 *780:C 0.000170577 +79 *166:52 *780:C 0.000199738 +*RES +1 *758:X *201:8 21.8422 +2 *201:8 *201:11 11.8786 +3 *201:11 *776:A2 9.24915 +4 *201:11 *201:17 4.05102 +5 *201:17 *774:A3 20.0811 +6 *201:17 *201:27 4.60562 +7 *201:27 *778:A4 9.24915 +8 *201:27 *201:35 1.30211 +9 *201:35 *780:C 27.6014 +10 *201:35 *772:B 11.6364 +11 *201:8 *201:58 12.438 +12 *201:58 *201:63 12.2103 +13 *201:63 *760:A2 16.1605 +14 *201:63 *201:76 4.2258 +15 *201:76 *761:A2 13.9421 +16 *201:76 *762:A2 18.3836 +17 *201:58 *759:A2 9.24915 +*END + +*D_NET *202 0.00176491 +*CONN +*I *773:B1 I *D sky130_fd_sc_hd__o311a_2 +*I *772:X O *D sky130_fd_sc_hd__or3_2 +*CAP +1 *773:B1 0.000420833 +2 *772:X 0.000420833 +3 *773:B1 *222:50 2.534e-05 +4 *773:B1 *243:20 5.74984e-05 +5 *773:B1 *307:6 0.000167615 +6 *773:B1 *312:15 0.000385928 +7 *351:DIODE *773:B1 0 +8 *773:A3 *773:B1 2.19549e-05 +9 *773:C1 *773:B1 5.10036e-05 +10 *825:A0 *773:B1 0.000113968 +11 *5:324 *773:B1 6.99331e-05 +12 *5:329 *773:B1 3.00073e-05 +*RES +1 *772:X *773:B1 40.9451 +*END + +*D_NET *203 0.000954705 +*CONN +*I *776:B1 I *D sky130_fd_sc_hd__o311a_2 +*I *775:X O *D sky130_fd_sc_hd__or4_2 +*CAP +1 *776:B1 0.00039058 +2 *775:X 0.00039058 +3 *776:B1 *781:A2 7.98425e-06 +4 *776:B1 *781:A3 1.00937e-05 +5 *756:B *776:B1 8.42898e-05 +6 *775:A *776:B1 3.61993e-05 +7 *781:B1 *776:B1 4.30017e-06 +8 *817:A0 *776:B1 0 +9 *55:22 *776:B1 2.29287e-05 +10 *65:10 *776:B1 5.22654e-06 +11 *164:28 *776:B1 2.52287e-06 +*RES +1 *775:X *776:B1 34.3512 +*END + +*D_NET *204 0.0306545 +*CONN +*I *847:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *844:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *845:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *848:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *843:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *851:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *836:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *834:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *835:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *840:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *842:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *852:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *837:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *853:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *850:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *849:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *838:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *839:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *854:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *806:A I *D sky130_fd_sc_hd__buf_2 +*I *855:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *846:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *841:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *856:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *942:Y O *D sky130_fd_sc_hd__clkinv_8 +*CAP +1 *847:CLK 0 +2 *844:CLK 0 +3 *845:CLK 0.000200803 +4 *848:CLK 5.41691e-05 +5 *843:CLK 0 +6 *851:CLK 0.000558095 +7 *836:CLK 4.68729e-05 +8 *834:CLK 0 +9 *835:CLK 0.000131755 +10 *840:CLK 2.69375e-05 +11 *842:CLK 0.00010348 +12 *852:CLK 0 +13 *837:CLK 0.00074318 +14 *853:CLK 0.000176336 +15 *850:CLK 8.30535e-06 +16 *849:CLK 1.82006e-05 +17 *838:CLK 0 +18 *839:CLK 0 +19 *854:CLK 0 +20 *806:A 0.0001844 +21 *855:CLK 6.77012e-05 +22 *846:CLK 0.000348601 +23 *841:CLK 0 +24 *856:CLK 0.000673077 +25 *942:Y 0.000113285 +26 *204:250 0.000286087 +27 *204:213 0.000410952 +28 *204:211 0.000456673 +29 *204:209 0.000862059 +30 *204:207 0.000485888 +31 *204:206 0.00109302 +32 *204:200 0.00125391 +33 *204:178 0.000851751 +34 *204:167 0.000868423 +35 *204:127 0.000921801 +36 *204:114 0.00157578 +37 *204:102 0.000934862 +38 *204:82 0.00157549 +39 *204:67 0.00187617 +40 *204:60 0.0011138 +41 *204:52 0.00021308 +42 *204:51 0.000318654 +43 *204:47 0.00119627 +44 *204:40 0.000720023 +45 *204:11 0.00107015 +46 *204:7 0.000745673 +47 *204:5 0.000254971 +48 *836:CLK *220:9 2.85274e-05 +49 *837:CLK *750:C 0.000231729 +50 *837:CLK *753:B2 0.000114955 +51 *848:CLK *221:12 2.41274e-06 +52 *851:CLK *711:A 8.84017e-05 +53 *855:CLK *750:A 2.65667e-05 +54 *204:47 *646:A 5.0317e-05 +55 *204:51 *646:A 9.29919e-05 +56 *204:51 *205:20 0.000101224 +57 *204:67 *750:C 3.31733e-05 +58 *204:67 *753:B2 0.000313195 +59 *204:82 *677:A1 4.41793e-05 +60 *204:82 *677:A2 0.000114584 +61 *204:82 *207:17 6.52652e-05 +62 *204:82 *212:7 1.46263e-05 +63 *204:114 *206:7 9.32179e-05 +64 *204:206 *221:12 8.2383e-06 +65 *204:207 *227:21 0 +66 *204:209 *711:A 0 +67 *204:213 *666:A1 0 +68 *204:213 *219:19 0 +69 *332:DIODE *204:82 1.61631e-05 +70 *333:DIODE *806:A 6.31664e-05 +71 *664:A2 *204:51 1.8706e-05 +72 *665:B2 *853:CLK 0.000191541 +73 *666:A2 *204:127 2.65831e-05 +74 *677:B1 *204:82 0.000158357 +75 *692:A *856:CLK 5.24081e-05 +76 *696:A1 *856:CLK 6.50586e-05 +77 *711:C *204:200 3.78294e-05 +78 *712:A1 *842:CLK 1.6352e-05 +79 *712:A1 *204:167 0.000157785 +80 *712:A1 *204:178 1.37921e-05 +81 *716:B2 *204:207 0.000203781 +82 *725:B1 *204:200 0.000153404 +83 *728:B1 *204:200 0.000210005 +84 *743:B1 *845:CLK 5.66868e-06 +85 *743:B1 *204:206 0.000145053 +86 *743:B1 *204:207 7.02001e-05 +87 *743:B1 *204:250 1.65078e-05 +88 *744:B2 *204:200 4.20662e-05 +89 *745:B *204:67 0 +90 *748:A1 *856:CLK 1.03403e-05 +91 *748:A1 *204:11 7.11697e-05 +92 *753:A2 *204:67 0.000162951 +93 *754:A *204:67 0 +94 *790:A *204:40 0.00021243 +95 *793:A *845:CLK 5.07314e-05 +96 *800:A *204:47 0 +97 *834:D *835:CLK 8.62555e-05 +98 *834:RESET_B *835:CLK 7.15593e-05 +99 *834:RESET_B *204:213 9.22013e-06 +100 *837:RESET_B *837:CLK 5.52256e-05 +101 *839:D *204:67 7.70295e-05 +102 *840:D *204:178 2.65831e-05 +103 *840:RESET_B *204:47 6.66538e-05 +104 *841:RESET_B *204:11 0 +105 *844:RESET_B *845:CLK 0 +106 *846:D *846:CLK 2.89269e-05 +107 *846:RESET_B *846:CLK 3.01634e-05 +108 *847:D *204:47 5.04829e-06 +109 *847:RESET_B *204:40 5.56461e-05 +110 *847:RESET_B *204:47 2.50864e-05 +111 *848:D *204:200 2.63247e-05 +112 *848:D *204:250 0 +113 *850:D *204:127 2.69064e-05 +114 *851:D *851:CLK 6.08467e-05 +115 *851:RESET_B *204:209 0 +116 *851:RESET_B *204:211 0 +117 *851:RESET_B *204:213 0 +118 *854:D *204:82 0.000250884 +119 *856:D *856:CLK 0.000171456 +120 *29:8 *853:CLK 0 +121 *29:8 *204:127 0 +122 *29:8 *204:209 0 +123 *38:8 *835:CLK 4.30017e-06 +124 *90:22 *846:CLK 0 +125 *90:24 *204:11 0 +126 *90:82 *204:167 8.06202e-05 +127 *90:155 *835:CLK 1.46079e-05 +128 *90:167 *204:213 0 +129 *90:179 *204:213 0 +130 *90:239 *204:5 0.000171288 +131 *90:245 *204:5 0.000102003 +132 *90:245 *204:40 6.50727e-05 +133 *112:13 *856:CLK 0.000280597 +134 *113:8 *856:CLK 2.33334e-05 +135 *115:26 *204:67 0.00016352 +136 *116:16 *837:CLK 4.88617e-05 +137 *117:8 *204:51 0.000149643 +138 *118:24 *204:200 0.000222149 +139 *126:11 *850:CLK 1.09551e-05 +140 *126:20 *853:CLK 6.77777e-06 +141 *126:20 *204:127 0.000116804 +142 *126:25 *204:127 1.87482e-05 +143 *126:30 *204:114 5.74686e-05 +144 *126:30 *204:127 2.63421e-05 +145 *126:33 *204:52 0.000144695 +146 *126:37 *855:CLK 5.97411e-05 +147 *126:37 *204:52 4.56831e-05 +148 *127:7 *850:CLK 0 +149 *127:32 *853:CLK 2.15184e-05 +150 *127:32 *204:51 4.87198e-05 +151 *127:32 *204:67 0 +152 *127:116 *853:CLK 0.000113583 +153 *137:10 *204:82 6.50586e-05 +154 *152:8 *856:CLK 0.000317707 +155 *155:20 *837:CLK 0.000200356 +156 *156:7 *856:CLK 0.000158027 +157 *156:7 *204:11 0.000409852 +158 *156:10 *204:47 0 +159 *156:10 *204:178 0 +160 *157:8 *204:178 0 +161 *157:12 *204:178 9.34396e-06 +162 *157:105 *845:CLK 0 +163 *171:43 *204:200 1.82679e-05 +164 *171:43 *204:206 1.71232e-05 +165 *172:8 *204:207 0.000197462 +166 *172:8 *204:209 5.41377e-05 +167 *180:10 *204:200 0 +*RES +1 *942:Y *204:5 12.7456 +2 *204:5 *204:7 4.5 +3 *204:7 *204:11 17.7294 +4 *204:11 *856:CLK 35.1331 +5 *204:11 *841:CLK 9.24915 +6 *204:7 *846:CLK 22.263 +7 *204:5 *204:40 5.16022 +8 *204:40 *204:47 17.4583 +9 *204:47 *204:51 10.0693 +10 *204:51 *204:52 4.60562 +11 *204:52 *855:CLK 11.0817 +12 *204:52 *204:60 4.5 +13 *204:60 *204:67 15.9561 +14 *204:67 *204:82 30.4309 +15 *204:82 *806:A 23.0201 +16 *204:82 *854:CLK 9.24915 +17 *204:67 *839:CLK 9.24915 +18 *204:67 *204:102 2.38721 +19 *204:102 *838:CLK 9.24915 +20 *204:102 *204:114 14.5161 +21 *204:114 *849:CLK 9.82786 +22 *204:114 *204:127 16.7887 +23 *204:127 *850:CLK 14.0506 +24 *204:127 *853:CLK 19.2091 +25 *204:60 *837:CLK 28.9959 +26 *204:51 *852:CLK 9.24915 +27 *204:47 *204:167 16.3786 +28 *204:167 *842:CLK 15.9964 +29 *204:167 *204:178 12.0412 +30 *204:178 *840:CLK 9.82786 +31 *204:178 *204:200 22.9403 +32 *204:200 *204:206 16.1949 +33 *204:206 *204:207 9.30653 +34 *204:207 *204:209 4.32351 +35 *204:209 *204:211 2.6625 +36 *204:211 *204:213 6.39977 +37 *204:213 *835:CLK 18.5201 +38 *204:213 *834:CLK 13.7491 +39 *204:211 *836:CLK 15.0271 +40 *204:209 *851:CLK 22.671 +41 *204:207 *843:CLK 13.7491 +42 *204:206 *204:250 1.00149 +43 *204:250 *848:CLK 15.0271 +44 *204:250 *845:CLK 18.5201 +45 *204:200 *844:CLK 9.24915 +46 *204:40 *847:CLK 9.24915 +*END + +*D_NET *205 0.00312228 +*CONN +*I *646:A I *D sky130_fd_sc_hd__inv_2 +*I *664:B1 I *D sky130_fd_sc_hd__a22o_2 +*I *753:B2 I *D sky130_fd_sc_hd__o221a_2 +*I *837:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *646:A 0.000108869 +2 *664:B1 2.26212e-05 +3 *753:B2 0.000321697 +4 *837:Q 0 +5 *205:20 0.000319452 +6 *205:4 0.000509659 +7 *753:B2 *753:B1 3.72254e-05 +8 *712:A2 *664:B1 1.29759e-05 +9 *712:A2 *753:B2 0.000158371 +10 *712:A2 *205:20 0.00027103 +11 *753:A2 *753:B2 3.75603e-05 +12 *837:CLK *753:B2 0.000114955 +13 *839:D *753:B2 7.50722e-05 +14 *116:16 *753:B2 0.000294805 +15 *116:20 *753:B2 1.83627e-05 +16 *127:32 *205:20 7.95572e-05 +17 *127:44 *646:A 8.5976e-05 +18 *127:44 *205:20 3.55179e-05 +19 *155:20 *664:B1 6.08467e-05 +20 *204:47 *646:A 5.0317e-05 +21 *204:51 *646:A 9.29919e-05 +22 *204:51 *205:20 0.000101224 +23 *204:67 *753:B2 0.000313195 +*RES +1 *837:Q *205:4 9.24915 +2 *205:4 *753:B2 29.1312 +3 *205:4 *205:20 9.27381 +4 *205:20 *664:B1 14.4725 +5 *205:20 *646:A 17.1444 +*END + +*D_NET *206 0.00588753 +*CONN +*I *673:A1 I *D sky130_fd_sc_hd__a22o_2 +*I *672:B1 I *D sky130_fd_sc_hd__o2bb2a_2 +*I *663:B1 I *D sky130_fd_sc_hd__a22o_2 +*I *672:A1_N I *D sky130_fd_sc_hd__o2bb2a_2 +*I *645:A I *D sky130_fd_sc_hd__inv_2 +*I *753:B1 I *D sky130_fd_sc_hd__o221a_2 +*I *838:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *673:A1 0.000192642 +2 *672:B1 0 +3 *663:B1 0.000233361 +4 *672:A1_N 0 +5 *645:A 3.5247e-05 +6 *753:B1 0.00041687 +7 *838:Q 4.53787e-05 +8 *206:42 0.000329404 +9 *206:36 0.000116205 +10 *206:33 0.000347581 +11 *206:11 0.000872965 +12 *206:7 0.000601003 +13 *663:B1 *663:A1 0.000148489 +14 *673:A1 *672:A2_N 5.92342e-05 +15 *673:A1 *673:A2 9.27195e-05 +16 *673:A1 *211:8 0.000114955 +17 *206:33 *672:A2_N 5.92192e-05 +18 *206:42 *663:A1 2.29454e-05 +19 *206:42 *211:18 9.82435e-05 +20 *663:A2 *663:B1 1.67329e-05 +21 *673:B2 *673:A1 0.000146645 +22 *745:A *753:B1 6.1028e-05 +23 *745:B *753:B1 0.000199884 +24 *745:C *645:A 0 +25 *745:C *753:B1 6.92705e-05 +26 *751:A1 *753:B1 1.86988e-05 +27 *751:A2 *753:B1 0.000107496 +28 *753:B2 *753:B1 3.72254e-05 +29 *753:C1 *753:B1 5.41227e-05 +30 *754:B *206:11 2.65831e-05 +31 *798:A *753:B1 2.88836e-05 +32 *838:RESET_B *206:11 0.000100489 +33 *838:RESET_B *206:33 1.78514e-05 +34 *839:RESET_B *753:B1 1.1246e-05 +35 *853:D *663:B1 7.98425e-06 +36 *90:110 *663:B1 0.00031994 +37 *90:114 *206:11 0.000103943 +38 *90:120 *753:B1 1.00937e-05 +39 *90:120 *206:11 0.000104887 +40 *115:26 *753:B1 7.35836e-08 +41 *126:30 *673:A1 0 +42 *126:30 *206:7 0.000122392 +43 *126:30 *206:33 0 +44 *132:9 *673:A1 7.66539e-05 +45 *132:9 *206:33 8.62625e-06 +46 *195:13 *753:B1 0.000357105 +47 *204:114 *206:7 9.32179e-05 +*RES +1 *838:Q *206:7 16.691 +2 *206:7 *206:11 16.205 +3 *206:11 *753:B1 32.485 +4 *206:11 *645:A 10.2378 +5 *206:7 *206:33 3.493 +6 *206:33 *206:36 4.84964 +7 *206:36 *672:A1_N 9.24915 +8 *206:36 *206:42 3.31557 +9 *206:42 *663:B1 17.2065 +10 *206:42 *672:B1 9.24915 +11 *206:33 *673:A1 20.4599 +*END + +*D_NET *207 0.00297805 +*CONN +*I *644:A I *D sky130_fd_sc_hd__inv_2 +*I *675:A1_N I *D sky130_fd_sc_hd__o2bb2a_2 +*I *662:B1 I *D sky130_fd_sc_hd__a22o_2 +*I *670:A I *D sky130_fd_sc_hd__nor2_2 +*I *677:A1 I *D sky130_fd_sc_hd__a21oi_2 +*I *839:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *644:A 0 +2 *675:A1_N 4.78175e-05 +3 *662:B1 7.01979e-05 +4 *670:A 0.000162084 +5 *677:A1 0.00011824 +6 *839:Q 0 +7 *207:41 0.000227831 +8 *207:21 0.000410295 +9 *207:17 0.000502928 +10 *207:4 0.000263142 +11 *662:B1 *212:14 4.38386e-05 +12 *670:A *670:B 2.50842e-05 +13 *677:A1 *677:A2 0.000111802 +14 *677:A1 *212:7 4.58003e-05 +15 *662:A2 *207:41 0 +16 *662:B2 *670:A 0.000351506 +17 *662:B2 *207:21 7.24048e-05 +18 *745:C *207:21 2.36494e-05 +19 *745:C *207:41 6.23338e-05 +20 *854:D *670:A 0.000205006 +21 *130:8 *662:B1 0 +22 *135:11 *675:A1_N 2.7837e-05 +23 *144:18 *675:A1_N 9.68043e-05 +24 *195:13 *207:41 0 +25 *204:82 *677:A1 4.41793e-05 +26 *204:82 *207:17 6.52652e-05 +*RES +1 *839:Q *207:4 9.24915 +2 *207:4 *677:A1 13.2037 +3 *207:4 *207:17 6.88721 +4 *207:17 *207:21 8.7192 +5 *207:21 *670:A 14.8434 +6 *207:21 *662:B1 19.6659 +7 *207:17 *207:41 8.82351 +8 *207:41 *675:A1_N 11.2626 +9 *207:41 *644:A 9.24915 +*END + +*D_NET *208 0.00556179 +*CONN +*I *747:A I *D sky130_fd_sc_hd__nand2_2 +*I *749:A1 I *D sky130_fd_sc_hd__o221a_2 +*I *691:A1_N I *D sky130_fd_sc_hd__o2bb2a_2 +*I *669:A1 I *D sky130_fd_sc_hd__a21o_2 +*I *661:B1 I *D sky130_fd_sc_hd__a22o_2 +*I *668:A I *D sky130_fd_sc_hd__nor2_2 +*I *755:A1 I *D sky130_fd_sc_hd__a311o_2 +*I *750:A I *D sky130_fd_sc_hd__and4_2 +*I *840:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *747:A 1.33251e-05 +2 *749:A1 0 +3 *691:A1_N 0 +4 *669:A1 0 +5 *661:B1 0.000148653 +6 *668:A 3.02589e-05 +7 *755:A1 0 +8 *750:A 0.000278899 +9 *840:Q 0.00010876 +10 *208:52 0.000505524 +11 *208:49 0.000423396 +12 *208:42 0.000251613 +13 *208:30 0.000195055 +14 *208:14 0.000453638 +15 *208:11 0.000254924 +16 *208:7 0.000242496 +17 *208:11 *209:30 0 +18 *208:14 *209:8 0.000163567 +19 *208:30 *209:11 9.81288e-06 +20 *208:42 *209:11 0.000110458 +21 *208:49 *691:A2_N 7.26748e-05 +22 *208:52 *668:B 3.8485e-05 +23 clockp[1] *661:B1 0.000225835 +24 *691:B1 *208:49 0.000118017 +25 *691:B1 *208:52 2.16355e-05 +26 *747:B *747:A 0 +27 *747:B *208:11 0.000145722 +28 *755:B1 *208:30 3.52355e-05 +29 *755:B1 *208:42 3.42102e-05 +30 *755:C1 *208:30 1.7012e-06 +31 *840:D *208:7 0.000120742 +32 *840:D *208:11 4.82966e-05 +33 *855:CLK *750:A 2.65667e-05 +34 *90:58 *208:42 1.65872e-05 +35 *116:16 *750:A 0.000108029 +36 *116:16 *208:14 2.24632e-05 +37 *126:37 *750:A 1.00937e-05 +38 *126:61 *750:A 3.20069e-06 +39 *126:87 *208:49 1.59966e-05 +40 *127:54 *208:30 0.000118636 +41 *127:54 *208:42 0.000474608 +42 *127:54 *208:49 0.000211961 +43 *127:55 *661:B1 0 +44 *128:5 *208:52 8.85759e-05 +45 *135:11 *208:42 0.000140251 +46 *135:11 *208:49 8.3864e-05 +47 *135:19 *208:49 1.41976e-05 +48 *155:20 *668:A 2.16355e-05 +49 *155:20 *208:52 4.91225e-06 +50 *196:12 *750:A 6.5545e-05 +51 *196:25 *750:A 3.44412e-06 +52 *196:25 *208:14 7.8293e-05 +*RES +1 *840:Q *208:7 12.7697 +2 *208:7 *208:11 3.52053 +3 *208:11 *208:14 8.82351 +4 *208:14 *750:A 20.7599 +5 *208:14 *208:30 6.35672 +6 *208:30 *755:A1 9.24915 +7 *208:30 *208:42 8.1382 +8 *208:42 *208:49 13.6465 +9 *208:49 *208:52 5.59426 +10 *208:52 *668:A 9.97254 +11 *208:52 *661:B1 22.1574 +12 *208:49 *669:A1 9.24915 +13 *208:42 *691:A1_N 9.24915 +14 *208:11 *749:A1 9.24915 +15 *208:7 *747:A 9.82786 +*END + +*D_NET *209 0.00579962 +*CONN +*I *750:C I *D sky130_fd_sc_hd__and4_2 +*I *642:A I *D sky130_fd_sc_hd__inv_2 +*I *658:B1 I *D sky130_fd_sc_hd__a22o_2 +*I *690:A1 I *D sky130_fd_sc_hd__a22o_2 +*I *749:B1 I *D sky130_fd_sc_hd__o221a_2 +*I *755:A3 I *D sky130_fd_sc_hd__a311o_2 +*I *841:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *750:C 0.000297799 +2 *642:A 0.000202998 +3 *658:B1 0 +4 *690:A1 0.000264827 +5 *749:B1 0 +6 *755:A3 2.73514e-05 +7 *841:Q 0.000216455 +8 *209:34 0.000283815 +9 *209:30 0.000514235 +10 *209:22 0.000377426 +11 *209:11 0.000161436 +12 *209:8 0.000563163 +13 *642:A *643:A 3.66465e-05 +14 *642:A *690:A2 3.5534e-06 +15 *642:A *942:A 0 +16 *642:A *214:8 3.1709e-05 +17 *658:A2 *642:A 2.95757e-05 +18 *658:A2 *690:A1 6.37047e-05 +19 *658:A2 *209:30 6.08467e-05 +20 *658:A2 *209:34 3.02961e-05 +21 *658:B2 *690:A1 3.96305e-06 +22 *658:B2 *209:34 1.09551e-05 +23 *690:B1 *690:A1 4.17481e-05 +24 *690:B2 *690:A1 4.69454e-05 +25 *693:A1 *690:A1 0 +26 *748:A2 *209:22 1.47046e-05 +27 *748:A2 *209:30 3.05758e-05 +28 *748:B1 *209:30 2.57986e-05 +29 *749:C1 *209:30 0.000344708 +30 *755:B1 *750:C 4.76794e-05 +31 *755:B1 *209:11 0.000111352 +32 *800:A *750:C 5.23435e-05 +33 *800:A *209:8 5.79027e-06 +34 *837:RESET_B *750:C 9.43419e-05 +35 *837:CLK *750:C 0.000231729 +36 *841:D *642:A 2.0517e-05 +37 *855:RESET_B *755:A3 5.23577e-05 +38 *855:RESET_B *209:22 2.219e-05 +39 *90:24 *209:8 0 +40 *90:24 *209:22 0.000148144 +41 *90:58 *750:C 0.000160047 +42 *90:58 *209:11 0.000253367 +43 *90:70 *750:C 4.66492e-05 +44 *112:8 *642:A 0.000195154 +45 *116:5 *750:C 0.000157107 +46 *116:16 *750:C 1.90679e-05 +47 *127:32 *750:C 0 +48 *127:90 *690:A1 2.6813e-05 +49 *127:90 *209:30 1.92172e-05 +50 *127:90 *209:34 5.31074e-05 +51 *196:25 *755:A3 2.1032e-05 +52 *196:25 *209:22 5.93684e-05 +53 *204:67 *750:C 3.31733e-05 +54 *208:11 *209:30 0 +55 *208:14 *209:8 0.000163567 +56 *208:30 *209:11 9.81288e-06 +57 *208:42 *209:11 0.000110458 +*RES +1 *841:Q *209:8 23.4032 +2 *209:8 *209:11 7.44181 +3 *209:11 *755:A3 14.7506 +4 *209:11 *209:22 7.75257 +5 *209:22 *749:B1 9.24915 +6 *209:22 *209:30 8.50593 +7 *209:30 *209:34 1.30211 +8 *209:34 *690:A1 16.893 +9 *209:34 *658:B1 9.24915 +10 *209:30 *642:A 24.6489 +11 *209:8 *750:C 28.2819 +*END + +*D_NET *210 0.00160652 +*CONN +*I *664:A1 I *D sky130_fd_sc_hd__a22o_2 +*I *647:A I *D sky130_fd_sc_hd__inv_2 +*I *852:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *664:A1 6.82194e-05 +2 *647:A 0 +3 *852:Q 0.000402591 +4 *210:10 0.00047081 +5 *664:A2 *664:A1 1.67329e-05 +6 *664:A2 *210:10 0 +7 *712:A2 *664:A1 0.000157962 +8 *785:A *664:A1 1.63255e-05 +9 *842:D *210:10 7.58194e-05 +10 *852:D *210:10 0 +11 *852:RESET_B *210:10 3.58457e-05 +12 *155:20 *664:A1 0.000362216 +*RES +1 *852:Q *210:10 28.0116 +2 *210:10 *647:A 9.24915 +3 *210:10 *664:A1 13.8789 +*END + +*D_NET *211 0.00233987 +*CONN +*I *673:A2 I *D sky130_fd_sc_hd__a22o_2 +*I *663:A1 I *D sky130_fd_sc_hd__a22o_2 +*I *672:B2 I *D sky130_fd_sc_hd__o2bb2a_2 +*I *672:A2_N I *D sky130_fd_sc_hd__o2bb2a_2 +*I *853:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *673:A2 0.000136004 +2 *663:A1 0.000257246 +3 *672:B2 0 +4 *672:A2_N 5.10461e-05 +5 *853:Q 2.91477e-05 +6 *211:18 0.000339109 +7 *211:8 0.000211326 +8 *211:5 0.000243568 +9 *663:A2 *663:A1 5.94977e-06 +10 *663:B1 *663:A1 0.000148489 +11 *673:A1 *672:A2_N 5.92342e-05 +12 *673:A1 *673:A2 9.27195e-05 +13 *673:A1 *211:8 0.000114955 +14 *853:D *663:A1 0.000171273 +15 *853:RESET_B *663:A1 6.78596e-05 +16 *853:RESET_B *211:8 0 +17 *126:11 *663:A1 0 +18 *127:7 *663:A1 3.01683e-06 +19 *127:32 *673:A2 0.000110342 +20 *127:32 *211:5 0.00011818 +21 *206:33 *672:A2_N 5.92192e-05 +22 *206:42 *663:A1 2.29454e-05 +23 *206:42 *211:18 9.82435e-05 +*RES +1 *853:Q *211:5 10.5271 +2 *211:5 *211:8 6.74725 +3 *211:8 *672:A2_N 15.5811 +4 *211:8 *211:18 6.88721 +5 *211:18 *672:B2 9.24915 +6 *211:18 *663:A1 17.6164 +7 *211:5 *673:A2 14.1019 +*END + +*D_NET *212 0.00258108 +*CONN +*I *675:A2_N I *D sky130_fd_sc_hd__o2bb2a_2 +*I *677:A2 I *D sky130_fd_sc_hd__a21oi_2 +*I *662:A1 I *D sky130_fd_sc_hd__a22o_2 +*I *670:B I *D sky130_fd_sc_hd__nor2_2 +*I *854:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *675:A2_N 0.000107604 +2 *677:A2 1.8268e-05 +3 *662:A1 0 +4 *670:B 0.000164325 +5 *854:Q 0.000315325 +6 *212:33 0.000258488 +7 *212:14 0.000308097 +8 *212:7 0.000591712 +9 *662:A2 *670:B 9.2172e-05 +10 *662:A2 *675:A2_N 0 +11 *662:A2 *212:14 4.4647e-05 +12 *662:A2 *212:33 1.6594e-05 +13 *662:B1 *212:14 4.38386e-05 +14 *662:B2 *670:B 9.79132e-05 +15 *670:A *670:B 2.50842e-05 +16 *677:A1 *677:A2 0.000111802 +17 *677:A1 *212:7 4.58003e-05 +18 *677:B1 *212:7 3.024e-05 +19 *854:D *670:B 1.00937e-05 +20 *854:D *212:7 5.04829e-06 +21 *130:8 *670:B 0.000164815 +22 *204:82 *677:A2 0.000114584 +23 *204:82 *212:7 1.46263e-05 +*RES +1 *854:Q *212:7 18.3548 +2 *212:7 *212:14 8.06628 +3 *212:14 *670:B 15.0122 +4 *212:14 *662:A1 9.24915 +5 *212:7 *212:33 2.88234 +6 *212:33 *677:A2 15.0271 +7 *212:33 *675:A2_N 15.9964 +*END + +*D_NET *213 0.0027532 +*CONN +*I *691:A2_N I *D sky130_fd_sc_hd__o2bb2a_2 +*I *669:A2 I *D sky130_fd_sc_hd__a21o_2 +*I *668:B I *D sky130_fd_sc_hd__nor2_2 +*I *661:A1 I *D sky130_fd_sc_hd__a22o_2 +*I *855:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *691:A2_N 0.000147175 +2 *669:A2 0 +3 *668:B 8.27281e-05 +4 *661:A1 0.000292764 +5 *855:Q 0 +6 *213:27 0.000167823 +7 *213:16 0.000210964 +8 *213:4 0.000400351 +9 *661:A2 *661:A1 2.02722e-05 +10 *661:B2 *661:A1 1.88157e-05 +11 *691:B1 *668:B 5.08751e-05 +12 *691:B1 *691:A2_N 5.70488e-06 +13 *691:B1 *213:27 1.37566e-05 +14 *855:D *661:A1 9.91804e-05 +15 *855:D *213:16 2.41483e-05 +16 *39:11 *213:16 2.7961e-05 +17 *39:11 *213:27 2.41999e-06 +18 *126:65 *213:16 8.62625e-06 +19 *126:87 *691:A2_N 9.78191e-05 +20 *126:87 *213:16 0.000109859 +21 *126:87 *213:27 5.77203e-05 +22 *127:54 *691:A2_N 0.000161243 +23 *128:5 *668:B 0.000217923 +24 *155:20 *668:B 0.000423908 +25 *208:49 *691:A2_N 7.26748e-05 +26 *208:52 *668:B 3.8485e-05 +*RES +1 *855:Q *213:4 9.24915 +2 *213:4 *661:A1 14.6987 +3 *213:4 *213:16 7.47064 +4 *213:16 *668:B 18.9335 +5 *213:16 *213:27 1.00149 +6 *213:27 *669:A2 13.7491 +7 *213:27 *691:A2_N 17.4137 +*END + +*D_NET *214 0.00284633 +*CONN +*I *643:A I *D sky130_fd_sc_hd__inv_2 +*I *658:A1 I *D sky130_fd_sc_hd__a22o_2 +*I *690:A2 I *D sky130_fd_sc_hd__a22o_2 +*I *856:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *643:A 0.000222208 +2 *658:A1 0 +3 *690:A2 0.000469408 +4 *856:Q 0.000138913 +5 *214:8 0.000589648 +6 *214:7 0.000481362 +7 *643:A *942:A 0 +8 *325:DIODE *643:A 2.15184e-05 +9 *642:A *643:A 3.66465e-05 +10 *642:A *690:A2 3.5534e-06 +11 *642:A *214:8 3.1709e-05 +12 *658:A2 *690:A2 2.05342e-06 +13 *659:B *643:A 6.50586e-05 +14 *690:B2 *690:A2 1.16623e-05 +15 *692:A *690:A2 2.63201e-05 +16 *693:A2 *690:A2 6.50586e-05 +17 *693:B1_N *690:A2 0 +18 *856:D *214:7 5.04829e-06 +19 *4:10 *643:A 0 +20 *11:10 *643:A 1.77537e-06 +21 *39:11 *643:A 0.000193093 +22 *39:11 *690:A2 1.91391e-05 +23 *39:11 *214:8 0.000261984 +24 *112:8 *643:A 8.62625e-06 +25 *112:8 *214:8 0.000191541 +*RES +1 *856:Q *214:7 15.5817 +2 *214:7 *214:8 5.15401 +3 *214:8 *690:A2 23.3831 +4 *214:8 *658:A1 13.7491 +5 *214:7 *643:A 20.4599 +*END + +*D_NET *215 0.00132566 +*CONN +*I *835:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *834:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *835:D 0.000619731 +2 *834:Q 0.000619731 +3 *834:D *835:D 5.88662e-05 +4 *834:RESET_B *835:D 2.73369e-05 +5 *835:RESET_B *835:D 0 +*RES +1 *834:Q *835:D 37.6788 +*END + +*D_NET *216 0.00178966 +*CONN +*I *656:B1 I *D sky130_fd_sc_hd__a2bb2o_2 +*I *656:A1_N I *D sky130_fd_sc_hd__a2bb2o_2 +*I *836:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *835:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *656:B1 0 +2 *656:A1_N 0 +3 *836:D 0.000391017 +4 *835:Q 0.000248966 +5 *216:15 0.000511651 +6 *216:9 0.0003696 +7 *836:D *217:9 0 +8 *836:D *220:9 4.30017e-06 +9 *216:9 *217:9 2.65667e-05 +10 *216:15 *656:A2_N 9.07495e-05 +11 *216:15 *217:9 2.41483e-05 +12 *90:179 *836:D 0.000117613 +13 *126:11 *836:D 5.04829e-06 +*RES +1 *835:Q *216:9 24.1266 +2 *216:9 *216:15 3.80989 +3 *216:15 *836:D 27.5052 +4 *216:15 *656:A1_N 9.24915 +5 *216:9 *656:B1 9.24915 +*END + +*D_NET *217 0.000554581 +*CONN +*I *656:B2 I *D sky130_fd_sc_hd__a2bb2o_2 +*I *656:A2_N I *D sky130_fd_sc_hd__a2bb2o_2 +*I *836:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *656:B2 0 +2 *656:A2_N 6.68917e-05 +3 *836:Q 0.000139666 +4 *217:9 0.000206558 +5 *836:D *217:9 0 +6 *216:9 *217:9 2.65667e-05 +7 *216:15 *656:A2_N 9.07495e-05 +8 *216:15 *217:9 2.41483e-05 +*RES +1 *836:Q *217:9 21.7744 +2 *217:9 *656:A2_N 11.6605 +3 *217:9 *656:B2 9.24915 +*END + +*D_NET *218 0.00287759 +*CONN +*I *666:B1 I *D sky130_fd_sc_hd__a22o_2 +*I *704:D I *D sky130_fd_sc_hd__and4_2 +*I *667:A I *D sky130_fd_sc_hd__or2_2 +*I *849:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *666:B1 0 +2 *704:D 0.000182145 +3 *667:A 0.000565928 +4 *849:Q 0 +5 *218:19 0.000329724 +6 *218:4 0.000713507 +7 *704:D *665:A1 6.50727e-05 +8 *704:D *665:B1 6.50727e-05 +9 *218:19 *666:A1 3.14978e-05 +10 *666:A2 *218:19 0.000246924 +11 *666:B2 *704:D 0.00017046 +12 *667:B *667:A 0.000175485 +13 *29:8 *667:A 0 +14 *29:8 *704:D 0.000172165 +15 *90:134 *667:A 4.82966e-05 +16 *90:142 *667:A 8.07303e-05 +17 *90:167 *218:19 0 +18 *126:20 *667:A 3.67528e-06 +19 *126:25 *667:A 1.82832e-05 +20 *127:129 *704:D 8.62625e-06 +*RES +1 *849:Q *218:4 9.24915 +2 *218:4 *667:A 29.4208 +3 *218:4 *218:19 4.62973 +4 *218:19 *704:D 25.7876 +5 *218:19 *666:B1 9.24915 +*END + +*D_NET *219 0.00245493 +*CONN +*I *704:A I *D sky130_fd_sc_hd__and4_2 +*I *665:A1 I *D sky130_fd_sc_hd__a22o_2 +*I *666:A1 I *D sky130_fd_sc_hd__a22o_2 +*I *850:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *704:A 2.48228e-05 +2 *665:A1 0.000213143 +3 *666:A1 0.000199794 +4 *850:Q 0.000222153 +5 *219:19 0.000383337 +6 *219:7 0.000567318 +7 *665:A1 *665:B1 4.98561e-05 +8 *665:A1 *220:9 1.00846e-05 +9 *704:A *220:9 1.43983e-05 +10 *666:B2 *666:A1 9.2346e-06 +11 *666:B2 *219:19 0.000108726 +12 *704:B *665:A1 6.50727e-05 +13 *704:D *665:A1 6.50727e-05 +14 *834:RESET_B *666:A1 3.20069e-06 +15 *850:RESET_B *666:A1 7.86847e-05 +16 *126:11 *219:7 7.88559e-05 +17 *127:116 *665:A1 0.000107041 +18 *127:129 *665:A1 0.000222631 +19 *204:213 *666:A1 0 +20 *204:213 *219:19 0 +21 *218:19 *666:A1 3.14978e-05 +*RES +1 *850:Q *219:7 17.2456 +2 *219:7 *666:A1 18.523 +3 *219:7 *219:19 8.82351 +4 *219:19 *665:A1 16.0973 +5 *219:19 *704:A 9.97254 +*END + +*D_NET *220 0.00204244 +*CONN +*I *704:C I *D sky130_fd_sc_hd__and4_2 +*I *665:B1 I *D sky130_fd_sc_hd__a22o_2 +*I *851:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *704:C 0 +2 *665:B1 0.000202872 +3 *851:Q 0.000475899 +4 *220:9 0.000678771 +5 *665:A1 *665:B1 4.98561e-05 +6 *665:A1 *220:9 1.00846e-05 +7 *704:A *220:9 1.43983e-05 +8 *704:B *665:B1 1.41976e-05 +9 *704:B *220:9 2.15348e-05 +10 *704:D *665:B1 6.50727e-05 +11 *712:C1 *665:B1 0.000111708 +12 *836:D *220:9 4.30017e-06 +13 *836:CLK *220:9 2.85274e-05 +14 *851:RESET_B *220:9 7.50872e-05 +15 *90:179 *220:9 0 +16 *127:116 *665:B1 5.61116e-05 +17 *127:129 *665:B1 0.000234021 +*RES +1 *851:Q *220:9 25.9353 +2 *220:9 *665:B1 15.5427 +3 *220:9 *704:C 9.24915 +*END + +*D_NET *221 0.00624236 +*CONN +*I *705:B I *D sky130_fd_sc_hd__or2_2 +*I *778:A2 I *D sky130_fd_sc_hd__o41a_2 +*I *737:A0 I *D sky130_fd_sc_hd__mux2_1 +*I *652:A I *D sky130_fd_sc_hd__inv_2 +*I *718:A1 I *D sky130_fd_sc_hd__a22o_2 +*I *741:B1 I *D sky130_fd_sc_hd__a32o_2 +*I *844:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *705:B 9.55995e-05 +2 *778:A2 0.000253173 +3 *737:A0 4.45933e-05 +4 *652:A 7.51092e-05 +5 *718:A1 0 +6 *741:B1 5.90433e-06 +7 *844:Q 0.000548964 +8 *221:55 0.000612957 +9 *221:46 0.000376928 +10 *221:33 0.000312568 +11 *221:23 0.00026528 +12 *221:12 0.000650839 +13 *705:B *780:A 1.05504e-05 +14 *778:A2 *222:50 8.47153e-05 +15 *778:A2 *225:187 2.88179e-05 +16 *778:A2 *225:206 0 +17 *221:55 *873:A 4.86366e-05 +18 *221:55 *225:33 2.50181e-05 +19 *221:55 *225:187 1.74552e-05 +20 *706:A *778:A2 6.34599e-05 +21 *706:A *221:55 2.25867e-05 +22 *718:A2 *221:33 0 +23 *718:B1 *221:33 8.90486e-05 +24 *718:B1 *221:46 0 +25 *718:B2 *221:23 5.22654e-06 +26 *718:B2 *221:33 6.08467e-05 +27 *736:B *741:B1 2.43387e-05 +28 *737:A1 *221:33 0.000122098 +29 *737:S *652:A 3.82228e-05 +30 *738:B1 *221:23 7.14746e-05 +31 *738:B1 *221:33 1.00981e-05 +32 *738:C1 *221:33 0.000113374 +33 *743:B1 *221:12 0.000189542 +34 *772:A *778:A2 2.26567e-05 +35 *772:B *778:A2 6.08467e-05 +36 *773:A3 *778:A2 4.79289e-05 +37 *778:A1 *778:A2 1.65872e-05 +38 *780:C *778:A2 9.45864e-05 +39 *809:A0 *652:A 0.000373061 +40 *809:A0 *737:A0 6.08467e-05 +41 *848:CLK *221:12 2.41274e-06 +42 *29:8 *221:12 3.54287e-05 +43 *29:8 *221:23 3.61659e-05 +44 *29:8 *221:33 2.08194e-05 +45 *29:8 *221:46 3.51288e-06 +46 *29:8 *221:55 0 +47 *121:8 *778:A2 0.00011191 +48 *121:13 *778:A2 1.41976e-05 +49 *122:7 *652:A 6.44576e-05 +50 *122:8 *221:33 1.55462e-05 +51 *122:38 *221:33 9.22013e-06 +52 *122:38 *221:46 4.3937e-05 +53 *122:38 *221:55 0.000362153 +54 *157:73 *221:33 0.000122972 +55 *157:98 *221:33 0.000193069 +56 *164:17 *778:A2 2.47663e-05 +57 *170:63 *221:12 0.000139968 +58 *170:63 *221:23 4.33979e-05 +59 *173:11 *741:B1 2.43387e-05 +60 *173:26 *221:23 2.19131e-05 +61 *204:206 *221:12 8.2383e-06 +*RES +1 *844:Q *221:12 24.1395 +2 *221:12 *741:B1 14.0385 +3 *221:12 *221:23 7.57775 +4 *221:23 *718:A1 9.24915 +5 *221:23 *221:33 12.0681 +6 *221:33 *652:A 17.8002 +7 *221:33 *221:46 1.90527 +8 *221:46 *737:A0 14.4725 +9 *221:46 *221:55 8.06078 +10 *221:55 *778:A2 22.9486 +11 *221:55 *705:B 15.778 +*END + +*D_NET *222 0.0156958 +*CONN +*I *739:A1_N I *D sky130_fd_sc_hd__o2bb2a_2 +*I *739:B1 I *D sky130_fd_sc_hd__o2bb2a_2 +*I *771:A1 I *D sky130_fd_sc_hd__o311a_2 +*I *774:A1 I *D sky130_fd_sc_hd__o41a_2 +*I *769:A3 I *D sky130_fd_sc_hd__a31o_2 +*I *757:A I *D sky130_fd_sc_hd__or2_2 +*I *760:A3 I *D sky130_fd_sc_hd__o31a_2 +*I *764:A4 I *D sky130_fd_sc_hd__o41a_2 +*I *781:A3 I *D sky130_fd_sc_hd__o31a_2 +*I *651:A I *D sky130_fd_sc_hd__inv_2 +*I *705:A I *D sky130_fd_sc_hd__or2_2 +*I *845:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *739:A1_N 0 +2 *739:B1 0 +3 *771:A1 0 +4 *774:A1 0 +5 *769:A3 0.000378695 +6 *757:A 0 +7 *760:A3 5.87549e-05 +8 *764:A4 5.93685e-05 +9 *781:A3 0.000113709 +10 *651:A 7.01605e-05 +11 *705:A 0.000114535 +12 *845:Q 0.000588445 +13 *222:90 0.000187972 +14 *222:79 0.000327452 +15 *222:77 0.00138472 +16 *222:61 0.00111297 +17 *222:59 0.000475944 +18 *222:50 0.000640712 +19 *222:32 0.000647857 +20 *222:18 0.000965078 +21 *222:13 0.000618973 +22 *222:11 0.000637697 +23 *760:A3 *225:96 4.82966e-05 +24 *764:A4 *764:A2 0.000160617 +25 *764:A4 *764:A3 0.000111708 +26 *769:A3 *725:A1 1.58897e-05 +27 *769:A3 *764:A2 1.44467e-05 +28 *769:A3 *223:23 9.95922e-06 +29 *769:A3 *223:28 8.70446e-05 +30 *769:A3 *223:35 0.000189419 +31 *769:A3 *223:64 0.000164911 +32 *769:A3 *225:54 4.43378e-05 +33 *769:A3 *225:69 8.75997e-05 +34 *769:A3 *225:135 4.57241e-06 +35 *222:18 *872:A 3.98412e-05 +36 *222:18 *874:A 0 +37 *222:18 *250:20 2.21161e-05 +38 *222:18 *252:6 2.69701e-05 +39 *222:18 *252:8 5.16418e-05 +40 *222:32 *866:TE 1.61631e-05 +41 *222:50 *243:20 0 +42 *222:61 *243:20 4.58897e-06 +43 *222:79 *763:A3 1.41853e-05 +44 *222:79 *764:A2 0.00050655 +45 *222:90 *225:96 4.70005e-05 +46 *344:DIODE *222:11 1.85917e-05 +47 *344:DIODE *222:13 6.54943e-05 +48 *706:A *222:18 0 +49 *706:A *222:32 0 +50 *709:A *651:A 1.03434e-05 +51 *718:B1 *222:18 0 +52 *739:A2_N *222:13 0.000119957 +53 *739:A2_N *222:18 5.56461e-05 +54 *739:B2 *222:13 6.92705e-05 +55 *739:B2 *222:18 0.00011818 +56 *760:A2 *760:A3 2.41483e-05 +57 *760:B1 *760:A3 0.00021569 +58 *763:A1 *222:77 0 +59 *763:A1 *222:79 3.57683e-05 +60 *763:B1 *222:79 1.65872e-05 +61 *764:A1 *764:A4 6.92705e-05 +62 *764:A1 *222:79 0.00030153 +63 *771:A2 *222:50 3.01683e-06 +64 *772:A *222:32 0 +65 *772:A *222:50 0.00019108 +66 *773:A3 *222:50 1.35282e-05 +67 *773:A3 *222:59 5.41227e-05 +68 *773:B1 *222:50 2.534e-05 +69 *774:A4 *222:59 8.62625e-06 +70 *775:D *222:77 0.000271058 +71 *776:B1 *781:A3 1.00937e-05 +72 *778:A2 *222:50 8.47153e-05 +73 *781:B1 *781:A3 0.000364342 +74 *792:A *222:11 2.29125e-05 +75 *793:A *222:11 1.00617e-05 +76 *815:A0 *222:50 6.50586e-05 +77 *815:A0 *222:59 2.22198e-05 +78 *829:A0 *222:77 3.71142e-05 +79 *829:A1 *222:77 0.000114523 +80 *831:S *769:A3 2.14842e-06 +81 *845:D *222:11 9.13202e-05 +82 *5:204 *222:77 3.83172e-05 +83 *5:213 *222:77 0.000158371 +84 *5:213 *222:79 6.50586e-05 +85 *5:329 *222:50 8.62625e-06 +86 *5:336 *222:18 0 +87 *5:336 *222:32 0 +88 *5:351 *769:A3 2.58616e-05 +89 *5:380 *222:18 0 +90 *15:5 *222:90 1.11594e-05 +91 *21:16 *222:50 4.82966e-05 +92 *21:16 *222:59 0.000393863 +93 *21:22 *222:59 7.77309e-06 +94 *43:8 *222:90 7.87416e-05 +95 *43:27 *764:A4 3.83336e-05 +96 *44:10 *769:A3 0.000175689 +97 *44:27 *760:A3 1.64789e-05 +98 *44:31 *760:A3 3.01723e-05 +99 *44:40 *222:90 8.62625e-06 +100 *55:22 *222:59 0 +101 *118:49 *222:77 0.000501057 +102 *118:93 *222:59 2.22198e-05 +103 *118:93 *222:61 1.12605e-05 +104 *119:25 *769:A3 0 +105 *121:8 *651:A 1.43848e-05 +106 *122:8 *222:18 0 +107 *122:38 *222:18 0 +108 *122:47 *781:A3 0.000170577 +109 *122:47 *222:61 0.000166385 +110 *160:35 *781:A3 0.000170577 +111 *160:35 *222:61 0.00022094 +112 *164:7 *705:A 9.19886e-06 +113 *164:20 *651:A 6.73186e-05 +114 *164:28 *781:A3 0.000421676 +115 *201:11 *222:77 3.84937e-05 +116 *201:63 *760:A3 0.000213725 +*RES +1 *845:Q *222:11 28.2247 +2 *222:11 *222:13 3.31557 +3 *222:13 *222:18 18.8218 +4 *222:18 *705:A 16.1364 +5 *222:18 *222:32 10.9589 +6 *222:32 *651:A 11.6605 +7 *222:32 *222:50 18.3898 +8 *222:50 *222:59 20.1086 +9 *222:59 *222:61 4.73876 +10 *222:61 *781:A3 21.4325 +11 *222:61 *222:77 21.2104 +12 *222:77 *222:79 6.82404 +13 *222:79 *764:A4 12.191 +14 *222:79 *222:90 12.493 +15 *222:90 *760:A3 13.3243 +16 *222:90 *757:A 9.24915 +17 *222:77 *769:A3 30.8363 +18 *222:59 *774:A1 13.7491 +19 *222:50 *771:A1 9.24915 +20 *222:13 *739:B1 9.24915 +21 *222:11 *739:A1_N 9.24915 +*END + +*D_NET *223 0.0114102 +*CONN +*I *781:A2 I *D sky130_fd_sc_hd__o31a_2 +*I *720:A1 I *D sky130_fd_sc_hd__a22o_2 +*I *650:A I *D sky130_fd_sc_hd__inv_2 +*I *707:B I *D sky130_fd_sc_hd__or2_2 +*I *769:A2 I *D sky130_fd_sc_hd__a31o_2 +*I *764:A2 I *D sky130_fd_sc_hd__o41a_2 +*I *763:A2 I *D sky130_fd_sc_hd__o41a_2 +*I *775:B I *D sky130_fd_sc_hd__or4_2 +*I *765:A2 I *D sky130_fd_sc_hd__o41a_2 +*I *767:A2 I *D sky130_fd_sc_hd__o31a_2 +*I *768:A2 I *D sky130_fd_sc_hd__a31o_2 +*I *735:B1 I *D sky130_fd_sc_hd__a32o_2 +*I *846:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *781:A2 0.000236341 +2 *720:A1 0.000245815 +3 *650:A 0 +4 *707:B 0 +5 *769:A2 0 +6 *764:A2 0.000271098 +7 *763:A2 0 +8 *775:B 0.000263027 +9 *765:A2 0 +10 *767:A2 0.000144563 +11 *768:A2 0 +12 *735:B1 0 +13 *846:Q 0.000420358 +14 *223:98 0.000495331 +15 *223:90 7.23604e-05 +16 *223:84 0.000121486 +17 *223:64 0.000411363 +18 *223:38 0.000357901 +19 *223:37 0.000476365 +20 *223:35 0.000235087 +21 *223:28 0.000296544 +22 *223:23 0.00023802 +23 *223:22 0.000281671 +24 *223:11 0.00060343 +25 *764:A2 *763:A3 6.08467e-05 +26 *767:A2 *250:9 0.000272947 +27 *775:B *258:9 0.0002646 +28 *223:22 *725:A1 0.000379516 +29 *223:22 *224:10 0.000213422 +30 *223:22 *224:21 0.000167593 +31 *223:23 *707:A 0 +32 *223:38 *258:9 0.000300565 +33 *223:84 *707:A 7.16893e-05 +34 *223:84 *249:23 4.87439e-05 +35 *223:90 *707:A 5.28741e-05 +36 *223:90 *249:23 0.000108465 +37 *223:98 *249:23 1.88422e-05 +38 *708:A *720:A1 0.000196819 +39 *708:A *781:A2 2.43314e-05 +40 *720:A2 *720:A1 1.67329e-05 +41 *720:B1 *720:A1 1.47033e-05 +42 *723:B2 *720:A1 2.58696e-05 +43 *731:A *223:11 0.000275256 +44 *733:A2 *223:11 3.24105e-05 +45 *733:B1 *223:11 0.000113374 +46 *735:A1 *223:11 1.96466e-05 +47 *735:A1 *223:22 1.20637e-05 +48 *735:A3 *720:A1 3.72206e-05 +49 *735:B2 *223:11 6.08467e-05 +50 *756:B *781:A2 0.000288851 +51 *763:A4 *764:A2 6.08467e-05 +52 *764:A4 *764:A2 0.000160617 +53 *767:B1 *767:A2 2.692e-05 +54 *769:A3 *764:A2 1.44467e-05 +55 *769:A3 *223:23 9.95922e-06 +56 *769:A3 *223:28 8.70446e-05 +57 *769:A3 *223:35 0.000189419 +58 *769:A3 *223:64 0.000164911 +59 *775:A *781:A2 0.000251285 +60 *776:B1 *781:A2 7.98425e-06 +61 *779:B *720:A1 6.65341e-05 +62 *779:B *781:A2 7.71831e-05 +63 *781:B1 *781:A2 1.64789e-05 +64 *831:A0 *223:28 2.58616e-05 +65 *831:A1 *223:28 2.83365e-05 +66 *831:A1 *223:35 4.51118e-05 +67 *847:D *223:11 3.77804e-05 +68 *5:213 *764:A2 8.62625e-06 +69 *5:213 *223:64 0 +70 *5:351 *223:28 3.6669e-06 +71 *5:351 *223:84 1.7883e-05 +72 *16:9 *764:A2 4.33979e-05 +73 *16:9 *223:35 2.652e-05 +74 *16:9 *223:64 0.000173617 +75 *44:10 *764:A2 2.53624e-06 +76 *119:8 *720:A1 3.42931e-05 +77 *119:22 *223:11 0.000337073 +78 *119:22 *223:22 6.10162e-05 +79 *119:25 *223:11 1.07248e-05 +80 *119:38 *767:A2 9.75356e-05 +81 *164:28 *781:A2 0.0002646 +82 *164:32 *775:B 6.29128e-05 +83 *166:5 *223:90 6.27782e-05 +84 *166:5 *223:98 6.27718e-05 +85 *185:7 *720:A1 0.000113968 +86 *222:79 *764:A2 0.00050655 +*RES +1 *846:Q *223:11 28.4779 +2 *223:11 *735:B1 9.24915 +3 *223:11 *223:22 17.0742 +4 *223:22 *223:23 0.988641 +5 *223:23 *223:28 8.30395 +6 *223:28 *768:A2 13.7491 +7 *223:28 *223:35 3.493 +8 *223:35 *223:37 4.5 +9 *223:37 *223:38 3.49641 +10 *223:38 *767:A2 22.9988 +11 *223:38 *765:A2 9.24915 +12 *223:37 *775:B 13.8548 +13 *223:35 *223:64 5.22729 +14 *223:64 *763:A2 13.7491 +15 *223:64 *764:A2 22.1293 +16 *223:23 *769:A2 9.24915 +17 *223:22 *223:84 2.38721 +18 *223:84 *707:B 9.24915 +19 *223:84 *223:90 2.94181 +20 *223:90 *650:A 9.24915 +21 *223:90 *223:98 5.2234 +22 *223:98 *720:A1 21.4269 +23 *223:98 *781:A2 23.6641 +*END + +*D_NET *224 0.00351172 +*CONN +*I *721:B1 I *D sky130_fd_sc_hd__o22a_2 +*I *758:A I *D sky130_fd_sc_hd__or2_2 +*I *768:A1 I *D sky130_fd_sc_hd__a31o_2 +*I *707:A I *D sky130_fd_sc_hd__or2_2 +*I *769:A1 I *D sky130_fd_sc_hd__a31o_2 +*I *649:A I *D sky130_fd_sc_hd__inv_2 +*I *847:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *721:B1 5.39874e-05 +2 *758:A 2.52839e-05 +3 *768:A1 0.00016876 +4 *707:A 0.000195472 +5 *769:A1 0 +6 *649:A 6.20115e-05 +7 *847:Q 8.20133e-05 +8 *224:38 0.000293225 +9 *224:23 0.000195472 +10 *224:21 0.00026568 +11 *224:10 0.000515387 +12 *224:6 0.000422877 +13 *649:A *322:7 0.000114594 +14 *758:A *250:9 2.57986e-05 +15 *768:A1 *767:A3 0.000110458 +16 *768:A1 *250:9 1.96344e-05 +17 *224:6 *725:A1 8.20492e-06 +18 *224:10 *725:A1 7.20391e-05 +19 *224:21 *725:A1 4.31485e-06 +20 *224:21 *769:B1 7.13655e-06 +21 *224:21 *225:54 1.55642e-05 +22 *224:21 *249:23 2.02035e-05 +23 *224:38 *225:54 2.95167e-05 +24 *224:38 *225:69 3.20069e-06 +25 *720:B1 *224:10 0 +26 *723:A2 *224:6 1.77894e-05 +27 *730:B1 *224:10 9.32927e-05 +28 *779:B *224:21 0 +29 *779:B *224:38 0 +30 *119:8 *224:10 0 +31 *157:21 *721:B1 4.58907e-05 +32 *157:21 *224:6 7.50722e-05 +33 *157:29 *224:10 0 +34 *178:5 *721:B1 6.08467e-05 +35 *186:10 *649:A 2.41274e-06 +36 *223:22 *224:10 0.000213422 +37 *223:22 *224:21 0.000167593 +38 *223:23 *707:A 0 +39 *223:84 *707:A 7.16893e-05 +40 *223:90 *707:A 5.28741e-05 +*RES +1 *847:Q *224:6 15.9964 +2 *224:6 *224:10 7.96307 +3 *224:10 *649:A 15.5938 +4 *224:10 *224:21 4.32351 +5 *224:21 *224:23 4.5 +6 *224:23 *769:A1 9.24915 +7 *224:23 *707:A 12.7697 +8 *224:21 *224:38 6.332 +9 *224:38 *768:A1 12.2151 +10 *224:38 *758:A 9.97254 +11 *224:6 *721:B1 15.5817 +*END + +*D_NET *225 0.0229961 +*CONN +*I *807:S I *D sky130_fd_sc_hd__mux2_1 +*I *771:B1 I *D sky130_fd_sc_hd__o311a_2 +*I *780:A I *D sky130_fd_sc_hd__and3_2 +*I *710:A I *D sky130_fd_sc_hd__or2_2 +*I *782:B1 I *D sky130_fd_sc_hd__o311a_2 +*I *769:B1 I *D sky130_fd_sc_hd__a31o_2 +*I *759:A3 I *D sky130_fd_sc_hd__o31a_2 +*I *763:A3 I *D sky130_fd_sc_hd__o41a_2 +*I *756:A I *D sky130_fd_sc_hd__or2_2 +*I *765:A3 I *D sky130_fd_sc_hd__o41a_2 +*I *762:A1 I *D sky130_fd_sc_hd__o21a_2 +*I *761:A1 I *D sky130_fd_sc_hd__o31a_2 +*I *760:A1 I *D sky130_fd_sc_hd__o31a_2 +*I *764:A3 I *D sky130_fd_sc_hd__o41a_2 +*I *768:B1 I *D sky130_fd_sc_hd__a31o_2 +*I *767:A3 I *D sky130_fd_sc_hd__o31a_2 +*I *725:A1 I *D sky130_fd_sc_hd__o22a_2 +*I *648:A I *D sky130_fd_sc_hd__inv_2 +*I *804:A I *D sky130_fd_sc_hd__buf_1 +*I *848:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *807:S 0.000187856 +2 *771:B1 2.14233e-05 +3 *780:A 0.000144755 +4 *710:A 3.29801e-05 +5 *782:B1 5.71866e-05 +6 *769:B1 1.65625e-05 +7 *759:A3 0.000387475 +8 *763:A3 0.000178089 +9 *756:A 0 +10 *765:A3 1.63938e-05 +11 *762:A1 0.000219124 +12 *761:A1 1.01716e-05 +13 *760:A1 0 +14 *764:A3 2.06838e-05 +15 *768:B1 0 +16 *767:A3 0.000194916 +17 *725:A1 0.000540031 +18 *648:A 3.93455e-05 +19 *804:A 0 +20 *848:Q 0.000303875 +21 *225:206 0.000530901 +22 *225:187 0.000638326 +23 *225:135 0.000677748 +24 *225:105 0.000380761 +25 *225:96 0.000467749 +26 *225:81 0.000566947 +27 *225:76 0.000460414 +28 *225:71 0.000214041 +29 *225:69 0.000266879 +30 *225:56 0.000194916 +31 *225:54 0.000241568 +32 *225:44 0.000787268 +33 *225:40 0.000907426 +34 *225:38 0.00088327 +35 *225:36 0.000302624 +36 *225:33 0.000471081 +37 *225:24 0.00129359 +38 *225:8 0.00143304 +39 *762:A1 *911:TE_B 7.73852e-05 +40 *762:A1 *265:13 4.24488e-05 +41 *762:A1 *286:13 0.000109421 +42 *767:A3 *250:9 0.000213902 +43 *225:24 *867:TE 1.41291e-05 +44 *225:24 *874:TE 0.000321985 +45 *225:24 *876:TE_B 0.00014366 +46 *225:24 *877:A 2.23259e-05 +47 *225:24 *247:7 6.01574e-05 +48 *225:33 *873:A 0.000122708 +49 *225:36 *250:20 0.000355926 +50 *225:38 *250:20 0.000145485 +51 *225:44 *249:23 0.000187405 +52 *225:69 *258:9 1.87469e-05 +53 *225:76 *258:9 2.97286e-05 +54 *225:81 *258:9 6.44576e-05 +55 *225:105 *911:TE_B 5.39463e-05 +56 *225:135 *258:9 5.54078e-05 +57 *343:DIODE *225:8 0.000273277 +58 *343:DIODE *225:24 0.000175802 +59 *385:DIODE *225:81 9.75356e-05 +60 *702:C *782:B1 1.91391e-05 +61 *705:B *780:A 1.05504e-05 +62 *724:A *725:A1 2.65667e-05 +63 *727:A1 *725:A1 0.000114518 +64 *730:A1 *725:A1 2.22198e-05 +65 *730:A2 *725:A1 0.000230407 +66 *732:C1 *725:A1 2.01653e-05 +67 *735:B2 *725:A1 4.20667e-05 +68 *759:B1 *759:A3 3.25765e-05 +69 *760:A2 *225:96 4.91225e-06 +70 *760:A3 *225:96 4.82966e-05 +71 *762:A2 *762:A1 0.000128033 +72 *764:A2 *763:A3 6.08467e-05 +73 *764:A4 *764:A3 0.000111708 +74 *766:B *759:A3 6.08467e-05 +75 *767:A1 *767:A3 0.000300363 +76 *768:A1 *767:A3 0.000110458 +77 *768:A3 *767:A3 0.000169041 +78 *769:A3 *725:A1 1.58897e-05 +79 *769:A3 *225:54 4.43378e-05 +80 *769:A3 *225:69 8.75997e-05 +81 *769:A3 *225:135 4.57241e-06 +82 *771:A2 *771:B1 2.21251e-05 +83 *775:D *225:40 0 +84 *778:A2 *225:187 2.88179e-05 +85 *778:A2 *225:206 0 +86 *779:B *225:69 0 +87 *780:C *780:A 2.15141e-05 +88 *780:C *225:206 1.1246e-05 +89 *781:B1 *780:A 0.000101262 +90 *781:B1 *225:206 0.00014533 +91 *782:A2 *782:B1 6.08467e-05 +92 *782:C1 *710:A 6.08467e-05 +93 *782:C1 *782:B1 1.47978e-05 +94 *807:A1 *807:S 0.000328289 +95 *807:A1 *225:206 1.07248e-05 +96 *808:A0 *225:81 6.92705e-05 +97 *809:A0 *780:A 1.03594e-05 +98 *809:A0 *225:33 7.5018e-05 +99 *809:A0 *225:187 2.80136e-05 +100 *813:A0 *225:206 1.9101e-05 +101 *822:A0 *762:A1 3.3239e-06 +102 *822:A0 *225:96 8.37812e-05 +103 *822:A0 *225:105 9.57557e-06 +104 *823:A0 *807:S 0.000330147 +105 *823:A0 *225:206 0.000137128 +106 *826:A0 *225:96 9.04224e-05 +107 *830:A0 *225:40 4.81992e-06 +108 *830:A0 *225:44 2.65832e-06 +109 *831:S *725:A1 0 +110 *5:213 *763:A3 0.000160617 +111 *5:222 *759:A3 7.77309e-06 +112 *5:339 *648:A 1.65872e-05 +113 *5:339 *225:24 2.90773e-05 +114 *5:350 *225:40 6.45852e-05 +115 *13:12 *761:A1 6.67095e-06 +116 *15:5 *762:A1 5.88052e-06 +117 *15:5 *225:81 5.05252e-05 +118 *15:5 *225:96 0.000130808 +119 *21:16 *771:B1 6.08467e-05 +120 *27:8 *225:8 0.000160073 +121 *28:10 *225:8 0.000195505 +122 *29:8 *225:33 2.95757e-05 +123 *29:11 *648:A 6.08467e-05 +124 *40:12 *225:206 2.82537e-05 +125 *40:27 *225:206 0.000280491 +126 *43:10 *225:81 0 +127 *43:27 *764:A3 0.000111708 +128 *44:10 *759:A3 0.000146646 +129 *44:10 *225:76 6.50727e-05 +130 *44:10 *225:135 0.000217587 +131 *44:13 *759:A3 0.000169343 +132 *44:27 *759:A3 7.92757e-06 +133 *118:7 *648:A 2.16355e-05 +134 *119:25 *725:A1 0 +135 *157:21 *725:A1 0.000142221 +136 *160:6 *710:A 1.5714e-05 +137 *164:32 *225:76 0.000353686 +138 *165:5 *710:A 6.27718e-05 +139 *165:5 *782:B1 1.41976e-05 +140 *166:32 *782:B1 1.47978e-05 +141 *166:38 *780:A 1.4091e-06 +142 *166:41 *225:206 0.000170577 +143 *166:52 *225:206 0.000110758 +144 *170:22 *725:A1 2.82583e-05 +145 *170:29 *725:A1 0 +146 *171:6 *725:A1 4.27003e-05 +147 *171:72 *725:A1 9.74251e-05 +148 *201:8 *225:69 2.4815e-05 +149 *201:8 *225:135 2.78316e-05 +150 *201:58 *759:A3 1.90218e-05 +151 *201:58 *225:135 4.3116e-06 +152 *201:63 *225:96 0.000111708 +153 *201:63 *225:105 1.44467e-05 +154 *201:76 *762:A1 2.19276e-05 +155 *201:76 *225:105 5.65074e-05 +156 *221:55 *225:33 2.50181e-05 +157 *221:55 *225:187 1.74552e-05 +158 *222:79 *763:A3 1.41853e-05 +159 *222:90 *225:96 4.70005e-05 +160 *223:22 *725:A1 0.000379516 +161 *224:6 *725:A1 8.20492e-06 +162 *224:10 *725:A1 7.20391e-05 +163 *224:21 *725:A1 4.31485e-06 +164 *224:21 *769:B1 7.13655e-06 +165 *224:21 *225:54 1.55642e-05 +166 *224:38 *225:54 2.95167e-05 +167 *224:38 *225:69 3.20069e-06 +*RES +1 *848:Q *225:8 23.7791 +2 *225:8 *804:A 13.7491 +3 *225:8 *225:24 25.1509 +4 *225:24 *648:A 10.5271 +5 *225:24 *225:33 8.61588 +6 *225:33 *225:36 3.87761 +7 *225:36 *225:38 0.279355 +8 *225:38 *225:40 1.42671 +9 *225:40 *225:44 3.72463 +10 *225:44 *725:A1 32.5704 +11 *225:44 *225:54 2.45487 +12 *225:54 *225:56 4.5 +13 *225:56 *767:A3 17.2065 +14 *225:56 *768:B1 9.24915 +15 *225:54 *225:69 4.32351 +16 *225:69 *225:71 4.5 +17 *225:71 *225:76 6.46234 +18 *225:76 *225:81 10.6589 +19 *225:81 *764:A3 15.0271 +20 *225:81 *225:96 16.5757 +21 *225:96 *760:A1 13.7491 +22 *225:96 *225:105 4.37236 +23 *225:105 *761:A1 13.9722 +24 *225:105 *762:A1 20.23 +25 *225:76 *765:A3 9.82786 +26 *225:71 *756:A 9.24915 +27 *225:69 *225:135 4.32351 +28 *225:135 *763:A3 16.691 +29 *225:135 *759:A3 21.7272 +30 *225:40 *769:B1 17.4965 +31 *225:38 *782:B1 18.7987 +32 *225:36 *710:A 18.2199 +33 *225:33 *225:187 4.2258 +34 *225:187 *780:A 17.8933 +35 *225:187 *225:206 10.8332 +36 *225:206 *771:B1 14.4725 +37 *225:206 *807:S 20.1489 +*END + +*D_NET *226 0.00113314 +*CONN +*I *654:A I *D sky130_fd_sc_hd__inv_2 +*I *711:B I *D sky130_fd_sc_hd__or3_2 +*I *744:A1 I *D sky130_fd_sc_hd__o22a_2 +*I *842:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *654:A 6.16119e-05 +2 *711:B 4.70993e-05 +3 *744:A1 3.5247e-05 +4 *842:Q 4.28882e-05 +5 *226:11 0.000214674 +6 *226:7 0.000236828 +7 *711:C *654:A 4.7798e-05 +8 *711:C *226:11 7.88354e-05 +9 *712:A1 *226:7 6.27782e-05 +10 *744:A2 *654:A 0.000104943 +11 *744:A2 *226:11 0.000200433 +*RES +1 *842:Q *226:7 14.4725 +2 *226:7 *226:11 8.7164 +3 *226:11 *744:A1 10.2378 +4 *226:11 *711:B 9.97254 +5 *226:7 *654:A 15.9964 +*END + +*D_NET *227 0.00455967 +*CONN +*I *653:A I *D sky130_fd_sc_hd__inv_2 +*I *715:A1 I *D sky130_fd_sc_hd__a22o_2 +*I *711:A I *D sky130_fd_sc_hd__or3_2 +*I *843:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *653:A 0.000372384 +2 *715:A1 0 +3 *711:A 0.000377916 +4 *843:Q 0.000590648 +5 *227:21 0.000409618 +6 *227:11 0.0010058 +7 *712:B2 *711:A 5.56461e-05 +8 *715:A2 *227:11 6.63851e-05 +9 *716:B1 *653:A 6.50586e-05 +10 *716:B2 *653:A 0.000313495 +11 *743:B1 *653:A 3.37623e-05 +12 *848:D *653:A 0.000464127 +13 *851:D *711:A 2.16355e-05 +14 *851:CLK *711:A 8.84017e-05 +15 *29:8 *653:A 3.52645e-06 +16 *29:8 *711:A 0 +17 *123:9 *653:A 1.15389e-05 +18 *123:18 *653:A 1.45944e-05 +19 *157:105 *653:A 9.09474e-05 +20 *157:114 *653:A 0.000292027 +21 *157:114 *711:A 8.62625e-06 +22 *157:114 *227:21 4.4885e-05 +23 *172:8 *227:11 0.000217923 +24 *173:8 *653:A 1.07248e-05 +25 *204:207 *227:21 0 +26 *204:209 *711:A 0 +*RES +1 *843:Q *227:11 28.5305 +2 *227:11 *711:A 23.7903 +3 *227:11 *227:21 1.00149 +4 *227:21 *715:A1 13.7491 +5 *227:21 *653:A 27.6221 +*END + +*D_NET *228 0.000678604 +*CONN +*I *942:A I *D sky130_fd_sc_hd__clkinv_8 +*I *941:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *942:A 0.000314937 +2 *941:Y 0.000314937 +3 *942:A *320:6 0 +4 *642:A *942:A 0 +5 *643:A *942:A 0 +6 *11:10 *942:A 0 +7 *90:22 *942:A 4.87301e-05 +8 *90:24 *942:A 0 +*RES +1 *941:Y *942:A 34.3456 +*END + +*D_NET *229 0.000185068 +*CONN +*I *944:A I *D sky130_fd_sc_hd__clkinv_8 +*I *943:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *944:A 3.13449e-05 +2 *943:Y 3.13449e-05 +3 *5:22 *944:A 0.000122378 +*RES +1 *943:Y *944:A 19.7763 +*END + +*D_NET *230 0.00118403 +*CONN +*I *860:A I *D sky130_fd_sc_hd__einvp_2 +*I *858:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *860:A 0.000213183 +2 *858:X 0.000213183 +3 *860:A *859:Z 0.000125197 +4 *860:A *860:TE 0.00019786 +5 *860:A *860:Z 0.000164829 +6 *860:A *863:A 2.95757e-05 +7 *860:A *878:A 2.78772e-05 +8 *860:A *231:8 0.000171814 +9 *860:A *237:11 4.0508e-05 +*RES +1 *858:X *860:A 36.0094 +*END + +*D_NET *231 0.00136903 +*CONN +*I *860:Z O *D sky130_fd_sc_hd__einvp_2 +*I *863:A I *D sky130_fd_sc_hd__clkinv_1 +*I *862:Z O *D sky130_fd_sc_hd__einvn_4 +*CAP +1 *860:Z 6.56954e-05 +2 *863:A 0.000205089 +3 *862:Z 9.46297e-05 +4 *231:8 0.000365414 +5 *863:A *859:A 0 +6 *863:A *859:Z 1.6917e-05 +7 *863:A *284:36 0.000122378 +8 *863:A *320:15 0 +9 *231:8 *859:Z 7.18465e-05 +10 *231:8 *237:11 6.08467e-05 +11 *860:A *860:Z 0.000164829 +12 *860:A *863:A 2.95757e-05 +13 *860:A *231:8 0.000171814 +*RES +1 *862:Z *231:8 17.5503 +2 *231:8 *863:A 18.1049 +3 *231:8 *860:Z 15.5817 +*END + +*D_NET *232 0.000512457 +*CONN +*I *859:A I *D sky130_fd_sc_hd__einvp_2 +*I *863:Y O *D sky130_fd_sc_hd__clkinv_1 +*CAP +1 *859:A 0.000187975 +2 *863:Y 0.000187975 +3 *859:A *284:36 0.000122378 +4 *863:A *859:A 0 +5 *5:22 *859:A 1.41291e-05 +*RES +1 *863:Y *859:A 31.1629 +*END + +*D_NET *233 0.00302609 +*CONN +*I *857:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *950:Z O *D sky130_fd_sc_hd__einvn_8 +*I *948:Z O *D sky130_fd_sc_hd__einvp_2 +*I *941:A I *D sky130_fd_sc_hd__clkinv_2 +*I *953:Z O *D sky130_fd_sc_hd__einvp_1 +*CAP +1 *857:A 0.000309655 +2 *950:Z 8.06216e-05 +3 *948:Z 0 +4 *941:A 0.000107506 +5 *953:Z 0.000109032 +6 *233:25 0.00045589 +7 *233:18 0.000492999 +8 *233:5 0.000643923 +9 *857:A *881:TE 7.34948e-06 +10 *857:A *284:36 0.000183508 +11 *941:A *320:6 0 +12 *941:A *320:15 0 +13 *950:Z *236:11 0.000211546 +14 *233:5 *946:A 7.16754e-05 +15 *233:18 *946:A 5.04829e-06 +16 *233:18 *946:B 4.66876e-05 +17 *233:18 *947:A 0 +18 *233:18 *953:A 1.46645e-05 +19 *233:18 *249:24 0 +20 *233:18 *284:36 0.000103563 +21 *233:25 *249:24 0 +22 *233:25 *284:36 0.000117846 +23 *828:A0 *857:A 6.45772e-05 +*RES +1 *953:Z *233:5 11.0817 +2 *233:5 *941:A 20.4964 +3 *233:5 *233:18 12.0468 +4 *233:18 *948:Z 13.7491 +5 *233:18 *233:25 2.24725 +6 *233:25 *950:Z 16.1364 +7 *233:25 *857:A 21.8478 +*END + +*D_NET *234 0.000960295 +*CONN +*I *878:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *859:Z O *D sky130_fd_sc_hd__einvp_2 +*I *861:Z O *D sky130_fd_sc_hd__einvn_8 +*CAP +1 *878:A 8.21388e-05 +2 *859:Z 0.00027709 +3 *861:Z 0 +4 *234:5 0.000359229 +5 *878:A *237:11 0 +6 *860:A *859:Z 0.000125197 +7 *860:A *878:A 2.78772e-05 +8 *863:A *859:Z 1.6917e-05 +9 *231:8 *859:Z 7.18465e-05 +*RES +1 *861:Z *234:5 13.7491 +2 *234:5 *859:Z 21.0145 +3 *234:5 *878:A 15.9964 +*END + +*D_NET *235 0.000997721 +*CONN +*I *859:TE I *D sky130_fd_sc_hd__einvp_2 +*I *861:TE_B I *D sky130_fd_sc_hd__einvn_8 +*I *830:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *859:TE 6.22563e-05 +2 *861:TE_B 0.000285561 +3 *830:X 0 +4 *235:4 0.000347818 +5 *5:41 *861:TE_B 0.000152842 +6 *12:8 *861:TE_B 0.000149244 +*RES +1 *830:X *235:4 9.24915 +2 *235:4 *861:TE_B 24.4081 +3 *235:4 *859:TE 10.9612 +*END + +*D_NET *236 0.00256959 +*CONN +*I *862:TE_B I *D sky130_fd_sc_hd__einvn_4 +*I *860:TE I *D sky130_fd_sc_hd__einvp_2 +*I *831:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *862:TE_B 0 +2 *860:TE 0.000264296 +3 *831:X 0.000712073 +4 *236:11 0.00097637 +5 *860:TE *237:11 6.27782e-05 +6 *236:11 *950:TE_B 0.000114584 +7 *384:DIODE *236:11 3.00829e-05 +8 *860:A *860:TE 0.00019786 +9 *950:Z *236:11 0.000211546 +*RES +1 *831:X *236:11 26.7553 +2 *236:11 *860:TE 25.8655 +3 *236:11 *862:TE_B 9.24915 +*END + +*D_NET *237 0.0012438 +*CONN +*I *858:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *862:A I *D sky130_fd_sc_hd__einvn_4 +*I *861:A I *D sky130_fd_sc_hd__einvn_8 +*I *857:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *858:A 0 +2 *862:A 0 +3 *861:A 8.00415e-05 +4 *857:X 0.000166431 +5 *237:11 0.000286368 +6 *237:5 0.000372758 +7 *237:5 *881:TE 6.64392e-05 +8 *828:A0 *237:5 0.000107629 +9 *860:A *237:11 4.0508e-05 +10 *860:TE *237:11 6.27782e-05 +11 *878:A *237:11 0 +12 *231:8 *237:11 6.08467e-05 +*RES +1 *857:X *237:5 14.4094 +2 *237:5 *237:11 14.0497 +3 *237:11 *861:A 11.1059 +4 *237:11 *862:A 9.24915 +5 *237:5 *858:A 9.24915 +*END + +*D_NET *238 0.000256463 +*CONN +*I *867:A I *D sky130_fd_sc_hd__einvp_2 +*I *865:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *867:A 7.65256e-05 +2 *865:X 7.65256e-05 +3 *867:A *867:Z 0.000103412 +*RES +1 *865:X *867:A 29.7455 +*END + +*D_NET *239 0.00113101 +*CONN +*I *870:A I *D sky130_fd_sc_hd__clkinv_1 +*I *867:Z O *D sky130_fd_sc_hd__einvp_2 +*I *869:Z O *D sky130_fd_sc_hd__einvn_4 +*CAP +1 *870:A 8.43396e-05 +2 *867:Z 0.000231335 +3 *869:Z 0 +4 *239:5 0.000315675 +5 *867:Z *865:A 0.000128956 +6 *867:Z *245:18 0 +7 *870:A *866:A 0.000127194 +8 *870:A *242:11 0 +9 *870:A *245:18 0 +10 *813:A1 *870:A 3.8079e-05 +11 *867:A *867:Z 0.000103412 +12 *26:8 *867:Z 3.04571e-05 +13 *26:8 *870:A 7.15593e-05 +*RES +1 *869:Z *239:5 13.7491 +2 *239:5 *867:Z 20.6374 +3 *239:5 *870:A 17.6574 +*END + +*D_NET *240 0.000843333 +*CONN +*I *866:A I *D sky130_fd_sc_hd__einvp_2 +*I *870:Y O *D sky130_fd_sc_hd__clkinv_1 +*CAP +1 *866:A 0.000202926 +2 *870:Y 0.000202926 +3 *866:A *869:A 2.65831e-05 +4 *866:A *242:11 0.000165459 +5 *866:A *245:18 0.000118245 +6 *870:A *866:A 0.000127194 +*RES +1 *870:Y *866:A 34.3512 +*END + +*D_NET *241 0.00110111 +*CONN +*I *936:Z O *D sky130_fd_sc_hd__einvp_2 +*I *864:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *938:Z O *D sky130_fd_sc_hd__einvn_8 +*CAP +1 *936:Z 0 +2 *864:A 0.000263989 +3 *938:Z 0.000118303 +4 *241:6 0.000382291 +5 *864:A *313:8 6.80864e-05 +6 *241:6 *936:A 0.000197187 +7 *241:6 *939:TE_B 7.46648e-06 +8 *241:6 *313:8 5.22654e-06 +9 *351:DIODE *864:A 0 +10 *813:A0 *864:A 2.87136e-06 +11 *813:S *864:A 5.56851e-05 +12 *5:332 *864:A 0 +13 *5:336 *864:A 0 +14 *25:8 *241:6 0 +*RES +1 *938:Z *241:6 17.6574 +2 *241:6 *864:A 20.4599 +3 *241:6 *936:Z 13.7491 +*END + +*D_NET *242 0.00110202 +*CONN +*I *866:Z O *D sky130_fd_sc_hd__einvp_2 +*I *871:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *868:Z O *D sky130_fd_sc_hd__einvn_8 +*CAP +1 *866:Z 0 +2 *871:A 0.000227988 +3 *868:Z 0.000176693 +4 *242:11 0.000404681 +5 *242:11 *245:18 0.000127194 +6 *866:A *242:11 0.000165459 +7 *870:A *242:11 0 +*RES +1 *868:Z *242:11 23.4623 +2 *242:11 *871:A 14.4335 +3 *242:11 *866:Z 9.24915 +*END + +*D_NET *243 0.00435396 +*CONN +*I *866:TE I *D sky130_fd_sc_hd__einvp_2 +*I *868:TE_B I *D sky130_fd_sc_hd__einvn_8 +*I *810:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *866:TE 0.000236111 +2 *868:TE_B 0 +3 *810:X 0.0011216 +4 *243:22 0.000236111 +5 *243:20 0.0011216 +6 *351:DIODE *243:20 0 +7 *376:DIODE *243:20 0.000113968 +8 *770:A *243:20 0 +9 *772:A *866:TE 0 +10 *772:A *243:20 5.11783e-05 +11 *773:A2 *243:20 2.11494e-05 +12 *773:B1 *243:20 5.74984e-05 +13 *774:A3 *243:20 1.91391e-05 +14 *813:A0 *243:20 0.000123896 +15 *5:336 *866:TE 0.000226281 +16 *5:336 *243:20 0 +17 *55:22 *243:20 4.51619e-05 +18 *65:10 *243:20 0.000118166 +19 *160:35 *243:20 0.000711255 +20 *160:43 *243:20 0.000130087 +21 *222:32 *866:TE 1.61631e-05 +22 *222:50 *243:20 0 +23 *222:61 *243:20 4.58897e-06 +*RES +1 *810:X *243:20 47.013 +2 *243:20 *243:22 4.5 +3 *243:22 *868:TE_B 9.24915 +4 *243:22 *866:TE 25.0992 +*END + +*D_NET *244 0.00153795 +*CONN +*I *869:TE_B I *D sky130_fd_sc_hd__einvn_4 +*I *867:TE I *D sky130_fd_sc_hd__einvp_2 +*I *811:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *869:TE_B 0.00026349 +2 *867:TE 4.02577e-05 +3 *811:X 0.000324223 +4 *244:8 0.000627971 +5 *867:TE *876:TE_B 6.50727e-05 +6 *869:TE_B *245:18 3.39219e-05 +7 *344:DIODE *244:8 5.48642e-05 +8 *5:336 *869:TE_B 0 +9 *5:380 *869:TE_B 8.32204e-06 +10 *5:380 *244:8 7.82051e-05 +11 *5:392 *244:8 2.74956e-05 +12 *225:24 *867:TE 1.41291e-05 +*RES +1 *811:X *244:8 21.0117 +2 *244:8 *867:TE 15.0271 +3 *244:8 *869:TE_B 20.1489 +*END + +*D_NET *245 0.00158668 +*CONN +*I *869:A I *D sky130_fd_sc_hd__einvn_4 +*I *865:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *868:A I *D sky130_fd_sc_hd__einvn_8 +*I *864:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *869:A 0.000110909 +2 *865:A 8.42907e-05 +3 *868:A 0 +4 *864:X 9.24833e-05 +5 *245:18 0.000483407 +6 *245:6 0.000380691 +7 *866:A *869:A 2.65831e-05 +8 *866:A *245:18 0.000118245 +9 *867:Z *865:A 0.000128956 +10 *867:Z *245:18 0 +11 *869:TE_B *245:18 3.39219e-05 +12 *870:A *245:18 0 +13 *5:336 *245:6 0 +14 *5:336 *245:18 0 +15 *242:11 *245:18 0.000127194 +*RES +1 *864:X *245:6 15.9964 +2 *245:6 *868:A 13.7491 +3 *245:6 *245:18 13.0599 +4 *245:18 *865:A 20.9116 +5 *245:18 *869:A 11.6364 +*END + +*D_NET *246 0.00127922 +*CONN +*I *874:A I *D sky130_fd_sc_hd__einvp_2 +*I *872:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *874:A 0.000349174 +2 *872:X 0.000349174 +3 *809:A0 *874:A 0.000481241 +4 *122:38 *874:A 9.96342e-05 +5 *222:18 *874:A 0 +*RES +1 *872:X *874:A 34.4905 +*END + +*D_NET *247 0.000978491 +*CONN +*I *874:Z O *D sky130_fd_sc_hd__einvp_2 +*I *877:A I *D sky130_fd_sc_hd__clkinv_1 +*I *876:Z O *D sky130_fd_sc_hd__einvn_4 +*CAP +1 *874:Z 0 +2 *877:A 5.0441e-05 +3 *876:Z 8.67727e-05 +4 *247:7 0.000137214 +5 *877:A *874:TE 0 +6 *247:7 *874:TE 7.52666e-05 +7 *247:7 *876:TE_B 0 +8 *5:339 *877:A 0.00011818 +9 *5:339 *247:7 0.000428134 +10 *225:24 *877:A 2.23259e-05 +11 *225:24 *247:7 6.01574e-05 +*RES +1 *876:Z *247:7 14.4335 +2 *247:7 *877:A 11.1059 +3 *247:7 *874:Z 9.24915 +*END + +*D_NET *248 0.000546835 +*CONN +*I *873:A I *D sky130_fd_sc_hd__einvp_2 +*I *877:Y O *D sky130_fd_sc_hd__clkinv_1 +*CAP +1 *873:A 0.000128662 +2 *877:Y 0.000128662 +3 *5:339 *873:A 0.000118166 +4 *221:55 *873:A 4.86366e-05 +5 *225:33 *873:A 0.000122708 +*RES +1 *877:Y *873:A 31.0235 +*END + +*D_NET *249 0.00781257 +*CONN +*I *950:A I *D sky130_fd_sc_hd__einvn_8 +*I *951:A I *D sky130_fd_sc_hd__einvn_4 +*I *947:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *873:Z O *D sky130_fd_sc_hd__einvp_2 +*I *875:Z O *D sky130_fd_sc_hd__einvn_8 +*CAP +1 *950:A 3.46859e-05 +2 *951:A 3.67843e-05 +3 *947:A 0.000253557 +4 *873:Z 0.000146516 +5 *875:Z 0.000372978 +6 *249:24 0.000440803 +7 *249:23 0.00166546 +8 *249:5 0.0019998 +9 *873:Z *875:TE_B 0.000110458 +10 *947:A *949:A 0 +11 *947:A *284:36 0 +12 *950:A *952:A 0 +13 *951:A *948:A 0.000118166 +14 *249:5 *875:A 0.000362316 +15 *249:23 *875:TE_B 4.89251e-05 +16 *249:24 *948:A 0 +17 *249:24 *952:A 0 +18 *706:A *873:Z 0.00015607 +19 *706:A *249:23 9.87197e-05 +20 *782:A2 *249:23 0.00015607 +21 *830:A0 *249:23 0.000241368 +22 *846:D *947:A 8.9075e-05 +23 *5:351 *249:23 0.000507889 +24 *122:38 *873:Z 0.000109421 +25 *122:38 *249:23 5.03013e-06 +26 *165:5 *249:23 0.000386928 +27 *166:5 *249:23 7.92757e-06 +28 *166:32 *249:23 7.99701e-05 +29 *223:84 *249:23 4.87439e-05 +30 *223:90 *249:23 0.000108465 +31 *223:98 *249:23 1.88422e-05 +32 *224:21 *249:23 2.02035e-05 +33 *225:44 *249:23 0.000187405 +34 *233:18 *947:A 0 +35 *233:18 *249:24 0 +36 *233:25 *249:24 0 +*RES +1 *875:Z *249:5 18.2916 +2 *249:5 *873:Z 13.8548 +3 *249:5 *249:23 36.1441 +4 *249:23 *249:24 3.28538 +5 *249:24 *947:A 20.3233 +6 *249:24 *951:A 15.0271 +7 *249:23 *950:A 14.543 +*END + +*D_NET *250 0.00557557 +*CONN +*I *875:TE_B I *D sky130_fd_sc_hd__einvn_8 +*I *873:TE I *D sky130_fd_sc_hd__einvp_2 +*I *808:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *875:TE_B 2.23302e-05 +2 *873:TE 0 +3 *808:X 0.000811544 +4 *250:20 0.000602353 +5 *250:9 0.00139157 +6 *250:9 *284:36 3.4475e-05 +7 *383:DIODE *250:9 0.000186161 +8 *699:A *250:20 5.41227e-05 +9 *700:A *250:9 0.000154145 +10 *701:C *250:9 0.000129784 +11 *702:C *250:20 2.22075e-05 +12 *706:A *250:20 2.21161e-05 +13 *758:A *250:9 2.57986e-05 +14 *758:B *250:9 0.000174698 +15 *767:A1 *250:9 8.07791e-05 +16 *767:A2 *250:9 0.000272947 +17 *767:A3 *250:9 0.000213902 +18 *768:A1 *250:9 1.96344e-05 +19 *768:A3 *250:9 0.000164843 +20 *828:A0 *250:9 0.000195621 +21 *873:Z *875:TE_B 0.000110458 +22 *55:22 *250:20 8.89497e-06 +23 *122:38 *250:20 8.55614e-05 +24 *122:47 *250:20 4.33979e-05 +25 *166:38 *250:9 5.481e-05 +26 *201:8 *250:9 0.000120962 +27 *222:18 *250:20 2.21161e-05 +28 *225:36 *250:20 0.000355926 +29 *225:38 *250:20 0.000145485 +30 *249:23 *875:TE_B 4.89251e-05 +*RES +1 *808:X *250:9 47.2862 +2 *250:9 *250:20 20.5988 +3 *250:20 *873:TE 9.24915 +4 *250:20 *875:TE_B 10.5271 +*END + +*D_NET *251 0.00119841 +*CONN +*I *876:TE_B I *D sky130_fd_sc_hd__einvn_4 +*I *874:TE I *D sky130_fd_sc_hd__einvp_2 +*I *809:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *876:TE_B 8.94399e-05 +2 *874:TE 7.28875e-05 +3 *809:X 8.24607e-05 +4 *251:8 0.000244788 +5 *867:TE *876:TE_B 6.50727e-05 +6 *877:A *874:TE 0 +7 *5:339 *874:TE 1.41291e-05 +8 *5:380 *874:TE 2.38044e-05 +9 *5:380 *876:TE_B 3.11269e-05 +10 *5:380 *251:8 3.37843e-05 +11 *225:24 *874:TE 0.000321985 +12 *225:24 *876:TE_B 0.00014366 +13 *247:7 *874:TE 7.52666e-05 +14 *247:7 *876:TE_B 0 +*RES +1 *809:X *251:8 20.0811 +2 *251:8 *874:TE 13.3243 +3 *251:8 *876:TE_B 12.9385 +*END + +*D_NET *252 0.00194571 +*CONN +*I *875:A I *D sky130_fd_sc_hd__einvn_8 +*I *876:A I *D sky130_fd_sc_hd__einvn_4 +*I *872:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *871:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *875:A 0.00011381 +2 *876:A 0 +3 *872:A 0.000170516 +4 *871:X 7.73365e-05 +5 *252:8 0.000304533 +6 *252:6 0.000325163 +7 *340:DIODE *872:A 0.00017407 +8 *809:A0 *872:A 0.000222979 +9 *5:336 *252:6 2.85637e-05 +10 *5:336 *252:8 4.79669e-05 +11 *5:380 *872:A 0 +12 *5:380 *252:8 0 +13 *222:18 *872:A 3.98412e-05 +14 *222:18 *252:6 2.69701e-05 +15 *222:18 *252:8 5.16418e-05 +16 *249:5 *875:A 0.000362316 +*RES +1 *871:X *252:6 15.9964 +2 *252:6 *252:8 3.90826 +3 *252:8 *872:A 19.2141 +4 *252:8 *876:A 13.7491 +5 *252:6 *875:A 17.8002 +*END + +*D_NET *253 0.000889161 +*CONN +*I *881:A I *D sky130_fd_sc_hd__einvp_2 +*I *879:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *881:A 0.000336109 +2 *879:X 0.000336109 +3 *881:A *879:A 4.41414e-05 +4 *881:A *880:A 0 +5 *881:A *881:TE 0 +6 *881:A *881:Z 9.60216e-05 +7 *881:A *883:TE_B 6.64392e-05 +8 *881:A *254:7 1.03403e-05 +9 *881:A *259:8 0 +*RES +1 *879:X *881:A 33.7966 +*END + +*D_NET *254 0.000875096 +*CONN +*I *884:A I *D sky130_fd_sc_hd__clkinv_1 +*I *881:Z O *D sky130_fd_sc_hd__einvp_2 +*I *883:Z O *D sky130_fd_sc_hd__einvn_4 +*CAP +1 *884:A 0.000163012 +2 *881:Z 0.00011298 +3 *883:Z 3.48023e-05 +4 *254:7 0.000310794 +5 *881:Z *881:TE 0 +6 *881:Z *259:8 0 +7 *884:A *880:A 2.65667e-05 +8 *884:A *885:A 0 +9 *884:A *259:8 0 +10 *254:7 *879:A 0.000118166 +11 *881:A *881:Z 9.60216e-05 +12 *881:A *254:7 1.03403e-05 +13 *5:88 *884:A 2.41274e-06 +*RES +1 *883:Z *254:7 15.0271 +2 *254:7 *881:Z 16.8269 +3 *254:7 *884:A 17.5503 +*END + +*D_NET *255 0.00139864 +*CONN +*I *880:A I *D sky130_fd_sc_hd__einvp_2 +*I *884:Y O *D sky130_fd_sc_hd__clkinv_1 +*CAP +1 *880:A 0.000518999 +2 *884:Y 0.000518999 +3 *880:A *880:Z 3.85675e-05 +4 *880:A *885:A 5.69404e-05 +5 *880:A *259:8 0.000200221 +6 *828:A0 *880:A 3.83429e-05 +7 *881:A *880:A 0 +8 *884:A *880:A 2.65667e-05 +*RES +1 *884:Y *880:A 39.3664 +*END + +*D_NET *256 0.000593606 +*CONN +*I *885:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *880:Z O *D sky130_fd_sc_hd__einvp_2 +*I *882:Z O *D sky130_fd_sc_hd__einvn_8 +*CAP +1 *885:A 0.000112008 +2 *880:Z 0.000104512 +3 *882:Z 0 +4 *256:5 0.00021652 +5 *880:Z *882:TE_B 0 +6 *885:A *882:TE_B 0 +7 *828:A0 *880:Z 6.50586e-05 +8 *880:A *880:Z 3.85675e-05 +9 *880:A *885:A 5.69404e-05 +10 *884:A *885:A 0 +*RES +1 *882:Z *256:5 13.7491 +2 *256:5 *880:Z 16.7198 +3 *256:5 *885:A 17.2421 +*END + +*D_NET *257 0.00080788 +*CONN +*I *882:TE_B I *D sky130_fd_sc_hd__einvn_8 +*I *880:TE I *D sky130_fd_sc_hd__einvp_2 +*I *828:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *882:TE_B 0.000276159 +2 *880:TE 6.65919e-05 +3 *828:X 0 +4 *257:4 0.000342751 +5 *828:A0 *880:TE 0.000122378 +6 *880:Z *882:TE_B 0 +7 *885:A *882:TE_B 0 +8 *23:8 *882:TE_B 0 +*RES +1 *828:X *257:4 9.24915 +2 *257:4 *880:TE 11.5158 +3 *257:4 *882:TE_B 24.4081 +*END + +*D_NET *258 0.00408416 +*CONN +*I *881:TE I *D sky130_fd_sc_hd__einvp_2 +*I *883:TE_B I *D sky130_fd_sc_hd__einvn_4 +*I *829:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *881:TE 0.00014623 +2 *883:TE_B 9.29839e-05 +3 *829:X 0.000706548 +4 *258:9 0.000945762 +5 *881:TE *321:10 3.69003e-05 +6 *883:TE_B *879:A 4.4466e-05 +7 *258:9 *879:A 2.41274e-06 +8 *775:B *258:9 0.0002646 +9 *808:A0 *258:9 0.000265631 +10 *808:S *258:9 0.000275256 +11 *828:A0 *881:TE 7.16754e-05 +12 *857:A *881:TE 7.34948e-06 +13 *881:A *881:TE 0 +14 *881:A *883:TE_B 6.64392e-05 +15 *881:Z *881:TE 0 +16 *164:32 *258:9 0.000453457 +17 *201:8 *258:9 0.000169108 +18 *223:38 *258:9 0.000300565 +19 *225:69 *258:9 1.87469e-05 +20 *225:76 *258:9 2.97286e-05 +21 *225:81 *258:9 6.44576e-05 +22 *225:135 *258:9 5.54078e-05 +23 *237:5 *881:TE 6.64392e-05 +*RES +1 *829:X *258:9 42.016 +2 *258:9 *883:TE_B 12.0704 +3 *258:9 *881:TE 22.7442 +*END + +*D_NET *259 0.0014843 +*CONN +*I *879:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *883:A I *D sky130_fd_sc_hd__einvn_4 +*I *882:A I *D sky130_fd_sc_hd__einvn_8 +*I *878:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *879:A 0.000310654 +2 *883:A 0 +3 *882:A 9.21476e-05 +4 *878:X 0.000101398 +5 *259:17 0.0003439 +6 *259:8 0.000226792 +7 *880:A *259:8 0.000200221 +8 *881:A *879:A 4.41414e-05 +9 *881:A *259:8 0 +10 *881:Z *259:8 0 +11 *883:TE_B *879:A 4.4466e-05 +12 *884:A *259:8 0 +13 *254:7 *879:A 0.000118166 +14 *258:9 *879:A 2.41274e-06 +*RES +1 *878:X *259:8 21.7421 +2 *259:8 *882:A 11.1059 +3 *259:8 *259:17 0.723396 +4 *259:17 *883:A 9.24915 +5 *259:17 *879:A 17.2065 +*END + +*D_NET *260 0.000854343 +*CONN +*I *888:A I *D sky130_fd_sc_hd__einvp_2 +*I *886:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *888:A 0.000241736 +2 *886:X 0.000241736 +3 *888:A *886:A 3.14978e-05 +4 *888:A *888:Z 0.000173563 +5 *888:A *261:6 0.000122083 +6 *888:A *263:8 1.22289e-05 +7 *888:A *266:9 3.14978e-05 +8 *5:88 *888:A 0 +9 *5:120 *888:A 0 +*RES +1 *886:X *888:A 34.3456 +*END + +*D_NET *261 0.000831405 +*CONN +*I *888:Z O *D sky130_fd_sc_hd__einvp_2 +*I *891:A I *D sky130_fd_sc_hd__clkinv_1 +*I *890:Z O *D sky130_fd_sc_hd__einvn_4 +*CAP +1 *888:Z 6.04043e-05 +2 *891:A 1.52633e-05 +3 *890:Z 6.85303e-05 +4 *261:6 0.000144198 +5 *888:Z *890:TE_B 0 +6 *888:Z *263:8 0.000121456 +7 *891:A *887:A 1.00846e-05 +8 *891:A *263:8 7.34948e-06 +9 *261:6 *889:A 4.34143e-05 +10 *888:A *888:Z 0.000173563 +11 *888:A *261:6 0.000122083 +12 *5:76 *891:A 6.50586e-05 +13 *5:88 *261:6 0 +*RES +1 *890:Z *261:6 16.4116 +2 *261:6 *891:A 14.4725 +3 *261:6 *888:Z 16.8269 +*END + +*D_NET *262 0.000856305 +*CONN +*I *887:A I *D sky130_fd_sc_hd__einvp_2 +*I *891:Y O *D sky130_fd_sc_hd__clkinv_1 +*CAP +1 *887:A 0.00029016 +2 *891:Y 0.00029016 +3 *887:A *887:TE 0 +4 *887:A *890:TE_B 0.000125665 +5 *887:A *263:8 0.000118166 +6 *891:A *887:A 1.00846e-05 +7 *5:76 *887:A 2.20688e-05 +*RES +1 *891:Y *887:A 33.242 +*END + +*D_NET *263 0.00130553 +*CONN +*I *892:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *887:Z O *D sky130_fd_sc_hd__einvp_2 +*I *889:Z O *D sky130_fd_sc_hd__einvn_8 +*CAP +1 *892:A 8.77005e-05 +2 *887:Z 0.000140285 +3 *889:Z 0.00015624 +4 *263:8 0.000384226 +5 *887:Z *265:13 0.000209636 +6 *263:8 *890:TE_B 0 +7 *887:A *263:8 0.000118166 +8 *888:A *263:8 1.22289e-05 +9 *888:Z *263:8 0.000121456 +10 *891:A *263:8 7.34948e-06 +11 *5:76 *263:8 2.42273e-05 +12 *5:127 *892:A 0 +13 *5:127 *263:8 0 +14 *33:11 *892:A 4.40158e-05 +*RES +1 *889:Z *263:8 18.5201 +2 *263:8 *887:Z 17.2456 +3 *263:8 *892:A 15.9964 +*END + +*D_NET *264 0.00119273 +*CONN +*I *887:TE I *D sky130_fd_sc_hd__einvp_2 +*I *889:TE_B I *D sky130_fd_sc_hd__einvn_8 +*I *826:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *887:TE 0.000146682 +2 *889:TE_B 9.61225e-05 +3 *826:X 0.000152492 +4 *264:8 0.000395297 +5 *887:TE *890:TE_B 0 +6 *264:8 *890:TE_B 0 +7 *826:A0 *264:8 1.84293e-05 +8 *887:A *887:TE 0 +9 *5:73 *264:8 0 +10 *5:76 *889:TE_B 0.000383703 +11 *5:483 *887:TE 0 +12 *5:483 *264:8 0 +*RES +1 *826:X *264:8 16.7198 +2 *264:8 *889:TE_B 17.8002 +3 *264:8 *887:TE 17.2421 +*END + +*D_NET *265 0.00713956 +*CONN +*I *888:TE I *D sky130_fd_sc_hd__einvp_2 +*I *890:TE_B I *D sky130_fd_sc_hd__einvn_4 +*I *827:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *888:TE 0 +2 *890:TE_B 0.000297701 +3 *827:X 0.0018332 +4 *265:13 0.0021309 +5 *890:TE_B *889:A 7.26877e-05 +6 *890:TE_B *266:6 3.20069e-06 +7 *265:13 *907:A 0.000184114 +8 *265:13 *909:A 0.000357395 +9 *265:13 *910:TE_B 1.51335e-05 +10 *265:13 *918:TE_B 0.000104598 +11 *265:13 *286:13 0.000110566 +12 *265:13 *289:5 0.000466227 +13 *265:13 *293:11 2.60765e-05 +14 *265:13 *294:5 3.82228e-05 +15 *762:A1 *265:13 4.24488e-05 +16 *762:B1 *265:13 2.16355e-05 +17 *820:A0 *265:13 0.000804128 +18 *820:A1 *265:13 0.00013389 +19 *826:A0 *890:TE_B 0.000132047 +20 *887:A *890:TE_B 0.000125665 +21 *887:TE *890:TE_B 0 +22 *887:Z *265:13 0.000209636 +23 *888:Z *890:TE_B 0 +24 *33:11 *265:13 3.00829e-05 +25 *263:8 *890:TE_B 0 +26 *264:8 *890:TE_B 0 +*RES +1 *827:X *265:13 49.1806 +2 *265:13 *890:TE_B 26.8968 +3 *265:13 *888:TE 9.24915 +*END + +*D_NET *266 0.00100135 +*CONN +*I *889:A I *D sky130_fd_sc_hd__einvn_8 +*I *886:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *890:A I *D sky130_fd_sc_hd__einvn_4 +*I *885:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *889:A 8.55559e-05 +2 *886:A 3.5475e-05 +3 *890:A 0 +4 *885:X 0.00011773 +5 *266:9 0.000108428 +6 *266:6 0.000276238 +7 *826:A0 *886:A 7.24449e-05 +8 *826:A0 *266:9 0.000123176 +9 *888:A *886:A 3.14978e-05 +10 *888:A *266:9 3.14978e-05 +11 *890:TE_B *889:A 7.26877e-05 +12 *890:TE_B *266:6 3.20069e-06 +13 *5:88 *889:A 0 +14 *5:88 *266:6 0 +15 *261:6 *889:A 4.34143e-05 +*RES +1 *885:X *266:6 16.4116 +2 *266:6 *266:9 7.44181 +3 *266:9 *890:A 9.24915 +4 *266:9 *886:A 11.0817 +5 *266:6 *889:A 16.8269 +*END + +*D_NET *267 0.000167079 +*CONN +*I *895:A I *D sky130_fd_sc_hd__einvp_2 +*I *893:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *895:A 5.79064e-05 +2 *893:X 5.79064e-05 +3 *895:A *898:A 5.12665e-05 +*RES +1 *893:X *895:A 19.8004 +*END + +*D_NET *268 0.00118834 +*CONN +*I *898:A I *D sky130_fd_sc_hd__clkinv_1 +*I *895:Z O *D sky130_fd_sc_hd__einvp_2 +*I *897:Z O *D sky130_fd_sc_hd__einvn_4 +*CAP +1 *898:A 0.000111525 +2 *895:Z 0 +3 *897:Z 0.000306372 +4 *268:10 0.000417897 +5 *898:A *894:A 0.000178133 +6 *268:10 *893:A 0 +7 *268:10 *894:Z 0.000123152 +8 *268:10 *897:TE_B 0 +9 *268:10 *272:12 0 +10 *268:10 *273:15 0 +11 *895:A *898:A 5.12665e-05 +*RES +1 *897:Z *268:10 25.7876 +2 *268:10 *895:Z 9.24915 +3 *268:10 *898:A 12.9385 +*END + +*D_NET *269 0.00113723 +*CONN +*I *894:A I *D sky130_fd_sc_hd__einvp_2 +*I *898:Y O *D sky130_fd_sc_hd__clkinv_1 +*CAP +1 *894:A 0.000101459 +2 *898:Y 0.000101459 +3 *894:A *894:Z 0.000115615 +4 *898:A *894:A 0.000178133 +5 *35:8 *894:A 0.000640564 +*RES +1 *898:Y *894:A 25.3223 +*END + +*D_NET *270 0.00104238 +*CONN +*I *899:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *894:Z O *D sky130_fd_sc_hd__einvp_2 +*I *896:Z O *D sky130_fd_sc_hd__einvn_8 +*CAP +1 *899:A 6.14994e-05 +2 *894:Z 0.000227975 +3 *896:Z 0 +4 *270:4 0.000289474 +5 *894:Z *896:A 6.27718e-05 +6 *894:Z *897:TE_B 0 +7 *899:A *896:A 0.000147759 +8 *894:A *894:Z 0.000115615 +9 *35:8 *894:Z 1.41291e-05 +10 *268:10 *894:Z 0.000123152 +*RES +1 *896:Z *270:4 9.24915 +2 *270:4 *894:Z 24.7162 +3 *270:4 *899:A 11.0817 +*END + +*D_NET *271 0.00109229 +*CONN +*I *894:TE I *D sky130_fd_sc_hd__einvp_2 +*I *896:TE_B I *D sky130_fd_sc_hd__einvn_8 +*I *824:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *894:TE 0.000212602 +2 *896:TE_B 0 +3 *824:X 0.000233945 +4 *271:6 0.000446546 +5 *370:DIODE *271:6 7.60183e-05 +6 *35:8 *894:TE 0.000123176 +*RES +1 *824:X *271:6 19.7337 +2 *271:6 *896:TE_B 13.7491 +3 *271:6 *894:TE 19.7687 +*END + +*D_NET *272 0.010318 +*CONN +*I *895:TE I *D sky130_fd_sc_hd__einvp_2 +*I *897:TE_B I *D sky130_fd_sc_hd__einvn_4 +*I *825:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *895:TE 0 +2 *897:TE_B 0.000394504 +3 *825:X 9.23691e-05 +4 *272:12 0.000536298 +5 *272:9 0.00359033 +6 *272:8 0.00354091 +7 *894:Z *897:TE_B 0 +8 *19:8 *272:8 0 +9 *33:11 *272:12 0 +10 *36:8 *272:12 0 +11 *37:10 *272:9 0.00216356 +12 *268:10 *897:TE_B 0 +13 *268:10 *272:12 0 +*RES +1 *825:X *272:8 20.0811 +2 *272:8 *272:9 54.5199 +3 *272:9 *272:12 7.1625 +4 *272:12 *897:TE_B 22.9514 +5 *272:12 *895:TE 13.7491 +*END + +*D_NET *273 0.00144548 +*CONN +*I *893:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *896:A I *D sky130_fd_sc_hd__einvn_8 +*I *897:A I *D sky130_fd_sc_hd__einvn_4 +*I *892:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *893:A 9.12591e-05 +2 *896:A 0.000120248 +3 *897:A 0.000121143 +4 *892:X 0 +5 *273:15 0.000297774 +6 *273:5 0.000207411 +7 *894:Z *896:A 6.27718e-05 +8 *899:A *896:A 0.000147759 +9 *33:11 *893:A 0.000172799 +10 *33:11 *897:A 8.23577e-05 +11 *33:11 *273:15 0.000141958 +12 *268:10 *893:A 0 +13 *268:10 *273:15 0 +*RES +1 *892:X *273:5 13.7491 +2 *273:5 *897:A 16.9985 +3 *273:5 *273:15 2.6625 +4 *273:15 *896:A 17.2456 +5 *273:15 *893:A 16.8269 +*END + +*D_NET *274 0.00100228 +*CONN +*I *902:A I *D sky130_fd_sc_hd__einvp_2 +*I *900:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *902:A 0.000285003 +2 *900:X 0.000285003 +3 *902:A *902:TE 0 +4 *902:A *275:8 9.60366e-05 +5 *902:A *279:13 0.00026012 +6 *902:A *279:15 4.61271e-05 +7 *5:148 *902:A 2.99929e-05 +*RES +1 *900:X *902:A 33.3813 +*END + +*D_NET *275 0.000506605 +*CONN +*I *905:A I *D sky130_fd_sc_hd__clkinv_1 +*I *902:Z O *D sky130_fd_sc_hd__einvp_2 +*I *904:Z O *D sky130_fd_sc_hd__einvn_4 +*CAP +1 *905:A 0 +2 *902:Z 2.37728e-05 +3 *904:Z 9.65856e-05 +4 *275:8 0.000120358 +5 *902:Z *901:A 0.000118166 +6 *275:8 *902:TE 0 +7 *902:A *275:8 9.60366e-05 +8 *5:148 *902:Z 4.31539e-05 +9 *5:148 *275:8 8.53106e-06 +10 *15:5 *275:8 0 +*RES +1 *904:Z *275:8 20.9116 +2 *275:8 *902:Z 10.5271 +3 *275:8 *905:A 9.24915 +*END + +*D_NET *276 0.000998342 +*CONN +*I *901:A I *D sky130_fd_sc_hd__einvp_2 +*I *905:Y O *D sky130_fd_sc_hd__clkinv_1 +*CAP +1 *901:A 0.000132096 +2 *905:Y 0.000132096 +3 *902:Z *901:A 0.000118166 +4 *5:148 *901:A 2.20837e-05 +5 *35:8 *901:A 0.000593901 +*RES +1 *905:Y *901:A 24.7677 +*END + +*D_NET *277 0.00084315 +*CONN +*I *901:Z O *D sky130_fd_sc_hd__einvp_2 +*I *906:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *903:Z O *D sky130_fd_sc_hd__einvn_8 +*CAP +1 *901:Z 0.000119021 +2 *906:A 0.000139207 +3 *903:Z 0 +4 *277:5 0.000258228 +5 *822:A0 *906:A 0.000114271 +6 *5:142 *906:A 0.000112367 +7 *14:11 *901:Z 5.92573e-05 +8 *14:11 *906:A 4.07982e-05 +*RES +1 *903:Z *277:5 13.7491 +2 *277:5 *906:A 18.1049 +3 *277:5 *901:Z 17.2421 +*END + +*D_NET *278 0.00138354 +*CONN +*I *901:TE I *D sky130_fd_sc_hd__einvp_2 +*I *903:TE_B I *D sky130_fd_sc_hd__einvn_8 +*I *822:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *901:TE 0.000245991 +2 *903:TE_B 2.43238e-05 +3 *822:X 0.000156354 +4 *278:8 0.000426668 +5 *903:TE_B *280:5 6.27718e-05 +6 *366:DIODE *901:TE 3.49494e-05 +7 *366:DIODE *278:8 3.37671e-05 +8 *822:A1 *278:8 5.31465e-05 +9 *13:12 *901:TE 0 +10 *32:10 *278:8 5.9765e-05 +11 *33:11 *901:TE 7.35211e-05 +12 *33:11 *278:8 3.49586e-05 +13 *35:8 *901:TE 0.000177325 +*RES +1 *822:X *278:8 17.6896 +2 *278:8 *903:TE_B 14.4725 +3 *278:8 *901:TE 21.4325 +*END + +*D_NET *279 0.0050684 +*CONN +*I *904:TE_B I *D sky130_fd_sc_hd__einvn_4 +*I *902:TE I *D sky130_fd_sc_hd__einvp_2 +*I *823:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *904:TE_B 0 +2 *902:TE 8.37055e-05 +3 *823:X 0.00130948 +4 *279:15 0.000199578 +5 *279:13 0.00142535 +6 *279:13 *900:A 0.00026012 +7 *279:13 *922:A 0.000211464 +8 *279:13 *922:TE 5.05841e-05 +9 *279:13 *924:TE_B 0 +10 *279:13 *280:15 4.38694e-05 +11 *279:13 *301:5 0.000167799 +12 *279:13 *306:13 7.23063e-05 +13 *279:15 *280:15 0.000408887 +14 *814:A1 *279:13 0.00025718 +15 *902:A *902:TE 0 +16 *902:A *279:13 0.00026012 +17 *902:A *279:15 4.61271e-05 +18 *5:148 *902:TE 0 +19 *14:11 *902:TE 0.000181357 +20 *17:9 *279:13 9.04668e-05 +21 *275:8 *902:TE 0 +*RES +1 *823:X *279:13 47.0638 +2 *279:13 *279:15 4.60562 +3 *279:15 *902:TE 21.3269 +4 *279:15 *904:TE_B 9.24915 +*END + +*D_NET *280 0.00233773 +*CONN +*I *900:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *904:A I *D sky130_fd_sc_hd__einvn_4 +*I *903:A I *D sky130_fd_sc_hd__einvn_8 +*I *899:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *900:A 7.94464e-05 +2 *904:A 0 +3 *903:A 2.1308e-05 +4 *899:X 0.000296283 +5 *280:15 0.000463449 +6 *280:5 0.000701593 +7 *903:TE_B *280:5 6.27718e-05 +8 *279:13 *900:A 0.00026012 +9 *279:13 *280:15 4.38694e-05 +10 *279:15 *280:15 0.000408887 +*RES +1 *899:X *280:5 15.5186 +2 *280:5 *903:A 9.82786 +3 *280:5 *280:15 10.7304 +4 *280:15 *904:A 9.24915 +5 *280:15 *900:A 12.191 +*END + +*D_NET *281 0.000996142 +*CONN +*I *909:A I *D sky130_fd_sc_hd__einvp_2 +*I *907:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *909:A 0.000304079 +2 *907:X 0.000304079 +3 *909:A *908:A 9.12416e-06 +4 *822:A0 *909:A 0 +5 *14:11 *909:A 2.14657e-05 +6 *265:13 *909:A 0.000357395 +*RES +1 *907:X *909:A 32.9661 +*END + +*D_NET *282 0.00143916 +*CONN +*I *909:Z O *D sky130_fd_sc_hd__einvp_2 +*I *912:A I *D sky130_fd_sc_hd__clkinv_1 +*I *911:Z O *D sky130_fd_sc_hd__einvn_4 +*CAP +1 *909:Z 0.000266125 +2 *912:A 3.37491e-05 +3 *911:Z 0 +4 *282:4 0.000299874 +5 *909:Z *908:A 0.000266568 +6 *909:Z *284:36 0.000196638 +7 *912:A *908:A 0.000220738 +8 *820:A0 *909:Z 2.29319e-05 +9 *822:A0 *909:Z 0 +10 *826:A0 *909:Z 7.23987e-05 +11 *826:A0 *912:A 6.01398e-05 +*RES +1 *911:Z *282:4 9.24915 +2 *282:4 *912:A 11.6364 +3 *282:4 *909:Z 27.3148 +*END + +*D_NET *283 0.00181528 +*CONN +*I *908:A I *D sky130_fd_sc_hd__einvp_2 +*I *912:Y O *D sky130_fd_sc_hd__clkinv_1 +*CAP +1 *908:A 0.000420017 +2 *912:Y 0.000420017 +3 *908:A *284:36 0.000170577 +4 *826:A0 *908:A 9.75148e-06 +5 *909:A *908:A 9.12416e-06 +6 *909:Z *908:A 0.000266568 +7 *912:A *908:A 0.000220738 +8 *14:11 *908:A 0.000298488 +*RES +1 *912:Y *908:A 38.2278 +*END + +*D_NET *284 0.00748346 +*CONN +*I *943:A I *D sky130_fd_sc_hd__clkinv_2 +*I *913:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *908:Z O *D sky130_fd_sc_hd__einvp_2 +*I *910:Z O *D sky130_fd_sc_hd__einvn_8 +*CAP +1 *943:A 0 +2 *913:A 0.000703694 +3 *908:Z 1.98947e-05 +4 *910:Z 0 +5 *284:36 0.00120376 +6 *284:8 0.000872352 +7 *284:4 0.00135252 +8 *908:Z *907:A 6.08467e-05 +9 *913:A *287:8 1.25793e-05 +10 *284:8 *287:8 3.25618e-05 +11 *284:8 *287:12 0.000101118 +12 *284:36 *321:10 0 +13 *660:A *284:36 5.56461e-05 +14 *805:A *284:36 4.60283e-05 +15 *808:S *284:36 8.79472e-05 +16 *814:A0 *913:A 4.34267e-05 +17 *820:A0 *908:Z 2.16355e-05 +18 *822:A0 *284:36 0 +19 *824:A0 *913:A 0.000945655 +20 *826:A0 *284:36 0 +21 *832:A0 *284:36 0.000148129 +22 *846:D *284:36 9.19886e-06 +23 *857:A *284:36 0.000183508 +24 *859:A *284:36 0.000122378 +25 *863:A *284:36 0.000122378 +26 *908:A *284:36 0.000170577 +27 *909:Z *284:36 0.000196638 +28 *947:A *284:36 0 +29 *5:22 *284:36 0.000152239 +30 *13:12 *284:8 1.71673e-05 +31 *14:11 *913:A 1.66771e-05 +32 *14:11 *284:8 0.000115878 +33 *14:11 *284:36 0.00010984 +34 *48:8 *913:A 1.83188e-05 +35 *90:22 *284:36 0.000284983 +36 *233:18 *284:36 0.000103563 +37 *233:25 *284:36 0.000117846 +38 *250:9 *284:36 3.4475e-05 +*RES +1 *910:Z *284:4 9.24915 +2 *284:4 *284:8 8.57985 +3 *284:8 *908:Z 14.4725 +4 *284:8 *913:A 23.4684 +5 *284:4 *284:36 47.5923 +6 *284:36 *943:A 9.24915 +*END + +*D_NET *285 0.00107634 +*CONN +*I *908:TE I *D sky130_fd_sc_hd__einvp_2 +*I *910:TE_B I *D sky130_fd_sc_hd__einvn_8 +*I *820:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *908:TE 5.29192e-05 +2 *910:TE_B 0.00026245 +3 *820:X 0 +4 *285:4 0.000315369 +5 *820:A0 *908:TE 6.55651e-05 +6 *820:A0 *910:TE_B 5.48756e-05 +7 *5:120 *910:TE_B 0.000156823 +8 *13:12 *910:TE_B 0.00015321 +9 *265:13 *910:TE_B 1.51335e-05 +*RES +1 *820:X *285:4 9.24915 +2 *285:4 *910:TE_B 24.4081 +3 *285:4 *908:TE 10.9612 +*END + +*D_NET *286 0.00372083 +*CONN +*I *909:TE I *D sky130_fd_sc_hd__einvp_2 +*I *911:TE_B I *D sky130_fd_sc_hd__einvn_4 +*I *821:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *909:TE 0 +2 *911:TE_B 0.00027175 +3 *821:X 0.000764673 +4 *286:13 0.00103642 +5 *286:13 *907:A 0.000159038 +6 *286:13 *916:A 0.000114594 +7 *286:13 *918:TE_B 0.00010448 +8 *286:13 *289:5 8.17138e-05 +9 *286:13 *294:5 3.82228e-05 +10 *760:A2 *911:TE_B 1.09551e-05 +11 *762:A1 *911:TE_B 7.73852e-05 +12 *762:A1 *286:13 0.000109421 +13 *762:A2 *286:13 0.000203756 +14 *762:B1 *286:13 3.39346e-05 +15 *817:A0 *286:13 0.000100687 +16 *822:A0 *911:TE_B 0.000307046 +17 *826:A0 *911:TE_B 5.05707e-05 +18 *17:9 *286:13 2.65948e-05 +19 *43:8 *286:13 6.50727e-05 +20 *225:105 *911:TE_B 5.39463e-05 +21 *265:13 *286:13 0.000110566 +*RES +1 *821:X *286:13 39.854 +2 *286:13 *911:TE_B 27.3631 +3 *286:13 *909:TE 9.24915 +*END + +*D_NET *287 0.00282611 +*CONN +*I *907:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *910:A I *D sky130_fd_sc_hd__einvn_8 +*I *911:A I *D sky130_fd_sc_hd__einvn_4 +*I *906:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *907:A 0.00045084 +2 *910:A 0 +3 *911:A 0.000208078 +4 *906:X 0.000215669 +5 *287:12 0.000292675 +6 *287:8 0.000751105 +7 *820:A0 *907:A 7.41247e-05 +8 *822:A0 *287:8 0.000110458 +9 *908:Z *907:A 6.08467e-05 +10 *913:A *287:8 1.25793e-05 +11 *5:88 *911:A 0 +12 *13:12 *911:A 9.9028e-05 +13 *13:12 *287:8 0 +14 *14:11 *287:8 5.19521e-05 +15 *14:11 *287:12 2.19276e-05 +16 *265:13 *907:A 0.000184114 +17 *284:8 *287:8 3.25618e-05 +18 *284:8 *287:12 0.000101118 +19 *286:13 *907:A 0.000159038 +*RES +1 *906:X *287:8 18.5201 +2 *287:8 *287:12 7.1625 +3 *287:12 *911:A 23.7141 +4 *287:12 *910:A 9.24915 +5 *287:8 *907:A 22.6951 +*END + +*D_NET *288 0.000452425 +*CONN +*I *916:A I *D sky130_fd_sc_hd__einvp_2 +*I *914:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *916:A 0.000126288 +2 *914:X 0.000126288 +3 *916:A *914:A 0 +4 *916:A *918:TE_B 6.64392e-05 +5 *916:A *289:5 1.88152e-05 +6 *286:13 *916:A 0.000114594 +*RES +1 *914:X *916:A 31.0235 +*END + +*D_NET *289 0.0010712 +*CONN +*I *916:Z O *D sky130_fd_sc_hd__einvp_2 +*I *919:A I *D sky130_fd_sc_hd__clkinv_1 +*I *918:Z O *D sky130_fd_sc_hd__einvn_4 +*CAP +1 *916:Z 0 +2 *919:A 7.24431e-05 +3 *918:Z 7.64871e-05 +4 *289:5 0.00014893 +5 *289:5 *918:TE_B 0.000117376 +6 *916:A *289:5 1.88152e-05 +7 *17:9 *919:A 8.92089e-05 +8 *265:13 *289:5 0.000466227 +9 *286:13 *289:5 8.17138e-05 +*RES +1 *918:Z *289:5 14.4094 +2 *289:5 *919:A 20.0811 +3 *289:5 *916:Z 9.24915 +*END + +*D_NET *290 0.000135789 +*CONN +*I *915:A I *D sky130_fd_sc_hd__einvp_2 +*I *919:Y O *D sky130_fd_sc_hd__clkinv_1 +*CAP +1 *915:A 5.85372e-05 +2 *919:Y 5.85372e-05 +3 *5:168 *915:A 1.87146e-05 +*RES +1 *919:Y *915:A 19.7763 +*END + +*D_NET *291 0.000929906 +*CONN +*I *915:Z O *D sky130_fd_sc_hd__einvp_2 +*I *920:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *917:Z O *D sky130_fd_sc_hd__einvn_8 +*CAP +1 *915:Z 8.24108e-05 +2 *920:A 0.000122884 +3 *917:Z 0 +4 *291:4 0.000205295 +5 *915:Z *915:TE 0.000114271 +6 *920:A *924:TE_B 3.44412e-06 +7 *5:168 *915:Z 0.000365242 +8 *16:9 *920:A 3.63588e-05 +*RES +1 *917:Z *291:4 9.24915 +2 *291:4 *920:A 21.3269 +3 *291:4 *915:Z 13.3002 +*END + +*D_NET *292 0.00121505 +*CONN +*I *915:TE I *D sky130_fd_sc_hd__einvp_2 +*I *917:TE_B I *D sky130_fd_sc_hd__einvn_8 +*I *818:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *915:TE 0.000354891 +2 *917:TE_B 1.86223e-05 +3 *818:X 4.85752e-05 +4 *292:7 0.000422089 +5 *818:A0 *915:TE 7.92757e-06 +6 *818:A0 *292:7 1.43698e-05 +7 *915:Z *915:TE 0.000114271 +8 *5:168 *915:TE 0.000234308 +*RES +1 *818:X *292:7 10.5513 +2 *292:7 *917:TE_B 9.82786 +3 *292:7 *915:TE 19.4008 +*END + +*D_NET *293 0.00223259 +*CONN +*I *918:TE_B I *D sky130_fd_sc_hd__einvn_4 +*I *916:TE I *D sky130_fd_sc_hd__einvp_2 +*I *819:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *918:TE_B 9.7119e-05 +2 *916:TE 0 +3 *819:X 0.000554003 +4 *293:11 0.000651122 +5 *827:A0 *293:11 5.04829e-06 +6 *916:A *918:TE_B 6.64392e-05 +7 *5:179 *293:11 0.000399216 +8 *18:11 *293:11 0.000107116 +9 *265:13 *918:TE_B 0.000104598 +10 *265:13 *293:11 2.60765e-05 +11 *286:13 *918:TE_B 0.00010448 +12 *289:5 *918:TE_B 0.000117376 +*RES +1 *819:X *293:11 29.5683 +2 *293:11 *916:TE 9.24915 +3 *293:11 *918:TE_B 13.8789 +*END + +*D_NET *294 0.00147961 +*CONN +*I *918:A I *D sky130_fd_sc_hd__einvn_4 +*I *917:A I *D sky130_fd_sc_hd__einvn_8 +*I *914:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *913:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *918:A 0 +2 *917:A 9.39693e-05 +3 *914:A 0.000236775 +4 *913:X 4.42171e-05 +5 *294:7 0.000330744 +6 *294:5 4.42171e-05 +7 *914:A *312:15 0.000117343 +8 *345:DIODE *914:A 0.000113968 +9 *810:A1 *914:A 2.65667e-05 +10 *916:A *914:A 0 +11 *5:222 *914:A 2.652e-05 +12 *16:9 *914:A 0.000208877 +13 *16:9 *917:A 0.000159968 +14 *265:13 *294:5 3.82228e-05 +15 *286:13 *294:5 3.82228e-05 +*RES +1 *913:X *294:5 10.5271 +2 *294:5 *294:7 4.5 +3 *294:7 *914:A 21.7084 +4 *294:7 *917:A 16.8269 +5 *294:5 *918:A 9.24915 +*END + +*D_NET *295 0.000672948 +*CONN +*I *923:A I *D sky130_fd_sc_hd__einvp_2 +*I *921:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *923:A 0.000141788 +2 *921:X 0.000141788 +3 *923:A *923:Z 0.000116557 +4 *923:A *926:A 0.000163344 +5 *24:14 *923:A 0.000109472 +*RES +1 *921:X *923:A 32.6523 +*END + +*D_NET *296 0.00170785 +*CONN +*I *923:Z O *D sky130_fd_sc_hd__einvp_2 +*I *926:A I *D sky130_fd_sc_hd__clkinv_1 +*I *925:Z O *D sky130_fd_sc_hd__einvn_4 +*CAP +1 *923:Z 6.4253e-05 +2 *926:A 0.000267814 +3 *925:Z 3.23952e-05 +4 *296:7 0.000364463 +5 *923:Z *922:A 4.01774e-05 +6 *926:A *921:A 0.000188503 +7 *926:A *922:A 6.43151e-05 +8 *296:7 *925:A 0.000157107 +9 *296:7 *927:A 0.000157107 +10 *827:A0 *926:A 6.27718e-05 +11 *923:A *923:Z 0.000116557 +12 *923:A *926:A 0.000163344 +13 *5:179 *926:A 1.54809e-05 +14 *5:184 *926:A 1.35641e-05 +*RES +1 *925:Z *296:7 15.5817 +2 *296:7 *926:A 21.4269 +3 *296:7 *923:Z 15.9964 +*END + +*D_NET *297 0.00187026 +*CONN +*I *922:A I *D sky130_fd_sc_hd__einvp_2 +*I *926:Y O *D sky130_fd_sc_hd__clkinv_1 +*CAP +1 *922:A 0.000356853 +2 *926:Y 0.000356853 +3 *922:A *921:A 0.000183661 +4 *922:A *923:TE 6.50586e-05 +5 *827:A0 *922:A 7.136e-05 +6 *923:Z *922:A 4.01774e-05 +7 *926:A *922:A 6.43151e-05 +8 *5:184 *922:A 6.46815e-05 +9 *18:11 *922:A 0.00045584 +10 *279:13 *922:A 0.000211464 +*RES +1 *926:Y *922:A 40.8192 +*END + +*D_NET *298 0.0027261 +*CONN +*I *927:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *922:Z O *D sky130_fd_sc_hd__einvp_2 +*I *924:Z O *D sky130_fd_sc_hd__einvn_8 +*CAP +1 *927:A 0.000775334 +2 *922:Z 0.000154907 +3 *924:Z 2.09545e-05 +4 *298:5 0.000951196 +5 *922:Z *923:TE 2.22923e-05 +6 *922:Z *301:13 3.25052e-05 +7 *927:A *925:A 8.35465e-05 +8 *927:A *301:13 3.14466e-05 +9 *927:A *306:13 0.000496811 +10 *17:9 *922:Z 0 +11 *296:7 *927:A 0.000157107 +*RES +1 *924:Z *298:5 9.82786 +2 *298:5 *922:Z 21.3591 +3 *298:5 *927:A 22.1979 +*END + +*D_NET *299 0.00105914 +*CONN +*I *922:TE I *D sky130_fd_sc_hd__einvp_2 +*I *924:TE_B I *D sky130_fd_sc_hd__einvn_8 +*I *816:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *922:TE 0.00023268 +2 *924:TE_B 0.000144705 +3 *816:X 0.000125169 +4 *299:7 0.000502555 +5 *816:A1 *299:7 0 +6 *920:A *924:TE_B 3.44412e-06 +7 *16:9 *924:TE_B 0 +8 *17:9 *924:TE_B 0 +9 *279:13 *922:TE 5.05841e-05 +10 *279:13 *924:TE_B 0 +*RES +1 *816:X *299:7 12.2151 +2 *299:7 *924:TE_B 21.7421 +3 *299:7 *922:TE 14.964 +*END + +*D_NET *300 0.00102337 +*CONN +*I *923:TE I *D sky130_fd_sc_hd__einvp_2 +*I *925:TE_B I *D sky130_fd_sc_hd__einvn_4 +*I *817:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *923:TE 0.000117853 +2 *925:TE_B 0 +3 *817:X 0.000178536 +4 *300:8 0.000296389 +5 *817:A1 *300:8 4.24488e-05 +6 *922:A *923:TE 6.50586e-05 +7 *922:Z *923:TE 2.22923e-05 +8 *18:11 *923:TE 0.000162455 +9 *18:11 *300:8 0.000138334 +*RES +1 *817:X *300:8 17.6896 +2 *300:8 *925:TE_B 13.7491 +3 *300:8 *923:TE 17.5503 +*END + +*D_NET *301 0.00302123 +*CONN +*I *925:A I *D sky130_fd_sc_hd__einvn_4 +*I *921:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *924:A I *D sky130_fd_sc_hd__einvn_8 +*I *920:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *925:A 0.000108531 +2 *921:A 7.65263e-05 +3 *924:A 2.12792e-05 +4 *920:X 0.000109289 +5 *301:13 0.000339726 +6 *301:5 0.000285237 +7 *925:A *306:13 0.000135577 +8 *301:5 *306:13 0.000565745 +9 *301:13 *306:13 0.000534751 +10 *922:A *921:A 0.000183661 +11 *922:Z *301:13 3.25052e-05 +12 *926:A *921:A 0.000188503 +13 *927:A *925:A 8.35465e-05 +14 *927:A *301:13 3.14466e-05 +15 *279:13 *301:5 0.000167799 +16 *296:7 *925:A 0.000157107 +*RES +1 *920:X *301:5 15.5186 +2 *301:5 *924:A 9.82786 +3 *301:5 *301:13 6.26943 +4 *301:13 *921:A 21.7421 +5 *301:13 *925:A 13.8789 +*END + +*D_NET *302 0.00143318 +*CONN +*I *930:A I *D sky130_fd_sc_hd__einvp_2 +*I *928:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *930:A 0.000496958 +2 *928:X 0.000496958 +3 *930:A *930:TE 0.000116101 +4 *5:293 *930:A 3.62414e-05 +5 *22:8 *930:A 0.000286923 +*RES +1 *928:X *930:A 37.1242 +*END + +*D_NET *303 0.00164712 +*CONN +*I *933:A I *D sky130_fd_sc_hd__clkinv_1 +*I *930:Z O *D sky130_fd_sc_hd__einvp_2 +*I *932:Z O *D sky130_fd_sc_hd__einvn_4 +*CAP +1 *933:A 0.000152478 +2 *930:Z 0.000340279 +3 *932:Z 0 +4 *303:4 0.000492757 +5 *930:Z *930:TE 4.24488e-05 +6 *930:Z *306:13 7.6868e-05 +7 *930:Z *308:7 0.000159032 +8 *933:A *932:A 0.000167438 +9 *825:A0 *930:Z 6.72449e-05 +10 *22:8 *930:Z 6.3657e-05 +11 *22:8 *933:A 8.49163e-05 +*RES +1 *932:Z *303:4 9.24915 +2 *303:4 *930:Z 27.2105 +3 *303:4 *933:A 13.8789 +*END + +*D_NET *304 0.000584973 +*CONN +*I *929:A I *D sky130_fd_sc_hd__einvp_2 +*I *933:Y O *D sky130_fd_sc_hd__clkinv_1 +*CAP +1 *929:A 0.000119896 +2 *933:Y 0.000119896 +3 *929:A *929:Z 0.000197176 +4 *929:A *308:12 3.89804e-05 +5 *21:16 *929:A 0.000109024 +*RES +1 *933:Y *929:A 32.6523 +*END + +*D_NET *305 0.00106716 +*CONN +*I *934:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *929:Z O *D sky130_fd_sc_hd__einvp_2 +*I *931:Z O *D sky130_fd_sc_hd__einvn_8 +*CAP +1 *934:A 9.62399e-05 +2 *929:Z 0.000225964 +3 *931:Z 0 +4 *305:4 0.000322204 +5 *929:Z *931:A 5.04829e-06 +6 *929:Z *308:12 5.23435e-05 +7 *929:A *929:Z 0.000197176 +8 *21:16 *934:A 0.000168186 +*RES +1 *931:Z *305:4 9.24915 +2 *305:4 *929:Z 24.1294 +3 *305:4 *934:A 21.3269 +*END + +*D_NET *306 0.00490406 +*CONN +*I *929:TE I *D sky130_fd_sc_hd__einvp_2 +*I *931:TE_B I *D sky130_fd_sc_hd__einvn_8 +*I *814:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *929:TE 0.000218398 +2 *931:TE_B 0 +3 *814:X 0.000957261 +4 *306:13 0.00117566 +5 *929:TE *308:7 0.000250519 +6 *306:13 *930:TE 0.000357388 +7 *306:13 *308:7 6.27718e-05 +8 *825:A0 *929:TE 0 +9 *925:A *306:13 0.000135577 +10 *927:A *306:13 0.000496811 +11 *930:Z *306:13 7.6868e-05 +12 *279:13 *306:13 7.23063e-05 +13 *301:5 *306:13 0.000565745 +14 *301:13 *306:13 0.000534751 +*RES +1 *814:X *306:13 38.9084 +2 *306:13 *931:TE_B 9.24915 +3 *306:13 *929:TE 24.2687 +*END + +*D_NET *307 0.00202276 +*CONN +*I *930:TE I *D sky130_fd_sc_hd__einvp_2 +*I *932:TE_B I *D sky130_fd_sc_hd__einvn_4 +*I *815:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *930:TE 0.000288543 +2 *932:TE_B 0 +3 *815:X 0.000325317 +4 *307:6 0.00061386 +5 *351:DIODE *307:6 0 +6 *770:A *307:6 6.61709e-05 +7 *773:B1 *307:6 0.000167615 +8 *930:A *930:TE 0.000116101 +9 *930:Z *930:TE 4.24488e-05 +10 *5:324 *307:6 4.53156e-05 +11 *25:8 *307:6 0 +12 *306:13 *930:TE 0.000357388 +*RES +1 *815:X *307:6 22.6404 +2 *307:6 *932:TE_B 13.7491 +3 *307:6 *930:TE 22.6122 +*END + +*D_NET *308 0.00173912 +*CONN +*I *931:A I *D sky130_fd_sc_hd__einvn_8 +*I *928:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *932:A I *D sky130_fd_sc_hd__einvn_4 +*I *927:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *931:A 3.42956e-05 +2 *928:A 0 +3 *932:A 2.01765e-05 +4 *927:X 0.000190761 +5 *308:12 0.000193678 +6 *308:7 0.000398559 +7 *929:A *308:12 3.89804e-05 +8 *929:TE *308:7 0.000250519 +9 *929:Z *931:A 5.04829e-06 +10 *929:Z *308:12 5.23435e-05 +11 *930:Z *308:7 0.000159032 +12 *933:A *932:A 0.000167438 +13 *22:8 *932:A 0.000165521 +14 *306:13 *308:7 6.27718e-05 +*RES +1 *927:X *308:7 15.5427 +2 *308:7 *308:12 12.9083 +3 *308:12 *932:A 11.0817 +4 *308:12 *928:A 9.24915 +5 *308:7 *931:A 9.97254 +*END + +*D_NET *309 0.000382005 +*CONN +*I *937:A I *D sky130_fd_sc_hd__einvp_2 +*I *935:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *937:A 0.000104002 +2 *935:X 0.000104002 +3 *937:A *937:Z 0.000174001 +*RES +1 *935:X *937:A 30.6083 +*END + +*D_NET *310 0.00161072 +*CONN +*I *940:A I *D sky130_fd_sc_hd__clkinv_1 +*I *937:Z O *D sky130_fd_sc_hd__einvp_2 +*I *939:Z O *D sky130_fd_sc_hd__einvn_4 +*CAP +1 *940:A 0.00022806 +2 *937:Z 0.000222489 +3 *939:Z 0 +4 *310:4 0.000450549 +5 *937:Z *939:TE_B 1.64943e-05 +6 *937:Z *313:8 3.67528e-06 +7 *937:Z *314:13 9.68095e-05 +8 *940:A *936:A 9.75148e-06 +9 *940:A *939:TE_B 0.000263359 +10 *937:A *937:Z 0.000174001 +11 *21:16 *937:Z 0.000145529 +*RES +1 *939:Z *310:4 9.24915 +2 *310:4 *937:Z 26.3422 +3 *310:4 *940:A 14.9881 +*END + +*D_NET *311 0.00122115 +*CONN +*I *936:A I *D sky130_fd_sc_hd__einvp_2 +*I *940:Y O *D sky130_fd_sc_hd__clkinv_1 +*CAP +1 *936:A 0.000335832 +2 *940:Y 0.000335832 +3 *936:A *939:TE_B 0.000342544 +4 *940:A *936:A 9.75148e-06 +5 *241:6 *936:A 0.000197187 +*RES +1 *940:Y *936:A 36.1487 +*END + +*D_NET *312 0.00516939 +*CONN +*I *936:TE I *D sky130_fd_sc_hd__einvp_2 +*I *938:TE_B I *D sky130_fd_sc_hd__einvn_8 +*I *812:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *936:TE 0.000360517 +2 *938:TE_B 0 +3 *812:X 0.000998722 +4 *312:15 0.00135924 +5 *345:DIODE *312:15 0.000258128 +6 *346:DIODE *312:15 0.000103983 +7 *351:DIODE *936:TE 0 +8 *773:A2 *312:15 0.000107496 +9 *773:A3 *312:15 2.17953e-06 +10 *773:B1 *312:15 0.000385928 +11 *774:B1 *312:15 0.000264586 +12 *777:B *312:15 7.25274e-05 +13 *779:A *312:15 0.000117469 +14 *812:S *312:15 0.000158357 +15 *825:A0 *936:TE 5.67857e-05 +16 *825:A0 *312:15 7.92757e-06 +17 *914:A *312:15 0.000117343 +18 *5:190 *312:15 0.000211464 +19 *5:195 *312:15 0.000377273 +20 *5:223 *312:15 9.97706e-05 +21 *5:324 *312:15 6.89449e-05 +22 *25:8 *936:TE 0 +23 *118:96 *312:15 4.0752e-05 +*RES +1 *812:X *312:15 46.8174 +2 *312:15 *938:TE_B 9.24915 +3 *312:15 *936:TE 26.4871 +*END + +*D_NET *313 0.00198218 +*CONN +*I *939:TE_B I *D sky130_fd_sc_hd__einvn_4 +*I *937:TE I *D sky130_fd_sc_hd__einvp_2 +*I *813:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *939:TE_B 0.000195059 +2 *937:TE 0 +3 *813:X 0.000191747 +4 *313:8 0.000386805 +5 *813:S *313:8 0.000169041 +6 *864:A *313:8 6.80864e-05 +7 *936:A *939:TE_B 0.000342544 +8 *937:Z *939:TE_B 1.64943e-05 +9 *937:Z *313:8 3.67528e-06 +10 *940:A *939:TE_B 0.000263359 +11 *21:16 *939:TE_B 0.000332677 +12 *241:6 *939:TE_B 7.46648e-06 +13 *241:6 *313:8 5.22654e-06 +*RES +1 *813:X *313:8 18.2442 +2 *313:8 *937:TE 13.7491 +3 *313:8 *939:TE_B 23.506 +*END + +*D_NET *314 0.00106013 +*CONN +*I *939:A I *D sky130_fd_sc_hd__einvn_4 +*I *935:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *938:A I *D sky130_fd_sc_hd__einvn_8 +*I *934:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *939:A 0 +2 *935:A 0 +3 *938:A 6.77624e-05 +4 *934:X 0.000161215 +5 *314:13 0.000306207 +6 *314:8 0.000399659 +7 *937:Z *314:13 9.68095e-05 +8 *22:8 *314:8 2.84758e-05 +*RES +1 *934:X *314:8 16.8591 +2 *314:8 *314:13 10.6561 +3 *314:13 *938:A 10.5271 +4 *314:13 *935:A 9.24915 +5 *314:8 *939:A 13.7491 +*END + +*D_NET *315 0.000832948 +*CONN +*I *950:TE_B I *D sky130_fd_sc_hd__einvn_8 +*I *946:X O *D sky130_fd_sc_hd__or2_2 +*CAP +1 *950:TE_B 0.000245615 +2 *946:X 0.000245615 +3 *950:TE_B *953:A 0.000227134 +4 *236:11 *950:TE_B 0.000114584 +*RES +1 *946:X *950:TE_B 33.2714 +*END + +*D_NET *316 0.000268097 +*CONN +*I *949:A I *D sky130_fd_sc_hd__einvp_2 +*I *947:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *949:A 0.000131683 +2 *947:X 0.000131683 +3 *846:D *949:A 4.73037e-06 +4 *947:A *949:A 0 +5 *119:25 *949:A 0 +*RES +1 *947:X *949:A 30.0537 +*END + +*D_NET *317 0.00114338 +*CONN +*I *949:Z O *D sky130_fd_sc_hd__einvp_2 +*I *952:A I *D sky130_fd_sc_hd__clkinv_1 +*I *951:Z O *D sky130_fd_sc_hd__einvn_4 +*CAP +1 *949:Z 5.36936e-05 +2 *952:A 0.000138961 +3 *951:Z 0.000114059 +4 *317:7 0.000306714 +5 *949:Z *951:TE_B 0.000122378 +6 *952:A *948:A 0.000125876 +7 *317:7 *948:A 0.0002817 +8 *950:A *952:A 0 +9 *119:25 *952:A 0 +10 *249:24 *952:A 0 +*RES +1 *951:Z *317:7 13.3243 +2 *317:7 *952:A 21.7421 +3 *317:7 *949:Z 11.0817 +*END + +*D_NET *318 0.00106138 +*CONN +*I *948:A I *D sky130_fd_sc_hd__einvp_2 +*I *952:Y O *D sky130_fd_sc_hd__clkinv_1 +*CAP +1 *948:A 0.000267817 +2 *952:Y 0.000267817 +3 *951:A *948:A 0.000118166 +4 *952:A *948:A 0.000125876 +5 *249:24 *948:A 0 +6 *317:7 *948:A 0.0002817 +*RES +1 *952:Y *948:A 36.015 +*END + +*D_NET *319 0.00120271 +*CONN +*I *953:A I *D sky130_fd_sc_hd__einvp_1 +*I *945:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 *953:A 0.000238206 +2 *945:HI 0.000238206 +3 *953:A *946:A 7.58194e-05 +4 *953:A *946:B 0.000122378 +5 *953:A *321:10 0.000286306 +6 *950:TE_B *953:A 0.000227134 +7 *233:18 *953:A 1.46645e-05 +*RES +1 *945:HI *953:A 36.7033 +*END + +*D_NET *320 0.000986036 +*CONN +*I *946:A I *D sky130_fd_sc_hd__or2_2 +*I *953:TE I *D sky130_fd_sc_hd__einvp_1 +*I *660:B I *D sky130_fd_sc_hd__nor2_2 +*I *659:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *946:A 0.000100019 +2 *953:TE 3.58004e-05 +3 *660:B 0 +4 *659:Y 0.000129704 +5 *320:15 0.00027748 +6 *320:6 0.000271365 +7 *805:A *320:6 0 +8 *863:A *320:15 0 +9 *941:A *320:6 0 +10 *941:A *320:15 0 +11 *942:A *320:6 0 +12 *953:A *946:A 7.58194e-05 +13 *11:10 *320:6 1.91246e-05 +14 *233:5 *946:A 7.16754e-05 +15 *233:18 *946:A 5.04829e-06 +*RES +1 *659:Y *320:6 16.4116 +2 *320:6 *660:B 13.7491 +3 *320:6 *320:15 7.57775 +4 *320:15 *953:TE 9.97254 +5 *320:15 *946:A 12.7456 +*END + +*D_NET *321 0.00162271 +*CONN +*I *948:TE I *D sky130_fd_sc_hd__einvp_2 +*I *946:B I *D sky130_fd_sc_hd__or2_2 +*I *832:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *948:TE 0 +2 *946:B 5.67305e-05 +3 *832:X 0.000479954 +4 *321:10 0.000536684 +5 *881:TE *321:10 3.69003e-05 +6 *953:A *946:B 0.000122378 +7 *953:A *321:10 0.000286306 +8 *5:98 *321:10 1.43848e-05 +9 *14:11 *321:10 4.26859e-05 +10 *233:18 *946:B 4.66876e-05 +11 *284:36 *321:10 0 +*RES +1 *832:X *321:10 31.1858 +2 *321:10 *946:B 11.6605 +3 *321:10 *948:TE 9.24915 +*END + +*D_NET *322 0.00210766 +*CONN +*I *949:TE I *D sky130_fd_sc_hd__einvp_2 +*I *951:TE_B I *D sky130_fd_sc_hd__einvn_4 +*I *833:X O *D sky130_fd_sc_hd__mux2_1 +*CAP +1 *949:TE 0 +2 *951:TE_B 0.000178483 +3 *833:X 0.000291917 +4 *322:7 0.000470401 +5 *649:A *322:7 0.000114594 +6 *723:B2 *322:7 0.000160617 +7 *730:A1 *322:7 0.000508618 +8 *830:A0 *322:7 3.1759e-05 +9 *949:Z *951:TE_B 0.000122378 +10 *119:5 *322:7 9.75148e-06 +11 *120:11 *322:7 0.000193069 +12 *186:10 *322:7 2.60704e-05 +*RES +1 *833:X *322:7 19.9795 +2 *322:7 *951:TE_B 13.8789 +3 *322:7 *949:TE 9.24915 +*END diff --git a/spef/gpio_control_block/gpio_control_block.nom.spef b/spef/gpio_control_block/gpio_control_block.nom.spef new file mode 100644 index 00000000..34b8911c --- /dev/null +++ b/spef/gpio_control_block/gpio_control_block.nom.spef @@ -0,0 +1,5705 @@ +*SPEF "ieee 1481-1999" +*DESIGN "gpio_control_block" +*DATE "11:11:11 Fri 11 11, 1111" +*VENDOR "OpenRCX" +*PROGRAM "Parallel Extraction" +*VERSION "1.0" +*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE" +*DIVIDER / +*DELIMITER : +*BUS_DELIMITER [] +*T_UNIT 1 NS +*C_UNIT 1 PF +*R_UNIT 1 OHM +*L_UNIT 1 HENRY + +*NAME_MAP +*1 gpio_defaults[0] +*2 gpio_defaults[10] +*3 gpio_defaults[11] +*4 gpio_defaults[12] +*5 gpio_defaults[1] +*6 gpio_defaults[2] +*7 gpio_defaults[3] +*8 gpio_defaults[4] +*9 gpio_defaults[5] +*10 gpio_defaults[6] +*11 gpio_defaults[7] +*12 gpio_defaults[8] +*13 gpio_defaults[9] +*14 mgmt_gpio_in +*15 mgmt_gpio_oeb +*16 mgmt_gpio_out +*17 one +*18 pad_gpio_ana_en +*19 pad_gpio_ana_pol +*20 pad_gpio_ana_sel +*21 pad_gpio_dm[0] +*22 pad_gpio_dm[1] +*23 pad_gpio_dm[2] +*24 pad_gpio_holdover +*25 pad_gpio_ib_mode_sel +*26 pad_gpio_in +*27 pad_gpio_inenb +*28 pad_gpio_out +*29 pad_gpio_outenb +*30 pad_gpio_slow_sel +*31 pad_gpio_vtrip_sel +*32 resetn +*33 resetn_out +*34 serial_clock +*35 serial_clock_out +*36 serial_data_in +*37 serial_data_out +*38 serial_load +*39 serial_load_out +*40 user_gpio_in +*41 user_gpio_oeb +*42 user_gpio_out +*47 zero +*48 _000_ +*49 _001_ +*50 _002_ +*51 _003_ +*52 _004_ +*53 _005_ +*54 _006_ +*55 _007_ +*56 _008_ +*57 _009_ +*58 _010_ +*59 _011_ +*60 _012_ +*61 _013_ +*62 _014_ +*63 _015_ +*64 _016_ +*65 _017_ +*66 _018_ +*67 _019_ +*68 _020_ +*69 _021_ +*70 _022_ +*71 _023_ +*72 _024_ +*73 _025_ +*74 _026_ +*75 _041_ +*76 _042_ +*77 _043_ +*78 _044_ +*79 _045_ +*80 _046_ +*81 _047_ +*82 _048_ +*83 _049_ +*84 _050_ +*85 _051_ +*86 _052_ +*87 _053_ +*88 _054_ +*89 _055_ +*90 _056_ +*91 _057_ +*92 clknet_0_serial_clock +*93 clknet_0_serial_load +*94 clknet_1_0__leaf_serial_load +*95 clknet_1_1__leaf_serial_clock +*96 gpio_logic1 +*97 gpio_outenb +*98 mgmt_ena +*99 net1 +*100 net10 +*101 net11 +*102 net12 +*103 net13 +*104 net14 +*105 net15 +*106 net16 +*107 net17 +*108 net18 +*109 net19 +*110 net2 +*111 net20 +*112 net21 +*113 net22 +*114 net23 +*115 net24 +*116 net25 +*117 net26 +*118 net27 +*119 net28 +*120 net29 +*121 net3 +*122 net30 +*123 net31 +*124 net32 +*125 net33 +*126 net34 +*127 net35 +*128 net36 +*129 net37 +*130 net38 +*131 net39 +*132 net4 +*133 net40 +*134 net41 +*135 net42 +*136 net43 +*137 net44 +*138 net45 +*139 net46 +*140 net47 +*141 net48 +*142 net49 +*143 net5 +*144 net50 +*145 net51 +*146 net52 +*147 net53 +*148 net54 +*149 net55 +*150 net56 +*151 net57 +*152 net6 +*153 net7 +*154 net8 +*155 net9 +*156 one_buffered +*157 serial_clock_out_buffered +*158 serial_load_out_buffered +*159 shift_register\[0\] +*160 shift_register\[10\] +*161 shift_register\[11\] +*162 shift_register\[12\] +*163 shift_register\[1\] +*164 shift_register\[2\] +*165 shift_register\[3\] +*166 shift_register\[4\] +*167 shift_register\[5\] +*168 shift_register\[6\] +*169 shift_register\[7\] +*170 shift_register\[8\] +*171 shift_register\[9\] +*172 zero_buffered +*173 ANTENNA__058__1_A +*174 ANTENNA__061__A0 +*175 ANTENNA__062__B +*176 ANTENNA__068__B +*177 ANTENNA__069__B +*178 ANTENNA__070__B +*179 ANTENNA__071__B +*180 ANTENNA__072__B +*181 ANTENNA__073__B +*182 ANTENNA__074__B +*183 ANTENNA__075__B +*184 ANTENNA__076__B +*185 ANTENNA__077__B +*186 ANTENNA__078__B +*187 ANTENNA__079__B +*188 ANTENNA__080__B +*189 ANTENNA__081__B +*190 ANTENNA__082__A +*191 ANTENNA__082__B +*192 ANTENNA__083__B +*193 ANTENNA__084__B +*194 ANTENNA__085__B +*195 ANTENNA__086__B +*196 ANTENNA__087__B +*197 ANTENNA__088__B +*198 ANTENNA__089__B +*199 ANTENNA__090__B +*200 ANTENNA__091__B +*201 ANTENNA__092__B +*202 ANTENNA__093__B +*203 ANTENNA__094__2_A +*204 ANTENNA__097__5_A +*205 ANTENNA__098__6_A +*206 ANTENNA__099__7_A +*207 ANTENNA__101__9_A +*208 ANTENNA__102__10_A +*209 ANTENNA__132__RESET_B +*210 ANTENNA__134__A +*211 ANTENNA_clkbuf_0_serial_clock_A +*212 ANTENNA_clkbuf_0_serial_load_A +*213 ANTENNA_fanout27_A +*214 ANTENNA_fanout28_A +*215 ANTENNA_input1_A +*216 ANTENNA_input2_A +*217 ANTENNA_input3_A +*218 ANTENNA_input4_A +*219 ANTENNA_input5_A +*220 ANTENNA_serial_load_out_buffer_A +*221 FILLER_0_27 +*222 FILLER_0_33 +*223 FILLER_0_57 +*224 FILLER_10_83 +*225 FILLER_15_50 +*226 FILLER_17_57 +*227 FILLER_17_99 +*228 FILLER_1_30 +*229 FILLER_1_34 +*230 FILLER_2_32 +*231 FILLER_2_41 +*232 FILLER_2_49 +*233 FILLER_3_43 +*234 FILLER_3_52 +*235 FILLER_3_84 +*236 FILLER_5_26 +*237 FILLER_5_34 +*238 FILLER_5_80 +*239 FILLER_7_26 +*240 FILLER_8_65 +*241 FILLER_8_99 +*242 FILLER_9_99 +*243 PHY_0 +*244 PHY_1 +*245 PHY_10 +*246 PHY_11 +*247 PHY_12 +*248 PHY_13 +*249 PHY_14 +*250 PHY_15 +*251 PHY_16 +*252 PHY_17 +*253 PHY_18 +*254 PHY_19 +*255 PHY_2 +*256 PHY_20 +*257 PHY_21 +*258 PHY_22 +*259 PHY_23 +*260 PHY_24 +*261 PHY_25 +*262 PHY_26 +*263 PHY_27 +*264 PHY_28 +*265 PHY_29 +*266 PHY_3 +*267 PHY_30 +*268 PHY_31 +*269 PHY_32 +*270 PHY_33 +*271 PHY_34 +*272 PHY_35 +*273 PHY_36 +*274 PHY_37 +*275 PHY_38 +*276 PHY_39 +*277 PHY_4 +*278 PHY_40 +*279 PHY_41 +*280 PHY_5 +*281 PHY_6 +*282 PHY_7 +*283 PHY_8 +*284 PHY_9 +*285 TAP_42 +*286 TAP_43 +*287 TAP_44 +*288 TAP_45 +*289 TAP_46 +*290 TAP_47 +*291 TAP_48 +*292 TAP_49 +*293 TAP_50 +*294 TAP_51 +*295 TAP_52 +*296 TAP_53 +*297 TAP_54 +*298 TAP_55 +*299 TAP_56 +*300 TAP_57 +*301 TAP_58 +*302 TAP_59 +*303 TAP_60 +*304 TAP_61 +*305 TAP_62 +*306 TAP_63 +*307 TAP_64 +*308 TAP_65 +*309 TAP_66 +*310 TAP_67 +*311 TAP_68 +*312 TAP_69 +*313 TAP_70 +*314 TAP_71 +*315 TAP_72 +*316 TAP_73 +*317 _058__1 +*318 _059__14 +*319 _060_ +*320 _061_ +*321 _062_ +*322 _063_ +*323 _064_ +*324 _065_ +*325 _066_ +*326 _067_ +*327 _068_ +*328 _069_ +*329 _070_ +*330 _071_ +*331 _072_ +*332 _073_ +*333 _074_ +*334 _075_ +*335 _076_ +*336 _077_ +*337 _078_ +*338 _079_ +*339 _080_ +*340 _081_ +*341 _082_ +*342 _083_ +*343 _084_ +*344 _085_ +*345 _086_ +*346 _087_ +*347 _088_ +*348 _089_ +*349 _090_ +*350 _091_ +*351 _092_ +*352 _093_ +*353 _094__2 +*354 _095__3 +*355 _096__4 +*356 _097__5 +*357 _098__6 +*358 _099__7 +*359 _100__8 +*360 _101__9 +*361 _102__10 +*362 _103__11 +*363 _104__12 +*364 _105__13 +*365 _106_ +*366 _107_ +*367 _108_ +*368 _109_ +*369 _110_ +*370 _111_ +*371 _112_ +*372 _113_ +*373 _114_ +*374 _115_ +*375 _116_ +*376 _117_ +*377 _118_ +*378 _119_ +*379 _120_ +*380 _121_ +*381 _122_ +*382 _123_ +*383 _124_ +*384 _125_ +*385 _126_ +*386 _127_ +*387 _128_ +*388 _129_ +*389 _130_ +*390 _131_ +*391 _132_ +*392 _133_ +*393 _134_ +*394 clkbuf_0_serial_clock +*395 clkbuf_0_serial_load +*396 clkbuf_1_0__f_serial_clock +*397 clkbuf_1_0__f_serial_load +*398 clkbuf_1_1__f_serial_clock +*399 clkbuf_1_1__f_serial_load +*400 const_source +*401 fanout23 +*402 fanout24 +*403 fanout25 +*404 fanout26 +*405 fanout27 +*406 fanout28 +*407 fanout29 +*408 fanout30 +*409 gpio_logic_high +*410 hold1 +*411 hold10 +*412 hold11 +*413 hold12 +*414 hold13 +*415 hold2 +*416 hold3 +*417 hold4 +*418 hold5 +*419 hold6 +*420 hold7 +*421 hold8 +*422 hold9 +*423 input1 +*424 input2 +*425 input3 +*426 input4 +*427 input5 +*428 one_buffer +*429 output10 +*430 output11 +*431 output12 +*432 output13 +*433 output14 +*434 output15 +*435 output16 +*436 output17 +*437 output18 +*438 output19 +*439 output20 +*440 output21 +*441 output22 +*442 output6 +*443 output7 +*444 output8 +*445 output9 +*446 serial_clock_out_buffer +*447 serial_load_out_buffer +*448 zero_buffer + +*PORTS +gpio_defaults[0] I +gpio_defaults[10] I +gpio_defaults[11] I +gpio_defaults[12] I +gpio_defaults[1] I +gpio_defaults[2] I +gpio_defaults[3] I +gpio_defaults[4] I +gpio_defaults[5] I +gpio_defaults[6] I +gpio_defaults[7] I +gpio_defaults[8] I +gpio_defaults[9] I +mgmt_gpio_in O +mgmt_gpio_oeb I +mgmt_gpio_out I +one O +pad_gpio_ana_en O +pad_gpio_ana_pol O +pad_gpio_ana_sel O +pad_gpio_dm[0] O +pad_gpio_dm[1] O +pad_gpio_dm[2] O +pad_gpio_holdover O +pad_gpio_ib_mode_sel O +pad_gpio_in I +pad_gpio_inenb O +pad_gpio_out O +pad_gpio_outenb O +pad_gpio_slow_sel O +pad_gpio_vtrip_sel O +resetn I +resetn_out O +serial_clock I +serial_clock_out O +serial_data_in I +serial_data_out O +serial_load I +serial_load_out O +user_gpio_in O +user_gpio_oeb I +user_gpio_out I +zero O + +*D_NET *1 0.0215743 +*CONN +*P gpio_defaults[0] I +*I *327:B I *D sky130_fd_sc_hd__or2_0 +*I *328:B I *D sky130_fd_sc_hd__nand2b_2 +*I *177:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *176:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 gpio_defaults[0] 0.00142091 +2 *327:B 1.47608e-05 +3 *328:B 0.000976958 +4 *177:DIODE 0.000424968 +5 *176:DIODE 0 +6 *1:40 0.00261324 +7 *1:15 0.00337007 +8 *1:13 0.00164051 +9 *177:DIODE one 0.000202055 +10 *177:DIODE *428:A 0.00060157 +11 *177:DIODE *3:44 0.00131563 +12 *177:DIODE *123:10 0.000135811 +13 *177:DIODE *132:21 4.45999e-05 +14 *177:DIODE *153:10 0.000282478 +15 *327:B *113:25 6.08467e-05 +16 *327:B *131:8 6.08467e-05 +17 *328:B serial_data_out 0.00151519 +18 *328:B *328:A_N 0.0014907 +19 *328:B *344:B 4.69495e-06 +20 *328:B *365:SET_B 0.000600827 +21 *328:B *133:15 1.91391e-05 +22 *1:13 *3:7 0.000168218 +23 *1:13 *4:23 0.000114636 +24 *1:13 *5:5 1.78548e-05 +25 *1:13 *6:7 1.83764e-06 +26 *1:13 *7:13 0.00020785 +27 *1:15 *3:7 0.000382577 +28 *1:15 *4:23 0.000385722 +29 *1:40 *184:DIODE 6.10536e-05 +30 *1:40 *386:D 0.000108915 +31 *1:40 *3:7 0.000311524 +32 *1:40 *4:23 0.000392818 +33 *1:40 *7:47 5.60804e-05 +34 *1:40 *11:13 6.74182e-05 +35 *1:40 *61:11 0.000192512 +36 *1:40 *105:13 0.000153427 +37 *1:40 *115:42 0.000291851 +38 *1:40 *120:41 0.000425444 +39 *1:40 *120:46 0.00049606 +40 *1:40 *120:48 5.03545e-06 +41 *1:40 *123:10 0.000581273 +42 *1:40 *132:21 2.16355e-05 +43 *1:40 *149:8 0.00033476 +*RES +1 gpio_defaults[0] *1:13 35.3214 +2 *1:13 *1:15 5.74107 +3 *1:15 *1:40 49.372 +4 *1:40 *176:DIODE 9.3 +5 *1:40 *177:DIODE 34.425 +6 *1:15 *328:B 29.5688 +7 *1:13 *327:B 14.3357 +*END + +*D_NET *2 0.0160318 +*CONN +*P gpio_defaults[10] I +*I *191:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *192:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *341:B I *D sky130_fd_sc_hd__or2_0 +*I *342:B I *D sky130_fd_sc_hd__nand2b_2 +*CAP +1 gpio_defaults[10] 0.00217594 +2 *191:DIODE 0.000324607 +3 *192:DIODE 4.51842e-05 +4 *341:B 2.12792e-05 +5 *342:B 0.000113633 +6 *2:51 0.00096042 +7 *2:37 0.00123916 +8 *2:19 0.00291682 +9 *191:DIODE mgmt_gpio_in 0.00016195 +10 *191:DIODE *175:DIODE 0.000399866 +11 *191:DIODE *424:A 9.05084e-06 +12 *191:DIODE *431:A 0.000133612 +13 *191:DIODE *110:10 0.000110849 +14 *192:DIODE *132:21 6.08467e-05 +15 *342:B *372:SET_B 2.57847e-05 +16 *342:B *430:A 0.000131349 +17 *342:B *435:A 0.000107496 +18 *342:B *67:10 0.0001251 +19 *2:19 serial_data_out 7.32094e-05 +20 *2:19 *371:SET_B 0.00011164 +21 *2:19 *374:RESET_B 1.30557e-05 +22 *2:19 *374:SET_B 0.000372047 +23 *2:19 *388:CLK 0.000497389 +24 *2:19 *417:A 0.000156946 +25 *2:19 *430:A 6.88248e-05 +26 *2:19 *3:7 0.000219458 +27 *2:19 *4:23 0 +28 *2:19 *13:11 0 +29 *2:19 *13:40 0.00101725 +30 *2:19 *34:7 5.20873e-05 +31 *2:19 *61:11 3.52697e-05 +32 *2:19 *67:10 0.000212073 +33 *2:19 *95:26 0.000154145 +34 *2:19 *101:12 0.000663196 +35 *2:19 *123:10 0.000320234 +36 *2:19 *133:15 0.000360851 +37 *2:37 serial_clock_out 5.00953e-05 +38 *2:37 *175:DIODE 0.000221994 +39 *2:37 *320:A1 2.38618e-05 +40 *2:37 *322:B 1.58551e-05 +41 *2:37 *322:C 0.000270768 +42 *2:37 *341:A 3.82228e-05 +43 *2:37 *431:A 0.000341502 +44 *2:51 pad_gpio_dm[0] 0.000389985 +45 *2:51 *217:DIODE 7.88662e-05 +46 *2:51 *341:A 4.47179e-05 +47 *2:51 *13:50 0.000937198 +48 *2:51 *26:8 1.03403e-05 +49 *2:51 *110:10 0.000203552 +50 *2:51 *132:21 1.41976e-05 +*RES +1 gpio_defaults[10] *2:19 48.7605 +2 *2:19 *342:B 16.6929 +3 *2:19 *2:37 23.7679 +4 *2:37 *341:B 9.72857 +5 *2:37 *2:51 24.2857 +6 *2:51 *192:DIODE 9.83571 +7 *2:51 *191:DIODE 26.1571 +*END + +*D_NET *3 0.0260206 +*CONN +*P gpio_defaults[11] I +*I *344:B I *D sky130_fd_sc_hd__nand2b_2 +*I *343:B I *D sky130_fd_sc_hd__or2_0 +*I *194:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *193:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 gpio_defaults[11] 0.000547711 +2 *344:B 0.00205918 +3 *343:B 5.31718e-05 +4 *194:DIODE 0 +5 *193:DIODE 0.000233519 +6 *3:44 0.0013054 +7 *3:23 0.00280139 +8 *3:17 0.00303687 +9 *3:7 0.00432811 +10 *193:DIODE *178:DIODE 6.64392e-05 +11 *193:DIODE *127:11 6.93171e-05 +12 *193:DIODE *158:77 1.88152e-05 +13 *343:B *330:B 0.000304838 +14 *343:B *343:A 0.000304838 +15 *344:B *373:RESET_B 3.23163e-05 +16 *344:B *373:SET_B 0.000519112 +17 *344:B *374:RESET_B 0.000578706 +18 *344:B *390:CLK 0.000107496 +19 *344:B *390:D 0.000159016 +20 *344:B *401:A 8.67224e-05 +21 *344:B *13:40 0.000234172 +22 *344:B *101:12 0.0001729 +23 *344:B *119:70 1.82679e-05 +24 *344:B *133:15 6.30102e-05 +25 *344:B *163:13 9.45664e-06 +26 *3:7 *4:23 0 +27 *3:7 *123:10 0.0003728 +28 *3:17 pad_gpio_vtrip_sel 2.35815e-05 +29 *3:17 *343:A 0.000119766 +30 *3:17 *366:SET_B 0.000240318 +31 *3:17 *369:SET_B 3.6099e-05 +32 *3:17 *401:A 5.34459e-05 +33 *3:17 *10:26 0.000339055 +34 *3:17 *34:7 4.78554e-06 +35 *3:17 *51:14 0 +36 *3:17 *61:11 0.000265092 +37 *3:17 *65:21 0.000296313 +38 *3:17 *105:13 0.000191136 +39 *3:17 *114:8 3.99086e-06 +40 *3:17 *116:31 3.14126e-05 +41 *3:17 *122:54 3.61045e-05 +42 *3:23 *116:31 0.000476933 +43 *3:23 *122:54 0.000132349 +44 *3:23 *127:11 0.00144786 +45 *3:23 *151:10 0.000181868 +46 *3:44 one 0.000207192 +47 *3:44 *184:DIODE 0.00020969 +48 *3:44 *187:DIODE 1.36606e-05 +49 *3:44 *215:DIODE 8.76081e-05 +50 *3:44 *428:A 4.53226e-05 +51 *3:44 *13:50 6.36477e-05 +52 *3:44 *120:70 0.0014559 +53 *3:44 *120:79 0.000171783 +54 *177:DIODE *3:44 0.00131563 +55 *328:B *344:B 4.69495e-06 +56 *1:13 *3:7 0.000168218 +57 *1:15 *3:7 0.000382577 +58 *1:40 *3:7 0.000311524 +59 *2:19 *3:7 0.000219458 +*RES +1 gpio_defaults[11] *3:7 17.7679 +2 *3:7 *3:17 20.3734 +3 *3:17 *3:23 33.375 +4 *3:23 *193:DIODE 17.3 +5 *3:23 *3:44 44.2679 +6 *3:44 *194:DIODE 9.3 +7 *3:17 *343:B 16.3893 +8 *3:7 *344:B 47.1929 +*END + +*D_NET *4 0.0154003 +*CONN +*P gpio_defaults[12] I +*I *346:B I *D sky130_fd_sc_hd__nand2b_2 +*I *345:B I *D sky130_fd_sc_hd__or2_0 +*I *195:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *196:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 gpio_defaults[12] 0.00150345 +2 *346:B 0.000164909 +3 *345:B 0 +4 *195:DIODE 0.000296229 +5 *196:DIODE 0.000354646 +6 *4:42 0.000962921 +7 *4:29 0.00121557 +8 *4:25 0.000693506 +9 *4:23 0.00183782 +10 *195:DIODE pad_gpio_dm[0] 6.08467e-05 +11 *195:DIODE *215:DIODE 5.47126e-05 +12 *195:DIODE *345:A 6.08467e-05 +13 *195:DIODE *428:A 6.50921e-05 +14 *195:DIODE *15:8 1.87825e-05 +15 *195:DIODE *99:10 0.000257169 +16 *195:DIODE *118:13 0.000266366 +17 *195:DIODE *120:90 2.2766e-06 +18 *196:DIODE *349:B 0.000403253 +19 *196:DIODE *434:A 6.27782e-05 +20 *196:DIODE *10:41 0.000107496 +21 *196:DIODE *11:32 0.000408102 +22 *346:B *446:A 0.000308138 +23 *4:23 *331:A 8.51781e-05 +24 *4:23 *332:B 0.000290088 +25 *4:23 *367:RESET_B 0.000296893 +26 *4:23 *367:SET_B 0.0001807 +27 *4:23 *379:D 0.000106543 +28 *4:23 *398:A 1.4091e-06 +29 *4:23 *410:A 0.000287862 +30 *4:23 *434:A 6.10871e-05 +31 *4:23 *11:13 7.09666e-06 +32 *4:23 *12:28 0.000268917 +33 *4:23 *103:8 3.20412e-05 +34 *4:23 *118:32 0.00033329 +35 *4:23 *118:55 0.000104943 +36 *4:23 *118:62 0.000153119 +37 *4:23 *120:30 6.33443e-05 +38 *4:23 *142:8 0.000200228 +39 *4:25 *372:D 0.000173147 +40 *4:25 *422:A 0.000168319 +41 *4:25 *434:A 0.000794411 +42 *4:25 *119:18 8.36586e-06 +43 *4:29 *434:A 0.000468241 +44 *4:29 *119:18 0.000464749 +45 *4:42 pad_gpio_dm[0] 4.85806e-05 +46 *4:42 *326:B 0 +47 *4:42 *345:A 6.08467e-05 +48 *4:42 *430:A 6.749e-05 +49 *4:42 *434:A 0.000445868 +50 *4:42 *118:13 0.000229491 +51 *1:13 *4:23 0.000114636 +52 *1:15 *4:23 0.000385722 +53 *1:40 *4:23 0.000392818 +54 *2:19 *4:23 0 +55 *3:7 *4:23 0 +*RES +1 gpio_defaults[12] *4:23 42.1518 +2 *4:23 *4:25 10.5982 +3 *4:25 *4:29 11 +4 *4:29 *196:DIODE 17.2286 +5 *4:29 *4:42 21.4464 +6 *4:42 *195:DIODE 25.3357 +7 *4:42 *345:B 9.3 +8 *4:25 *346:B 17.2286 +*END + +*D_NET *5 0.0155084 +*CONN +*P gpio_defaults[1] I +*I *340:B I *D sky130_fd_sc_hd__nand2b_2 +*I *339:B I *D sky130_fd_sc_hd__or2_0 +*I *188:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *189:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 gpio_defaults[1] 0.000221732 +2 *340:B 0.00125112 +3 *339:B 2.1308e-05 +4 *188:DIODE 0.000374645 +5 *189:DIODE 0.000100215 +6 *5:29 0.00046304 +7 *5:26 0.00189501 +8 *5:19 0.00420544 +9 *5:5 0.00144835 +10 *188:DIODE pad_gpio_ana_sel 1.01116e-05 +11 *188:DIODE *197:DIODE 0.000121789 +12 *188:DIODE *208:DIODE 8.46261e-05 +13 *188:DIODE *376:SET_B 0.000173175 +14 *188:DIODE *36:41 5.04829e-06 +15 *188:DIODE *39:7 2.99287e-05 +16 *188:DIODE *132:21 1.43698e-05 +17 *188:DIODE *158:56 4.19841e-05 +18 *188:DIODE *158:58 0.000115976 +19 *188:DIODE *158:63 5.20441e-05 +20 *188:DIODE *158:122 5.04829e-06 +21 *189:DIODE *6:7 0.000105167 +22 *340:B *340:A_N 0.00032691 +23 *340:B *360:A 2.57746e-05 +24 *340:B *366:RESET_B 0.000134167 +25 *340:B *371:SET_B 1.87049e-05 +26 *340:B *11:13 8.5391e-05 +27 *340:B *142:8 6.08467e-05 +28 *5:5 *6:7 0.000419768 +29 *5:19 user_gpio_in 5.58835e-05 +30 *5:19 *380:RESET_B 3.58267e-05 +31 *5:19 *391:D 0.000188996 +32 *5:19 *7:24 0.000449014 +33 *5:26 *336:A_N 3.64636e-05 +34 *5:26 *339:A 3.8713e-05 +35 *5:26 *343:A 4.10791e-05 +36 *5:26 *360:A 0.000344279 +37 *5:26 *366:SET_B 0.000109402 +38 *5:26 *369:SET_B 3.79231e-05 +39 *5:26 *370:SET_B 7.27543e-05 +40 *5:26 *11:13 0.000711136 +41 *5:26 *36:41 0 +42 *5:26 *61:11 0.000959351 +43 *5:26 *65:21 0.000108929 +44 *5:26 *69:15 0.000127809 +45 *5:26 *114:8 0 +46 *5:26 *120:46 8.33721e-06 +47 *5:26 *122:22 0.000112912 +48 *5:26 *122:54 0.00016837 +49 *5:26 *148:9 0 +50 *5:29 *39:7 7.16754e-05 +51 *1:13 *5:5 1.78548e-05 +*RES +1 gpio_defaults[1] *5:5 5.52679 +2 *5:5 *189:DIODE 15.8268 +3 *5:5 *5:19 9.17313 +4 *5:19 *5:26 46.0268 +5 *5:26 *5:29 5.85714 +6 *5:29 *188:DIODE 26.9964 +7 *5:29 *339:B 9.72857 +8 *5:19 *340:B 28.9875 +*END + +*D_NET *6 0.0124869 +*CONN +*P gpio_defaults[2] I +*I *330:B I *D sky130_fd_sc_hd__nand2b_2 +*I *179:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *178:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *329:B I *D sky130_fd_sc_hd__or2_0 +*CAP +1 gpio_defaults[2] 0.000952897 +2 *330:B 0.000520866 +3 *179:DIODE 0.000188687 +4 *178:DIODE 0.000379755 +5 *329:B 0 +6 *6:21 0.00136028 +7 *6:12 0.00117742 +8 *6:7 0.00185935 +9 *178:DIODE *187:DIODE 7.71803e-05 +10 *178:DIODE *209:DIODE 0.000171904 +11 *178:DIODE *123:7 1.88422e-05 +12 *178:DIODE *158:77 0.000389566 +13 *179:DIODE *186:DIODE 6.27782e-05 +14 *179:DIODE *426:A 2.57986e-05 +15 *330:B *343:A 0.000237366 +16 *330:B *394:A 8.73932e-05 +17 *330:B *438:A 0.000124083 +18 *330:B *92:7 1.95066e-05 +19 *6:7 *379:CLK 0.000198319 +20 *6:7 *419:A 5.58266e-05 +21 *6:7 *51:14 6.98415e-05 +22 *6:7 *116:31 0 +23 *6:7 *122:54 0 +24 *6:12 *329:A 6.46815e-05 +25 *6:12 *355:A 8.23367e-05 +26 *6:12 *384:CLK 0.000196836 +27 *6:12 *414:A 0 +28 *6:12 *9:24 6.27782e-05 +29 *6:12 *51:14 0.000145722 +30 *6:12 *65:21 1.48325e-05 +31 *6:12 *116:28 0.000121172 +32 *6:21 *187:DIODE 1.37566e-05 +33 *6:21 *329:A 4.76794e-05 +34 *6:21 *355:A 0.000699198 +35 *6:21 *384:RESET_B 1.82679e-05 +36 *6:21 *399:A 0.000291057 +37 *6:21 *8:29 0.000337062 +38 *6:21 *8:34 0.000199817 +39 *6:21 *8:37 0.000396569 +40 *6:21 *9:24 0.000652978 +41 *6:21 *116:14 3.66361e-05 +42 *6:21 *137:10 8.33161e-05 +43 *6:21 *143:19 0.000113295 +44 *6:21 *157:20 3.3179e-05 +45 *189:DIODE *6:7 0.000105167 +46 *193:DIODE *178:DIODE 6.64392e-05 +47 *343:B *330:B 0.000304838 +48 *1:13 *6:7 1.83764e-06 +49 *5:5 *6:7 0.000419768 +*RES +1 gpio_defaults[2] *6:7 19.5893 +2 *6:7 *6:12 14.5714 +3 *6:12 *329:B 9.3 +4 *6:12 *6:21 30.1429 +5 *6:21 *178:DIODE 22.6929 +6 *6:21 *179:DIODE 16.8 +7 *6:7 *330:B 23.925 +*END + +*D_NET *7 0.0207389 +*CONN +*P gpio_defaults[3] I +*I *335:B I *D sky130_fd_sc_hd__or2_0 +*I *185:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *336:B I *D sky130_fd_sc_hd__nand2b_2 +*I *184:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 gpio_defaults[3] 0.000637575 +2 *335:B 0 +3 *185:DIODE 0.00193049 +4 *336:B 4.46837e-05 +5 *184:DIODE 0.00256637 +6 *7:47 0.00237428 +7 *7:24 0.00569274 +8 *7:13 0.00336484 +9 *184:DIODE pad_gpio_ana_sel 8.40176e-06 +10 *184:DIODE *120:46 0.00052167 +11 *184:DIODE *120:48 0.000259151 +12 *184:DIODE *158:63 0 +13 *185:DIODE *325:A1 0.000203756 +14 *185:DIODE *325:B1 1.03403e-05 +15 *185:DIODE *336:A_N 1.00766e-05 +16 *185:DIODE *388:D 2.31886e-05 +17 *185:DIODE *388:RESET_B 4.59816e-06 +18 *185:DIODE *422:A 0.000104572 +19 *185:DIODE *36:11 0 +20 *185:DIODE *41:21 0 +21 *185:DIODE *118:32 1.43136e-05 +22 *336:B *336:A_N 0.000107496 +23 *7:13 *8:29 2.48115e-05 +24 *7:13 *10:26 2.80866e-05 +25 *7:13 *11:13 0 +26 *7:13 *146:10 5.20674e-05 +27 *7:24 user_gpio_in 7.27515e-05 +28 *7:24 *391:D 9.1102e-06 +29 *7:24 *10:26 2.9964e-05 +30 *7:24 *117:51 0.000275791 +31 *7:24 *122:22 0.000649975 +32 *7:24 *146:10 0.000136556 +33 *7:47 *439:A 1.66626e-05 +34 *7:47 *120:41 1.66626e-05 +35 *7:47 *122:22 0.000564184 +36 *1:13 *7:13 0.00020785 +37 *1:40 *184:DIODE 6.10536e-05 +38 *1:40 *7:47 5.60804e-05 +39 *3:44 *184:DIODE 0.00020969 +40 *5:19 *7:24 0.000449014 +*RES +1 gpio_defaults[3] *7:13 18.0714 +2 *7:13 *7:24 17.5342 +3 *7:24 *184:DIODE 45.7454 +4 *7:24 *7:47 8.99049 +5 *7:47 *336:B 10.2464 +6 *7:47 *185:DIODE 30.2107 +7 *7:13 *335:B 13.8 +*END + +*D_NET *8 0.00985209 +*CONN +*P gpio_defaults[4] I +*I *337:B I *D sky130_fd_sc_hd__or2_0 +*I *338:B I *D sky130_fd_sc_hd__nand2b_2 +*I *186:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *187:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 gpio_defaults[4] 0.00174428 +2 *337:B 8.12611e-05 +3 *338:B 6.89197e-05 +4 *186:DIODE 4.28882e-05 +5 *187:DIODE 0.000140191 +6 *8:37 0.000517193 +7 *8:34 0.000551294 +8 *8:29 0.0019738 +9 *187:DIODE *129:10 8.10199e-05 +10 *337:B *399:A 0.000206696 +11 *8:29 *183:DIODE 4.9895e-05 +12 *8:29 *369:D 0.000133439 +13 *8:29 *380:D 0.000137531 +14 *8:29 *380:RESET_B 1.89382e-05 +15 *8:29 *381:D 7.32207e-06 +16 *8:29 *383:RESET_B 3.13665e-05 +17 *8:29 *384:RESET_B 0.000280426 +18 *8:29 *9:15 0.00058638 +19 *8:29 *116:14 1.91625e-05 +20 *8:29 *120:12 2.58497e-05 +21 *8:29 *120:30 3.73211e-05 +22 *8:29 *127:11 0 +23 *8:29 *143:19 0.000973653 +24 *8:34 *399:A 0.000174831 +25 *8:34 *116:14 1.19971e-05 +26 *8:37 *129:10 4.77589e-05 +27 *8:37 *137:10 0.000783041 +28 *178:DIODE *187:DIODE 7.71803e-05 +29 *179:DIODE *186:DIODE 6.27782e-05 +30 *3:44 *187:DIODE 1.36606e-05 +31 *6:21 *187:DIODE 1.37566e-05 +32 *6:21 *8:29 0.000337062 +33 *6:21 *8:34 0.000199817 +34 *6:21 *8:37 0.000396569 +35 *7:13 *8:29 2.48115e-05 +*RES +1 gpio_defaults[4] *8:29 41.4438 +2 *8:29 *8:34 8.41071 +3 *8:34 *8:37 16.1607 +4 *8:37 *187:DIODE 16.8893 +5 *8:37 *186:DIODE 14.3357 +6 *8:34 *338:B 10.2464 +7 *8:29 *337:B 15.5679 +*END + +*D_NET *9 0.0141691 +*CONN +*P gpio_defaults[5] I +*I *197:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *348:B I *D sky130_fd_sc_hd__nand2b_2 +*I *198:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *347:B I *D sky130_fd_sc_hd__or2_0 +*CAP +1 gpio_defaults[5] 0.00270413 +2 *197:DIODE 0.000858194 +3 *348:B 0 +4 *198:DIODE 4.03634e-05 +5 *347:B 0.000105641 +6 *9:47 0.00153055 +7 *9:24 0.000642265 +8 *9:15 0.00387275 +9 *197:DIODE *200:DIODE 0 +10 *197:DIODE *370:SET_B 0.000603588 +11 *197:DIODE *376:CLK_N 0 +12 *197:DIODE *376:SET_B 0.000435127 +13 *197:DIODE *377:CLK_N 6.08467e-05 +14 *197:DIODE *426:A 3.25052e-05 +15 *197:DIODE *32:12 4.29314e-06 +16 *197:DIODE *36:41 0.000129936 +17 *197:DIODE *69:15 0.000190001 +18 *197:DIODE *94:11 0.000170245 +19 *197:DIODE *158:63 0 +20 *198:DIODE *384:CLK 0.000122858 +21 *198:DIODE *51:14 7.60183e-05 +22 *198:DIODE *128:8 1.94224e-05 +23 *347:B *384:CLK 0.000173161 +24 *347:B *128:8 9.29316e-05 +25 *9:15 *380:RESET_B 5.60804e-05 +26 *9:15 *381:D 0.000244348 +27 *9:15 *10:26 7.90334e-05 +28 *9:24 *329:A 1.88563e-05 +29 *9:24 *384:RESET_B 1.66771e-05 +30 *9:47 *377:CLK_N 0.000236696 +31 *9:47 *10:26 1.58953e-05 +32 *9:47 *10:27 4.44823e-05 +33 *9:47 *38:17 7.92757e-06 +34 *9:47 *94:36 0.00015607 +35 *9:47 *158:21 4.31485e-06 +36 *188:DIODE *197:DIODE 0.000121789 +37 *6:12 *9:24 6.27782e-05 +38 *6:21 *9:24 0.000652978 +39 *8:29 *9:15 0.00058638 +*RES +1 gpio_defaults[5] *9:15 22.5644 +2 *9:15 *9:24 19.6104 +3 *9:24 *347:B 16.8893 +4 *9:24 *198:DIODE 15.4429 +5 *9:15 *9:47 11.6168 +6 *9:47 *348:B 9.3 +7 *9:47 *197:DIODE 39.0857 +*END + +*D_NET *10 0.0143447 +*CONN +*P gpio_defaults[6] I +*I *350:B I *D sky130_fd_sc_hd__nand2b_2 +*I *349:B I *D sky130_fd_sc_hd__or2_0 +*I *199:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *200:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 gpio_defaults[6] 0.00137557 +2 *350:B 2.86212e-05 +3 *349:B 0.000519134 +4 *199:DIODE 0 +5 *200:DIODE 0.000240852 +6 *10:41 0.00084452 +7 *10:29 0.00064863 +8 *10:27 0.000595183 +9 *10:26 0.00185974 +10 *200:DIODE *318:A 0.000224624 +11 *200:DIODE *376:CLK_N 0.000188239 +12 *200:DIODE *426:A 1.83992e-05 +13 *200:DIODE *36:41 2.88594e-05 +14 *349:B *352:A_N 0.000171677 +15 *349:B *439:A 1.60111e-05 +16 *349:B *11:32 4.13237e-05 +17 *349:B *103:8 0.00051221 +18 *349:B *113:14 0.000111788 +19 *349:B *153:10 2.95006e-05 +20 *350:B *376:SET_B 6.08467e-05 +21 *10:26 pad_gpio_vtrip_sel 0 +22 *10:26 *330:A_N 0.000419057 +23 *10:26 *335:A 0 +24 *10:26 *336:A_N 7.09558e-05 +25 *10:26 *381:D 0.00017416 +26 *10:26 *391:CLK 0.000788557 +27 *10:26 *391:D 5.91586e-05 +28 *10:26 *396:A 0.000489673 +29 *10:26 *59:19 5.99857e-06 +30 *10:26 *65:21 0.00033268 +31 *10:26 *115:22 2.58954e-06 +32 *10:26 *157:31 0.000244565 +33 *10:26 *157:42 0.000106335 +34 *10:26 *157:55 0.000377189 +35 *10:27 *318:A 0.000395855 +36 *10:27 *376:CLK_N 0.000346477 +37 *10:27 *377:CLK_N 0.000185505 +38 *10:27 *396:A 0.000299374 +39 *10:27 *427:A 4.41583e-05 +40 *10:27 *93:10 1.34407e-05 +41 *10:27 *157:85 0.000288651 +42 *10:27 *158:21 0.000102788 +43 *10:29 *318:A 0.000166252 +44 *10:29 *376:CLK_N 0.000180318 +45 *10:41 *353:A 0.000216467 +46 *10:41 *124:7 0.000118672 +47 *10:41 *158:8 0.000160942 +48 *10:41 *158:55 0.000191933 +49 *196:DIODE *349:B 0.000403253 +50 *196:DIODE *10:41 0.000107496 +51 *197:DIODE *200:DIODE 0 +52 *3:17 *10:26 0.000339055 +53 *7:13 *10:26 2.80866e-05 +54 *7:24 *10:26 2.9964e-05 +55 *9:15 *10:26 7.90334e-05 +56 *9:47 *10:26 1.58953e-05 +57 *9:47 *10:27 4.44823e-05 +*RES +1 gpio_defaults[6] *10:26 42.4802 +2 *10:26 *10:27 14.2411 +3 *10:27 *10:29 2.55357 +4 *10:29 *200:DIODE 18.7107 +5 *10:29 *10:41 12.0179 +6 *10:41 *199:DIODE 9.3 +7 *10:41 *349:B 31.2464 +8 *10:27 *350:B 14.3357 +*END + +*D_NET *11 0.0112915 +*CONN +*P gpio_defaults[7] I +*I *351:B I *D sky130_fd_sc_hd__or2_0 +*I *352:B I *D sky130_fd_sc_hd__nand2b_2 +*I *201:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *202:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 gpio_defaults[7] 0.00175675 +2 *351:B 0 +3 *352:B 4.35627e-05 +4 *201:DIODE 0 +5 *202:DIODE 9.19521e-05 +6 *11:32 0.000622451 +7 *11:23 0.000905704 +8 *11:16 0.00035357 +9 *11:13 0.00177868 +10 *202:DIODE *123:10 0.000183794 +11 *202:DIODE *153:10 7.6561e-05 +12 *352:B *372:CLK_N 7.01935e-06 +13 *352:B *446:A 0.000109427 +14 *11:13 *331:A 0.000201933 +15 *11:13 *360:A 1.16107e-05 +16 *11:13 *367:RESET_B 6.08467e-05 +17 *11:13 *369:SET_B 0.000169314 +18 *11:13 *386:CLK 0.000342958 +19 *11:13 *398:A 1.02497e-05 +20 *11:13 *411:A 0.000366919 +21 *11:13 *412:A 9.89388e-06 +22 *11:13 *433:A 9.71867e-05 +23 *11:13 *437:A 0.000300031 +24 *11:13 *440:A 1.48943e-05 +25 *11:13 *12:7 0.000146742 +26 *11:13 *12:16 0.000168109 +27 *11:13 *12:28 2.81262e-05 +28 *11:13 *57:17 0 +29 *11:13 *123:10 0.000236253 +30 *11:13 *153:10 0.000308033 +31 *11:16 *115:42 6.08467e-05 +32 *11:23 *377:SET_B 0.000326026 +33 *11:23 *36:11 1.19726e-05 +34 *11:23 *113:14 0.00031766 +35 *11:23 *115:42 3.27857e-05 +36 *11:23 *115:51 0.000107496 +37 *11:32 pad_gpio_dm[1] 0.000326637 +38 *11:32 *377:SET_B 5.23435e-05 +39 *11:32 *113:14 0.000312443 +40 *11:32 *122:22 2.02509e-05 +41 *196:DIODE *11:32 0.000408102 +42 *340:B *11:13 8.5391e-05 +43 *349:B *11:32 4.13237e-05 +44 *1:40 *11:13 6.74182e-05 +45 *4:23 *11:13 7.09666e-06 +46 *5:26 *11:13 0.000711136 +47 *7:13 *11:13 0 +*RES +1 gpio_defaults[7] *11:13 48.2679 +2 *11:13 *11:16 5.03571 +3 *11:16 *11:23 12.3036 +4 *11:23 *11:32 15.375 +5 *11:32 *202:DIODE 20.8536 +6 *11:32 *201:DIODE 9.3 +7 *11:23 *352:B 14.7464 +8 *11:16 *351:B 9.3 +*END + +*D_NET *12 0.00954709 +*CONN +*P gpio_defaults[8] I +*I *180:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *181:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *332:B I *D sky130_fd_sc_hd__nand2b_2 +*I *331:B I *D sky130_fd_sc_hd__or2_0 +*CAP +1 gpio_defaults[8] 0.000429605 +2 *180:DIODE 0.000404209 +3 *181:DIODE 0.000593486 +4 *332:B 0.000170481 +5 *331:B 0 +6 *12:28 0.00106704 +7 *12:16 0.000725628 +8 *12:7 0.00125637 +9 *180:DIODE *143:19 0.00021217 +10 *180:DIODE *164:15 7.36692e-05 +11 *181:DIODE *365:RESET_B 0.000130109 +12 *181:DIODE *365:SET_B 0.000133526 +13 *181:DIODE *390:RESET_B 4.99006e-05 +14 *181:DIODE *391:D 0.000497173 +15 *181:DIODE *415:A 9.32983e-05 +16 *181:DIODE *38:17 0 +17 *181:DIODE *97:15 0 +18 *181:DIODE *98:8 0.000101411 +19 *181:DIODE *119:59 1.83409e-05 +20 *181:DIODE *119:70 0.000103854 +21 *181:DIODE *119:79 0.000367085 +22 *181:DIODE *140:22 0 +23 *332:B *389:D 0 +24 *332:B *434:A 0.00027623 +25 *332:B *119:37 1.37385e-05 +26 *332:B *119:59 5.38612e-06 +27 *12:7 *13:11 4.62703e-05 +28 *12:7 *51:14 0.000251949 +29 *12:16 *369:SET_B 5.80392e-05 +30 *12:16 *398:A 0.000238532 +31 *12:16 *411:A 0.000366919 +32 *12:16 *51:14 0.000372366 +33 *12:16 *114:8 1.55329e-05 +34 *12:28 *331:A 0.00025175 +35 *12:28 *398:A 0.000257389 +36 *12:28 *410:A 6.3657e-05 +37 *4:23 *332:B 0.000290088 +38 *4:23 *12:28 0.000268917 +39 *11:13 *12:7 0.000146742 +40 *11:13 *12:16 0.000168109 +41 *11:13 *12:28 2.81262e-05 +*RES +1 gpio_defaults[8] *12:7 8.94643 +2 *12:7 *12:16 16.3036 +3 *12:16 *331:B 9.3 +4 *12:16 *12:28 12.5714 +5 *12:28 *332:B 18.4786 +6 *12:28 *181:DIODE 28.0857 +7 *12:7 *180:DIODE 18.4429 +*END + +*D_NET *13 0.0206319 +*CONN +*P gpio_defaults[9] I +*I *333:B I *D sky130_fd_sc_hd__or2_0 +*I *334:B I *D sky130_fd_sc_hd__nand2b_2 +*I *182:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *183:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 gpio_defaults[9] 0.000739553 +2 *333:B 0.000237897 +3 *334:B 0.00018031 +4 *182:DIODE 0 +5 *183:DIODE 0.00143847 +6 *13:50 0.00172607 +7 *13:41 0.00210271 +8 *13:40 0.00204377 +9 *13:11 0.00378757 +10 *183:DIODE *380:RESET_B 0 +11 *183:DIODE *419:A 6.27782e-05 +12 *183:DIODE *34:7 7.48998e-06 +13 *183:DIODE *51:14 0.00115716 +14 *183:DIODE *117:51 6.03237e-05 +15 *183:DIODE *127:11 5.15114e-05 +16 *333:B *36:10 0 +17 *333:B *42:11 0.000100208 +18 *334:B *334:A_N 3.82228e-05 +19 *334:B *368:SET_B 0.000107496 +20 *13:11 serial_data_out 0 +21 *13:11 *51:14 0.000563361 +22 *13:11 *114:8 6.45209e-05 +23 *13:11 *117:51 0 +24 *13:40 serial_data_out 0 +25 *13:40 *324:A2 7.28441e-05 +26 *13:40 *324:B1 0.000203756 +27 *13:40 *390:CLK 0.000140268 +28 *13:40 *34:7 0.000182981 +29 *13:40 *117:51 0.000293893 +30 *13:40 *119:70 3.88213e-05 +31 *13:40 *140:22 0.000147093 +32 *13:40 *162:10 0.00015607 +33 *13:40 *163:13 0.000117354 +34 *13:41 *36:10 0 +35 *13:41 *42:11 0.000109083 +36 *13:50 *217:DIODE 1.52231e-05 +37 *13:50 *341:A 0.00136043 +38 *13:50 *424:A 6.00417e-05 +39 *13:50 *428:A 3.71612e-05 +40 *13:50 *16:12 7.90662e-05 +41 *13:50 *36:10 0 +42 *13:50 *42:11 0.000409861 +43 *13:50 *110:10 5.9435e-05 +44 *13:50 *118:13 5.04423e-06 +45 *13:50 *120:90 0.000306769 +46 *13:50 *120:96 1.88422e-05 +47 *344:B *13:40 0.000234172 +48 *2:19 *13:11 0 +49 *2:19 *13:40 0.00101725 +50 *2:51 *13:50 0.000937198 +51 *3:44 *13:50 6.36477e-05 +52 *8:29 *183:DIODE 4.9895e-05 +53 *12:7 *13:11 4.62703e-05 +*RES +1 gpio_defaults[9] *13:11 17.2939 +2 *13:11 *183:DIODE 26.602 +3 *13:11 *13:40 28.5737 +4 *13:40 *13:41 3.76786 +5 *13:41 *13:50 44.7679 +6 *13:50 *182:DIODE 9.3 +7 *13:41 *334:B 16.8179 +8 *13:40 *333:B 18.3179 +*END + +*D_NET *14 0.0041721 +*CONN +*P mgmt_gpio_in O +*I *442:X O *D sky130_fd_sc_hd__buf_16 +*CAP +1 mgmt_gpio_in 0.00140441 +2 *442:X 0.00140441 +3 mgmt_gpio_in one 0.000242174 +4 mgmt_gpio_in zero 4.31122e-05 +5 mgmt_gpio_in *442:A 3.54295e-05 +6 mgmt_gpio_in *448:A 0.000815926 +7 mgmt_gpio_in *110:7 6.46815e-05 +8 *191:DIODE mgmt_gpio_in 0.00016195 +*RES +1 *442:X mgmt_gpio_in 45.3333 +*END + +*D_NET *15 0.00663708 +*CONN +*P mgmt_gpio_oeb I +*I *423:A I *D sky130_fd_sc_hd__buf_2 +*I *215:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mgmt_gpio_oeb 0.00103802 +2 *423:A 0 +3 *215:DIODE 0.000632522 +4 *15:8 0.00167055 +5 *215:DIODE zero 0.000132583 +6 *215:DIODE *442:A 0.000165521 +7 *215:DIODE *448:A 0.000506774 +8 *215:DIODE *120:79 1.16032e-05 +9 *15:8 one 0.000781642 +10 *15:8 pad_gpio_ana_pol 0 +11 *15:8 *16:12 0.000638034 +12 *15:8 *23:9 0.000579319 +13 *15:8 *32:8 0.000241061 +14 *15:8 *99:10 5.72035e-05 +15 *15:8 *120:79 2.11433e-05 +16 *195:DIODE *215:DIODE 5.47126e-05 +17 *195:DIODE *15:8 1.87825e-05 +18 *3:44 *215:DIODE 8.76081e-05 +*RES +1 mgmt_gpio_oeb *15:8 8.99634 +2 *15:8 *215:DIODE 27.3536 +3 *15:8 *423:A 13.8 +*END + +*D_NET *16 0.00796084 +*CONN +*P mgmt_gpio_out I +*I *216:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *424:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 mgmt_gpio_out 0.000796644 +2 *216:DIODE 0.00154762 +3 *424:A 0.000207522 +4 *16:12 0.00255178 +5 *216:DIODE *23:9 0.000386773 +6 *216:DIODE *32:8 0.000175389 +7 *216:DIODE *32:12 0.000264039 +8 *216:DIODE *120:90 0.000398946 +9 *424:A *110:10 0.000239666 +10 *16:12 pad_gpio_ana_en 0.00028966 +11 *16:12 pad_gpio_ana_pol 0 +12 *16:12 *217:DIODE 1.85244e-05 +13 *16:12 *26:8 0.000215214 +14 *16:12 *110:10 8.287e-05 +15 *191:DIODE *424:A 9.05084e-06 +16 *13:50 *424:A 6.00417e-05 +17 *13:50 *16:12 7.90662e-05 +18 *15:8 *16:12 0.000638034 +*RES +1 mgmt_gpio_out *16:12 8.95169 +2 *16:12 *424:A 18.175 +3 *16:12 *216:DIODE 32.8179 +*END + +*D_NET *17 0.00647568 +*CONN +*P one O +*I *428:X O *D sky130_fd_sc_hd__buf_16 +*CAP +1 one 0.00237381 +2 *428:X 0.00237381 +3 one zero 0 +4 one *428:A 0.000163504 +5 one *117:11 0 +6 one *120:48 7.13655e-06 +7 one *120:70 0.000124349 +8 mgmt_gpio_in one 0.000242174 +9 *177:DIODE one 0.000202055 +10 *3:44 one 0.000207192 +11 *15:8 one 0.000781642 +*RES +1 *428:X one 24.2485 +*END + +*D_NET *18 0.00883916 +*CONN +*P pad_gpio_ana_en O +*I *443:X O *D sky130_fd_sc_hd__buf_16 +*CAP +1 pad_gpio_ana_en 0.00116263 +2 *443:X 0.000131407 +3 *18:16 2.68684e-05 +4 *18:8 0.00126717 +5 pad_gpio_ana_en pad_gpio_dm[2] 8.85703e-05 +6 pad_gpio_ana_en *217:DIODE 0.00103356 +7 pad_gpio_ana_en *26:8 0 +8 pad_gpio_ana_en *32:8 0.00478361 +9 *18:8 *444:A 5.57012e-05 +10 *16:12 pad_gpio_ana_en 0.00028966 +*RES +1 *443:X *18:8 20.55 +2 *18:8 pad_gpio_ana_en 48.2225 +3 pad_gpio_ana_en *18:16 0.0595333 +*END + +*D_NET *19 0.00545771 +*CONN +*P pad_gpio_ana_pol O +*I *444:X O *D sky130_fd_sc_hd__buf_16 +*CAP +1 pad_gpio_ana_pol 0.00212261 +2 *444:X 0.00212261 +3 pad_gpio_ana_pol pad_gpio_ana_sel 0.000742222 +4 pad_gpio_ana_pol *27:7 0.000315069 +5 pad_gpio_ana_pol *117:11 0.000155206 +6 pad_gpio_ana_pol *119:18 0 +7 pad_gpio_ana_pol *153:10 0 +8 *15:8 pad_gpio_ana_pol 0 +9 *16:12 pad_gpio_ana_pol 0 +*RES +1 *444:X pad_gpio_ana_pol 27.4175 +*END + +*D_NET *20 0.00797323 +*CONN +*P pad_gpio_ana_sel O +*I *445:X O *D sky130_fd_sc_hd__buf_16 +*CAP +1 pad_gpio_ana_sel 0.00234139 +2 *445:X 0.00234139 +3 pad_gpio_ana_sel pad_gpio_dm[0] 0.000910313 +4 pad_gpio_ana_sel pad_gpio_dm[1] 2.48795e-05 +5 pad_gpio_ana_sel *339:A 1.05084e-06 +6 pad_gpio_ana_sel *24:9 0.000168134 +7 pad_gpio_ana_sel *117:11 0 +8 pad_gpio_ana_sel *122:11 0.000631375 +9 pad_gpio_ana_sel *122:22 0.000793973 +10 pad_gpio_ana_pol pad_gpio_ana_sel 0.000742222 +11 *184:DIODE pad_gpio_ana_sel 8.40176e-06 +12 *188:DIODE pad_gpio_ana_sel 1.01116e-05 +*RES +1 *445:X pad_gpio_ana_sel 24.7373 +*END + +*D_NET *21 0.00634131 +*CONN +*P pad_gpio_dm[0] O +*I *429:X O *D sky130_fd_sc_hd__buf_16 +*CAP +1 pad_gpio_dm[0] 0.00100883 +2 *429:X 0.00100883 +3 pad_gpio_dm[0] pad_gpio_dm[1] 0.00064204 +4 pad_gpio_dm[0] *345:A 0.000208587 +5 pad_gpio_dm[0] *404:A 9.15824e-05 +6 pad_gpio_dm[0] *429:A 0.000112367 +7 pad_gpio_dm[0] *25:13 0.000131666 +8 pad_gpio_dm[0] *26:8 1.41976e-05 +9 pad_gpio_dm[0] *39:9 0.000144892 +10 pad_gpio_dm[0] *67:10 4.61271e-05 +11 pad_gpio_dm[0] *110:10 0.000383058 +12 pad_gpio_dm[0] *117:11 0.000161956 +13 pad_gpio_dm[0] *119:18 0.000105464 +14 pad_gpio_dm[0] *121:7 0.000154145 +15 pad_gpio_dm[0] *132:21 0.000717845 +16 pad_gpio_ana_sel pad_gpio_dm[0] 0.000910313 +17 *195:DIODE pad_gpio_dm[0] 6.08467e-05 +18 *2:51 pad_gpio_dm[0] 0.000389985 +19 *4:42 pad_gpio_dm[0] 4.85806e-05 +*RES +1 *429:X pad_gpio_dm[0] 39.5202 +*END + +*D_NET *22 0.00576285 +*CONN +*P pad_gpio_dm[1] O +*I *430:X O *D sky130_fd_sc_hd__buf_16 +*CAP +1 pad_gpio_dm[1] 0.00216366 +2 *430:X 0.00216366 +3 pad_gpio_dm[1] *434:A 0 +4 pad_gpio_dm[1] *25:13 0.000131449 +5 pad_gpio_dm[1] *39:9 0.00010756 +6 pad_gpio_dm[1] *122:11 6.60214e-05 +7 pad_gpio_dm[1] *122:22 0.000136949 +8 pad_gpio_ana_sel pad_gpio_dm[1] 2.48795e-05 +9 pad_gpio_dm[0] pad_gpio_dm[1] 0.00064204 +10 *11:32 pad_gpio_dm[1] 0.000326637 +*RES +1 *430:X pad_gpio_dm[1] 28.0247 +*END + +*D_NET *23 0.00837205 +*CONN +*P pad_gpio_dm[2] O +*I *431:X O *D sky130_fd_sc_hd__buf_16 +*CAP +1 pad_gpio_dm[2] 0.000382244 +2 *431:X 0.00119008 +3 *23:16 2.68958e-05 +4 *23:9 0.00154543 +5 pad_gpio_dm[2] pad_gpio_holdover 0.000405773 +6 pad_gpio_dm[2] pad_gpio_inenb 0.0006355 +7 pad_gpio_dm[2] *26:7 7.12527e-05 +8 *23:9 *32:8 0.00265697 +9 *23:9 *120:90 0.000403234 +10 pad_gpio_ana_en pad_gpio_dm[2] 8.85703e-05 +11 *216:DIODE *23:9 0.000386773 +12 *15:8 *23:9 0.000579319 +*RES +1 *431:X *23:9 48.5857 +2 *23:9 pad_gpio_dm[2] 20.3296 +3 pad_gpio_dm[2] *23:16 0.0595333 +*END + +*D_NET *24 0.00601228 +*CONN +*P pad_gpio_holdover O +*I *432:X O *D sky130_fd_sc_hd__buf_16 +*CAP +1 pad_gpio_holdover 0.000138261 +2 *432:X 0.00191068 +3 *24:9 0.00204894 +4 pad_gpio_holdover pad_gpio_ib_mode_sel 0.000384809 +5 pad_gpio_holdover pad_gpio_inenb 7.12582e-05 +6 *24:9 *208:DIODE 0.00015901 +7 *24:9 *323:B 9.5801e-05 +8 *24:9 *326:A 0.000259406 +9 *24:9 *326:B 0.000271447 +10 *24:9 *27:7 0 +11 *24:9 *39:9 0 +12 *24:9 *113:14 1.07885e-05 +13 *24:9 *122:11 8.79845e-05 +14 pad_gpio_ana_sel *24:9 0.000168134 +15 pad_gpio_dm[2] pad_gpio_holdover 0.000405773 +*RES +1 *432:X *24:9 48.175 +2 *24:9 pad_gpio_holdover 14.5618 +*END + +*D_NET *25 0.00743214 +*CONN +*P pad_gpio_ib_mode_sel O +*I *433:X O *D sky130_fd_sc_hd__buf_16 +*CAP +1 pad_gpio_ib_mode_sel 0.000251565 +2 *433:X 0.00131694 +3 *25:20 2.68684e-05 +4 *25:13 0.00154164 +5 pad_gpio_ib_mode_sel pad_gpio_inenb 4.90825e-05 +6 *25:13 *436:A 6.64392e-05 +7 *25:13 *39:9 0.00353169 +8 *25:20 *28:32 0 +9 pad_gpio_dm[0] *25:13 0.000131666 +10 pad_gpio_dm[1] *25:13 0.000131449 +11 pad_gpio_holdover pad_gpio_ib_mode_sel 0.000384809 +*RES +1 *433:X *25:13 48.7286 +2 *25:13 pad_gpio_ib_mode_sel 14.2582 +3 pad_gpio_ib_mode_sel *25:20 0.0595333 +*END + +*D_NET *26 0.0102593 +*CONN +*P pad_gpio_in I +*I *425:A I *D sky130_fd_sc_hd__buf_2 +*I *217:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 pad_gpio_in 0.000491232 +2 *425:A 0 +3 *217:DIODE 0.00152557 +4 *26:25 2.68684e-05 +5 *26:8 0.00307451 +6 *26:7 0.00201331 +7 *217:DIODE *32:8 0.000290632 +8 *217:DIODE *32:12 0.000127692 +9 *217:DIODE *110:10 0.000143309 +10 *26:7 pad_gpio_inenb 0.00110901 +11 *26:8 *27:7 0 +12 *26:8 *32:8 0 +13 pad_gpio_ana_en *217:DIODE 0.00103356 +14 pad_gpio_ana_en *26:8 0 +15 pad_gpio_dm[0] *26:8 1.41976e-05 +16 pad_gpio_dm[2] *26:7 7.12527e-05 +17 *2:51 *217:DIODE 7.88662e-05 +18 *2:51 *26:8 1.03403e-05 +19 *13:50 *217:DIODE 1.52231e-05 +20 *16:12 *217:DIODE 1.85244e-05 +21 *16:12 *26:8 0.000215214 +*RES +1 pad_gpio_in *26:7 22.7582 +2 *26:7 *26:8 30.9286 +3 *26:8 *217:DIODE 38.4429 +4 *26:8 *425:A 9.3 +5 pad_gpio_in *26:25 0.0595333 +*END + +*D_NET *27 0.00589513 +*CONN +*P pad_gpio_inenb O +*I *434:X O *D sky130_fd_sc_hd__buf_16 +*CAP +1 pad_gpio_inenb 0.000274731 +2 *434:X 0.00154026 +3 *27:7 0.00181499 +4 pad_gpio_inenb pad_gpio_out 8.52258e-05 +5 pad_gpio_ana_pol *27:7 0.000315069 +6 pad_gpio_dm[2] pad_gpio_inenb 0.0006355 +7 pad_gpio_holdover pad_gpio_inenb 7.12582e-05 +8 pad_gpio_ib_mode_sel pad_gpio_inenb 4.90825e-05 +9 *24:9 *27:7 0 +10 *26:7 pad_gpio_inenb 0.00110901 +11 *26:8 *27:7 0 +*RES +1 *434:X *27:7 44.3179 +2 *27:7 pad_gpio_inenb 18.2582 +*END + +*D_NET *28 0.00632497 +*CONN +*P pad_gpio_out O +*I *435:X O *D sky130_fd_sc_hd__buf_16 +*CAP +1 pad_gpio_out 0.00178618 +2 *435:X 0.000796579 +3 *28:32 2.68684e-05 +4 *28:19 0.00255589 +5 pad_gpio_out *175:DIODE 5.481e-05 +6 pad_gpio_out *325:B1 3.96379e-06 +7 pad_gpio_out *36:11 0.000105452 +8 pad_gpio_out *42:11 2.08274e-05 +9 *28:19 pad_gpio_outenb 6.93171e-05 +10 *28:19 *321:A_N 3.82228e-05 +11 *28:19 *323:B 6.93171e-05 +12 *28:19 *325:B1 4.77168e-06 +13 *28:19 *374:SET_B 2.98969e-05 +14 *28:19 *429:A 0.000536538 +15 *28:19 *444:A 0.000122844 +16 *28:19 *36:11 1.82679e-05 +17 *28:19 *118:13 0 +18 pad_gpio_inenb pad_gpio_out 8.52258e-05 +19 *25:20 *28:32 0 +*RES +1 *435:X *28:19 38.3804 +2 *28:19 pad_gpio_out 44.4279 +3 pad_gpio_out *28:32 0.0595333 +*END + +*D_NET *29 0.00494188 +*CONN +*P pad_gpio_outenb O +*I *436:X O *D sky130_fd_sc_hd__buf_16 +*CAP +1 pad_gpio_outenb 0.00132325 +2 *436:X 0.00132325 +3 pad_gpio_outenb pad_gpio_slow_sel 0.000704906 +4 pad_gpio_outenb serial_clock_out 1.94224e-05 +5 pad_gpio_outenb *321:A_N 1.66626e-05 +6 pad_gpio_outenb *323:B 0.000208598 +7 pad_gpio_outenb *324:A2 2.80455e-05 +8 pad_gpio_outenb *325:A1 0.000852492 +9 pad_gpio_outenb *325:A2 6.85619e-05 +10 pad_gpio_outenb *325:B1 0.000327379 +11 *28:19 pad_gpio_outenb 6.93171e-05 +*RES +1 *436:X pad_gpio_outenb 33.8997 +*END + +*D_NET *30 0.00761486 +*CONN +*P pad_gpio_slow_sel O +*I *437:X O *D sky130_fd_sc_hd__buf_16 +*CAP +1 pad_gpio_slow_sel 0.00247123 +2 *437:X 0.00247123 +3 pad_gpio_slow_sel pad_gpio_vtrip_sel 0.00100692 +4 pad_gpio_slow_sel *41:21 0.000463455 +5 pad_gpio_slow_sel *61:11 0.000342181 +6 pad_gpio_slow_sel *114:8 0 +7 pad_gpio_slow_sel *115:22 3.17436e-05 +8 pad_gpio_slow_sel *115:36 5.87854e-05 +9 pad_gpio_slow_sel *122:22 6.44155e-05 +10 pad_gpio_outenb pad_gpio_slow_sel 0.000704906 +*RES +1 *437:X pad_gpio_slow_sel 28.8021 +*END + +*D_NET *31 0.00893657 +*CONN +*P pad_gpio_vtrip_sel O +*I *438:X O *D sky130_fd_sc_hd__buf_16 +*CAP +1 pad_gpio_vtrip_sel 0.00382722 +2 *438:X 0.00382722 +3 pad_gpio_vtrip_sel resetn_out 0 +4 pad_gpio_vtrip_sel *343:A 0 +5 pad_gpio_vtrip_sel *34:7 0 +6 pad_gpio_vtrip_sel *65:21 0.000156035 +7 pad_gpio_vtrip_sel *105:13 0 +8 pad_gpio_vtrip_sel *115:22 7.46988e-05 +9 pad_gpio_vtrip_sel *127:11 2.09072e-05 +10 pad_gpio_slow_sel pad_gpio_vtrip_sel 0.00100692 +11 *3:17 pad_gpio_vtrip_sel 2.35815e-05 +12 *10:26 pad_gpio_vtrip_sel 0 +*RES +1 *438:X pad_gpio_vtrip_sel 26.5547 +*END + +*D_NET *32 0.0173682 +*CONN +*P resetn I +*I *218:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *426:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 resetn 0.00112401 +2 *218:DIODE 0 +3 *426:A 0.000353377 +4 *32:29 2.68684e-05 +5 *32:12 0.000867955 +6 *32:8 0.00238279 +7 *32:7 0.00296536 +8 *426:A *212:DIODE 0.000135738 +9 *426:A *403:A 4.94e-06 +10 *426:A *36:41 4.73523e-05 +11 *426:A *129:10 3.16904e-05 +12 *32:7 serial_load_out 0.000807775 +13 pad_gpio_ana_en *32:8 0.00478361 +14 *179:DIODE *426:A 2.57986e-05 +15 *197:DIODE *426:A 3.25052e-05 +16 *197:DIODE *32:12 4.29314e-06 +17 *200:DIODE *426:A 1.83992e-05 +18 *216:DIODE *32:8 0.000175389 +19 *216:DIODE *32:12 0.000264039 +20 *217:DIODE *32:8 0.000290632 +21 *217:DIODE *32:12 0.000127692 +22 *15:8 *32:8 0.000241061 +23 *23:9 *32:8 0.00265697 +24 *26:8 *32:8 0 +*RES +1 resetn *32:7 36.1154 +2 *32:7 *32:8 68.7143 +3 *32:8 *32:12 10.8214 +4 *32:12 *426:A 25.8 +5 *32:12 *218:DIODE 9.3 +6 resetn *32:29 0.0595333 +*END + +*D_NET *33 0.00602787 +*CONN +*P resetn_out O +*I *439:X O *D sky130_fd_sc_hd__buf_16 +*CAP +1 resetn_out 0.00204398 +2 *439:X 0.00204398 +3 resetn_out *365:RESET_B 0 +4 resetn_out *373:SET_B 3.05778e-05 +5 resetn_out *374:D 3.30938e-05 +6 resetn_out *374:SET_B 0.000184694 +7 resetn_out *34:7 0.000800179 +8 resetn_out *97:15 0.000524556 +9 resetn_out *119:79 0 +10 resetn_out *162:10 0.000366807 +11 pad_gpio_vtrip_sel resetn_out 0 +*RES +1 *439:X resetn_out 35.7472 +*END + +*D_NET *34 0.0129755 +*CONN +*P serial_clock I +*I *211:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *394:A I *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 serial_clock 0.00247862 +2 *211:DIODE 0.00114465 +3 *394:A 0.000206587 +4 *34:7 0.00382986 +5 *211:DIODE *366:CLK_N 7.82329e-05 +6 *211:DIODE *366:SET_B 0.00022279 +7 *211:DIODE *379:D 1.84566e-05 +8 *211:DIODE *379:RESET_B 0.00017527 +9 *211:DIODE *380:D 0.000373916 +10 *211:DIODE *116:54 4.78069e-06 +11 *211:DIODE *140:22 8.03393e-06 +12 *211:DIODE *163:13 6.65668e-05 +13 *394:A *330:A_N 5.04829e-06 +14 *394:A *366:CLK_N 6.94612e-05 +15 *394:A *366:SET_B 0.000137275 +16 *394:A *396:A 8.48574e-06 +17 *394:A *398:A 6.03595e-07 +18 *394:A *438:A 1.25417e-05 +19 *394:A *92:7 0.000144977 +20 *34:7 serial_clock_out 0.000690322 +21 *34:7 serial_data_out 0 +22 *34:7 *343:A 0.00165012 +23 *34:7 *366:SET_B 2.57238e-05 +24 *34:7 *390:CLK 4.8729e-05 +25 *34:7 *51:14 0.000187736 +26 *34:7 *119:59 0.000251769 +27 pad_gpio_vtrip_sel *34:7 0 +28 resetn_out *34:7 0.000800179 +29 *183:DIODE *34:7 7.48998e-06 +30 *330:B *394:A 8.73932e-05 +31 *2:19 *34:7 5.20873e-05 +32 *3:17 *34:7 4.78554e-06 +33 *13:40 *34:7 0.000182981 +*RES +1 serial_clock *34:7 11.4689 +2 *34:7 *394:A 18.9518 +3 *34:7 *211:DIODE 28.9339 +*END + +*D_NET *35 0.00648844 +*CONN +*P serial_clock_out O +*I *446:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 serial_clock_out 0.00134824 +2 *446:X 0.00134824 +3 serial_clock_out serial_data_out 0 +4 serial_clock_out *175:DIODE 0.00030797 +5 serial_clock_out *320:A1 0.000344058 +6 serial_clock_out *325:A1 0.000628199 +7 serial_clock_out *325:A2 0.000860104 +8 serial_clock_out *333:A 8.26574e-05 +9 serial_clock_out *334:A_N 2.012e-05 +10 serial_clock_out *368:SET_B 0.00021667 +11 serial_clock_out *431:A 1.53954e-05 +12 serial_clock_out *36:10 0.000496102 +13 serial_clock_out *102:8 6.08403e-05 +14 pad_gpio_outenb serial_clock_out 1.94224e-05 +15 *2:37 serial_clock_out 5.00953e-05 +16 *34:7 serial_clock_out 0.000690322 +*RES +1 *446:X serial_clock_out 41.6484 +*END + +*D_NET *36 0.0147699 +*CONN +*P serial_data_in I +*I *219:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *427:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 serial_data_in 0.0013381 +2 *219:DIODE 0 +3 *427:A 0.000452166 +4 *36:41 0.00111051 +5 *36:11 0.00401983 +6 *36:10 0.00379526 +7 *427:A *385:D 0.000154145 +8 *427:A *396:A 0.000107496 +9 *427:A *69:15 3.14466e-05 +10 *427:A *93:10 3.4788e-05 +11 *427:A *114:15 2.11837e-06 +12 *36:10 serial_data_out 0.000496102 +13 *36:11 *175:DIODE 5.20167e-05 +14 *36:11 *325:B1 0.000202726 +15 *36:11 *42:11 2.16355e-05 +16 *36:11 *114:15 1.4091e-06 +17 *36:11 *115:42 0.000110949 +18 *36:11 *115:51 1.58551e-05 +19 *36:11 *118:26 0.000534606 +20 *36:41 *318:A 0.000388324 +21 *36:41 *350:A_N 0.000161152 +22 *36:41 *366:CLK_N 0.000114976 +23 *36:41 *376:SET_B 0.000218337 +24 *36:41 *403:A 1.66771e-05 +25 *36:41 *447:A 0.000294093 +26 *36:41 *69:15 7.88662e-05 +27 *36:41 *114:10 2.1667e-05 +28 *36:41 *114:15 0.000107516 +29 pad_gpio_out *36:11 0.000105452 +30 serial_clock_out *36:10 0.000496102 +31 *185:DIODE *36:11 0 +32 *188:DIODE *36:41 5.04829e-06 +33 *197:DIODE *36:41 0.000129936 +34 *200:DIODE *36:41 2.88594e-05 +35 *333:B *36:10 0 +36 *426:A *36:41 4.73523e-05 +37 *5:26 *36:41 0 +38 *10:27 *427:A 4.41583e-05 +39 *11:23 *36:11 1.19726e-05 +40 *13:41 *36:10 0 +41 *13:50 *36:10 0 +42 *28:19 *36:11 1.82679e-05 +*RES +1 serial_data_in *36:10 28.1596 +2 *36:10 *36:11 27.6429 +3 *36:11 *427:A 23.925 +4 *36:11 *36:41 41.5 +5 *36:41 *219:DIODE 9.3 +*END + +*D_NET *37 0.00841152 +*CONN +*P serial_data_out O +*I *440:X O *D sky130_fd_sc_hd__buf_16 +*CAP +1 serial_data_out 0.00248483 +2 *440:X 0.00248483 +3 serial_data_out *328:A_N 0.000284572 +4 serial_data_out *371:SET_B 6.47933e-05 +5 serial_data_out *378:RESET_B 1.66771e-05 +6 serial_data_out *38:17 0.000709868 +7 serial_data_out *113:25 0.000162695 +8 serial_data_out *117:51 9.10173e-05 +9 serial_data_out *117:52 2.77394e-05 +10 serial_clock_out serial_data_out 0 +11 *328:B serial_data_out 0.00151519 +12 *2:19 serial_data_out 7.32094e-05 +13 *13:11 serial_data_out 0 +14 *13:40 serial_data_out 0 +15 *34:7 serial_data_out 0 +16 *36:10 serial_data_out 0.000496102 +*RES +1 *440:X serial_data_out 31.0699 +*END + +*D_NET *38 0.0162813 +*CONN +*P serial_load I +*I *212:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *395:A I *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 serial_load 0.00374853 +2 *212:DIODE 0.000572078 +3 *395:A 0 +4 *38:17 0.0043206 +5 *212:DIODE *355:A 6.08467e-05 +6 *212:DIODE *366:CLK_N 0.000978333 +7 *212:DIODE *403:A 3.98812e-06 +8 *212:DIODE *129:10 0.00139469 +9 *38:17 user_gpio_in 0 +10 *38:17 *328:A_N 0.0015956 +11 *38:17 *355:A 8.78962e-05 +12 *38:17 *375:CLK_N 0.000110297 +13 *38:17 *377:CLK_N 0.000230231 +14 *38:17 *377:RESET_B 4.43579e-05 +15 *38:17 *390:D 7.59979e-05 +16 *38:17 *391:D 0.000199186 +17 *38:17 *94:36 4.24965e-05 +18 *38:17 *113:25 0.00134712 +19 *38:17 *119:37 0.000427789 +20 *38:17 *131:8 0.000187728 +21 serial_data_out *38:17 0.000709868 +22 *181:DIODE *38:17 0 +23 *426:A *212:DIODE 0.000135738 +24 *9:47 *38:17 7.92757e-06 +*RES +1 serial_load *38:17 49.6347 +2 *38:17 *395:A 9.3 +3 *38:17 *212:DIODE 37.4786 +*END + +*D_NET *39 0.0151123 +*CONN +*P serial_load_out O +*I *447:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 serial_load_out 0.0013142 +2 *447:X 0.000878201 +3 *39:16 2.68684e-05 +4 *39:9 0.00423829 +5 *39:7 0.00382916 +6 *39:7 *111:13 1.03403e-05 +7 *39:7 *120:41 6.08467e-05 +8 *39:7 *120:46 6.08467e-05 +9 pad_gpio_dm[0] *39:9 0.000144892 +10 pad_gpio_dm[1] *39:9 0.00010756 +11 *188:DIODE *39:7 2.99287e-05 +12 *5:29 *39:7 7.16754e-05 +13 *24:9 *39:9 0 +14 *25:13 *39:9 0.00353169 +15 *32:7 serial_load_out 0.000807775 +*RES +1 *447:X *39:7 23.1929 +2 *39:7 *39:9 63.375 +3 *39:9 serial_load_out 40.0618 +4 serial_load_out *39:16 0.0595333 +*END + +*D_NET *40 0.00970334 +*CONN +*P user_gpio_in O +*I *441:X O *D sky130_fd_sc_hd__buf_16 +*CAP +1 user_gpio_in 0.00460752 +2 *441:X 0.00460752 +3 user_gpio_in *344:A_N 0.000111884 +4 user_gpio_in *391:D 0 +5 user_gpio_in *41:13 4.31122e-05 +6 user_gpio_in *42:11 0.000189294 +7 user_gpio_in *120:30 1.53815e-05 +8 *5:19 user_gpio_in 5.58835e-05 +9 *7:24 user_gpio_in 7.27515e-05 +10 *38:17 user_gpio_in 0 +*RES +1 *441:X user_gpio_in 27.0588 +*END + +*D_NET *41 0.0165781 +*CONN +*P user_gpio_oeb I +*I *320:A0 I *D sky130_fd_sc_hd__mux2_4 +*I *174:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 user_gpio_oeb 0.000983337 +2 *320:A0 0 +3 *174:DIODE 0.00360626 +4 *41:21 0.00512839 +5 *41:19 0.00289855 +6 *41:13 0.00235976 +7 *174:DIODE *320:S 8.85947e-05 +8 *174:DIODE *361:A 6.57391e-05 +9 *174:DIODE *367:CLK_N 1.17054e-05 +10 *174:DIODE *383:CLK 0.000110458 +11 *174:DIODE *383:RESET_B 1.87068e-05 +12 *174:DIODE *386:D 6.08467e-05 +13 *174:DIODE *386:RESET_B 0.000105026 +14 *174:DIODE *402:A 0.000127654 +15 *174:DIODE *414:A 7.65994e-05 +16 *174:DIODE *420:A 0.000140228 +17 *174:DIODE *436:A 0.000247443 +18 *174:DIODE *437:A 3.8158e-06 +19 *41:13 *42:11 3.84497e-05 +20 pad_gpio_slow_sel *41:21 0.000463455 +21 user_gpio_in *41:13 4.31122e-05 +22 *185:DIODE *41:21 0 +*RES +1 user_gpio_oeb *41:13 31.4976 +2 *41:13 *41:19 32.7946 +3 *41:19 *41:21 30.3125 +4 *41:21 *174:DIODE 47.9429 +5 *41:21 *320:A0 9.3 +*END + +*D_NET *42 0.0105541 +*CONN +*P user_gpio_out I +*I *321:B I *D sky130_fd_sc_hd__nand2b_2 +*I *175:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 user_gpio_out 0.00200012 +2 *321:B 0 +3 *175:DIODE 0.000814663 +4 *42:11 0.00281478 +5 *175:DIODE *321:A_N 1.63255e-05 +6 *175:DIODE *323:B 0 +7 *175:DIODE *325:A1 0.000155279 +8 *175:DIODE *325:B1 1.50924e-05 +9 *175:DIODE *326:B 0.000314471 +10 *175:DIODE *392:A 0.000382134 +11 *175:DIODE *408:A 0.000387025 +12 *175:DIODE *428:A 0 +13 *175:DIODE *431:A 0.000230141 +14 *175:DIODE *120:90 0 +15 *175:DIODE *122:11 3.27606e-06 +16 *42:11 *102:8 0.000217878 +17 *42:11 *110:10 0.00127694 +18 pad_gpio_out *175:DIODE 5.481e-05 +19 pad_gpio_out *42:11 2.08274e-05 +20 serial_clock_out *175:DIODE 0.00030797 +21 user_gpio_in *42:11 0.000189294 +22 *191:DIODE *175:DIODE 0.000399866 +23 *333:B *42:11 0.000100208 +24 *2:37 *175:DIODE 0.000221994 +25 *13:41 *42:11 0.000109083 +26 *13:50 *42:11 0.000409861 +27 *36:11 *175:DIODE 5.20167e-05 +28 *36:11 *42:11 2.16355e-05 +29 *41:13 *42:11 3.84497e-05 +*RES +1 user_gpio_out *42:11 41.0238 +2 *42:11 *175:DIODE 41.1571 +3 *42:11 *321:B 9.3 +*END + +*D_NET *47 0.00524013 +*CONN +*P zero O +*I *448:X O *D sky130_fd_sc_hd__buf_16 +*CAP +1 zero 0.00242601 +2 *448:X 0.00242601 +3 zero *209:DIODE 0.000212426 +4 mgmt_gpio_in zero 4.31122e-05 +5 one zero 0 +6 *215:DIODE zero 0.000132583 +*RES +1 *448:X zero 26.6235 +*END + +*D_NET *48 0.00136856 +*CONN +*I *323:A_N I *D sky130_fd_sc_hd__and2b_2 +*I *372:Q_N O *D sky130_fd_sc_hd__dfbbn_2 +*CAP +1 *323:A_N 0.000199245 +2 *372:Q_N 0.000199245 +3 *323:A_N *326:B 6.27718e-05 +4 *323:A_N *99:10 0.000444458 +5 *323:A_N *118:13 0.000462844 +*RES +1 *372:Q_N *323:A_N 34.3321 +*END + +*D_NET *49 0.00185858 +*CONN +*I *365:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *327:X O *D sky130_fd_sc_hd__or2_0 +*CAP +1 *365:RESET_B 0.000446138 +2 *327:X 0.000446138 +3 *365:RESET_B *365:SET_B 0.000167441 +4 *365:RESET_B *113:25 0.000159032 +5 *365:RESET_B *119:79 0.000123221 +6 *365:RESET_B *131:8 8.35465e-05 +7 *365:RESET_B *164:15 0.000302956 +8 resetn_out *365:RESET_B 0 +9 *181:DIODE *365:RESET_B 0.000130109 +*RES +1 *327:X *365:RESET_B 37.8679 +*END + +*D_NET *50 0.00221638 +*CONN +*I *365:SET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *328:Y O *D sky130_fd_sc_hd__nand2b_2 +*CAP +1 *365:SET_B 0.0004245 +2 *328:Y 0.0004245 +3 *365:SET_B *415:A 2.60765e-05 +4 *365:SET_B *98:8 0.000439511 +5 *181:DIODE *365:SET_B 0.000133526 +6 *328:B *365:SET_B 0.000600827 +7 *365:RESET_B *365:SET_B 0.000167441 +*RES +1 *328:Y *365:SET_B 20.0411 +*END + +*D_NET *51 0.00815084 +*CONN +*I *366:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *329:X O *D sky130_fd_sc_hd__or2_0 +*CAP +1 *366:RESET_B 6.36562e-05 +2 *329:X 0.00211756 +3 *51:14 0.00218121 +4 *366:RESET_B *340:A_N 0.000134167 +5 *51:14 *384:CLK 2.44579e-05 +6 *51:14 *419:A 0.000109119 +7 *51:14 *65:21 4.35492e-05 +8 *51:14 *114:8 1.30473e-05 +9 *51:14 *128:8 0.000505747 +10 *183:DIODE *51:14 0.00115716 +11 *198:DIODE *51:14 7.60183e-05 +12 *340:B *366:RESET_B 0.000134167 +13 *3:17 *51:14 0 +14 *6:7 *51:14 6.98415e-05 +15 *6:12 *51:14 0.000145722 +16 *12:7 *51:14 0.000251949 +17 *12:16 *51:14 0.000372366 +18 *13:11 *51:14 0.000563361 +19 *34:7 *51:14 0.000187736 +*RES +1 *329:X *51:14 49.4776 +2 *51:14 *366:RESET_B 11.8893 +*END + +*D_NET *52 0.00388183 +*CONN +*I *366:SET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *330:Y O *D sky130_fd_sc_hd__nand2b_2 +*CAP +1 *366:SET_B 0.000932082 +2 *330:Y 0.000932082 +3 *366:SET_B *330:A_N 0.000107496 +4 *366:SET_B *335:A 3.78678e-05 +5 *366:SET_B *340:A_N 1.06708e-05 +6 *366:SET_B *343:A 1.09113e-05 +7 *366:SET_B *360:A 0.000119218 +8 *366:SET_B *366:CLK_N 0 +9 *366:SET_B *398:A 0.000169125 +10 *366:SET_B *438:A 0.000202726 +11 *366:SET_B *440:A 8.03393e-06 +12 *366:SET_B *57:17 0.000561276 +13 *366:SET_B *140:22 5.14448e-05 +14 *366:SET_B *163:13 3.39313e-06 +15 *211:DIODE *366:SET_B 0.00022279 +16 *394:A *366:SET_B 0.000137275 +17 *3:17 *366:SET_B 0.000240318 +18 *5:26 *366:SET_B 0.000109402 +19 *34:7 *366:SET_B 2.57238e-05 +*RES +1 *330:Y *366:SET_B 43.3392 +*END + +*D_NET *53 0.00233608 +*CONN +*I *367:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *331:X O *D sky130_fd_sc_hd__or2_0 +*CAP +1 *367:RESET_B 0.000502541 +2 *331:X 0.000502541 +3 *367:RESET_B *331:A 1.37669e-05 +4 *367:RESET_B *439:A 0.000479744 +5 *367:RESET_B *113:25 0.000479744 +6 *4:23 *367:RESET_B 0.000296893 +7 *11:13 *367:RESET_B 6.08467e-05 +*RES +1 *331:X *367:RESET_B 37.8143 +*END + +*D_NET *54 0.000640174 +*CONN +*I *367:SET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *332:Y O *D sky130_fd_sc_hd__nand2b_2 +*CAP +1 *367:SET_B 0.000103794 +2 *332:Y 0.000103794 +3 *367:SET_B *367:D 6.08467e-05 +4 *367:SET_B *434:A 0.0001807 +5 *367:SET_B *118:35 1.03403e-05 +6 *4:23 *367:SET_B 0.0001807 +*RES +1 *332:Y *367:SET_B 21.3893 +*END + +*D_NET *55 0.00170987 +*CONN +*I *368:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *333:X O *D sky130_fd_sc_hd__or2_0 +*CAP +1 *368:RESET_B 0.000455087 +2 *333:X 0.000455087 +3 *368:RESET_B *324:A2 0.000205509 +4 *368:RESET_B *325:A2 0.000538793 +5 *368:RESET_B *98:8 4.89021e-05 +6 *368:RESET_B *101:12 6.49066e-06 +*RES +1 *333:X *368:RESET_B 38.0821 +*END + +*D_NET *56 0.00142173 +*CONN +*I *368:SET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *334:Y O *D sky130_fd_sc_hd__nand2b_2 +*CAP +1 *368:SET_B 0.000314546 +2 *334:Y 0.000314546 +3 *368:SET_B *334:A_N 7.53431e-05 +4 *368:SET_B *102:8 5.50489e-05 +5 *368:SET_B *109:17 0.000338082 +6 serial_clock_out *368:SET_B 0.00021667 +7 *334:B *368:SET_B 0.000107496 +*RES +1 *334:Y *368:SET_B 25.0411 +*END + +*D_NET *57 0.00356185 +*CONN +*I *369:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *335:X O *D sky130_fd_sc_hd__or2_0 +*CAP +1 *369:RESET_B 0 +2 *335:X 0.00100707 +3 *57:17 0.00100707 +4 *57:17 *360:A 0.000698429 +5 *57:17 *440:A 3.58974e-05 +6 *57:17 *113:25 6.36477e-05 +7 *57:17 *114:8 0.00010376 +8 *57:17 *131:8 6.36477e-05 +9 *57:17 *163:13 2.10488e-05 +10 *366:SET_B *57:17 0.000561276 +11 *11:13 *57:17 0 +*RES +1 *335:X *57:17 45.425 +2 *57:17 *369:RESET_B 9.3 +*END + +*D_NET *58 0.00434126 +*CONN +*I *369:SET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *336:Y O *D sky130_fd_sc_hd__nand2b_2 +*CAP +1 *369:SET_B 0.00100503 +2 *336:Y 0.00100503 +3 *369:SET_B *336:A_N 0.000302705 +4 *369:SET_B *386:D 0.000149186 +5 *369:SET_B *401:A 0.000470378 +6 *369:SET_B *420:A 0.000154597 +7 *369:SET_B *61:11 0.000104764 +8 *369:SET_B *65:21 0.000655088 +9 *369:SET_B *114:8 0 +10 *369:SET_B *115:42 0.000117721 +11 *369:SET_B *148:9 1.63652e-05 +12 *369:SET_B *153:10 5.90236e-05 +13 *3:17 *369:SET_B 3.6099e-05 +14 *5:26 *369:SET_B 3.79231e-05 +15 *11:13 *369:SET_B 0.000169314 +16 *12:16 *369:SET_B 5.80392e-05 +*RES +1 *336:Y *369:SET_B 47.4429 +*END + +*D_NET *59 0.00402558 +*CONN +*I *370:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *337:X O *D sky130_fd_sc_hd__or2_0 +*CAP +1 *370:RESET_B 0 +2 *337:X 0.000772298 +3 *59:19 0.000772298 +4 *59:19 *330:A_N 0.000145355 +5 *59:19 *336:A_N 7.40736e-05 +6 *59:19 *361:A 0.000302686 +7 *59:19 *366:CLK_N 0.000650098 +8 *59:19 *368:CLK_N 0.000111222 +9 *59:19 *370:SET_B 4.69234e-05 +10 *59:19 *383:RESET_B 6.08467e-05 +11 *59:19 *396:A 1.58745e-05 +12 *59:19 *402:A 4.97617e-05 +13 *59:19 *413:A 3.92784e-05 +14 *59:19 *420:A 0.000397916 +15 *59:19 *93:10 0.000230161 +16 *59:19 *114:8 0.000134394 +17 *59:19 *115:16 0.000139947 +18 *59:19 *148:9 7.64461e-05 +19 *10:26 *59:19 5.99857e-06 +*RES +1 *337:X *59:19 46.9786 +2 *59:19 *370:RESET_B 9.3 +*END + +*D_NET *60 0.00353316 +*CONN +*I *370:SET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *338:Y O *D sky130_fd_sc_hd__nand2b_2 +*CAP +1 *370:SET_B 0.00102403 +2 *338:Y 0.00102403 +3 *370:SET_B *336:A_N 4.86175e-05 +4 *370:SET_B *375:SET_B 5.21758e-06 +5 *370:SET_B *376:CLK_N 2.16355e-05 +6 *370:SET_B *376:D 0.000406202 +7 *370:SET_B *446:A 7.46933e-06 +8 *370:SET_B *69:15 7.41322e-05 +9 *370:SET_B *94:11 3.16904e-05 +10 *370:SET_B *94:36 8.70662e-06 +11 *370:SET_B *114:8 0 +12 *370:SET_B *122:22 0 +13 *370:SET_B *148:9 4.32942e-05 +14 *370:SET_B *150:6 9.29919e-05 +15 *370:SET_B *158:21 2.18741e-05 +16 *197:DIODE *370:SET_B 0.000603588 +17 *5:26 *370:SET_B 7.27543e-05 +18 *59:19 *370:SET_B 4.69234e-05 +*RES +1 *338:Y *370:SET_B 38.0321 +*END + +*D_NET *61 0.00517578 +*CONN +*I *371:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *339:X O *D sky130_fd_sc_hd__or2_0 +*CAP +1 *371:RESET_B 0 +2 *339:X 0.00109976 +3 *61:11 0.00109976 +4 *61:11 *371:SET_B 0.00011372 +5 *61:11 *386:D 0.000295048 +6 *61:11 *386:RESET_B 3.29488e-05 +7 *61:11 *420:A 0.000158138 +8 *61:11 *105:13 2.95017e-05 +9 *61:11 *114:8 0 +10 *61:11 *117:51 5.46759e-05 +11 *61:11 *120:46 1.03594e-05 +12 *61:11 *140:22 4.45999e-05 +13 *61:11 *149:8 0.000338104 +14 pad_gpio_slow_sel *61:11 0.000342181 +15 *369:SET_B *61:11 0.000104764 +16 *1:40 *61:11 0.000192512 +17 *2:19 *61:11 3.52697e-05 +18 *3:17 *61:11 0.000265092 +19 *5:26 *61:11 0.000959351 +*RES +1 *339:X *61:11 46.5143 +2 *61:11 *371:RESET_B 9.3 +*END + +*D_NET *62 0.00262648 +*CONN +*I *371:SET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *340:Y O *D sky130_fd_sc_hd__nand2b_2 +*CAP +1 *371:SET_B 0.000676618 +2 *340:Y 0.000676618 +3 *371:SET_B *340:A_N 0.000184083 +4 *371:SET_B *379:D 0.00035296 +5 *371:SET_B *103:8 0.0002436 +6 *371:SET_B *117:51 0.000120993 +7 *371:SET_B *140:22 6.27449e-05 +8 serial_data_out *371:SET_B 6.47933e-05 +9 *340:B *371:SET_B 1.87049e-05 +10 *2:19 *371:SET_B 0.00011164 +11 *61:11 *371:SET_B 0.00011372 +*RES +1 *340:Y *371:SET_B 32.4161 +*END + +*D_NET *63 0.000538914 +*CONN +*I *372:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *341:X O *D sky130_fd_sc_hd__or2_0 +*CAP +1 *372:RESET_B 0.000209955 +2 *341:X 0.000209955 +3 *372:RESET_B *429:A 0.000119004 +*RES +1 *341:X *372:RESET_B 22.0107 +*END + +*D_NET *64 0.000841136 +*CONN +*I *372:SET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *342:Y O *D sky130_fd_sc_hd__nand2b_2 +*CAP +1 *372:SET_B 0.000234873 +2 *342:Y 0.000234873 +3 *372:SET_B *374:SET_B 0.00016697 +4 *372:SET_B *435:A 1.7883e-05 +5 *372:SET_B *436:A 0.000156763 +6 *372:SET_B *118:26 3.99086e-06 +7 *342:B *372:SET_B 2.57847e-05 +*RES +1 *342:Y *372:SET_B 22.3179 +*END + +*D_NET *65 0.00926441 +*CONN +*I *373:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *343:X O *D sky130_fd_sc_hd__or2_0 +*CAP +1 *373:RESET_B 0.000300066 +2 *343:X 0.00267134 +3 *65:21 0.0029714 +4 *373:RESET_B *101:12 0.000411353 +5 *373:RESET_B *133:15 0.000468708 +6 *65:21 *386:CLK 6.11872e-05 +7 *65:21 *438:A 6.25248e-05 +8 *65:21 *95:26 0.000360078 +9 *65:21 *95:28 5.481e-05 +10 *65:21 *109:17 6.24048e-05 +11 *65:21 *141:8 0.000200794 +12 *65:21 *148:9 0 +13 pad_gpio_vtrip_sel *65:21 0.000156035 +14 *344:B *373:RESET_B 3.23163e-05 +15 *369:SET_B *65:21 0.000655088 +16 *3:17 *65:21 0.000296313 +17 *5:26 *65:21 0.000108929 +18 *6:12 *65:21 1.48325e-05 +19 *10:26 *65:21 0.00033268 +20 *51:14 *65:21 4.35492e-05 +*RES +1 *343:X *65:21 49.7958 +2 *65:21 *373:RESET_B 26.05 +*END + +*D_NET *66 0.00265935 +*CONN +*I *373:SET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *344:Y O *D sky130_fd_sc_hd__nand2b_2 +*CAP +1 *373:SET_B 0.000480234 +2 *344:Y 0.000480234 +3 *373:SET_B *344:A_N 5.85486e-05 +4 *373:SET_B *374:SET_B 0.000339642 +5 *373:SET_B *133:15 0.000284771 +6 *373:SET_B *164:15 0.000466234 +7 resetn_out *373:SET_B 3.05778e-05 +8 *344:B *373:SET_B 0.000519112 +*RES +1 *344:Y *373:SET_B 31.7107 +*END + +*D_NET *67 0.00780538 +*CONN +*I *374:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *345:X O *D sky130_fd_sc_hd__or2_0 +*CAP +1 *374:RESET_B 0.000911853 +2 *345:X 0.00089513 +3 *67:10 0.00180698 +4 *374:RESET_B *321:A_N 0.000195936 +5 *374:RESET_B *388:CLK 0.000525735 +6 *374:RESET_B *95:16 0.000129898 +7 *374:RESET_B *98:8 0.000145865 +8 *374:RESET_B *98:10 0.00028457 +9 *374:RESET_B *101:12 5.89177e-05 +10 *374:RESET_B *102:8 0 +11 *67:10 *321:A_N 6.43021e-05 +12 *67:10 *345:A 0.000226424 +13 *67:10 *404:A 0 +14 *67:10 *430:A 0.00037205 +15 *67:10 *99:10 0.00115181 +16 *67:10 *119:5 6.08467e-05 +17 pad_gpio_dm[0] *67:10 4.61271e-05 +18 *342:B *67:10 0.0001251 +19 *344:B *374:RESET_B 0.000578706 +20 *2:19 *374:RESET_B 1.30557e-05 +21 *2:19 *67:10 0.000212073 +*RES +1 *345:X *67:10 36.1304 +2 *67:10 *374:RESET_B 35.9875 +*END + +*D_NET *68 0.00560776 +*CONN +*I *374:SET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *346:Y O *D sky130_fd_sc_hd__nand2b_2 +*CAP +1 *374:SET_B 0.00117043 +2 *346:Y 0.00117043 +3 *374:SET_B *367:CLK_N 0.000297086 +4 *374:SET_B *388:D 0.000315218 +5 *374:SET_B *429:A 0.000240318 +6 *374:SET_B *436:A 0.000313446 +7 *374:SET_B *446:A 0.000160047 +8 *374:SET_B *97:15 5.50889e-06 +9 *374:SET_B *101:12 1.23606e-05 +10 *374:SET_B *118:26 0.000151097 +11 *374:SET_B *133:15 9.14671e-05 +12 *374:SET_B *141:8 0.000223703 +13 *374:SET_B *162:10 0.000363397 +14 resetn_out *374:SET_B 0.000184694 +15 *372:SET_B *374:SET_B 0.00016697 +16 *373:SET_B *374:SET_B 0.000339642 +17 *2:19 *374:SET_B 0.000372047 +18 *28:19 *374:SET_B 2.98969e-05 +*RES +1 *346:Y *374:SET_B 49.9429 +*END + +*D_NET *69 0.00497548 +*CONN +*I *375:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *347:X O *D sky130_fd_sc_hd__or2_0 +*CAP +1 *375:RESET_B 0 +2 *347:X 0.000706308 +3 *69:15 0.000706308 +4 *69:15 *336:A_N 7.11426e-05 +5 *69:15 *347:A 0.000699716 +6 *69:15 *375:SET_B 0.000155388 +7 *69:15 *384:CLK 2.20471e-05 +8 *69:15 *385:D 5.481e-05 +9 *69:15 *396:A 3.13905e-05 +10 *69:15 *114:8 1.4426e-05 +11 *69:15 *114:10 4.97926e-05 +12 *69:15 *114:15 0.000250852 +13 *69:15 *114:17 0.00053324 +14 *69:15 *157:17 0.000799271 +15 *69:15 *157:82 0.00037853 +16 *197:DIODE *69:15 0.000190001 +17 *370:SET_B *69:15 7.41322e-05 +18 *427:A *69:15 3.14466e-05 +19 *5:26 *69:15 0.000127809 +20 *36:41 *69:15 7.88662e-05 +*RES +1 *347:X *69:15 41.2286 +2 *69:15 *375:RESET_B 9.3 +*END + +*D_NET *70 0.000514513 +*CONN +*I *375:SET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *348:Y O *D sky130_fd_sc_hd__nand2b_2 +*CAP +1 *375:SET_B 8.89299e-05 +2 *348:Y 8.89299e-05 +3 *375:SET_B *114:8 0.000176048 +4 *370:SET_B *375:SET_B 5.21758e-06 +5 *69:15 *375:SET_B 0.000155388 +*RES +1 *348:Y *375:SET_B 20.8536 +*END + +*D_NET *71 0.0011678 +*CONN +*I *376:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *349:X O *D sky130_fd_sc_hd__or2_0 +*CAP +1 *376:RESET_B 0.00032978 +2 *349:X 0.00032978 +3 *376:RESET_B *349:A 6.08467e-05 +4 *376:RESET_B *412:A 0.000107496 +5 *376:RESET_B *446:A 4.45999e-05 +6 *376:RESET_B *94:60 7.78879e-05 +7 *376:RESET_B *111:13 2.57986e-05 +8 *376:RESET_B *123:10 5.77383e-05 +9 *376:RESET_B *153:10 0.000133878 +*RES +1 *349:X *376:RESET_B 33.9036 +*END + +*D_NET *72 0.00202573 +*CONN +*I *376:SET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *350:Y O *D sky130_fd_sc_hd__nand2b_2 +*CAP +1 *376:SET_B 0.000317325 +2 *350:Y 0.000317325 +3 *376:SET_B *326:A 0.000489401 +4 *376:SET_B *339:A 0 +5 *376:SET_B *350:A_N 1.41976e-05 +6 *188:DIODE *376:SET_B 0.000173175 +7 *197:DIODE *376:SET_B 0.000435127 +8 *350:B *376:SET_B 6.08467e-05 +9 *36:41 *376:SET_B 0.000218337 +*RES +1 *350:Y *376:SET_B 28.1214 +*END + +*D_NET *73 0.00083159 +*CONN +*I *377:RESET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *351:X O *D sky130_fd_sc_hd__or2_0 +*CAP +1 *377:RESET_B 0.000197772 +2 *351:X 0.000197772 +3 *377:RESET_B *377:SET_B 0.000101875 +4 *377:RESET_B *439:A 0.000143161 +5 *377:RESET_B *120:41 0.000146652 +6 *38:17 *377:RESET_B 4.43579e-05 +*RES +1 *351:X *377:RESET_B 32.1357 +*END + +*D_NET *74 0.00161075 +*CONN +*I *377:SET_B I *D sky130_fd_sc_hd__dfbbn_2 +*I *352:Y O *D sky130_fd_sc_hd__nand2b_2 +*CAP +1 *377:SET_B 0.0002498 +2 *352:Y 0.0002498 +3 *377:SET_B *372:CLK_N 0.000126335 +4 *377:SET_B *113:14 2.40628e-05 +5 *377:SET_B *113:25 0.000130582 +6 *377:SET_B *119:37 0.000284295 +7 *377:SET_B *122:22 6.56354e-05 +8 *377:RESET_B *377:SET_B 0.000101875 +9 *11:23 *377:SET_B 0.000326026 +10 *11:32 *377:SET_B 5.23435e-05 +*RES +1 *352:Y *377:SET_B 26.5946 +*END + +*D_NET *75 0.00133438 +*CONN +*I *320:A1 I *D sky130_fd_sc_hd__mux2_4 +*I *319:X O *D sky130_fd_sc_hd__and2_0 +*CAP +1 *320:A1 0.000278996 +2 *319:X 0.000278996 +3 *320:A1 *322:B 0.000200794 +4 *320:A1 *322:C 6.31036e-05 +5 *320:A1 *102:8 0.000144568 +6 serial_clock_out *320:A1 0.000344058 +7 *2:37 *320:A1 2.38618e-05 +*RES +1 *319:X *320:A1 34.8679 +*END + +*D_NET *76 0.00145918 +*CONN +*I *325:B1 I *D sky130_fd_sc_hd__o21ai_4 +*I *321:Y O *D sky130_fd_sc_hd__nand2b_2 +*CAP +1 *325:B1 0.00026047 +2 *321:Y 0.00026047 +3 *325:B1 *321:A_N 3.82228e-05 +4 *325:B1 *323:B 0.000195927 +5 *325:B1 *324:A2 0.000139817 +6 pad_gpio_out *325:B1 3.96379e-06 +7 pad_gpio_outenb *325:B1 0.000327379 +8 *175:DIODE *325:B1 1.50924e-05 +9 *185:DIODE *325:B1 1.03403e-05 +10 *28:19 *325:B1 4.77168e-06 +11 *36:11 *325:B1 0.000202726 +*RES +1 *321:Y *325:B1 34.5821 +*END + +*D_NET *77 0.00595349 +*CONN +*I *324:A2 I *D sky130_fd_sc_hd__o21ai_2 +*I *323:B I *D sky130_fd_sc_hd__and2b_2 +*I *322:X O *D sky130_fd_sc_hd__and3b_2 +*CAP +1 *324:A2 0.000636712 +2 *323:B 0.000646756 +3 *322:X 4.51842e-05 +4 *77:7 0.00132865 +5 *323:B *321:A_N 3.2766e-05 +6 *323:B *325:A1 3.12496e-05 +7 *323:B *435:A 0.000219602 +8 *323:B *99:10 8.68003e-06 +9 *323:B *118:13 0.000715623 +10 *323:B *122:11 1.41214e-05 +11 *324:A2 *321:A_N 8.52116e-05 +12 *324:A2 *324:B1 0.000157107 +13 *324:A2 *325:A2 0.000131661 +14 *324:A2 *435:A 8.5976e-05 +15 *324:A2 *98:8 3.20407e-05 +16 *324:A2 *98:10 0.000691062 +17 *324:A2 *163:13 1.43832e-05 +18 *77:7 *322:B 6.08467e-05 +19 pad_gpio_outenb *323:B 0.000208598 +20 pad_gpio_outenb *324:A2 2.80455e-05 +21 *175:DIODE *323:B 0 +22 *325:B1 *323:B 0.000195927 +23 *325:B1 *324:A2 0.000139817 +24 *368:RESET_B *324:A2 0.000205509 +25 *13:40 *324:A2 7.28441e-05 +26 *24:9 *323:B 9.5801e-05 +27 *28:19 *323:B 6.93171e-05 +*RES +1 *322:X *77:7 14.3357 +2 *77:7 *323:B 28.9607 +3 *77:7 *324:A2 30.5857 +*END + +*D_NET *78 0.00308971 +*CONN +*I *325:A1 I *D sky130_fd_sc_hd__o21ai_4 +*I *323:X O *D sky130_fd_sc_hd__and2b_2 +*CAP +1 *325:A1 0.000598551 +2 *323:X 0.000598551 +3 *325:A1 *326:B 2.16355e-05 +4 pad_gpio_outenb *325:A1 0.000852492 +5 serial_clock_out *325:A1 0.000628199 +6 *175:DIODE *325:A1 0.000155279 +7 *185:DIODE *325:A1 0.000203756 +8 *323:B *325:A1 3.12496e-05 +*RES +1 *323:X *325:A1 43.8143 +*END + +*D_NET *79 0.00243892 +*CONN +*I *325:A2 I *D sky130_fd_sc_hd__o21ai_4 +*I *324:Y O *D sky130_fd_sc_hd__o21ai_2 +*CAP +1 *325:A2 0.000416997 +2 *324:Y 0.000416997 +3 *325:A2 *333:A 5.80533e-06 +4 pad_gpio_outenb *325:A2 6.85619e-05 +5 serial_clock_out *325:A2 0.000860104 +6 *324:A2 *325:A2 0.000131661 +7 *368:RESET_B *325:A2 0.000538793 +*RES +1 *324:Y *325:A2 40.4036 +*END + +*D_NET *92 0.010179 +*CONN +*I *398:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *396:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *394:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *398:A 0.00189628 +2 *396:A 0.000765833 +3 *394:X 0.000741084 +4 *92:7 0.0034032 +5 *396:A *330:A_N 2.57465e-06 +6 *396:A *377:CLK_N 8.96342e-05 +7 *396:A *382:RESET_B 0.000316051 +8 *396:A *413:A 0.000110117 +9 *396:A *93:10 2.81678e-06 +10 *396:A *94:36 0.000107496 +11 *396:A *115:22 7.71816e-05 +12 *396:A *143:19 0.000154145 +13 *396:A *157:82 0.000110949 +14 *396:A *158:21 7.00967e-05 +15 *398:A *343:A 4.65615e-06 +16 *398:A *360:A 1.60086e-05 +17 *398:A *382:RESET_B 0.000132094 +18 *398:A *389:CLK 9.44631e-06 +19 *398:A *389:D 6.27718e-05 +20 *398:A *410:A 6.08467e-05 +21 *398:A *118:62 1.64821e-05 +22 *92:7 *330:A_N 1.58551e-05 +23 *92:7 *343:A 0.000219318 +24 *330:B *92:7 1.95066e-05 +25 *366:SET_B *398:A 0.000169125 +26 *394:A *396:A 8.48574e-06 +27 *394:A *398:A 6.03595e-07 +28 *394:A *92:7 0.000144977 +29 *427:A *396:A 0.000107496 +30 *4:23 *398:A 1.4091e-06 +31 *10:26 *396:A 0.000489673 +32 *10:27 *396:A 0.000299374 +33 *11:13 *398:A 1.02497e-05 +34 *12:16 *398:A 0.000238532 +35 *12:28 *398:A 0.000257389 +36 *59:19 *396:A 1.58745e-05 +37 *69:15 *396:A 3.13905e-05 +*RES +1 *394:X *92:7 22.1393 +2 *92:7 *396:A 39.8536 +3 *92:7 *398:A 36.2107 +*END + +*D_NET *93 0.00567764 +*CONN +*I *397:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *399:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *395:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *397:A 0 +2 *399:A 0.000584834 +3 *395:X 0.000796206 +4 *93:10 0.00138104 +5 *399:A *326:A 0 +6 *399:A *337:A 0.000158451 +7 *399:A *116:14 0.000780255 +8 *93:10 *355:A 0.000848207 +9 *93:10 *366:CLK_N 2.71751e-05 +10 *93:10 *114:46 0.000106461 +11 *93:10 *114:48 2.75449e-05 +12 *93:10 *157:85 1.36815e-05 +13 *337:B *399:A 0.000206696 +14 *396:A *93:10 2.81678e-06 +15 *427:A *93:10 3.4788e-05 +16 *6:21 *399:A 0.000291057 +17 *8:34 *399:A 0.000174831 +18 *10:27 *93:10 1.34407e-05 +19 *59:19 *93:10 0.000230161 +*RES +1 *395:X *93:10 30.1929 +2 *93:10 *399:A 34.55 +3 *93:10 *397:A 9.3 +*END + +*D_NET *94 0.014228 +*CONN +*I *354:A I *D sky130_fd_sc_hd__inv_2 +*I *359:A I *D sky130_fd_sc_hd__inv_2 +*I *362:A I *D sky130_fd_sc_hd__inv_2 +*I *355:A I *D sky130_fd_sc_hd__inv_2 +*I *363:A I *D sky130_fd_sc_hd__inv_2 +*I *364:A I *D sky130_fd_sc_hd__inv_2 +*I *397:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *354:A 0.000728456 +2 *359:A 0.000204804 +3 *362:A 0 +4 *355:A 0.000697856 +5 *363:A 3.78784e-05 +6 *364:A 9.0177e-05 +7 *397:X 0 +8 *94:60 0.0014814 +9 *94:36 0.000983271 +10 *94:11 0.000541338 +11 *94:7 0.00105925 +12 *94:4 0.000908694 +13 *354:A *389:D 0.000648008 +14 *354:A *417:A 6.08467e-05 +15 *354:A *444:A 0.000301135 +16 *354:A *95:26 0.000250405 +17 *354:A *97:15 1.07403e-05 +18 *354:A *109:17 6.65668e-05 +19 *354:A *118:26 2.86799e-05 +20 *354:A *118:32 0 +21 *354:A *119:37 1.07717e-05 +22 *355:A *368:CLK_N 8.85947e-05 +23 *355:A *370:CLK_N 0.000208621 +24 *355:A *384:RESET_B 3.99086e-06 +25 *355:A *414:A 8.09625e-05 +26 *355:A *116:14 8.36586e-06 +27 *355:A *116:28 0.000280818 +28 *355:A *116:31 3.21735e-05 +29 *359:A *444:A 0.000169684 +30 *359:A *446:A 0.000182216 +31 *359:A *119:37 4.34146e-05 +32 *363:A *318:A 2.53145e-06 +33 *363:A *137:10 2.30636e-05 +34 *364:A *376:CLK_N 0.000161956 +35 *364:A *377:CLK_N 6.27782e-05 +36 *364:A *137:10 1.88563e-05 +37 *94:11 *376:D 0.000190001 +38 *94:11 *377:CLK_N 6.72204e-05 +39 *94:11 *158:8 0.000321452 +40 *94:11 *158:21 0.000400419 +41 *94:36 *376:D 0.000210184 +42 *94:36 *150:6 8.46261e-05 +43 *94:36 *158:21 0.000309595 +44 *94:60 *349:A 6.08467e-05 +45 *94:60 *372:D 6.27718e-05 +46 *94:60 *412:A 0.000158451 +47 *94:60 *119:37 0.000138257 +48 *94:60 *120:41 0.000346979 +49 *94:60 *149:8 2.57847e-05 +50 *197:DIODE *94:11 0.000170245 +51 *212:DIODE *355:A 6.08467e-05 +52 *370:SET_B *94:11 3.16904e-05 +53 *370:SET_B *94:36 8.70662e-06 +54 *376:RESET_B *94:60 7.78879e-05 +55 *396:A *94:36 0.000107496 +56 *6:12 *355:A 8.23367e-05 +57 *6:21 *355:A 0.000699198 +58 *9:47 *94:36 0.00015607 +59 *38:17 *355:A 8.78962e-05 +60 *38:17 *94:36 4.24965e-05 +61 *93:10 *355:A 0.000848207 +*RES +1 *397:X *94:4 9.3 +2 *94:4 *94:7 9.55357 +3 *94:7 *94:11 16.5893 +4 *94:11 *364:A 11.4964 +5 *94:11 *363:A 10.2643 +6 *94:7 *94:36 12.4018 +7 *94:36 *355:A 37.7732 +8 *94:36 *362:A 9.3 +9 *94:4 *94:60 15.3036 +10 *94:60 *359:A 18.6571 +11 *94:60 *354:A 30.3714 +*END + +*D_NET *95 0.0081941 +*CONN +*I *390:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *387:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *386:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *388:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *389:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *398:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *390:CLK 0.000295946 +2 *387:CLK 0 +3 *386:CLK 0.000233593 +4 *388:CLK 0.000236707 +5 *389:CLK 0.000164623 +6 *398:X 0.000159383 +7 *95:28 0.000302258 +8 *95:26 0.000762356 +9 *95:16 0.000708841 +10 *95:5 0.000398395 +11 *386:CLK *109:17 2.61955e-05 +12 *386:CLK *123:10 0.000346217 +13 *388:CLK *101:12 6.84074e-06 +14 *389:CLK *118:62 0.000343703 +15 *390:CLK *439:A 0.000287501 +16 *390:CLK *113:25 3.29488e-05 +17 *390:CLK *120:41 0.000258253 +18 *390:CLK *163:13 1.34231e-05 +19 *95:5 *118:62 0.000379117 +20 *95:16 *101:12 0.000116299 +21 *95:26 *417:A 3.03541e-05 +22 *95:26 *109:17 0.000134566 +23 *95:26 *141:8 0.000250254 +24 *95:28 *109:17 2.37827e-05 +25 *344:B *390:CLK 0.000107496 +26 *354:A *95:26 0.000250405 +27 *374:RESET_B *388:CLK 0.000525735 +28 *374:RESET_B *95:16 0.000129898 +29 *398:A *389:CLK 9.44631e-06 +30 *2:19 *388:CLK 0.000497389 +31 *2:19 *95:26 0.000154145 +32 *11:13 *386:CLK 0.000342958 +33 *13:40 *390:CLK 0.000140268 +34 *34:7 *390:CLK 4.8729e-05 +35 *65:21 *386:CLK 6.11872e-05 +36 *65:21 *95:26 0.000360078 +37 *65:21 *95:28 5.481e-05 +*RES +1 *398:X *95:5 12.7107 +2 *95:5 *389:CLK 12.7286 +3 *95:5 *95:16 6.44643 +4 *95:16 *388:CLK 21.2107 +5 *95:16 *95:26 14.8929 +6 *95:26 *95:28 1.35714 +7 *95:28 *386:CLK 24.6393 +8 *95:28 *387:CLK 9.3 +9 *95:26 *390:CLK 31.1861 +*END + +*D_NET *96 0.00466188 +*CONN +*I *326:A I *D sky130_fd_sc_hd__and2_2 +*I *409:gpio_logic1 O *D gpio_logic_high +*CAP +1 *326:A 0.00139966 +2 *409:gpio_logic1 0.00139966 +3 *326:A *208:DIODE 0.000761767 +4 *326:A *350:A_N 7.90842e-05 +5 *326:A *113:14 0.000248959 +6 *326:A *116:14 1.66626e-05 +7 *326:A *127:11 7.27245e-06 +8 *376:SET_B *326:A 0.000489401 +9 *399:A *326:A 0 +10 *24:9 *326:A 0.000259406 +*RES +1 *409:gpio_logic1 *326:A 44.6547 +*END + +*D_NET *97 0.00572279 +*CONN +*I *319:A I *D sky130_fd_sc_hd__and2_0 +*I *371:Q O *D sky130_fd_sc_hd__dfbbn_2 +*CAP +1 *319:A 0 +2 *371:Q 0.00159762 +3 *97:15 0.00159762 +4 *97:15 *322:B 6.08467e-05 +5 *97:15 *367:D 0.000113104 +6 *97:15 *373:D 3.23874e-05 +7 *97:15 *379:D 0.00019752 +8 *97:15 *388:D 6.5389e-05 +9 *97:15 *389:D 0.000865113 +10 *97:15 *118:26 0.00020561 +11 *97:15 *119:70 0 +12 *97:15 *138:5 3.59523e-05 +13 *97:15 *140:22 0.000108842 +14 *97:15 *141:8 9.54196e-05 +15 *97:15 *147:5 0.000206566 +16 resetn_out *97:15 0.000524556 +17 *181:DIODE *97:15 0 +18 *354:A *97:15 1.07403e-05 +19 *374:SET_B *97:15 5.50889e-06 +*RES +1 *371:Q *97:15 49.2107 +2 *97:15 *319:A 9.3 +*END + +*D_NET *98 0.00596272 +*CONN +*I *324:B1 I *D sky130_fd_sc_hd__o21ai_2 +*I *320:S I *D sky130_fd_sc_hd__mux2_4 +*I *321:A_N I *D sky130_fd_sc_hd__nand2b_2 +*I *365:Q O *D sky130_fd_sc_hd__dfbbn_2 +*CAP +1 *324:B1 5.17552e-05 +2 *320:S 8.287e-05 +3 *321:A_N 0.000482302 +4 *365:Q 0.000370141 +5 *98:10 0.00090141 +6 *98:8 0.000758135 +7 *321:A_N *435:A 0.000305578 +8 *321:A_N *99:10 0.000177868 +9 *321:A_N *118:13 9.72261e-06 +10 *321:A_N *118:26 3.13805e-06 +11 *324:B1 *163:13 3.99086e-06 +12 *98:8 *415:A 0.000135341 +13 *98:8 *102:8 0 +14 pad_gpio_outenb *321:A_N 1.66626e-05 +15 *174:DIODE *320:S 8.85947e-05 +16 *175:DIODE *321:A_N 1.63255e-05 +17 *181:DIODE *98:8 0.000101411 +18 *323:B *321:A_N 3.2766e-05 +19 *324:A2 *321:A_N 8.52116e-05 +20 *324:A2 *324:B1 0.000157107 +21 *324:A2 *98:8 3.20407e-05 +22 *324:A2 *98:10 0.000691062 +23 *325:B1 *321:A_N 3.82228e-05 +24 *365:SET_B *98:8 0.000439511 +25 *368:RESET_B *98:8 4.89021e-05 +26 *374:RESET_B *321:A_N 0.000195936 +27 *374:RESET_B *98:8 0.000145865 +28 *374:RESET_B *98:10 0.00028457 +29 *13:40 *324:B1 0.000203756 +30 *28:19 *321:A_N 3.82228e-05 +31 *67:10 *321:A_N 6.43021e-05 +*RES +1 *365:Q *98:8 22.8536 +2 *98:8 *98:10 9.53571 +3 *98:10 *321:A_N 30.6332 +4 *98:10 *320:S 15.5679 +5 *98:8 *324:B1 15.5679 +*END + +*D_NET *99 0.00480663 +*CONN +*I *319:B I *D sky130_fd_sc_hd__and2_0 +*I *322:C I *D sky130_fd_sc_hd__and3b_2 +*I *423:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *319:B 0 +2 *322:C 0.000111736 +3 *423:X 0.000908778 +4 *99:10 0.00102051 +5 *322:C *322:B 2.71504e-05 +6 *99:10 *406:A 3.03791e-05 +7 *99:10 *428:A 6.36477e-05 +8 *99:10 *118:13 0.000213366 +9 *195:DIODE *99:10 0.000257169 +10 *320:A1 *322:C 6.31036e-05 +11 *321:A_N *99:10 0.000177868 +12 *323:A_N *99:10 0.000444458 +13 *323:B *99:10 8.68003e-06 +14 *2:37 *322:C 0.000270768 +15 *15:8 *99:10 5.72035e-05 +16 *67:10 *99:10 0.00115181 +*RES +1 *423:X *99:10 39.9071 +2 *99:10 *322:C 12.1125 +3 *99:10 *319:B 9.3 +*END + +*D_NET *100 0.00324101 +*CONN +*I *429:A I *D sky130_fd_sc_hd__buf_16 +*I *372:Q O *D sky130_fd_sc_hd__dfbbn_2 +*CAP +1 *429:A 0.000777432 +2 *372:Q 0.000777432 +3 *429:A *404:A 0.000357307 +4 *429:A *430:A 0 +5 *429:A *436:A 5.0263e-05 +6 *429:A *444:A 0.000220237 +7 *429:A *117:11 5.39635e-06 +8 *429:A *119:18 4.47179e-05 +9 pad_gpio_dm[0] *429:A 0.000112367 +10 *372:RESET_B *429:A 0.000119004 +11 *374:SET_B *429:A 0.000240318 +12 *28:19 *429:A 0.000536538 +*RES +1 *372:Q *429:A 46.2071 +*END + +*D_NET *101 0.00792555 +*CONN +*I *322:B I *D sky130_fd_sc_hd__and3b_2 +*I *430:A I *D sky130_fd_sc_hd__buf_16 +*I *373:Q O *D sky130_fd_sc_hd__dfbbn_2 +*CAP +1 *322:B 0.000253173 +2 *430:A 0.000815296 +3 *373:Q 0.000943993 +4 *101:12 0.00201246 +5 *430:A *404:A 5.05869e-05 +6 *430:A *434:A 3.58349e-05 +7 *430:A *436:A 0.000732171 +8 *101:12 *367:CLK_N 1.08183e-05 +9 *101:12 *436:A 0.000296612 +10 *101:12 *133:15 0.000321032 +11 *320:A1 *322:B 0.000200794 +12 *322:C *322:B 2.71504e-05 +13 *342:B *430:A 0.000131349 +14 *344:B *101:12 0.0001729 +15 *368:RESET_B *101:12 6.49066e-06 +16 *373:RESET_B *101:12 0.000411353 +17 *374:RESET_B *101:12 5.89177e-05 +18 *374:SET_B *101:12 1.23606e-05 +19 *388:CLK *101:12 6.84074e-06 +20 *429:A *430:A 0 +21 *2:19 *430:A 6.88248e-05 +22 *2:19 *101:12 0.000663196 +23 *2:37 *322:B 1.58551e-05 +24 *4:42 *430:A 6.749e-05 +25 *67:10 *430:A 0.00037205 +26 *77:7 *322:B 6.08467e-05 +27 *95:16 *101:12 0.000116299 +28 *97:15 *322:B 6.08467e-05 +*RES +1 *373:Q *101:12 33.7107 +2 *101:12 *430:A 32.5321 +3 *101:12 *322:B 18.6036 +*END + +*D_NET *102 0.00756022 +*CONN +*I *322:A_N I *D sky130_fd_sc_hd__and3b_2 +*I *431:A I *D sky130_fd_sc_hd__buf_16 +*I *374:Q O *D sky130_fd_sc_hd__dfbbn_2 +*CAP +1 *322:A_N 0 +2 *431:A 0.000571074 +3 *374:Q 0.000862762 +4 *102:8 0.00143384 +5 *431:A *408:A 0.000387025 +6 *431:A *110:10 0.0014508 +7 *102:8 *328:A_N 4.20184e-06 +8 *102:8 *333:A 0.000418389 +9 *102:8 *334:A_N 0.000128854 +10 *102:8 *110:10 0.00110429 +11 serial_clock_out *431:A 1.53954e-05 +12 serial_clock_out *102:8 6.08403e-05 +13 *175:DIODE *431:A 0.000230141 +14 *191:DIODE *431:A 0.000133612 +15 *320:A1 *102:8 0.000144568 +16 *368:SET_B *102:8 5.50489e-05 +17 *374:RESET_B *102:8 0 +18 *2:37 *431:A 0.000341502 +19 *42:11 *102:8 0.000217878 +20 *98:8 *102:8 0 +*RES +1 *374:Q *102:8 36.05 +2 *102:8 *431:A 33.3536 +3 *102:8 *322:A_N 13.8 +*END + +*D_NET *103 0.00746328 +*CONN +*I *432:A I *D sky130_fd_sc_hd__buf_16 +*I *366:Q O *D sky130_fd_sc_hd__dfbbn_2 +*CAP +1 *432:A 0 +2 *366:Q 0.00125506 +3 *103:8 0.00125506 +4 *103:8 *331:A 0.000286066 +5 *103:8 *340:A_N 0.0002436 +6 *103:8 *379:D 0.000106543 +7 *103:8 *410:A 0.000287862 +8 *103:8 *433:A 0.00115531 +9 *103:8 *437:A 3.13307e-05 +10 *103:8 *115:51 1.2346e-05 +11 *103:8 *120:41 0.0017983 +12 *103:8 *142:8 0.00017033 +13 *103:8 *153:10 7.36117e-05 +14 *349:B *103:8 0.00051221 +15 *371:SET_B *103:8 0.0002436 +16 *4:23 *103:8 3.20412e-05 +*RES +1 *366:Q *103:8 49.7464 +2 *103:8 *432:A 13.8 +*END + +*D_NET *104 0.00368008 +*CONN +*I *433:A I *D sky130_fd_sc_hd__buf_16 +*I *370:Q O *D sky130_fd_sc_hd__dfbbn_2 +*CAP +1 *433:A 0.000738923 +2 *370:Q 0.000738923 +3 *433:A *331:A 1.21461e-06 +4 *433:A *368:CLK_N 9.80242e-07 +5 *433:A *420:A 5.26464e-05 +6 *433:A *437:A 8.4497e-05 +7 *433:A *153:10 0.000810393 +8 *11:13 *433:A 9.71867e-05 +9 *103:8 *433:A 0.00115531 +*RES +1 *370:Q *433:A 45.8321 +*END + +*D_NET *105 0.00895857 +*CONN +*I *434:A I *D sky130_fd_sc_hd__buf_16 +*I *369:Q O *D sky130_fd_sc_hd__dfbbn_2 +*CAP +1 *434:A 0.000966918 +2 *369:Q 0.000639666 +3 *105:13 0.00160658 +4 *434:A *119:18 7.28994e-06 +5 *434:A *119:37 0.000586258 +6 *434:A *122:22 0.00109693 +7 *105:13 *343:A 0.000799918 +8 *105:13 *401:A 0.00033486 +9 *105:13 *114:8 0.000161163 +10 *105:13 *119:59 5.20784e-05 +11 *105:13 *123:10 7.69594e-06 +12 pad_gpio_dm[1] *434:A 0 +13 pad_gpio_vtrip_sel *105:13 0 +14 *196:DIODE *434:A 6.27782e-05 +15 *332:B *434:A 0.00027623 +16 *367:SET_B *434:A 0.0001807 +17 *430:A *434:A 3.58349e-05 +18 *1:40 *105:13 0.000153427 +19 *3:17 *105:13 0.000191136 +20 *4:23 *434:A 6.10871e-05 +21 *4:25 *434:A 0.000794411 +22 *4:29 *434:A 0.000468241 +23 *4:42 *434:A 0.000445868 +24 *61:11 *105:13 2.95017e-05 +*RES +1 *369:Q *105:13 26.829 +2 *105:13 *434:A 44.2375 +*END + +*D_NET *106 0.00151278 +*CONN +*I *435:A I *D sky130_fd_sc_hd__buf_16 +*I *325:Y O *D sky130_fd_sc_hd__o21ai_4 +*CAP +1 *435:A 0.00023987 +2 *325:Y 0.00023987 +3 *435:A *118:13 2.41274e-06 +4 *435:A *118:26 0.000294093 +5 *321:A_N *435:A 0.000305578 +6 *323:B *435:A 0.000219602 +7 *324:A2 *435:A 8.5976e-05 +8 *342:B *435:A 0.000107496 +9 *372:SET_B *435:A 1.7883e-05 +*RES +1 *325:Y *435:A 34.5643 +*END + +*D_NET *107 0.00313739 +*CONN +*I *436:A I *D sky130_fd_sc_hd__buf_16 +*I *320:X O *D sky130_fd_sc_hd__mux2_4 +*CAP +1 *436:A 0.000599425 +2 *320:X 0.000599425 +3 *436:A *361:A 6.63616e-05 +4 *436:A *367:CLK_N 5.04829e-06 +5 *436:A *133:15 3.99086e-06 +6 *174:DIODE *436:A 0.000247443 +7 *372:SET_B *436:A 0.000156763 +8 *374:SET_B *436:A 0.000313446 +9 *429:A *436:A 5.0263e-05 +10 *430:A *436:A 0.000732171 +11 *25:13 *436:A 6.64392e-05 +12 *101:12 *436:A 0.000296612 +*RES +1 *320:X *436:A 44.7071 +*END + +*D_NET *108 0.000907244 +*CONN +*I *437:A I *D sky130_fd_sc_hd__buf_16 +*I *367:Q O *D sky130_fd_sc_hd__dfbbn_2 +*CAP +1 *437:A 0.000166725 +2 *367:Q 0.000166725 +3 *437:A *331:A 0.000135866 +4 *437:A *361:A 1.8254e-05 +5 *174:DIODE *437:A 3.8158e-06 +6 *433:A *437:A 8.4497e-05 +7 *11:13 *437:A 0.000300031 +8 *103:8 *437:A 3.13307e-05 +*RES +1 *367:Q *437:A 32.1357 +*END + +*D_NET *109 0.00724717 +*CONN +*I *438:A I *D sky130_fd_sc_hd__buf_16 +*I *368:Q O *D sky130_fd_sc_hd__dfbbn_2 +*CAP +1 *438:A 0.000809632 +2 *368:Q 0.00214779 +3 *109:17 0.00295742 +4 *438:A *330:A_N 1.65872e-05 +5 *438:A *391:CLK 0.000108053 +6 *438:A *157:31 0.000111479 +7 *109:17 *367:D 2.41826e-06 +8 *109:17 *368:D 4.0315e-05 +9 *330:B *438:A 0.000124083 +10 *354:A *109:17 6.65668e-05 +11 *366:SET_B *438:A 0.000202726 +12 *368:SET_B *109:17 0.000338082 +13 *386:CLK *109:17 2.61955e-05 +14 *394:A *438:A 1.25417e-05 +15 *65:21 *438:A 6.25248e-05 +16 *65:21 *109:17 6.24048e-05 +17 *95:26 *109:17 0.000134566 +18 *95:28 *109:17 2.37827e-05 +*RES +1 *368:Q *109:17 41.9607 +2 *109:17 *438:A 28.2821 +*END + +*D_NET *110 0.00751929 +*CONN +*I *324:A1 I *D sky130_fd_sc_hd__o21ai_2 +*I *424:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *324:A1 0 +2 *424:X 4.12775e-05 +3 *110:10 0.00115864 +4 *110:7 0.00119992 +5 mgmt_gpio_in *110:7 6.46815e-05 +6 pad_gpio_dm[0] *110:10 0.000383058 +7 *191:DIODE *110:10 0.000110849 +8 *217:DIODE *110:10 0.000143309 +9 *424:A *110:10 0.000239666 +10 *431:A *110:10 0.0014508 +11 *2:51 *110:10 0.000203552 +12 *13:50 *110:10 5.9435e-05 +13 *16:12 *110:10 8.287e-05 +14 *42:11 *110:10 0.00127694 +15 *102:8 *110:10 0.00110429 +*RES +1 *424:X *110:7 14.3357 +2 *110:7 *110:10 42.875 +3 *110:10 *324:A1 9.3 +*END + +*D_NET *111 0.00703022 +*CONN +*I *439:A I *D sky130_fd_sc_hd__buf_16 +*I *393:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *439:A 0.00131481 +2 *393:X 0.000251839 +3 *111:13 0.00156665 +4 *439:A *327:A 2.1203e-06 +5 *439:A *352:A_N 0.000164842 +6 *439:A *387:D 0.000178654 +7 *439:A *418:A 0.000157296 +8 *439:A *113:14 0.000423365 +9 *439:A *113:25 0.000442869 +10 *439:A *115:51 0.000185159 +11 *439:A *120:30 2.77676e-05 +12 *439:A *120:41 0.000465739 +13 *439:A *164:15 7.38526e-06 +14 *111:13 *445:A 0.000389915 +15 *111:13 *446:A 0.000255186 +16 *111:13 *123:10 0.000209093 +17 *111:13 *153:10 8.32204e-06 +18 *349:B *439:A 1.60111e-05 +19 *367:RESET_B *439:A 0.000479744 +20 *376:RESET_B *111:13 2.57986e-05 +21 *377:RESET_B *439:A 0.000143161 +22 *390:CLK *439:A 0.000287501 +23 *7:47 *439:A 1.66626e-05 +24 *39:7 *111:13 1.03403e-05 +*RES +1 *393:X *111:13 29.1929 +2 *111:13 *439:A 45.8 +*END + +*D_NET *112 0.00294527 +*CONN +*I *440:A I *D sky130_fd_sc_hd__buf_16 +*I *391:Q O *D sky130_fd_sc_hd__dfrtp_2 +*CAP +1 *440:A 0.00120743 +2 *391:Q 0.00120743 +3 *440:A *335:A 0.00010515 +4 *440:A *366:D 4.23858e-05 +5 *440:A *381:D 0.000223774 +6 *440:A *120:12 1.86879e-05 +7 *440:A *122:54 7.07939e-05 +8 *440:A *146:10 1.07992e-05 +9 *366:SET_B *440:A 8.03393e-06 +10 *11:13 *440:A 1.48943e-05 +11 *57:17 *440:A 3.58974e-05 +*RES +1 *391:Q *440:A 42.0286 +*END + +*D_NET *113 0.0118916 +*CONN +*I *441:A I *D sky130_fd_sc_hd__buf_16 +*I *326:X O *D sky130_fd_sc_hd__and2_2 +*CAP +1 *441:A 0 +2 *326:X 0.00126311 +3 *113:25 0.00173448 +4 *113:14 0.00299759 +5 *113:14 *326:B 4.2372e-05 +6 *113:25 *327:A 6.27782e-05 +7 *113:25 *360:A 0.000397914 +8 *113:25 *418:A 0.000157296 +9 *113:25 *119:37 3.59786e-05 +10 *113:25 *131:8 0.000630764 +11 *113:25 *164:15 0.000240745 +12 serial_data_out *113:25 0.000162695 +13 *326:A *113:14 0.000248959 +14 *327:B *113:25 6.08467e-05 +15 *349:B *113:14 0.000111788 +16 *365:RESET_B *113:25 0.000159032 +17 *367:RESET_B *113:25 0.000479744 +18 *377:SET_B *113:14 2.40628e-05 +19 *377:SET_B *113:25 0.000130582 +20 *390:CLK *113:25 3.29488e-05 +21 *439:A *113:14 0.000423365 +22 *439:A *113:25 0.000442869 +23 *11:23 *113:14 0.00031766 +24 *11:32 *113:14 0.000312443 +25 *24:9 *113:14 1.07885e-05 +26 *38:17 *113:25 0.00134712 +27 *57:17 *113:25 6.36477e-05 +*RES +1 *326:X *113:14 34.7732 +2 *113:14 *113:25 46.5804 +3 *113:25 *441:A 9.3 +*END + +*D_NET *114 0.0125124 +*CONN +*I *348:A_N I *D sky130_fd_sc_hd__nand2b_2 +*I *385:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *337:A I *D sky130_fd_sc_hd__or2_0 +*I *338:A_N I *D sky130_fd_sc_hd__nand2b_2 +*I *350:A_N I *D sky130_fd_sc_hd__nand2b_2 +*I *384:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *347:A I *D sky130_fd_sc_hd__or2_0 +*I *401:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *348:A_N 2.66954e-05 +2 *385:RESET_B 0 +3 *337:A 9.59537e-05 +4 *338:A_N 0 +5 *350:A_N 0.000262145 +6 *384:RESET_B 0.000457548 +7 *347:A 0.000548122 +8 *401:X 0.00108617 +9 *114:48 0.000344089 +10 *114:46 0.00022897 +11 *114:17 0.00138142 +12 *114:15 0.000927185 +13 *114:10 0.000595157 +14 *114:8 0.00120766 +15 *347:A *384:CLK 3.73686e-05 +16 *347:A *157:17 3.13805e-06 +17 *348:A_N *377:CLK_N 6.3657e-05 +18 *350:A_N *318:A 0.00033831 +19 *350:A_N *366:CLK_N 5.46103e-05 +20 *384:RESET_B *375:D 7.84129e-05 +21 *384:RESET_B *116:80 7.84129e-05 +22 *384:RESET_B *143:19 0.000139684 +23 *384:RESET_B *157:20 0.000139684 +24 *114:8 *386:RESET_B 0 +25 *114:8 *401:A 4.83193e-05 +26 *114:8 *148:9 0.000113644 +27 *114:8 *163:13 0.000690375 +28 *114:46 *157:85 0.000114495 +29 *114:48 *318:A 5.79499e-05 +30 *114:48 *366:CLK_N 4.19783e-05 +31 *114:48 *157:85 0.000131747 +32 pad_gpio_slow_sel *114:8 0 +33 *326:A *350:A_N 7.90842e-05 +34 *355:A *384:RESET_B 3.99086e-06 +35 *369:SET_B *114:8 0 +36 *370:SET_B *114:8 0 +37 *375:SET_B *114:8 0.000176048 +38 *376:SET_B *350:A_N 1.41976e-05 +39 *399:A *337:A 0.000158451 +40 *427:A *114:15 2.11837e-06 +41 *3:17 *114:8 3.99086e-06 +42 *5:26 *114:8 0 +43 *6:21 *384:RESET_B 1.82679e-05 +44 *8:29 *384:RESET_B 0.000280426 +45 *9:24 *384:RESET_B 1.66771e-05 +46 *12:16 *114:8 1.55329e-05 +47 *13:11 *114:8 6.45209e-05 +48 *36:11 *114:15 1.4091e-06 +49 *36:41 *350:A_N 0.000161152 +50 *36:41 *114:10 2.1667e-05 +51 *36:41 *114:15 0.000107516 +52 *51:14 *114:8 1.30473e-05 +53 *57:17 *114:8 0.00010376 +54 *59:19 *114:8 0.000134394 +55 *61:11 *114:8 0 +56 *69:15 *347:A 0.000699716 +57 *69:15 *114:8 1.4426e-05 +58 *69:15 *114:10 4.97926e-05 +59 *69:15 *114:15 0.000250852 +60 *69:15 *114:17 0.00053324 +61 *93:10 *114:46 0.000106461 +62 *93:10 *114:48 2.75449e-05 +63 *105:13 *114:8 0.000161163 +*RES +1 *401:X *114:8 34.9786 +2 *114:8 *114:10 1.94643 +3 *114:10 *114:15 10.8929 +4 *114:15 *114:17 4.64286 +5 *114:17 *347:A 16.8536 +6 *114:17 *384:RESET_B 27.0804 +7 *114:15 *114:46 6.14286 +8 *114:46 *114:48 2.55357 +9 *114:48 *350:A_N 20.675 +10 *114:48 *338:A_N 13.8 +11 *114:46 *337:A 15.5679 +12 *114:10 *385:RESET_B 4.5 +13 *114:8 *348:A_N 14.3357 +*END + +*D_NET *115 0.0115278 +*CONN +*I *382:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *401:A I *D sky130_fd_sc_hd__buf_2 +*I *349:A I *D sky130_fd_sc_hd__or2_0 +*I *352:A_N I *D sky130_fd_sc_hd__nand2b_2 +*I *351:A I *D sky130_fd_sc_hd__or2_0 +*I *386:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *383:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *402:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *382:RESET_B 0.000248285 +2 *401:A 0.000509297 +3 *349:A 1.47608e-05 +4 *352:A_N 0.000105938 +5 *351:A 0 +6 *386:RESET_B 5.69849e-05 +7 *383:RESET_B 0.000532184 +8 *402:X 0 +9 *115:51 0.000237372 +10 *115:42 0.000455189 +11 *115:36 0.000991791 +12 *115:22 0.000920417 +13 *115:16 0.00108048 +14 *115:4 0.000644928 +15 *352:A_N *446:A 0.000109421 +16 *382:RESET_B *360:A 0.000462545 +17 *382:RESET_B *158:21 8.36586e-06 +18 *383:RESET_B *383:CLK 1.56911e-05 +19 *383:RESET_B *402:A 7.92757e-06 +20 *383:RESET_B *127:11 3.51893e-05 +21 *386:RESET_B *420:A 4.48613e-05 +22 *401:A *123:10 0.000731166 +23 *401:A *163:13 1.5252e-05 +24 *115:22 *122:22 7.6098e-05 +25 *115:22 *158:21 7.67332e-05 +26 *115:36 *122:22 6.65414e-05 +27 *115:42 *386:D 2.08804e-05 +28 *115:42 *123:10 0.000450854 +29 *115:51 *120:41 0.000139684 +30 pad_gpio_slow_sel *115:22 3.17436e-05 +31 pad_gpio_slow_sel *115:36 5.87854e-05 +32 pad_gpio_vtrip_sel *115:22 7.46988e-05 +33 *174:DIODE *383:RESET_B 1.87068e-05 +34 *174:DIODE *386:RESET_B 0.000105026 +35 *344:B *401:A 8.67224e-05 +36 *349:B *352:A_N 0.000171677 +37 *369:SET_B *401:A 0.000470378 +38 *369:SET_B *115:42 0.000117721 +39 *376:RESET_B *349:A 6.08467e-05 +40 *396:A *382:RESET_B 0.000316051 +41 *396:A *115:22 7.71816e-05 +42 *398:A *382:RESET_B 0.000132094 +43 *439:A *352:A_N 0.000164842 +44 *439:A *115:51 0.000185159 +45 *1:40 *115:42 0.000291851 +46 *3:17 *401:A 5.34459e-05 +47 *8:29 *383:RESET_B 3.13665e-05 +48 *10:26 *115:22 2.58954e-06 +49 *11:16 *115:42 6.08467e-05 +50 *11:23 *115:42 3.27857e-05 +51 *11:23 *115:51 0.000107496 +52 *36:11 *115:42 0.000110949 +53 *36:11 *115:51 1.58551e-05 +54 *59:19 *383:RESET_B 6.08467e-05 +55 *59:19 *115:16 0.000139947 +56 *61:11 *386:RESET_B 3.29488e-05 +57 *94:60 *349:A 6.08467e-05 +58 *103:8 *115:51 1.2346e-05 +59 *105:13 *401:A 0.00033486 +60 *114:8 *386:RESET_B 0 +61 *114:8 *401:A 4.83193e-05 +*RES +1 *402:X *115:4 9.3 +2 *115:4 *383:RESET_B 15.3929 +3 *115:4 *115:16 5.85714 +4 *115:16 *115:22 5.87903 +5 *115:22 *386:RESET_B 9.37429 +6 *115:22 *115:36 3.74527 +7 *115:36 *115:42 13.8661 +8 *115:42 *351:A 9.3 +9 *115:42 *115:51 8 +10 *115:51 *352:A_N 16.9964 +11 *115:51 *349:A 14.3357 +12 *115:36 *401:A 27.367 +13 *115:16 *382:RESET_B 11.3036 +*END + +*D_NET *116 0.0197078 +*CONN +*I *330:A_N I *D sky130_fd_sc_hd__nand2b_2 +*I *336:A_N I *D sky130_fd_sc_hd__nand2b_2 +*I *340:A_N I *D sky130_fd_sc_hd__nand2b_2 +*I *335:A I *D sky130_fd_sc_hd__or2_0 +*I *379:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *381:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *329:A I *D sky130_fd_sc_hd__or2_0 +*I *403:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *330:A_N 0.000353905 +2 *336:A_N 0.00103471 +3 *340:A_N 0.000906354 +4 *335:A 0.000147192 +5 *379:RESET_B 0.000665956 +6 *381:RESET_B 0 +7 *329:A 9.07019e-05 +8 *403:X 0.00159095 +9 *116:80 0.00210696 +10 *116:54 0.0014533 +11 *116:31 0.000661671 +12 *116:28 0.00137905 +13 *116:14 0.00194688 +14 *330:A_N *366:CLK_N 9.9653e-05 +15 *335:A *366:CLK_N 1.70851e-05 +16 *335:A *146:10 0.000145174 +17 *336:A_N *375:D 6.08467e-05 +18 *336:A_N *413:A 2.57847e-05 +19 *340:A_N *366:D 1.41307e-05 +20 *340:A_N *142:8 6.08467e-05 +21 *379:RESET_B *379:D 9.97764e-05 +22 *379:RESET_B *122:54 0.000193478 +23 *116:14 *384:CLK 2.98609e-05 +24 *116:14 *403:A 5.04829e-06 +25 *116:14 *137:10 4.7457e-05 +26 *116:28 *384:CLK 3.587e-06 +27 *116:28 *384:D 5.05228e-05 +28 *116:31 *414:A 0.000525633 +29 *116:31 *122:54 0.000138256 +30 *116:54 *366:CLK_N 0.000264473 +31 *116:54 *366:D 9.94284e-06 +32 *116:54 *379:D 0.000333643 +33 *116:54 *380:D 3.96379e-05 +34 *116:54 *381:D 1.1718e-05 +35 *116:54 *391:CLK 0.000257682 +36 *116:54 *163:13 6.3657e-05 +37 *116:80 *375:D 0.000158892 +38 *116:80 *384:D 3.21238e-05 +39 *185:DIODE *336:A_N 1.00766e-05 +40 *211:DIODE *379:RESET_B 0.00017527 +41 *211:DIODE *116:54 4.78069e-06 +42 *326:A *116:14 1.66626e-05 +43 *336:B *336:A_N 0.000107496 +44 *340:B *340:A_N 0.00032691 +45 *355:A *116:14 8.36586e-06 +46 *355:A *116:28 0.000280818 +47 *355:A *116:31 3.21735e-05 +48 *366:RESET_B *340:A_N 0.000134167 +49 *366:SET_B *330:A_N 0.000107496 +50 *366:SET_B *335:A 3.78678e-05 +51 *366:SET_B *340:A_N 1.06708e-05 +52 *369:SET_B *336:A_N 0.000302705 +53 *370:SET_B *336:A_N 4.86175e-05 +54 *371:SET_B *340:A_N 0.000184083 +55 *384:RESET_B *116:80 7.84129e-05 +56 *394:A *330:A_N 5.04829e-06 +57 *396:A *330:A_N 2.57465e-06 +58 *399:A *116:14 0.000780255 +59 *438:A *330:A_N 1.65872e-05 +60 *440:A *335:A 0.00010515 +61 *3:17 *116:31 3.14126e-05 +62 *3:23 *116:31 0.000476933 +63 *5:26 *336:A_N 3.64636e-05 +64 *6:7 *116:31 0 +65 *6:12 *329:A 6.46815e-05 +66 *6:12 *116:28 0.000121172 +67 *6:21 *329:A 4.76794e-05 +68 *6:21 *116:14 3.66361e-05 +69 *8:29 *116:14 1.91625e-05 +70 *8:34 *116:14 1.19971e-05 +71 *9:24 *329:A 1.88563e-05 +72 *10:26 *330:A_N 0.000419057 +73 *10:26 *335:A 0 +74 *10:26 *336:A_N 7.09558e-05 +75 *59:19 *330:A_N 0.000145355 +76 *59:19 *336:A_N 7.40736e-05 +77 *69:15 *336:A_N 7.11426e-05 +78 *92:7 *330:A_N 1.58551e-05 +79 *103:8 *340:A_N 0.0002436 +*RES +1 *403:X *116:14 48.5321 +2 *116:14 *329:A 15.5857 +3 *116:14 *116:28 10.0357 +4 *116:28 *116:31 15.8571 +5 *116:31 *381:RESET_B 4.5 +6 *116:31 *379:RESET_B 11.6696 +7 *379:RESET_B *116:54 17.0625 +8 *116:54 *335:A 21.4607 +9 *116:54 *340:A_N 25.2107 +10 *116:28 *116:80 12.4286 +11 *116:80 *336:A_N 38.7107 +12 *116:80 *330:A_N 21.6571 +*END + +*D_NET *117 0.0230848 +*CONN +*I *328:A_N I *D sky130_fd_sc_hd__nand2b_2 +*I *380:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *378:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *339:A I *D sky130_fd_sc_hd__or2_0 +*I *403:A I *D sky130_fd_sc_hd__buf_2 +*I *404:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *328:A_N 0.000913882 +2 *380:RESET_B 0.0010736 +3 *378:RESET_B 4.20692e-05 +4 *339:A 0.000317332 +5 *403:A 0.000614517 +6 *404:X 0.00135313 +7 *117:52 0.0011937 +8 *117:51 0.00405038 +9 *117:26 0.00414467 +10 *117:11 0.00273652 +11 *328:A_N *391:D 0.000245172 +12 *378:RESET_B *164:15 2.22931e-05 +13 *380:RESET_B *391:D 0.00119017 +14 *403:A *137:10 0.000110297 +15 *117:11 *406:A 0 +16 *117:11 *444:A 6.47397e-05 +17 *117:11 *132:21 0.00016386 +18 *117:52 *391:D 2.84704e-05 +19 one *117:11 0 +20 pad_gpio_ana_pol *117:11 0.000155206 +21 pad_gpio_ana_sel *339:A 1.05084e-06 +22 pad_gpio_ana_sel *117:11 0 +23 pad_gpio_dm[0] *117:11 0.000161956 +24 serial_data_out *328:A_N 0.000284572 +25 serial_data_out *378:RESET_B 1.66771e-05 +26 serial_data_out *117:51 9.10173e-05 +27 serial_data_out *117:52 2.77394e-05 +28 *183:DIODE *380:RESET_B 0 +29 *183:DIODE *117:51 6.03237e-05 +30 *212:DIODE *403:A 3.98812e-06 +31 *328:B *328:A_N 0.0014907 +32 *371:SET_B *117:51 0.000120993 +33 *376:SET_B *339:A 0 +34 *426:A *403:A 4.94e-06 +35 *429:A *117:11 5.39635e-06 +36 *5:19 *380:RESET_B 3.58267e-05 +37 *5:26 *339:A 3.8713e-05 +38 *7:24 *117:51 0.000275791 +39 *8:29 *380:RESET_B 1.89382e-05 +40 *9:15 *380:RESET_B 5.60804e-05 +41 *13:11 *117:51 0 +42 *13:40 *117:51 0.000293893 +43 *36:41 *403:A 1.66771e-05 +44 *38:17 *328:A_N 0.0015956 +45 *61:11 *117:51 5.46759e-05 +46 *102:8 *328:A_N 4.20184e-06 +47 *116:14 *403:A 5.04829e-06 +*RES +1 *404:X *117:11 22.0564 +2 *117:11 *403:A 19.309 +3 *117:11 *117:26 4.7354 +4 *117:26 *339:A 21.6437 +5 *117:26 *117:51 20.4281 +6 *117:51 *117:52 0.191133 +7 *117:52 *378:RESET_B 8.66 +8 *117:52 *380:RESET_B 10.7088 +9 *117:51 *328:A_N 20.7047 +*END + +*D_NET *118 0.0151867 +*CONN +*I *388:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *387:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *333:A I *D sky130_fd_sc_hd__or2_0 +*I *334:A_N I *D sky130_fd_sc_hd__nand2b_2 +*I *332:A_N I *D sky130_fd_sc_hd__nand2b_2 +*I *331:A I *D sky130_fd_sc_hd__or2_0 +*I *342:A_N I *D sky130_fd_sc_hd__nand2b_2 +*I *405:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *388:RESET_B 4.03257e-05 +2 *387:RESET_B 4.21461e-05 +3 *333:A 0.000213087 +4 *334:A_N 0.000137193 +5 *332:A_N 2.12792e-05 +6 *331:A 0.000400168 +7 *342:A_N 0 +8 *405:X 0.00103232 +9 *118:62 0.00137526 +10 *118:55 0.00114906 +11 *118:35 0.000511281 +12 *118:32 0.000713715 +13 *118:26 0.00096659 +14 *118:13 0.00141663 +15 *331:A *367:D 2.23108e-05 +16 *331:A *368:CLK_N 0.000389322 +17 *331:A *387:D 2.61955e-05 +18 *118:13 *392:A 2.58616e-05 +19 *118:13 *428:A 6.27718e-05 +20 *118:13 *120:90 6.2191e-05 +21 *118:26 *388:D 2.86829e-05 +22 *118:26 *444:A 3.56119e-05 +23 *118:35 *367:D 2.47713e-05 +24 *118:35 *368:CLK_N 0.000249368 +25 *118:62 *389:D 9.43222e-06 +26 serial_clock_out *333:A 8.26574e-05 +27 serial_clock_out *334:A_N 2.012e-05 +28 *185:DIODE *388:RESET_B 4.59816e-06 +29 *185:DIODE *118:32 1.43136e-05 +30 *195:DIODE *118:13 0.000266366 +31 *321:A_N *118:13 9.72261e-06 +32 *321:A_N *118:26 3.13805e-06 +33 *323:A_N *118:13 0.000462844 +34 *323:B *118:13 0.000715623 +35 *325:A2 *333:A 5.80533e-06 +36 *334:B *334:A_N 3.82228e-05 +37 *354:A *118:26 2.86799e-05 +38 *354:A *118:32 0 +39 *367:RESET_B *331:A 1.37669e-05 +40 *367:SET_B *118:35 1.03403e-05 +41 *368:SET_B *334:A_N 7.53431e-05 +42 *372:SET_B *118:26 3.99086e-06 +43 *374:SET_B *118:26 0.000151097 +44 *389:CLK *118:62 0.000343703 +45 *398:A *118:62 1.64821e-05 +46 *433:A *331:A 1.21461e-06 +47 *435:A *118:13 2.41274e-06 +48 *435:A *118:26 0.000294093 +49 *437:A *331:A 0.000135866 +50 *4:23 *331:A 8.51781e-05 +51 *4:23 *118:32 0.00033329 +52 *4:23 *118:55 0.000104943 +53 *4:23 *118:62 0.000153119 +54 *4:42 *118:13 0.000229491 +55 *11:13 *331:A 0.000201933 +56 *12:28 *331:A 0.00025175 +57 *13:50 *118:13 5.04423e-06 +58 *28:19 *118:13 0 +59 *36:11 *118:26 0.000534606 +60 *95:5 *118:62 0.000379117 +61 *97:15 *118:26 0.00020561 +62 *99:10 *118:13 0.000213366 +63 *102:8 *333:A 0.000418389 +64 *102:8 *334:A_N 0.000128854 +65 *103:8 *331:A 0.000286066 +*RES +1 *405:X *118:13 40.4964 +2 *118:13 *342:A_N 9.3 +3 *118:13 *118:26 19.3571 +4 *118:26 *118:32 12.7143 +5 *118:32 *118:35 6.67857 +6 *118:35 *331:A 28.2643 +7 *118:35 *332:A_N 9.72857 +8 *118:32 *118:55 1.64286 +9 *118:55 *118:62 21.6429 +10 *118:62 *334:A_N 16.6929 +11 *118:62 *333:A 19.3893 +12 *118:55 *387:RESET_B 5.03571 +13 *118:26 *388:RESET_B 0.901786 +*END + +*D_NET *119 0.0223415 +*CONN +*I *345:A I *D sky130_fd_sc_hd__or2_0 +*I *344:A_N I *D sky130_fd_sc_hd__nand2b_2 +*I *327:A I *D sky130_fd_sc_hd__or2_0 +*I *390:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *389:RESET_B I *D sky130_fd_sc_hd__dfrtp_4 +*I *343:A I *D sky130_fd_sc_hd__or2_0 +*I *346:A_N I *D sky130_fd_sc_hd__nand2b_2 +*I *406:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *345:A 0.000129049 +2 *344:A_N 0.000851672 +3 *327:A 0.000357575 +4 *390:RESET_B 3.23688e-05 +5 *389:RESET_B 0 +6 *343:A 0.00165391 +7 *346:A_N 0 +8 *406:X 0.000109869 +9 *119:79 0.00172244 +10 *119:70 0.000710111 +11 *119:59 0.000310192 +12 *119:37 0.00281351 +13 *119:18 0.00219216 +14 *119:5 0.00141712 +15 *327:A *120:30 6.4986e-05 +16 *327:A *131:8 6.08467e-05 +17 *327:A *146:10 0.000457582 +18 *343:A *360:A 4.46199e-05 +19 *343:A *382:CLK 5.20546e-06 +20 *343:A *143:19 0.000112936 +21 *343:A *157:31 0.000109578 +22 *344:A_N *146:10 0.00080275 +23 *344:A_N *164:15 8.37281e-05 +24 *345:A *404:A 1.12613e-05 +25 *390:RESET_B *390:D 1.83409e-05 +26 *119:18 *404:A 4.79731e-05 +27 *119:18 *444:A 0 +28 *119:18 *132:21 7.72414e-06 +29 *119:37 *372:D 2.97532e-05 +30 *119:37 *122:22 0.000520459 +31 *119:70 *163:13 3.82228e-05 +32 *119:79 *390:D 5.7657e-05 +33 *119:79 *391:D 1.5714e-05 +34 *119:79 *131:8 0.000111523 +35 pad_gpio_ana_pol *119:18 0 +36 pad_gpio_dm[0] *345:A 0.000208587 +37 pad_gpio_dm[0] *119:18 0.000105464 +38 pad_gpio_vtrip_sel *343:A 0 +39 resetn_out *119:79 0 +40 user_gpio_in *344:A_N 0.000111884 +41 *181:DIODE *390:RESET_B 4.99006e-05 +42 *181:DIODE *119:59 1.83409e-05 +43 *181:DIODE *119:70 0.000103854 +44 *181:DIODE *119:79 0.000367085 +45 *195:DIODE *345:A 6.08467e-05 +46 *330:B *343:A 0.000237366 +47 *332:B *119:37 1.37385e-05 +48 *332:B *119:59 5.38612e-06 +49 *343:B *343:A 0.000304838 +50 *344:B *119:70 1.82679e-05 +51 *354:A *119:37 1.07717e-05 +52 *359:A *119:37 4.34146e-05 +53 *365:RESET_B *119:79 0.000123221 +54 *366:SET_B *343:A 1.09113e-05 +55 *373:SET_B *344:A_N 5.85486e-05 +56 *377:SET_B *119:37 0.000284295 +57 *398:A *343:A 4.65615e-06 +58 *429:A *119:18 4.47179e-05 +59 *434:A *119:18 7.28994e-06 +60 *434:A *119:37 0.000586258 +61 *439:A *327:A 2.1203e-06 +62 *3:17 *343:A 0.000119766 +63 *4:25 *119:18 8.36586e-06 +64 *4:29 *119:18 0.000464749 +65 *4:42 *345:A 6.08467e-05 +66 *5:26 *343:A 4.10791e-05 +67 *13:40 *119:70 3.88213e-05 +68 *34:7 *343:A 0.00165012 +69 *34:7 *119:59 0.000251769 +70 *38:17 *119:37 0.000427789 +71 *67:10 *345:A 0.000226424 +72 *67:10 *119:5 6.08467e-05 +73 *92:7 *343:A 0.000219318 +74 *94:60 *119:37 0.000138257 +75 *97:15 *119:70 0 +76 *105:13 *343:A 0.000799918 +77 *105:13 *119:59 5.20784e-05 +78 *113:25 *327:A 6.27782e-05 +79 *113:25 *119:37 3.59786e-05 +*RES +1 *406:X *119:5 10.6571 +2 *119:5 *119:18 22.1607 +3 *119:18 *346:A_N 13.8 +4 *119:18 *119:37 35.2939 +5 *119:37 *343:A 43.6964 +6 *119:37 *119:59 4.6292 +7 *119:59 *389:RESET_B 4.5 +8 *119:59 *119:70 12.1964 +9 *119:70 *390:RESET_B 5.23214 +10 *119:70 *119:79 14.9464 +11 *119:79 *327:A 23.6929 +12 *119:79 *344:A_N 20.7286 +13 *119:5 *345:A 13.8893 +*END + +*D_NET *120 0.0297946 +*CONN +*I *393:A I *D sky130_fd_sc_hd__buf_2 +*I *210:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *406:A I *D sky130_fd_sc_hd__buf_2 +*I *341:A I *D sky130_fd_sc_hd__or2_0 +*I *190:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *405:A I *D sky130_fd_sc_hd__buf_2 +*I *214:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *213:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *209:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *391:RESET_B I *D sky130_fd_sc_hd__dfrtp_2 +*I *407:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *393:A 0 +2 *210:DIODE 0 +3 *406:A 0.000339606 +4 *341:A 0.000995057 +5 *190:DIODE 0 +6 *405:A 0 +7 *214:DIODE 0 +8 *213:DIODE 0.000137668 +9 *209:DIODE 0.00090567 +10 *391:RESET_B 0 +11 *407:X 0.00053446 +12 *120:96 0.00102153 +13 *120:90 0.000327712 +14 *120:79 0.000914265 +15 *120:70 0.00119616 +16 *120:52 0.0011721 +17 *120:48 0.00124048 +18 *120:46 0.00045862 +19 *120:41 0.0015861 +20 *120:30 0.00304187 +21 *120:12 0.00225986 +22 *209:DIODE *123:7 0.000453386 +23 *213:DIODE *448:A 7.58194e-05 +24 *213:DIODE *123:7 7.19281e-05 +25 *120:12 *122:54 0.000358403 +26 *120:30 *131:8 0.000244885 +27 *120:30 *142:8 1.77894e-05 +28 *120:30 *146:10 0.00143519 +29 *120:41 *387:D 0.000182181 +30 *120:41 *123:10 0.000422086 +31 *120:41 *142:8 7.44434e-06 +32 *120:48 *123:10 9.91419e-05 +33 *120:52 *123:10 2.98969e-05 +34 *120:90 *392:A 9.45446e-05 +35 *120:90 *428:A 5.50788e-05 +36 one *120:48 7.13655e-06 +37 one *120:70 0.000124349 +38 user_gpio_in *120:30 1.53815e-05 +39 zero *209:DIODE 0.000212426 +40 *175:DIODE *120:90 0 +41 *178:DIODE *209:DIODE 0.000171904 +42 *184:DIODE *120:46 0.00052167 +43 *184:DIODE *120:48 0.000259151 +44 *195:DIODE *120:90 2.2766e-06 +45 *215:DIODE *120:79 1.16032e-05 +46 *216:DIODE *120:90 0.000398946 +47 *327:A *120:30 6.4986e-05 +48 *377:RESET_B *120:41 0.000146652 +49 *390:CLK *120:41 0.000258253 +50 *439:A *120:30 2.77676e-05 +51 *439:A *120:41 0.000465739 +52 *440:A *120:12 1.86879e-05 +53 *1:40 *120:41 0.000425444 +54 *1:40 *120:46 0.00049606 +55 *1:40 *120:48 5.03545e-06 +56 *2:37 *341:A 3.82228e-05 +57 *2:51 *341:A 4.47179e-05 +58 *3:44 *120:70 0.0014559 +59 *3:44 *120:79 0.000171783 +60 *4:23 *120:30 6.33443e-05 +61 *5:26 *120:46 8.33721e-06 +62 *7:47 *120:41 1.66626e-05 +63 *8:29 *120:12 2.58497e-05 +64 *8:29 *120:30 3.73211e-05 +65 *13:50 *341:A 0.00136043 +66 *13:50 *120:90 0.000306769 +67 *13:50 *120:96 1.88422e-05 +68 *15:8 *120:79 2.11433e-05 +69 *23:9 *120:90 0.000403234 +70 *39:7 *120:41 6.08467e-05 +71 *39:7 *120:46 6.08467e-05 +72 *61:11 *120:46 1.03594e-05 +73 *94:60 *120:41 0.000346979 +74 *99:10 *406:A 3.03791e-05 +75 *103:8 *120:41 0.0017983 +76 *115:51 *120:41 0.000139684 +77 *117:11 *406:A 0 +78 *118:13 *120:90 6.2191e-05 +*RES +1 *407:X *120:12 21.9786 +2 *120:12 *391:RESET_B 4.5 +3 *120:12 *120:30 32.2054 +4 *120:30 *120:41 49.3125 +5 *120:41 *120:46 13.0536 +6 *120:46 *120:48 4.98214 +7 *120:48 *120:52 6.75 +8 *120:52 *209:DIODE 22.7107 +9 *120:52 *213:DIODE 12.3 +10 *120:48 *120:70 16.5357 +11 *120:70 *214:DIODE 9.3 +12 *120:70 *120:79 8.71429 +13 *120:79 *120:90 22.1786 +14 *120:90 *405:A 9.3 +15 *120:90 *120:96 0.535714 +16 *120:96 *190:DIODE 9.3 +17 *120:96 *341:A 39.7821 +18 *120:79 *406:A 18.0321 +19 *120:46 *210:DIODE 13.8 +20 *120:41 *393:A 9.3 +*END + +*D_NET *121 0.00301022 +*CONN +*I *326:B I *D sky130_fd_sc_hd__and2_2 +*I *392:A I *D sky130_fd_sc_hd__buf_2 +*I *425:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *326:B 0.000345099 +2 *392:A 0.000327926 +3 *425:X 0.000114467 +4 *121:7 0.000787492 +5 *326:B *122:11 6.58523e-05 +6 pad_gpio_dm[0] *121:7 0.000154145 +7 *175:DIODE *326:B 0.000314471 +8 *175:DIODE *392:A 0.000382134 +9 *323:A_N *326:B 6.27718e-05 +10 *325:A1 *326:B 2.16355e-05 +11 *4:42 *326:B 0 +12 *24:9 *326:B 0.000271447 +13 *113:14 *326:B 4.2372e-05 +14 *118:13 *392:A 2.58616e-05 +15 *120:90 *392:A 9.45446e-05 +*RES +1 *425:X *121:7 15.1571 +2 *121:7 *392:A 19.925 +3 *121:7 *326:B 21.9964 +*END + +*D_NET *122 0.01723 +*CONN +*I *404:A I *D sky130_fd_sc_hd__buf_2 +*I *407:A I *D sky130_fd_sc_hd__buf_2 +*I *402:A I *D sky130_fd_sc_hd__buf_2 +*I *408:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *404:A 0.000268906 +2 *407:A 0 +3 *402:A 0.00012362 +4 *408:X 0.000588489 +5 *122:54 0.00125527 +6 *122:22 0.00317317 +7 *122:11 0.00265167 +8 *402:A *420:A 0.000154145 +9 *122:22 *372:CLK_N 0.000122992 +10 *122:22 *158:21 0.00015065 +11 *122:54 *360:A 0.000109569 +12 *122:54 *368:CLK_N 0.0008048 +13 *122:54 *370:CLK_N 0.000295445 +14 *122:54 *370:D 0.000158451 +15 *122:54 *383:D 5.51483e-06 +16 *122:54 *127:11 0.000110426 +17 *122:54 *148:9 6.03248e-05 +18 *122:54 *151:10 0.00025777 +19 *122:54 *158:21 6.00706e-05 +20 pad_gpio_ana_sel *122:11 0.000631375 +21 pad_gpio_ana_sel *122:22 0.000793973 +22 pad_gpio_dm[0] *404:A 9.15824e-05 +23 pad_gpio_dm[1] *122:11 6.60214e-05 +24 pad_gpio_dm[1] *122:22 0.000136949 +25 pad_gpio_slow_sel *122:22 6.44155e-05 +26 *174:DIODE *402:A 0.000127654 +27 *175:DIODE *122:11 3.27606e-06 +28 *323:B *122:11 1.41214e-05 +29 *326:B *122:11 6.58523e-05 +30 *345:A *404:A 1.12613e-05 +31 *370:SET_B *122:22 0 +32 *377:SET_B *122:22 6.56354e-05 +33 *379:RESET_B *122:54 0.000193478 +34 *383:RESET_B *402:A 7.92757e-06 +35 *429:A *404:A 0.000357307 +36 *430:A *404:A 5.05869e-05 +37 *434:A *122:22 0.00109693 +38 *440:A *122:54 7.07939e-05 +39 *3:17 *122:54 3.61045e-05 +40 *3:23 *122:54 0.000132349 +41 *5:26 *122:22 0.000112912 +42 *5:26 *122:54 0.00016837 +43 *6:7 *122:54 0 +44 *7:24 *122:22 0.000649975 +45 *7:47 *122:22 0.000564184 +46 *11:32 *122:22 2.02509e-05 +47 *24:9 *122:11 8.79845e-05 +48 *59:19 *402:A 4.97617e-05 +49 *67:10 *404:A 0 +50 *115:22 *122:22 7.6098e-05 +51 *115:36 *122:22 6.65414e-05 +52 *116:31 *122:54 0.000138256 +53 *119:18 *404:A 4.79731e-05 +54 *119:37 *122:22 0.000520459 +55 *120:12 *122:54 0.000358403 +*RES +1 *408:X *122:11 21.4879 +2 *122:11 *122:22 30.3616 +3 *122:22 *402:A 16.8 +4 *122:22 *122:54 44.5 +5 *122:54 *407:A 9.3 +6 *122:11 *404:A 23.5582 +*END + +*D_NET *123 0.0107346 +*CONN +*I *365:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 +*I *317:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *365:CLK_N 0 +2 *317:Y 0.000425877 +3 *123:10 0.00164715 +4 *123:7 0.00207303 +5 *123:7 *129:10 0.000708646 +6 *123:7 *158:63 0.000276531 +7 *123:7 *158:65 4.58907e-05 +8 *123:7 *158:67 6.88795e-05 +9 *123:7 *158:77 0.000464649 +10 *123:10 *412:A 0.000183794 +11 *123:10 *445:A 4.8121e-05 +12 *123:10 *153:10 6.37725e-05 +13 *177:DIODE *123:10 0.000135811 +14 *178:DIODE *123:7 1.88422e-05 +15 *202:DIODE *123:10 0.000183794 +16 *209:DIODE *123:7 0.000453386 +17 *213:DIODE *123:7 7.19281e-05 +18 *376:RESET_B *123:10 5.77383e-05 +19 *386:CLK *123:10 0.000346217 +20 *401:A *123:10 0.000731166 +21 *1:40 *123:10 0.000581273 +22 *2:19 *123:10 0.000320234 +23 *3:7 *123:10 0.0003728 +24 *11:13 *123:10 0.000236253 +25 *105:13 *123:10 7.69594e-06 +26 *111:13 *123:10 0.000209093 +27 *115:42 *123:10 0.000450854 +28 *120:41 *123:10 0.000422086 +29 *120:48 *123:10 9.91419e-05 +30 *120:52 *123:10 2.98969e-05 +*RES +1 *317:Y *123:7 30.7643 +2 *123:7 *123:10 48.0357 +3 *123:10 *365:CLK_N 9.3 +*END + +*D_NET *124 0.00855195 +*CONN +*I *366:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 +*I *353:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *366:CLK_N 0.00150572 +2 *353:Y 0.000661141 +3 *124:7 0.00216686 +4 *366:CLK_N *371:CLK_N 0.000959463 +5 *366:CLK_N *375:CLK_N 0.000135613 +6 *366:CLK_N *381:D 6.36477e-05 +7 *366:CLK_N *391:CLK 3.13142e-05 +8 *366:CLK_N *129:10 1.91821e-05 +9 *366:CLK_N *163:13 0.000128218 +10 *124:7 *158:8 0.000159016 +11 *124:7 *158:55 0.000207026 +12 *211:DIODE *366:CLK_N 7.82329e-05 +13 *212:DIODE *366:CLK_N 0.000978333 +14 *330:A_N *366:CLK_N 9.9653e-05 +15 *335:A *366:CLK_N 1.70851e-05 +16 *350:A_N *366:CLK_N 5.46103e-05 +17 *366:SET_B *366:CLK_N 0 +18 *394:A *366:CLK_N 6.94612e-05 +19 *10:41 *124:7 0.000118672 +20 *36:41 *366:CLK_N 0.000114976 +21 *59:19 *366:CLK_N 0.000650098 +22 *93:10 *366:CLK_N 2.71751e-05 +23 *114:48 *366:CLK_N 4.19783e-05 +24 *116:54 *366:CLK_N 0.000264473 +*RES +1 *353:Y *124:7 21.3179 +2 *124:7 *366:CLK_N 49.9786 +*END + +*D_NET *125 0.00096351 +*CONN +*I *367:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 +*I *354:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *367:CLK_N 0.000165336 +2 *354:Y 0.000165336 +3 *367:CLK_N *361:A 6.3657e-05 +4 *367:CLK_N *133:15 0.000244521 +5 *174:DIODE *367:CLK_N 1.17054e-05 +6 *374:SET_B *367:CLK_N 0.000297086 +7 *436:A *367:CLK_N 5.04829e-06 +8 *101:12 *367:CLK_N 1.08183e-05 +*RES +1 *354:Y *367:CLK_N 32.2071 +*END + +*D_NET *126 0.00684348 +*CONN +*I *368:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 +*I *355:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *368:CLK_N 0.00253601 +2 *355:Y 0.00253601 +3 *368:CLK_N *367:D 2.50773e-06 +4 *368:CLK_N *370:CLK_N 5.96856e-05 +5 *368:CLK_N *420:A 4.99782e-05 +6 *368:CLK_N *148:9 1.49931e-05 +7 *331:A *368:CLK_N 0.000389322 +8 *355:A *368:CLK_N 8.85947e-05 +9 *433:A *368:CLK_N 9.80242e-07 +10 *59:19 *368:CLK_N 0.000111222 +11 *118:35 *368:CLK_N 0.000249368 +12 *122:54 *368:CLK_N 0.0008048 +*RES +1 *355:Y *368:CLK_N 47.3857 +*END + +*D_NET *127 0.0071791 +*CONN +*I *369:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 +*I *356:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *369:CLK_N 0 +2 *356:Y 0.000200161 +3 *127:11 0.00196158 +4 *127:7 0.00216174 +5 *127:7 *129:10 0.000310588 +6 *127:11 *369:D 9.63363e-05 +7 *127:11 *381:CLK 3.82228e-05 +8 *127:11 *151:10 0.000667999 +9 pad_gpio_vtrip_sel *127:11 2.09072e-05 +10 *183:DIODE *127:11 5.15114e-05 +11 *193:DIODE *127:11 6.93171e-05 +12 *326:A *127:11 7.27245e-06 +13 *383:RESET_B *127:11 3.51893e-05 +14 *3:23 *127:11 0.00144786 +15 *8:29 *127:11 0 +16 *122:54 *127:11 0.000110426 +*RES +1 *356:Y *127:7 16.3893 +2 *127:7 *127:11 48.3393 +3 *127:11 *369:CLK_N 9.3 +*END + +*D_NET *128 0.0054659 +*CONN +*I *370:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 +*I *357:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *370:CLK_N 0.000558091 +2 *357:Y 0.00153579 +3 *128:8 0.00209388 +4 *370:CLK_N *383:D 7.78924e-05 +5 *128:8 *357:A 1.83992e-05 +6 *198:DIODE *128:8 1.94224e-05 +7 *347:B *128:8 9.29316e-05 +8 *355:A *370:CLK_N 0.000208621 +9 *368:CLK_N *370:CLK_N 5.96856e-05 +10 *51:14 *128:8 0.000505747 +11 *122:54 *370:CLK_N 0.000295445 +*RES +1 *357:Y *128:8 45.5321 +2 *128:8 *370:CLK_N 24.6036 +*END + +*D_NET *129 0.010018 +*CONN +*I *371:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 +*I *358:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *371:CLK_N 0.000563729 +2 *358:Y 0.00115707 +3 *129:10 0.0017208 +4 *371:CLK_N *391:CLK 0.000951139 +5 *371:CLK_N *137:10 1.54643e-05 +6 *129:10 *375:CLK_N 0.000144546 +7 *129:10 *137:10 0.00150353 +8 *129:10 *158:67 4.76794e-05 +9 *129:10 *158:69 9.91783e-05 +10 *129:10 *158:71 0.000109427 +11 *129:10 *158:77 2.30636e-05 +12 *129:10 *158:79 7.621e-05 +13 *129:10 *158:81 5.31465e-05 +14 *187:DIODE *129:10 8.10199e-05 +15 *212:DIODE *129:10 0.00139469 +16 *366:CLK_N *371:CLK_N 0.000959463 +17 *366:CLK_N *129:10 1.91821e-05 +18 *426:A *129:10 3.16904e-05 +19 *8:37 *129:10 4.77589e-05 +20 *123:7 *129:10 0.000708646 +21 *127:7 *129:10 0.000310588 +*RES +1 *358:Y *129:10 49.3625 +2 *129:10 *371:CLK_N 28.0411 +*END + +*D_NET *130 0.000706836 +*CONN +*I *372:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 +*I *359:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *372:CLK_N 0.000206294 +2 *359:Y 0.000206294 +3 *372:CLK_N *446:A 3.79023e-05 +4 *352:B *372:CLK_N 7.01935e-06 +5 *377:SET_B *372:CLK_N 0.000126335 +6 *122:22 *372:CLK_N 0.000122992 +*RES +1 *359:Y *372:CLK_N 31.0107 +*END + +*D_NET *131 0.00621102 +*CONN +*I *373:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 +*I *360:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *373:CLK_N 0 +2 *360:Y 0.00111061 +3 *131:8 0.00111061 +4 *131:8 *360:A 0.000420683 +5 *131:8 *391:D 7.12632e-06 +6 *131:8 *146:10 0.00177646 +7 *131:8 *164:15 0.000341752 +8 *327:A *131:8 6.08467e-05 +9 *327:B *131:8 6.08467e-05 +10 *365:RESET_B *131:8 8.35465e-05 +11 *38:17 *131:8 0.000187728 +12 *57:17 *131:8 6.36477e-05 +13 *113:25 *131:8 0.000630764 +14 *119:79 *131:8 0.000111523 +15 *120:30 *131:8 0.000244885 +*RES +1 *360:Y *131:8 46.8 +2 *131:8 *373:CLK_N 13.8 +*END + +*D_NET *132 0.00741885 +*CONN +*I *408:A I *D sky130_fd_sc_hd__buf_2 +*I *426:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *408:A 0.000148874 +2 *426:X 0.00235742 +3 *132:21 0.0025063 +4 *132:21 *203:DIODE 1.00204e-05 +5 *132:21 *318:A 0.000456434 +6 *132:21 *137:10 0.000114487 +7 *132:21 *158:122 6.19019e-06 +8 pad_gpio_dm[0] *132:21 0.000717845 +9 *175:DIODE *408:A 0.000387025 +10 *177:DIODE *132:21 4.45999e-05 +11 *188:DIODE *132:21 1.43698e-05 +12 *192:DIODE *132:21 6.08467e-05 +13 *431:A *408:A 0.000387025 +14 *1:40 *132:21 2.16355e-05 +15 *2:51 *132:21 1.41976e-05 +16 *117:11 *132:21 0.00016386 +17 *119:18 *132:21 7.72414e-06 +*RES +1 *426:X *132:21 44.9607 +2 *132:21 *408:A 19.0857 +*END + +*D_NET *133 0.0041115 +*CONN +*I *374:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 +*I *361:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *374:CLK_N 0 +2 *361:Y 0.00109122 +3 *133:15 0.00109122 +4 *133:15 *361:A 7.15752e-05 +5 *328:B *133:15 1.91391e-05 +6 *344:B *133:15 6.30102e-05 +7 *367:CLK_N *133:15 0.000244521 +8 *373:RESET_B *133:15 0.000468708 +9 *373:SET_B *133:15 0.000284771 +10 *374:SET_B *133:15 9.14671e-05 +11 *436:A *133:15 3.99086e-06 +12 *2:19 *133:15 0.000360851 +13 *101:12 *133:15 0.000321032 +*RES +1 *361:Y *133:15 41.0679 +2 *133:15 *374:CLK_N 9.3 +*END + +*D_NET *134 0.000609766 +*CONN +*I *375:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 +*I *362:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *375:CLK_N 0.000109655 +2 *362:Y 0.000109655 +3 *366:CLK_N *375:CLK_N 0.000135613 +4 *38:17 *375:CLK_N 0.000110297 +5 *129:10 *375:CLK_N 0.000144546 +*RES +1 *362:Y *375:CLK_N 30.4929 +*END + +*D_NET *135 0.00239948 +*CONN +*I *376:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 +*I *363:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *376:CLK_N 0.000333548 +2 *363:Y 0.000333548 +3 *376:CLK_N *377:CLK_N 0.000659564 +4 *376:CLK_N *446:A 1.03403e-05 +5 *376:CLK_N *137:10 0.00016386 +6 *197:DIODE *376:CLK_N 0 +7 *200:DIODE *376:CLK_N 0.000188239 +8 *364:A *376:CLK_N 0.000161956 +9 *370:SET_B *376:CLK_N 2.16355e-05 +10 *10:27 *376:CLK_N 0.000346477 +11 *10:29 *376:CLK_N 0.000180318 +*RES +1 *363:Y *376:CLK_N 39.0286 +*END + +*D_NET *136 0.00413502 +*CONN +*I *377:CLK_N I *D sky130_fd_sc_hd__dfbbn_2 +*I *364:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *377:CLK_N 0.000705905 +2 *364:Y 0.000705905 +3 *377:CLK_N *158:8 0.000324796 +4 *377:CLK_N *158:21 0.000742283 +5 *197:DIODE *377:CLK_N 6.08467e-05 +6 *348:A_N *377:CLK_N 6.3657e-05 +7 *364:A *377:CLK_N 6.27782e-05 +8 *376:CLK_N *377:CLK_N 0.000659564 +9 *396:A *377:CLK_N 8.96342e-05 +10 *9:47 *377:CLK_N 0.000236696 +11 *10:27 *377:CLK_N 0.000185505 +12 *38:17 *377:CLK_N 0.000230231 +13 *94:11 *377:CLK_N 6.72204e-05 +*RES +1 *364:Y *377:CLK_N 49.2964 +*END + +*D_NET *137 0.00907794 +*CONN +*I *391:CLK I *D sky130_fd_sc_hd__dfrtp_2 +*I *318:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *391:CLK 0.000702801 +2 *318:Y 0.000821573 +3 *137:10 0.00152437 +4 *391:CLK *391:D 5.64161e-05 +5 *391:CLK *157:20 2.55148e-05 +6 *391:CLK *157:31 9.66627e-05 +7 *137:10 *318:A 0.000404044 +8 *137:10 *157:20 0.000446443 +9 *363:A *137:10 2.30636e-05 +10 *364:A *137:10 1.88563e-05 +11 *366:CLK_N *391:CLK 3.13142e-05 +12 *371:CLK_N *391:CLK 0.000951139 +13 *371:CLK_N *137:10 1.54643e-05 +14 *376:CLK_N *137:10 0.00016386 +15 *403:A *137:10 0.000110297 +16 *438:A *391:CLK 0.000108053 +17 *6:21 *137:10 8.33161e-05 +18 *8:37 *137:10 0.000783041 +19 *10:26 *391:CLK 0.000788557 +20 *116:14 *137:10 4.7457e-05 +21 *116:54 *391:CLK 0.000257682 +22 *129:10 *137:10 0.00150353 +23 *132:21 *137:10 0.000114487 +*RES +1 *318:Y *137:10 41.2375 +2 *137:10 *391:CLK 32.2911 +*END + +*D_NET *138 0.00106318 +*CONN +*I *373:D I *D sky130_fd_sc_hd__dfbbn_2 +*I *390:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *410:X O *D sky130_fd_sc_hd__dlygate4sd3_1 +*CAP +1 *373:D 5.60325e-05 +2 *390:D 0.000191457 +3 *410:X 3.40961e-05 +4 *138:5 0.000281585 +5 *373:D *140:22 5.62568e-05 +6 *390:D *163:13 1.96819e-05 +7 *138:5 *140:22 4.47179e-05 +8 *344:B *390:D 0.000159016 +9 *390:RESET_B *390:D 1.83409e-05 +10 *38:17 *390:D 7.59979e-05 +11 *97:15 *373:D 3.23874e-05 +12 *97:15 *138:5 3.59523e-05 +13 *119:79 *390:D 5.7657e-05 +*RES +1 *410:X *138:5 10.2464 +2 *138:5 *390:D 22.5143 +3 *138:5 *373:D 10.6571 +*END + +*D_NET *139 0.00128249 +*CONN +*I *374:D I *D sky130_fd_sc_hd__dfbbn_2 +*I *415:X O *D sky130_fd_sc_hd__dlygate4sd3_1 +*CAP +1 *374:D 0.000393128 +2 *415:X 0.000393128 +3 *374:D *391:D 0.000208599 +4 *374:D *415:A 0.000254541 +5 resetn_out *374:D 3.30938e-05 +*RES +1 *415:X *374:D 33.0643 +*END + +*D_NET *140 0.00748055 +*CONN +*I *371:D I *D sky130_fd_sc_hd__dfbbn_2 +*I *380:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *416:X O *D sky130_fd_sc_hd__dlygate4sd3_1 +*CAP +1 *371:D 0 +2 *380:D 0.000810801 +3 *416:X 0.00184157 +4 *140:24 0.000810801 +5 *140:22 0.00184157 +6 *380:D *379:D 2.16608e-05 +7 *380:D *143:19 0.000154979 +8 *380:D *157:62 0.000163991 +9 *380:D *163:13 9.40212e-05 +10 *140:22 *379:D 5.21124e-05 +11 *140:22 *162:10 0.000154145 +12 *140:22 *163:13 0.00046009 +13 *181:DIODE *140:22 0 +14 *211:DIODE *380:D 0.000373916 +15 *211:DIODE *140:22 8.03393e-06 +16 *366:SET_B *140:22 5.14448e-05 +17 *371:SET_B *140:22 6.27449e-05 +18 *373:D *140:22 5.62568e-05 +19 *8:29 *380:D 0.000137531 +20 *13:40 *140:22 0.000147093 +21 *61:11 *140:22 4.45999e-05 +22 *97:15 *140:22 0.000108842 +23 *116:54 *380:D 3.96379e-05 +24 *138:5 *140:22 4.47179e-05 +*RES +1 *416:X *140:22 47.9964 +2 *140:22 *140:24 4.5 +3 *140:24 *380:D 31.6393 +4 *140:24 *371:D 9.3 +*END + +*D_NET *141 0.00244226 +*CONN +*I *368:D I *D sky130_fd_sc_hd__dfbbn_2 +*I *388:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *417:X O *D sky130_fd_sc_hd__dlygate4sd3_1 +*CAP +1 *368:D 9.38186e-05 +2 *388:D 0.000241689 +3 *417:X 0.000180186 +4 *141:8 0.000515693 +5 *368:D *367:D 5.481e-05 +6 *388:D *367:D 0.000113104 +7 *185:DIODE *388:D 2.31886e-05 +8 *374:SET_B *388:D 0.000315218 +9 *374:SET_B *141:8 0.000223703 +10 *65:21 *141:8 0.000200794 +11 *95:26 *141:8 0.000250254 +12 *97:15 *388:D 6.5389e-05 +13 *97:15 *141:8 9.54196e-05 +14 *109:17 *368:D 4.0315e-05 +15 *118:26 *388:D 2.86829e-05 +*RES +1 *417:X *141:8 19.1393 +2 *141:8 *388:D 19.5321 +3 *141:8 *368:D 15.5679 +*END + +*D_NET *142 0.00598074 +*CONN +*I *365:D I *D sky130_fd_sc_hd__dfbbn_2 +*I *379:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *418:X O *D sky130_fd_sc_hd__dlygate4sd3_1 +*CAP +1 *365:D 0 +2 *379:D 0.0019321 +3 *418:X 0.000137226 +4 *142:8 0.00206933 +5 *379:D *163:13 3.53886e-05 +6 *211:DIODE *379:D 1.84566e-05 +7 *340:A_N *142:8 6.08467e-05 +8 *340:B *142:8 6.08467e-05 +9 *371:SET_B *379:D 0.00035296 +10 *379:RESET_B *379:D 9.97764e-05 +11 *380:D *379:D 2.16608e-05 +12 *4:23 *379:D 0.000106543 +13 *4:23 *142:8 0.000200228 +14 *97:15 *379:D 0.00019752 +15 *103:8 *379:D 0.000106543 +16 *103:8 *142:8 0.00017033 +17 *116:54 *379:D 0.000333643 +18 *120:30 *142:8 1.77894e-05 +19 *120:41 *142:8 7.44434e-06 +20 *140:22 *379:D 5.21124e-05 +*RES +1 *418:X *142:8 17.4964 +2 *142:8 *379:D 36.5321 +3 *142:8 *365:D 13.8 +*END + +*D_NET *143 0.00573351 +*CONN +*I *378:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *427:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *378:D 0 +2 *427:X 0.00129857 +3 *143:19 0.00129857 +4 *143:19 *369:D 0.000134442 +5 *143:19 *378:CLK 0.000211005 +6 *143:19 *381:D 0.000323164 +7 *143:19 *383:D 0.000121494 +8 *143:19 *157:17 1.43698e-05 +9 *143:19 *157:20 0.000208527 +10 *143:19 *157:31 0.000151058 +11 *143:19 *157:42 3.44431e-05 +12 *143:19 *157:62 2.8182e-06 +13 *143:19 *157:82 1.58551e-05 +14 *143:19 *164:15 5.8334e-05 +15 *180:DIODE *143:19 0.00021217 +16 *343:A *143:19 0.000112936 +17 *380:D *143:19 0.000154979 +18 *384:RESET_B *143:19 0.000139684 +19 *396:A *143:19 0.000154145 +20 *6:21 *143:19 0.000113295 +21 *8:29 *143:19 0.000973653 +*RES +1 *427:X *143:19 47.2107 +2 *143:19 *378:D 9.3 +*END + +*D_NET *144 0.00222615 +*CONN +*I *382:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *369:D I *D sky130_fd_sc_hd__dfbbn_2 +*I *419:X O *D sky130_fd_sc_hd__dlygate4sd3_1 +*CAP +1 *382:D 0 +2 *369:D 0.000458088 +3 *419:X 0.00032875 +4 *144:9 0.000786838 +5 *369:D *381:CLK 0.000141642 +6 *369:D *163:13 3.37637e-05 +7 *144:9 *382:CLK 7.58279e-05 +8 *144:9 *151:10 3.70269e-05 +9 *8:29 *369:D 0.000133439 +10 *127:11 *369:D 9.63363e-05 +11 *143:19 *369:D 0.000134442 +*RES +1 *419:X *144:9 14.9071 +2 *144:9 *369:D 25.7464 +3 *144:9 *382:D 9.3 +*END + +*D_NET *145 0.00239999 +*CONN +*I *367:D I *D sky130_fd_sc_hd__dfbbn_2 +*I *387:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *420:X O *D sky130_fd_sc_hd__dlygate4sd3_1 +*CAP +1 *367:D 0.000631262 +2 *387:D 0.000178283 +3 *420:X 0 +4 *145:4 0.000809545 +5 *331:A *367:D 2.23108e-05 +6 *331:A *387:D 2.61955e-05 +7 *367:SET_B *367:D 6.08467e-05 +8 *368:CLK_N *367:D 2.50773e-06 +9 *368:D *367:D 5.481e-05 +10 *388:D *367:D 0.000113104 +11 *439:A *387:D 0.000178654 +12 *97:15 *367:D 0.000113104 +13 *109:17 *367:D 2.41826e-06 +14 *118:35 *367:D 2.47713e-05 +15 *120:41 *387:D 0.000182181 +*RES +1 *420:X *145:4 9.3 +2 *145:4 *387:D 22.2107 +3 *145:4 *367:D 26.7821 +*END + +*D_NET *146 0.00868018 +*CONN +*I *366:D I *D sky130_fd_sc_hd__dfbbn_2 +*I *381:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *421:X O *D sky130_fd_sc_hd__dlygate4sd3_1 +*CAP +1 *366:D 3.95734e-05 +2 *381:D 0.000377806 +3 *421:X 0.000549736 +4 *146:10 0.000967116 +5 *381:D *391:D 8.4155e-06 +6 *381:D *157:42 9.12416e-06 +7 *381:D *157:55 0.000375082 +8 *146:10 *164:15 0.000422159 +9 *327:A *146:10 0.000457582 +10 *335:A *146:10 0.000145174 +11 *340:A_N *366:D 1.41307e-05 +12 *344:A_N *146:10 0.00080275 +13 *366:CLK_N *381:D 6.36477e-05 +14 *440:A *366:D 4.23858e-05 +15 *440:A *381:D 0.000223774 +16 *440:A *146:10 1.07992e-05 +17 *7:13 *146:10 5.20674e-05 +18 *7:24 *146:10 0.000136556 +19 *8:29 *381:D 7.32207e-06 +20 *9:15 *381:D 0.000244348 +21 *10:26 *381:D 0.00017416 +22 *116:54 *366:D 9.94284e-06 +23 *116:54 *381:D 1.1718e-05 +24 *120:30 *146:10 0.00143519 +25 *131:8 *146:10 0.00177646 +26 *143:19 *381:D 0.000323164 +*RES +1 *421:X *146:10 43.8714 +2 *146:10 *381:D 29.7643 +3 *146:10 *366:D 10.2464 +*END + +*D_NET *147 0.00444873 +*CONN +*I *389:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *372:D I *D sky130_fd_sc_hd__dfbbn_2 +*I *422:X O *D sky130_fd_sc_hd__dlygate4sd3_1 +*CAP +1 *389:D 0.000423827 +2 *372:D 0.000512977 +3 *422:X 0.000181096 +4 *147:5 0.0011179 +5 *372:D *422:A 0.000151834 +6 *372:D *444:A 3.52746e-06 +7 *332:B *389:D 0 +8 *354:A *389:D 0.000648008 +9 *398:A *389:D 6.27718e-05 +10 *4:25 *372:D 0.000173147 +11 *94:60 *372:D 6.27718e-05 +12 *97:15 *389:D 0.000865113 +13 *97:15 *147:5 0.000206566 +14 *118:62 *389:D 9.43222e-06 +15 *119:37 *372:D 2.97532e-05 +*RES +1 *422:X *147:5 11.4786 +2 *147:5 *372:D 27.3179 +3 *147:5 *389:D 30.8 +*END + +*D_NET *148 0.00291627 +*CONN +*I *370:D I *D sky130_fd_sc_hd__dfbbn_2 +*I *383:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *411:X O *D sky130_fd_sc_hd__dlygate4sd3_1 +*CAP +1 *370:D 6.84504e-05 +2 *383:D 0.000244097 +3 *411:X 0.000742311 +4 *148:9 0.00105486 +5 *383:D *157:31 0.000118136 +6 *368:CLK_N *148:9 1.49931e-05 +7 *369:SET_B *148:9 1.63652e-05 +8 *370:CLK_N *383:D 7.78924e-05 +9 *370:SET_B *148:9 4.32942e-05 +10 *5:26 *148:9 0 +11 *59:19 *148:9 7.64461e-05 +12 *65:21 *148:9 0 +13 *114:8 *148:9 0.000113644 +14 *122:54 *370:D 0.000158451 +15 *122:54 *383:D 5.51483e-06 +16 *122:54 *148:9 6.03248e-05 +17 *143:19 *383:D 0.000121494 +*RES +1 *411:X *148:9 28.7464 +2 *148:9 *383:D 22.1214 +3 *148:9 *370:D 11.3893 +*END + +*D_NET *149 0.00197255 +*CONN +*I *386:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *377:D I *D sky130_fd_sc_hd__dfbbn_2 +*I *412:X O *D sky130_fd_sc_hd__dlygate4sd3_1 +*CAP +1 *386:D 0.000171103 +2 *377:D 0 +3 *412:X 0.000143889 +4 *149:8 0.000314992 +5 *386:D *361:A 3.99086e-06 +6 *386:D *420:A 5.04829e-06 +7 *174:DIODE *386:D 6.08467e-05 +8 *369:SET_B *386:D 0.000149186 +9 *1:40 *386:D 0.000108915 +10 *1:40 *149:8 0.00033476 +11 *61:11 *386:D 0.000295048 +12 *61:11 *149:8 0.000338104 +13 *94:60 *149:8 2.57847e-05 +14 *115:42 *386:D 2.08804e-05 +*RES +1 *412:X *149:8 18.7107 +2 *149:8 *377:D 13.8 +3 *149:8 *386:D 18.7107 +*END + +*D_NET *150 0.00173059 +*CONN +*I *385:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *376:D I *D sky130_fd_sc_hd__dfbbn_2 +*I *413:X O *D sky130_fd_sc_hd__dlygate4sd3_1 +*CAP +1 *385:D 5.03998e-05 +2 *376:D 0.00016518 +3 *413:X 4.24182e-05 +4 *150:6 0.000257998 +5 *376:D *446:A 2.16355e-05 +6 *370:SET_B *376:D 0.000406202 +7 *370:SET_B *150:6 9.29919e-05 +8 *427:A *385:D 0.000154145 +9 *69:15 *385:D 5.481e-05 +10 *94:11 *376:D 0.000190001 +11 *94:36 *376:D 0.000210184 +12 *94:36 *150:6 8.46261e-05 +*RES +1 *413:X *150:6 15.1393 +2 *150:6 *376:D 19.6214 +3 *150:6 *385:D 15.1571 +*END + +*D_NET *151 0.00366939 +*CONN +*I *375:D I *D sky130_fd_sc_hd__dfbbn_2 +*I *384:D I *D sky130_fd_sc_hd__dfrtp_4 +*I *414:X O *D sky130_fd_sc_hd__dlygate4sd3_1 +*CAP +1 *375:D 0.000452686 +2 *384:D 0.000161092 +3 *414:X 0.000423786 +4 *151:10 0.00103756 +5 *375:D *413:A 6.88011e-05 +6 *336:A_N *375:D 6.08467e-05 +7 *384:RESET_B *375:D 7.84129e-05 +8 *3:23 *151:10 0.000181868 +9 *116:28 *384:D 5.05228e-05 +10 *116:80 *375:D 0.000158892 +11 *116:80 *384:D 3.21238e-05 +12 *122:54 *151:10 0.00025777 +13 *127:11 *151:10 0.000667999 +14 *144:9 *151:10 3.70269e-05 +*RES +1 *414:X *151:10 28.8893 +2 *151:10 *384:D 12.3 +3 *151:10 *375:D 17.8179 +*END + +*D_NET *152 0.00106183 +*CONN +*I *442:A I *D sky130_fd_sc_hd__buf_16 +*I *392:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *442:A 0.000188311 +2 *392:X 0.000188311 +3 *442:A *428:A 0.000169625 +4 *442:A *448:A 0.000314632 +5 mgmt_gpio_in *442:A 3.54295e-05 +6 *215:DIODE *442:A 0.000165521 +*RES +1 *392:X *442:A 32.9571 +*END + +*D_NET *153 0.00449437 +*CONN +*I *443:A I *D sky130_fd_sc_hd__buf_16 +*I *375:Q O *D sky130_fd_sc_hd__dfbbn_2 +*CAP +1 *443:A 0 +2 *375:Q 0.0011405 +3 *153:10 0.0011405 +4 *153:10 *412:A 7.16362e-05 +5 *153:10 *445:A 0.000296157 +6 pad_gpio_ana_pol *153:10 0 +7 *177:DIODE *153:10 0.000282478 +8 *202:DIODE *153:10 7.6561e-05 +9 *349:B *153:10 2.95006e-05 +10 *369:SET_B *153:10 5.90236e-05 +11 *376:RESET_B *153:10 0.000133878 +12 *433:A *153:10 0.000810393 +13 *11:13 *153:10 0.000308033 +14 *103:8 *153:10 7.36117e-05 +15 *111:13 *153:10 8.32204e-06 +16 *123:10 *153:10 6.37725e-05 +*RES +1 *375:Q *153:10 43.1036 +2 *153:10 *443:A 9.3 +*END + +*D_NET *154 0.00331516 +*CONN +*I *444:A I *D sky130_fd_sc_hd__buf_16 +*I *377:Q O *D sky130_fd_sc_hd__dfbbn_2 +*CAP +1 *444:A 0.00115593 +2 *377:Q 0.00115593 +3 *444:A *422:A 2.98237e-05 +4 *354:A *444:A 0.000301135 +5 *359:A *444:A 0.000169684 +6 *372:D *444:A 3.52746e-06 +7 *429:A *444:A 0.000220237 +8 *18:8 *444:A 5.57012e-05 +9 *28:19 *444:A 0.000122844 +10 *117:11 *444:A 6.47397e-05 +11 *118:26 *444:A 3.56119e-05 +12 *119:18 *444:A 0 +*RES +1 *377:Q *444:A 48.2607 +*END + +*D_NET *155 0.00128332 +*CONN +*I *445:A I *D sky130_fd_sc_hd__buf_16 +*I *376:Q O *D sky130_fd_sc_hd__dfbbn_2 +*CAP +1 *445:A 0.000274562 +2 *376:Q 0.000274562 +3 *111:13 *445:A 0.000389915 +4 *123:10 *445:A 4.8121e-05 +5 *153:10 *445:A 0.000296157 +*RES +1 *376:Q *445:A 33.475 +*END + +*D_NET *156 0.00314498 +*CONN +*I *428:A I *D sky130_fd_sc_hd__buf_16 +*I *400:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 *428:A 0.000940602 +2 *400:HI 0.000940602 +3 one *428:A 0.000163504 +4 *175:DIODE *428:A 0 +5 *177:DIODE *428:A 0.00060157 +6 *195:DIODE *428:A 6.50921e-05 +7 *442:A *428:A 0.000169625 +8 *3:44 *428:A 4.53226e-05 +9 *13:50 *428:A 3.71612e-05 +10 *99:10 *428:A 6.36477e-05 +11 *118:13 *428:A 6.27718e-05 +12 *120:90 *428:A 5.50788e-05 +*RES +1 *400:HI *428:A 46.8321 +*END + +*D_NET *157 0.0190856 +*CONN +*I *385:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *446:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *318:A I *D sky130_fd_sc_hd__inv_2 +*I *378:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *380:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *379:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *381:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *382:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *383:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *384:CLK I *D sky130_fd_sc_hd__dfrtp_4 +*I *396:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *385:CLK 0 +2 *446:A 0.0014705 +3 *318:A 0.000453716 +4 *378:CLK 9.0597e-05 +5 *380:CLK 0 +6 *379:CLK 9.8898e-05 +7 *381:CLK 5.07412e-05 +8 *382:CLK 0.000189737 +9 *383:CLK 5.54318e-05 +10 *384:CLK 0.000234589 +11 *396:X 0 +12 *157:85 0.00205717 +13 *157:82 0.000253351 +14 *157:62 0.000714858 +15 *157:55 0.000865299 +16 *157:42 0.00028429 +17 *157:31 0.00057214 +18 *157:20 0.000596573 +19 *157:17 0.000648726 +20 *157:4 0.000529369 +21 *378:CLK *164:15 0.000207892 +22 *174:DIODE *383:CLK 0.000110458 +23 *198:DIODE *384:CLK 0.000122858 +24 *200:DIODE *318:A 0.000224624 +25 *343:A *382:CLK 5.20546e-06 +26 *343:A *157:31 0.000109578 +27 *346:B *446:A 0.000308138 +28 *347:A *384:CLK 3.73686e-05 +29 *347:A *157:17 3.13805e-06 +30 *347:B *384:CLK 0.000173161 +31 *350:A_N *318:A 0.00033831 +32 *352:A_N *446:A 0.000109421 +33 *352:B *446:A 0.000109427 +34 *359:A *446:A 0.000182216 +35 *363:A *318:A 2.53145e-06 +36 *369:D *381:CLK 0.000141642 +37 *370:SET_B *446:A 7.46933e-06 +38 *372:CLK_N *446:A 3.79023e-05 +39 *374:SET_B *446:A 0.000160047 +40 *376:CLK_N *446:A 1.03403e-05 +41 *376:D *446:A 2.16355e-05 +42 *376:RESET_B *446:A 4.45999e-05 +43 *380:D *157:62 0.000163991 +44 *381:D *157:42 9.12416e-06 +45 *381:D *157:55 0.000375082 +46 *383:D *157:31 0.000118136 +47 *383:RESET_B *383:CLK 1.56911e-05 +48 *384:RESET_B *157:20 0.000139684 +49 *391:CLK *157:20 2.55148e-05 +50 *391:CLK *157:31 9.66627e-05 +51 *396:A *157:82 0.000110949 +52 *438:A *157:31 0.000111479 +53 *6:7 *379:CLK 0.000198319 +54 *6:12 *384:CLK 0.000196836 +55 *6:21 *157:20 3.3179e-05 +56 *10:26 *157:31 0.000244565 +57 *10:26 *157:42 0.000106335 +58 *10:26 *157:55 0.000377189 +59 *10:27 *318:A 0.000395855 +60 *10:27 *157:85 0.000288651 +61 *10:29 *318:A 0.000166252 +62 *36:41 *318:A 0.000388324 +63 *51:14 *384:CLK 2.44579e-05 +64 *69:15 *384:CLK 2.20471e-05 +65 *69:15 *157:17 0.000799271 +66 *69:15 *157:82 0.00037853 +67 *93:10 *157:85 1.36815e-05 +68 *111:13 *446:A 0.000255186 +69 *114:46 *157:85 0.000114495 +70 *114:48 *318:A 5.79499e-05 +71 *114:48 *157:85 0.000131747 +72 *116:14 *384:CLK 2.98609e-05 +73 *116:28 *384:CLK 3.587e-06 +74 *127:11 *381:CLK 3.82228e-05 +75 *132:21 *318:A 0.000456434 +76 *137:10 *318:A 0.000404044 +77 *137:10 *157:20 0.000446443 +78 *143:19 *378:CLK 0.000211005 +79 *143:19 *157:17 1.43698e-05 +80 *143:19 *157:20 0.000208527 +81 *143:19 *157:31 0.000151058 +82 *143:19 *157:42 3.44431e-05 +83 *143:19 *157:62 2.8182e-06 +84 *143:19 *157:82 1.58551e-05 +85 *144:9 *382:CLK 7.58279e-05 +*RES +1 *396:X *157:4 9.3 +2 *157:4 *384:CLK 24.4429 +3 *157:4 *157:17 7.42857 +4 *157:17 *157:20 11.6071 +5 *157:20 *383:CLK 15.175 +6 *157:20 *157:31 8.32143 +7 *157:31 *382:CLK 16.8179 +8 *157:31 *157:42 1.64286 +9 *157:42 *381:CLK 15.175 +10 *157:42 *157:55 9.78571 +11 *157:55 *157:62 10.2321 +12 *157:62 *379:CLK 20.8536 +13 *157:62 *380:CLK 9.3 +14 *157:55 *378:CLK 11.8893 +15 *157:17 *157:82 3.41071 +16 *157:82 *157:85 8.57143 +17 *157:85 *318:A 29.4071 +18 *157:85 *446:A 34.9429 +19 *157:82 *385:CLK 9.3 +*END + +*D_NET *158 0.0259697 +*CONN +*I *208:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *353:A I *D sky130_fd_sc_hd__inv_2 +*I *203:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *220:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *205:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *206:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *204:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *173:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *207:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *317:A I *D sky130_fd_sc_hd__inv_2 +*I *356:A I *D sky130_fd_sc_hd__inv_2 +*I *358:A I *D sky130_fd_sc_hd__inv_2 +*I *357:A I *D sky130_fd_sc_hd__inv_2 +*I *360:A I *D sky130_fd_sc_hd__inv_2 +*I *361:A I *D sky130_fd_sc_hd__inv_2 +*I *447:A I *D sky130_fd_sc_hd__clkbuf_16 +*I *399:X O *D sky130_fd_sc_hd__clkbuf_16 +*CAP +1 *208:DIODE 0.00134071 +2 *353:A 8.37066e-05 +3 *203:DIODE 8.1959e-05 +4 *220:DIODE 0 +5 *205:DIODE 0 +6 *206:DIODE 0 +7 *204:DIODE 0 +8 *173:DIODE 0 +9 *207:DIODE 0 +10 *317:A 0 +11 *356:A 0 +12 *358:A 0 +13 *357:A 6.86025e-05 +14 *360:A 0.0016675 +15 *361:A 0.00193512 +16 *447:A 0.000289668 +17 *399:X 0 +18 *158:122 0.000170315 +19 *158:81 0.000123556 +20 *158:79 0.000102262 +21 *158:77 0.000195411 +22 *158:71 0.000225631 +23 *158:69 0.000148316 +24 *158:67 0.000207835 +25 *158:65 0.000208877 +26 *158:63 0.000929256 +27 *158:58 0.00101691 +28 *158:56 0.000192297 +29 *158:55 0.00141677 +30 *158:21 0.00417183 +31 *158:8 0.00100342 +32 *158:4 0.000183132 +33 *361:A *420:A 0.000212656 +34 *174:DIODE *361:A 6.57391e-05 +35 *178:DIODE *158:77 0.000389566 +36 *184:DIODE *158:63 0 +37 *188:DIODE *208:DIODE 8.46261e-05 +38 *188:DIODE *158:56 4.19841e-05 +39 *188:DIODE *158:58 0.000115976 +40 *188:DIODE *158:63 5.20441e-05 +41 *188:DIODE *158:122 5.04829e-06 +42 *193:DIODE *158:77 1.88152e-05 +43 *197:DIODE *158:63 0 +44 *326:A *208:DIODE 0.000761767 +45 *340:B *360:A 2.57746e-05 +46 *343:A *360:A 4.46199e-05 +47 *366:SET_B *360:A 0.000119218 +48 *367:CLK_N *361:A 6.3657e-05 +49 *370:SET_B *158:21 2.18741e-05 +50 *377:CLK_N *158:8 0.000324796 +51 *377:CLK_N *158:21 0.000742283 +52 *382:RESET_B *360:A 0.000462545 +53 *382:RESET_B *158:21 8.36586e-06 +54 *386:D *361:A 3.99086e-06 +55 *396:A *158:21 7.00967e-05 +56 *398:A *360:A 1.60086e-05 +57 *436:A *361:A 6.63616e-05 +58 *437:A *361:A 1.8254e-05 +59 *5:26 *360:A 0.000344279 +60 *9:47 *158:21 4.31485e-06 +61 *10:27 *158:21 0.000102788 +62 *10:41 *353:A 0.000216467 +63 *10:41 *158:8 0.000160942 +64 *10:41 *158:55 0.000191933 +65 *11:13 *360:A 1.16107e-05 +66 *24:9 *208:DIODE 0.00015901 +67 *36:41 *447:A 0.000294093 +68 *57:17 *360:A 0.000698429 +69 *59:19 *361:A 0.000302686 +70 *94:11 *158:8 0.000321452 +71 *94:11 *158:21 0.000400419 +72 *94:36 *158:21 0.000309595 +73 *113:25 *360:A 0.000397914 +74 *115:22 *158:21 7.67332e-05 +75 *122:22 *158:21 0.00015065 +76 *122:54 *360:A 0.000109569 +77 *122:54 *158:21 6.00706e-05 +78 *123:7 *158:63 0.000276531 +79 *123:7 *158:65 4.58907e-05 +80 *123:7 *158:67 6.88795e-05 +81 *123:7 *158:77 0.000464649 +82 *124:7 *158:8 0.000159016 +83 *124:7 *158:55 0.000207026 +84 *128:8 *357:A 1.83992e-05 +85 *129:10 *158:67 4.76794e-05 +86 *129:10 *158:69 9.91783e-05 +87 *129:10 *158:71 0.000109427 +88 *129:10 *158:77 2.30636e-05 +89 *129:10 *158:79 7.621e-05 +90 *129:10 *158:81 5.31465e-05 +91 *131:8 *360:A 0.000420683 +92 *132:21 *203:DIODE 1.00204e-05 +93 *132:21 *158:122 6.19019e-06 +94 *133:15 *361:A 7.15752e-05 +*RES +1 *399:X *158:4 9.3 +2 *158:4 *158:8 10.2321 +3 *158:8 *447:A 18.4429 +4 *158:8 *158:21 16.6786 +5 *158:21 *361:A 35.8714 +6 *158:21 *360:A 46.0857 +7 *158:4 *158:55 6.26786 +8 *158:55 *158:56 0.732143 +9 *158:56 *158:58 1.64286 +10 *158:58 *158:63 16.9643 +11 *158:63 *158:65 0.946429 +12 *158:65 *158:67 1.76786 +13 *158:67 *158:69 0.946429 +14 *158:69 *158:71 0.946429 +15 *158:71 *158:77 14.2679 +16 *158:77 *158:79 1.35714 +17 *158:79 *158:81 1.35714 +18 *158:81 *357:A 10.6571 +19 *158:81 *358:A 9.3 +20 *158:79 *356:A 9.3 +21 *158:77 *317:A 9.3 +22 *158:71 *207:DIODE 9.3 +23 *158:69 *173:DIODE 9.3 +24 *158:67 *204:DIODE 9.3 +25 *158:65 *206:DIODE 9.3 +26 *158:63 *205:DIODE 9.3 +27 *158:58 *158:122 5.44643 +28 *158:122 *220:DIODE 9.3 +29 *158:122 *203:DIODE 10.2464 +30 *158:56 *353:A 15.5679 +31 *158:55 *208:DIODE 30.4607 +*END + +*D_NET *159 0.0011284 +*CONN +*I *418:A I *D sky130_fd_sc_hd__dlygate4sd3_1 +*I *378:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *418:A 0.000315007 +2 *378:Q 0.000315007 +3 *418:A *164:15 0.000183793 +4 *439:A *418:A 0.000157296 +5 *113:25 *418:A 0.000157296 +*RES +1 *378:Q *418:A 34.0821 +*END + +*D_NET *160 0.00111736 +*CONN +*I *422:A I *D sky130_fd_sc_hd__dlygate4sd3_1 +*I *388:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *422:A 0.000331405 +2 *388:Q 0.000331405 +3 *185:DIODE *422:A 0.000104572 +4 *372:D *422:A 0.000151834 +5 *444:A *422:A 2.98237e-05 +6 *4:25 *422:A 0.000168319 +*RES +1 *388:Q *422:A 34.6179 +*END + +*D_NET *161 0.00106822 +*CONN +*I *410:A I *D sky130_fd_sc_hd__dlygate4sd3_1 +*I *389:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *410:A 0.000183994 +2 *389:Q 0.000183994 +3 *398:A *410:A 6.08467e-05 +4 *4:23 *410:A 0.000287862 +5 *12:28 *410:A 6.3657e-05 +6 *103:8 *410:A 0.000287862 +*RES +1 *389:Q *410:A 32.5107 +*END + +*D_NET *162 0.00856635 +*CONN +*I *415:A I *D sky130_fd_sc_hd__dlygate4sd3_1 +*I *391:D I *D sky130_fd_sc_hd__dfrtp_2 +*I *390:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *415:A 0.000216128 +2 *391:D 0.00171752 +3 *390:Q 0.000217834 +4 *162:10 0.00215148 +5 resetn_out *162:10 0.000366807 +6 user_gpio_in *391:D 0 +7 *181:DIODE *391:D 0.000497173 +8 *181:DIODE *415:A 9.32983e-05 +9 *328:A_N *391:D 0.000245172 +10 *365:SET_B *415:A 2.60765e-05 +11 *374:D *391:D 0.000208599 +12 *374:D *415:A 0.000254541 +13 *374:SET_B *162:10 0.000363397 +14 *380:RESET_B *391:D 0.00119017 +15 *381:D *391:D 8.4155e-06 +16 *391:CLK *391:D 5.64161e-05 +17 *5:19 *391:D 0.000188996 +18 *7:24 *391:D 9.1102e-06 +19 *10:26 *391:D 5.91586e-05 +20 *13:40 *162:10 0.00015607 +21 *38:17 *391:D 0.000199186 +22 *98:8 *415:A 0.000135341 +23 *117:52 *391:D 2.84704e-05 +24 *119:79 *391:D 1.5714e-05 +25 *131:8 *391:D 7.12632e-06 +26 *140:22 *162:10 0.000154145 +*RES +1 *390:Q *162:10 24.9429 +2 *162:10 *391:D 35.8277 +3 *162:10 *415:A 14.3714 +*END + +*D_NET *163 0.00671358 +*CONN +*I *416:A I *D sky130_fd_sc_hd__dlygate4sd3_1 +*I *379:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *416:A 0 +2 *379:Q 0.00244265 +3 *163:13 0.00244265 +4 *211:DIODE *163:13 6.65668e-05 +5 *324:A2 *163:13 1.43832e-05 +6 *324:B1 *163:13 3.99086e-06 +7 *344:B *163:13 9.45664e-06 +8 *366:CLK_N *163:13 0.000128218 +9 *366:SET_B *163:13 3.39313e-06 +10 *369:D *163:13 3.37637e-05 +11 *379:D *163:13 3.53886e-05 +12 *380:D *163:13 9.40212e-05 +13 *390:CLK *163:13 1.34231e-05 +14 *390:D *163:13 1.96819e-05 +15 *401:A *163:13 1.5252e-05 +16 *13:40 *163:13 0.000117354 +17 *57:17 *163:13 2.10488e-05 +18 *114:8 *163:13 0.000690375 +19 *116:54 *163:13 6.3657e-05 +20 *119:70 *163:13 3.82228e-05 +21 *140:22 *163:13 0.00046009 +*RES +1 *379:Q *163:13 47.5143 +2 *163:13 *416:A 9.3 +*END + +*D_NET *164 0.00577863 +*CONN +*I *421:A I *D sky130_fd_sc_hd__dlygate4sd3_1 +*I *380:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *421:A 0 +2 *380:Q 0.00168384 +3 *164:15 0.00168384 +4 *180:DIODE *164:15 7.36692e-05 +5 *344:A_N *164:15 8.37281e-05 +6 *365:RESET_B *164:15 0.000302956 +7 *373:SET_B *164:15 0.000466234 +8 *378:CLK *164:15 0.000207892 +9 *378:RESET_B *164:15 2.22931e-05 +10 *418:A *164:15 0.000183793 +11 *439:A *164:15 7.38526e-06 +12 *113:25 *164:15 0.000240745 +13 *131:8 *164:15 0.000341752 +14 *143:19 *164:15 5.8334e-05 +15 *146:10 *164:15 0.000422159 +*RES +1 *380:Q *164:15 49.7643 +2 *164:15 *421:A 9.3 +*END + +*D_NET *165 0.000444878 +*CONN +*I *419:A I *D sky130_fd_sc_hd__dlygate4sd3_1 +*I *381:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *419:A 0.000108577 +2 *381:Q 0.000108577 +3 *183:DIODE *419:A 6.27782e-05 +4 *6:7 *419:A 5.58266e-05 +5 *51:14 *419:A 0.000109119 +*RES +1 *381:Q *419:A 30.0821 +*END + +*D_NET *166 0.000826161 +*CONN +*I *411:A I *D sky130_fd_sc_hd__dlygate4sd3_1 +*I *382:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *411:A 4.61609e-05 +2 *382:Q 4.61609e-05 +3 *11:13 *411:A 0.000366919 +4 *12:16 *411:A 0.000366919 +*RES +1 *382:Q *411:A 21.6 +*END + +*D_NET *167 0.0015318 +*CONN +*I *414:A I *D sky130_fd_sc_hd__dlygate4sd3_1 +*I *383:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *414:A 0.000424302 +2 *383:Q 0.000424302 +3 *174:DIODE *414:A 7.65994e-05 +4 *355:A *414:A 8.09625e-05 +5 *6:12 *414:A 0 +6 *116:31 *414:A 0.000525633 +*RES +1 *383:Q *414:A 37.2964 +*END + +*D_NET *168 0.000907022 +*CONN +*I *413:A I *D sky130_fd_sc_hd__dlygate4sd3_1 +*I *384:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *413:A 0.00033152 +2 *384:Q 0.00033152 +3 *336:A_N *413:A 2.57847e-05 +4 *375:D *413:A 6.88011e-05 +5 *396:A *413:A 0.000110117 +6 *59:19 *413:A 3.92784e-05 +*RES +1 *384:Q *413:A 32.1536 +*END + +*D_NET *169 0.000799229 +*CONN +*I *412:A I *D sky130_fd_sc_hd__dlygate4sd3_1 +*I *385:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *412:A 0.000133979 +2 *385:Q 0.000133979 +3 *376:RESET_B *412:A 0.000107496 +4 *11:13 *412:A 9.89388e-06 +5 *94:60 *412:A 0.000158451 +6 *123:10 *412:A 0.000183794 +7 *153:10 *412:A 7.16362e-05 +*RES +1 *385:Q *412:A 31.5107 +*END + +*D_NET *170 0.00229577 +*CONN +*I *420:A I *D sky130_fd_sc_hd__dlygate4sd3_1 +*I *386:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *420:A 0.00046278 +2 *386:Q 0.00046278 +3 *174:DIODE *420:A 0.000140228 +4 *361:A *420:A 0.000212656 +5 *368:CLK_N *420:A 4.99782e-05 +6 *369:SET_B *420:A 0.000154597 +7 *386:D *420:A 5.04829e-06 +8 *386:RESET_B *420:A 4.48613e-05 +9 *402:A *420:A 0.000154145 +10 *433:A *420:A 5.26464e-05 +11 *59:19 *420:A 0.000397916 +12 *61:11 *420:A 0.000158138 +*RES +1 *386:Q *420:A 39.9571 +*END + +*D_NET *171 0.000900423 +*CONN +*I *417:A I *D sky130_fd_sc_hd__dlygate4sd3_1 +*I *387:Q O *D sky130_fd_sc_hd__dfrtp_4 +*CAP +1 *417:A 0.000326138 +2 *387:Q 0.000326138 +3 *354:A *417:A 6.08467e-05 +4 *2:19 *417:A 0.000156946 +5 *95:26 *417:A 3.03541e-05 +*RES +1 *387:Q *417:A 23.6714 +*END + +*D_NET *172 0.00256919 +*CONN +*I *448:A I *D sky130_fd_sc_hd__buf_16 +*I *400:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 *448:A 0.00042802 +2 *400:LO 0.00042802 +3 mgmt_gpio_in *448:A 0.000815926 +4 *213:DIODE *448:A 7.58194e-05 +5 *215:DIODE *448:A 0.000506774 +6 *442:A *448:A 0.000314632 +*RES +1 *400:LO *448:A 33.1179 +*END diff --git a/spef/gpio_defaults_block/gpio_defaults_block.nom.spef b/spef/gpio_defaults_block/gpio_defaults_block.nom.spef new file mode 100644 index 00000000..e5c81061 --- /dev/null +++ b/spef/gpio_defaults_block/gpio_defaults_block.nom.spef @@ -0,0 +1,273 @@ +*SPEF "ieee 1481-1999" +*DESIGN "gpio_defaults_block" +*DATE "11:11:11 Fri 11 11, 1111" +*VENDOR "OpenRCX" +*PROGRAM "Parallel Extraction" +*VERSION "1.0" +*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE" +*DIVIDER / +*DELIMITER : +*BUS_DELIMITER [] +*T_UNIT 1 NS +*C_UNIT 1 PF +*R_UNIT 1 OHM +*L_UNIT 1 HENRY + +*NAME_MAP +*3 gpio_defaults_low\[0\] +*4 gpio_defaults_high\[10\] +*5 gpio_defaults_low\[11\] +*6 gpio_defaults_low\[12\] +*7 gpio_defaults_high\[1\] +*8 gpio_defaults_low\[2\] +*9 gpio_defaults_low\[3\] +*10 gpio_defaults_low\[4\] +*11 gpio_defaults_low\[5\] +*12 gpio_defaults_low\[6\] +*13 gpio_defaults_low\[7\] +*14 gpio_defaults_low\[8\] +*15 gpio_defaults_low\[9\] +*16 gpio_defaults_high\[0\] +*17 gpio_defaults_high\[11\] +*18 gpio_defaults_high\[12\] +*19 gpio_defaults_high\[2\] +*20 gpio_defaults_high\[3\] +*21 gpio_defaults_high\[4\] +*22 gpio_defaults_high\[5\] +*23 gpio_defaults_high\[6\] +*24 gpio_defaults_high\[7\] +*25 gpio_defaults_high\[8\] +*26 gpio_defaults_high\[9\] +*27 gpio_defaults_low\[10\] +*28 gpio_defaults_low\[1\] +*29 FILLER_0_29 +*30 FILLER_0_3 +*31 FILLER_0_33 +*32 FILLER_0_38 +*33 FILLER_0_43 +*34 FILLER_0_48 +*35 FILLER_0_55 +*36 FILLER_0_60 +*37 FILLER_0_9 +*38 FILLER_1_15 +*39 FILLER_1_27 +*40 FILLER_1_3 +*41 FILLER_1_39 +*42 FILLER_1_51 +*43 FILLER_1_55 +*44 FILLER_1_57 +*45 FILLER_1_61 +*46 FILLER_2_15 +*47 FILLER_2_27 +*48 FILLER_2_29 +*49 FILLER_2_3 +*50 FILLER_2_41 +*51 FILLER_2_53 +*52 FILLER_2_57 +*53 FILLER_2_61 +*54 PHY_0 +*55 PHY_1 +*56 PHY_2 +*57 PHY_3 +*58 PHY_4 +*59 PHY_5 +*60 TAP_10 +*61 TAP_6 +*62 TAP_7 +*63 TAP_8 +*64 TAP_9 +*65 gpio_default_value\[0\] +*66 gpio_default_value\[10\] +*67 gpio_default_value\[11\] +*68 gpio_default_value\[12\] +*69 gpio_default_value\[1\] +*70 gpio_default_value\[2\] +*71 gpio_default_value\[3\] +*72 gpio_default_value\[4\] +*73 gpio_default_value\[5\] +*74 gpio_default_value\[6\] +*75 gpio_default_value\[7\] +*76 gpio_default_value\[8\] +*77 gpio_default_value\[9\] + +*PORTS +gpio_defaults[0] O +gpio_defaults[10] O +gpio_defaults[11] O +gpio_defaults[12] O +gpio_defaults[1] O +gpio_defaults[2] O +gpio_defaults[3] O +gpio_defaults[4] O +gpio_defaults[5] O +gpio_defaults[6] O +gpio_defaults[7] O +gpio_defaults[8] O +gpio_defaults[9] O + +*D_NET *3 0.000662868 +*CONN +*P gpio_defaults[0] O +*I *65:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[0] 0.000295589 +2 *65:LO 0.000295589 +3 gpio_defaults[0] gpio_defaults[1] 7.16893e-05 +*RES +1 *65:LO gpio_defaults[0] 21.1394 +*END + +*D_NET *4 0.000169932 +*CONN +*P gpio_defaults[10] O +*I *66:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[10] 8.49658e-05 +2 *66:HI 8.49658e-05 +3 gpio_defaults[10] gpio_defaults[11] 0 +4 gpio_defaults[10] gpio_defaults[9] 0 +*RES +1 *66:HI gpio_defaults[10] 15.7033 +*END + +*D_NET *5 0.000230895 +*CONN +*P gpio_defaults[11] O +*I *67:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[11] 0.000115448 +2 *67:LO 0.000115448 +3 gpio_defaults[11] gpio_defaults[12] 0 +4 gpio_defaults[10] gpio_defaults[11] 0 +*RES +1 *67:LO gpio_defaults[11] 16.5338 +*END + +*D_NET *6 0.000822209 +*CONN +*P gpio_defaults[12] O +*I *68:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[12] 0.000411104 +2 *68:LO 0.000411104 +3 gpio_defaults[11] gpio_defaults[12] 0 +*RES +1 *68:LO gpio_defaults[12] 23.2185 +*END + +*D_NET *7 0.00071336 +*CONN +*P gpio_defaults[1] O +*I *69:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[1] 0.000307544 +2 *69:HI 0.000307544 +3 gpio_defaults[1] gpio_defaults[2] 2.65831e-05 +4 gpio_defaults[0] gpio_defaults[1] 7.16893e-05 +*RES +1 *69:HI gpio_defaults[1] 19.1997 +*END + +*D_NET *8 0.000464143 +*CONN +*P gpio_defaults[2] O +*I *70:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[2] 0.00021878 +2 *70:LO 0.00021878 +3 gpio_defaults[2] gpio_defaults[3] 0 +4 gpio_defaults[1] gpio_defaults[2] 2.65831e-05 +*RES +1 *70:LO gpio_defaults[2] 18.921 +*END + +*D_NET *9 0.000363376 +*CONN +*P gpio_defaults[3] O +*I *71:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[3] 0.000181688 +2 *71:LO 0.000181688 +3 gpio_defaults[3] gpio_defaults[4] 0 +4 gpio_defaults[2] gpio_defaults[3] 0 +*RES +1 *71:LO gpio_defaults[3] 17.8118 +*END + +*D_NET *10 0.000236028 +*CONN +*P gpio_defaults[4] O +*I *72:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[4] 0.000118014 +2 *72:LO 0.000118014 +3 gpio_defaults[4] gpio_defaults[5] 0 +4 gpio_defaults[3] gpio_defaults[4] 0 +*RES +1 *72:LO gpio_defaults[4] 16.5338 +*END + +*D_NET *11 0.000230895 +*CONN +*P gpio_defaults[5] O +*I *73:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[5] 0.000115448 +2 *73:LO 0.000115448 +3 gpio_defaults[5] gpio_defaults[6] 0 +4 gpio_defaults[4] gpio_defaults[5] 0 +*RES +1 *73:LO gpio_defaults[5] 16.5338 +*END + +*D_NET *12 0.000230895 +*CONN +*P gpio_defaults[6] O +*I *74:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[6] 0.000115448 +2 *74:LO 0.000115448 +3 gpio_defaults[6] gpio_defaults[7] 0 +4 gpio_defaults[5] gpio_defaults[6] 0 +*RES +1 *74:LO gpio_defaults[6] 16.5338 +*END + +*D_NET *13 0.00022764 +*CONN +*P gpio_defaults[7] O +*I *75:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[7] 0.00011382 +2 *75:LO 0.00011382 +3 gpio_defaults[7] gpio_defaults[8] 0 +4 gpio_defaults[6] gpio_defaults[7] 0 +*RES +1 *75:LO gpio_defaults[7] 16.5338 +*END + +*D_NET *14 0.000224385 +*CONN +*P gpio_defaults[8] O +*I *76:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[8] 0.000112192 +2 *76:LO 0.000112192 +3 gpio_defaults[8] gpio_defaults[9] 0 +4 gpio_defaults[7] gpio_defaults[8] 0 +*RES +1 *76:LO gpio_defaults[8] 16.5338 +*END + +*D_NET *15 0.00022764 +*CONN +*P gpio_defaults[9] O +*I *77:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[9] 0.00011382 +2 *77:LO 0.00011382 +3 gpio_defaults[10] gpio_defaults[9] 0 +4 gpio_defaults[8] gpio_defaults[9] 0 +*RES +1 *77:LO gpio_defaults[9] 16.5338 +*END diff --git a/spef/gpio_defaults_block_0403/gpio_defaults_block_0403.nom.spef b/spef/gpio_defaults_block_0403/gpio_defaults_block_0403.nom.spef new file mode 100644 index 00000000..6f889224 --- /dev/null +++ b/spef/gpio_defaults_block_0403/gpio_defaults_block_0403.nom.spef @@ -0,0 +1,273 @@ +*SPEF "ieee 1481-1999" +*DESIGN "gpio_defaults_block_0403" +*DATE "11:11:11 Fri 11 11, 1111" +*VENDOR "OpenRCX" +*PROGRAM "Parallel Extraction" +*VERSION "1.0" +*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE" +*DIVIDER / +*DELIMITER : +*BUS_DELIMITER [] +*T_UNIT 1 NS +*C_UNIT 1 PF +*R_UNIT 1 OHM +*L_UNIT 1 HENRY + +*NAME_MAP +*3 gpio_defaults_low\[0\] +*4 gpio_defaults_high\[10\] +*5 gpio_defaults_low\[11\] +*6 gpio_defaults_low\[12\] +*7 gpio_defaults_high\[1\] +*8 gpio_defaults_low\[2\] +*9 gpio_defaults_low\[3\] +*10 gpio_defaults_low\[4\] +*11 gpio_defaults_low\[5\] +*12 gpio_defaults_low\[6\] +*13 gpio_defaults_low\[7\] +*14 gpio_defaults_low\[8\] +*15 gpio_defaults_low\[9\] +*16 gpio_defaults_high\[0\] +*17 gpio_defaults_high\[11\] +*18 gpio_defaults_high\[12\] +*19 gpio_defaults_high\[2\] +*20 gpio_defaults_high\[3\] +*21 gpio_defaults_high\[4\] +*22 gpio_defaults_high\[5\] +*23 gpio_defaults_high\[6\] +*24 gpio_defaults_high\[7\] +*25 gpio_defaults_high\[8\] +*26 gpio_defaults_high\[9\] +*27 gpio_defaults_low\[10\] +*28 gpio_defaults_low\[1\] +*29 FILLER_0_29 +*30 FILLER_0_3 +*31 FILLER_0_33 +*32 FILLER_0_38 +*33 FILLER_0_43 +*34 FILLER_0_48 +*35 FILLER_0_55 +*36 FILLER_0_60 +*37 FILLER_0_9 +*38 FILLER_1_15 +*39 FILLER_1_27 +*40 FILLER_1_3 +*41 FILLER_1_39 +*42 FILLER_1_51 +*43 FILLER_1_55 +*44 FILLER_1_57 +*45 FILLER_1_61 +*46 FILLER_2_15 +*47 FILLER_2_27 +*48 FILLER_2_29 +*49 FILLER_2_3 +*50 FILLER_2_41 +*51 FILLER_2_53 +*52 FILLER_2_57 +*53 FILLER_2_61 +*54 PHY_0 +*55 PHY_1 +*56 PHY_2 +*57 PHY_3 +*58 PHY_4 +*59 PHY_5 +*60 TAP_10 +*61 TAP_6 +*62 TAP_7 +*63 TAP_8 +*64 TAP_9 +*65 gpio_default_value\[0\] +*66 gpio_default_value\[10\] +*67 gpio_default_value\[11\] +*68 gpio_default_value\[12\] +*69 gpio_default_value\[1\] +*70 gpio_default_value\[2\] +*71 gpio_default_value\[3\] +*72 gpio_default_value\[4\] +*73 gpio_default_value\[5\] +*74 gpio_default_value\[6\] +*75 gpio_default_value\[7\] +*76 gpio_default_value\[8\] +*77 gpio_default_value\[9\] + +*PORTS +gpio_defaults[0] O +gpio_defaults[10] O +gpio_defaults[11] O +gpio_defaults[12] O +gpio_defaults[1] O +gpio_defaults[2] O +gpio_defaults[3] O +gpio_defaults[4] O +gpio_defaults[5] O +gpio_defaults[6] O +gpio_defaults[7] O +gpio_defaults[8] O +gpio_defaults[9] O + +*D_NET *3 0.000662868 +*CONN +*P gpio_defaults[0] O +*I *65:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[0] 0.000295589 +2 *65:LO 0.000295589 +3 gpio_defaults[0] gpio_defaults[1] 7.16893e-05 +*RES +1 *65:LO gpio_defaults[0] 21.1394 +*END + +*D_NET *4 0.000169932 +*CONN +*P gpio_defaults[10] O +*I *66:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[10] 8.49658e-05 +2 *66:HI 8.49658e-05 +3 gpio_defaults[10] gpio_defaults[11] 0 +4 gpio_defaults[10] gpio_defaults[9] 0 +*RES +1 *66:HI gpio_defaults[10] 15.7033 +*END + +*D_NET *5 0.000230895 +*CONN +*P gpio_defaults[11] O +*I *67:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[11] 0.000115448 +2 *67:LO 0.000115448 +3 gpio_defaults[11] gpio_defaults[12] 0 +4 gpio_defaults[10] gpio_defaults[11] 0 +*RES +1 *67:LO gpio_defaults[11] 16.5338 +*END + +*D_NET *6 0.000822209 +*CONN +*P gpio_defaults[12] O +*I *68:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[12] 0.000411104 +2 *68:LO 0.000411104 +3 gpio_defaults[11] gpio_defaults[12] 0 +*RES +1 *68:LO gpio_defaults[12] 23.2185 +*END + +*D_NET *7 0.00071336 +*CONN +*P gpio_defaults[1] O +*I *69:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[1] 0.000307544 +2 *69:HI 0.000307544 +3 gpio_defaults[1] gpio_defaults[2] 2.65831e-05 +4 gpio_defaults[0] gpio_defaults[1] 7.16893e-05 +*RES +1 *69:HI gpio_defaults[1] 19.1997 +*END + +*D_NET *8 0.000464143 +*CONN +*P gpio_defaults[2] O +*I *70:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[2] 0.00021878 +2 *70:LO 0.00021878 +3 gpio_defaults[2] gpio_defaults[3] 0 +4 gpio_defaults[1] gpio_defaults[2] 2.65831e-05 +*RES +1 *70:LO gpio_defaults[2] 18.921 +*END + +*D_NET *9 0.000363376 +*CONN +*P gpio_defaults[3] O +*I *71:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[3] 0.000181688 +2 *71:LO 0.000181688 +3 gpio_defaults[3] gpio_defaults[4] 0 +4 gpio_defaults[2] gpio_defaults[3] 0 +*RES +1 *71:LO gpio_defaults[3] 17.8118 +*END + +*D_NET *10 0.000236028 +*CONN +*P gpio_defaults[4] O +*I *72:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[4] 0.000118014 +2 *72:LO 0.000118014 +3 gpio_defaults[4] gpio_defaults[5] 0 +4 gpio_defaults[3] gpio_defaults[4] 0 +*RES +1 *72:LO gpio_defaults[4] 16.5338 +*END + +*D_NET *11 0.000230895 +*CONN +*P gpio_defaults[5] O +*I *73:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[5] 0.000115448 +2 *73:LO 0.000115448 +3 gpio_defaults[5] gpio_defaults[6] 0 +4 gpio_defaults[4] gpio_defaults[5] 0 +*RES +1 *73:LO gpio_defaults[5] 16.5338 +*END + +*D_NET *12 0.000230895 +*CONN +*P gpio_defaults[6] O +*I *74:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[6] 0.000115448 +2 *74:LO 0.000115448 +3 gpio_defaults[6] gpio_defaults[7] 0 +4 gpio_defaults[5] gpio_defaults[6] 0 +*RES +1 *74:LO gpio_defaults[6] 16.5338 +*END + +*D_NET *13 0.00022764 +*CONN +*P gpio_defaults[7] O +*I *75:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[7] 0.00011382 +2 *75:LO 0.00011382 +3 gpio_defaults[7] gpio_defaults[8] 0 +4 gpio_defaults[6] gpio_defaults[7] 0 +*RES +1 *75:LO gpio_defaults[7] 16.5338 +*END + +*D_NET *14 0.000224385 +*CONN +*P gpio_defaults[8] O +*I *76:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[8] 0.000112192 +2 *76:LO 0.000112192 +3 gpio_defaults[8] gpio_defaults[9] 0 +4 gpio_defaults[7] gpio_defaults[8] 0 +*RES +1 *76:LO gpio_defaults[8] 16.5338 +*END + +*D_NET *15 0.00022764 +*CONN +*P gpio_defaults[9] O +*I *77:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[9] 0.00011382 +2 *77:LO 0.00011382 +3 gpio_defaults[10] gpio_defaults[9] 0 +4 gpio_defaults[8] gpio_defaults[9] 0 +*RES +1 *77:LO gpio_defaults[9] 16.5338 +*END diff --git a/spef/gpio_defaults_block_1803/gpio_defaults_block_1803.nom.spef b/spef/gpio_defaults_block_1803/gpio_defaults_block_1803.nom.spef new file mode 100644 index 00000000..af2628ff --- /dev/null +++ b/spef/gpio_defaults_block_1803/gpio_defaults_block_1803.nom.spef @@ -0,0 +1,273 @@ +*SPEF "ieee 1481-1999" +*DESIGN "gpio_defaults_block_1803" +*DATE "11:11:11 Fri 11 11, 1111" +*VENDOR "OpenRCX" +*PROGRAM "Parallel Extraction" +*VERSION "1.0" +*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE" +*DIVIDER / +*DELIMITER : +*BUS_DELIMITER [] +*T_UNIT 1 NS +*C_UNIT 1 PF +*R_UNIT 1 OHM +*L_UNIT 1 HENRY + +*NAME_MAP +*3 gpio_defaults_low\[0\] +*4 gpio_defaults_high\[10\] +*5 gpio_defaults_low\[11\] +*6 gpio_defaults_low\[12\] +*7 gpio_defaults_high\[1\] +*8 gpio_defaults_low\[2\] +*9 gpio_defaults_low\[3\] +*10 gpio_defaults_low\[4\] +*11 gpio_defaults_low\[5\] +*12 gpio_defaults_low\[6\] +*13 gpio_defaults_low\[7\] +*14 gpio_defaults_low\[8\] +*15 gpio_defaults_low\[9\] +*16 gpio_defaults_high\[0\] +*17 gpio_defaults_high\[11\] +*18 gpio_defaults_high\[12\] +*19 gpio_defaults_high\[2\] +*20 gpio_defaults_high\[3\] +*21 gpio_defaults_high\[4\] +*22 gpio_defaults_high\[5\] +*23 gpio_defaults_high\[6\] +*24 gpio_defaults_high\[7\] +*25 gpio_defaults_high\[8\] +*26 gpio_defaults_high\[9\] +*27 gpio_defaults_low\[10\] +*28 gpio_defaults_low\[1\] +*29 FILLER_0_29 +*30 FILLER_0_3 +*31 FILLER_0_33 +*32 FILLER_0_38 +*33 FILLER_0_43 +*34 FILLER_0_48 +*35 FILLER_0_55 +*36 FILLER_0_60 +*37 FILLER_0_9 +*38 FILLER_1_15 +*39 FILLER_1_27 +*40 FILLER_1_3 +*41 FILLER_1_39 +*42 FILLER_1_51 +*43 FILLER_1_55 +*44 FILLER_1_57 +*45 FILLER_1_61 +*46 FILLER_2_15 +*47 FILLER_2_27 +*48 FILLER_2_29 +*49 FILLER_2_3 +*50 FILLER_2_41 +*51 FILLER_2_53 +*52 FILLER_2_57 +*53 FILLER_2_61 +*54 PHY_0 +*55 PHY_1 +*56 PHY_2 +*57 PHY_3 +*58 PHY_4 +*59 PHY_5 +*60 TAP_10 +*61 TAP_6 +*62 TAP_7 +*63 TAP_8 +*64 TAP_9 +*65 gpio_default_value\[0\] +*66 gpio_default_value\[10\] +*67 gpio_default_value\[11\] +*68 gpio_default_value\[12\] +*69 gpio_default_value\[1\] +*70 gpio_default_value\[2\] +*71 gpio_default_value\[3\] +*72 gpio_default_value\[4\] +*73 gpio_default_value\[5\] +*74 gpio_default_value\[6\] +*75 gpio_default_value\[7\] +*76 gpio_default_value\[8\] +*77 gpio_default_value\[9\] + +*PORTS +gpio_defaults[0] O +gpio_defaults[10] O +gpio_defaults[11] O +gpio_defaults[12] O +gpio_defaults[1] O +gpio_defaults[2] O +gpio_defaults[3] O +gpio_defaults[4] O +gpio_defaults[5] O +gpio_defaults[6] O +gpio_defaults[7] O +gpio_defaults[8] O +gpio_defaults[9] O + +*D_NET *3 0.000662868 +*CONN +*P gpio_defaults[0] O +*I *65:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[0] 0.000295589 +2 *65:LO 0.000295589 +3 gpio_defaults[0] gpio_defaults[1] 7.16893e-05 +*RES +1 *65:LO gpio_defaults[0] 21.1394 +*END + +*D_NET *4 0.000169932 +*CONN +*P gpio_defaults[10] O +*I *66:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[10] 8.49658e-05 +2 *66:HI 8.49658e-05 +3 gpio_defaults[10] gpio_defaults[11] 0 +4 gpio_defaults[10] gpio_defaults[9] 0 +*RES +1 *66:HI gpio_defaults[10] 15.7033 +*END + +*D_NET *5 0.000230895 +*CONN +*P gpio_defaults[11] O +*I *67:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[11] 0.000115448 +2 *67:LO 0.000115448 +3 gpio_defaults[11] gpio_defaults[12] 0 +4 gpio_defaults[10] gpio_defaults[11] 0 +*RES +1 *67:LO gpio_defaults[11] 16.5338 +*END + +*D_NET *6 0.000822209 +*CONN +*P gpio_defaults[12] O +*I *68:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[12] 0.000411104 +2 *68:LO 0.000411104 +3 gpio_defaults[11] gpio_defaults[12] 0 +*RES +1 *68:LO gpio_defaults[12] 23.2185 +*END + +*D_NET *7 0.00071336 +*CONN +*P gpio_defaults[1] O +*I *69:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[1] 0.000307544 +2 *69:HI 0.000307544 +3 gpio_defaults[1] gpio_defaults[2] 2.65831e-05 +4 gpio_defaults[0] gpio_defaults[1] 7.16893e-05 +*RES +1 *69:HI gpio_defaults[1] 19.1997 +*END + +*D_NET *8 0.000464143 +*CONN +*P gpio_defaults[2] O +*I *70:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[2] 0.00021878 +2 *70:LO 0.00021878 +3 gpio_defaults[2] gpio_defaults[3] 0 +4 gpio_defaults[1] gpio_defaults[2] 2.65831e-05 +*RES +1 *70:LO gpio_defaults[2] 18.921 +*END + +*D_NET *9 0.000363376 +*CONN +*P gpio_defaults[3] O +*I *71:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[3] 0.000181688 +2 *71:LO 0.000181688 +3 gpio_defaults[3] gpio_defaults[4] 0 +4 gpio_defaults[2] gpio_defaults[3] 0 +*RES +1 *71:LO gpio_defaults[3] 17.8118 +*END + +*D_NET *10 0.000236028 +*CONN +*P gpio_defaults[4] O +*I *72:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[4] 0.000118014 +2 *72:LO 0.000118014 +3 gpio_defaults[4] gpio_defaults[5] 0 +4 gpio_defaults[3] gpio_defaults[4] 0 +*RES +1 *72:LO gpio_defaults[4] 16.5338 +*END + +*D_NET *11 0.000230895 +*CONN +*P gpio_defaults[5] O +*I *73:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[5] 0.000115448 +2 *73:LO 0.000115448 +3 gpio_defaults[5] gpio_defaults[6] 0 +4 gpio_defaults[4] gpio_defaults[5] 0 +*RES +1 *73:LO gpio_defaults[5] 16.5338 +*END + +*D_NET *12 0.000230895 +*CONN +*P gpio_defaults[6] O +*I *74:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[6] 0.000115448 +2 *74:LO 0.000115448 +3 gpio_defaults[6] gpio_defaults[7] 0 +4 gpio_defaults[5] gpio_defaults[6] 0 +*RES +1 *74:LO gpio_defaults[6] 16.5338 +*END + +*D_NET *13 0.00022764 +*CONN +*P gpio_defaults[7] O +*I *75:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[7] 0.00011382 +2 *75:LO 0.00011382 +3 gpio_defaults[7] gpio_defaults[8] 0 +4 gpio_defaults[6] gpio_defaults[7] 0 +*RES +1 *75:LO gpio_defaults[7] 16.5338 +*END + +*D_NET *14 0.000224385 +*CONN +*P gpio_defaults[8] O +*I *76:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[8] 0.000112192 +2 *76:LO 0.000112192 +3 gpio_defaults[8] gpio_defaults[9] 0 +4 gpio_defaults[7] gpio_defaults[8] 0 +*RES +1 *76:LO gpio_defaults[8] 16.5338 +*END + +*D_NET *15 0.00022764 +*CONN +*P gpio_defaults[9] O +*I *77:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_defaults[9] 0.00011382 +2 *77:LO 0.00011382 +3 gpio_defaults[10] gpio_defaults[9] 0 +4 gpio_defaults[8] gpio_defaults[9] 0 +*RES +1 *77:LO gpio_defaults[9] 16.5338 +*END diff --git a/spef/gpio_logic_high/gpio_logic_high.nom.spef b/spef/gpio_logic_high/gpio_logic_high.nom.spef new file mode 100644 index 00000000..e0950e41 --- /dev/null +++ b/spef/gpio_logic_high/gpio_logic_high.nom.spef @@ -0,0 +1,57 @@ +*SPEF "ieee 1481-1999" +*DESIGN "gpio_logic_high" +*DATE "11:11:11 Fri 11 11, 1111" +*VENDOR "OpenRCX" +*PROGRAM "Parallel Extraction" +*VERSION "1.0" +*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE" +*DIVIDER / +*DELIMITER : +*BUS_DELIMITER [] +*T_UNIT 1 NS +*C_UNIT 1 PF +*R_UNIT 1 OHM +*L_UNIT 1 HENRY + +*NAME_MAP +*1 gpio_logic1 +*2 FILLER_0_3 +*3 FILLER_0_7 +*4 FILLER_0_9 +*5 FILLER_1_11 +*6 FILLER_1_3 +*7 FILLER_2_3 +*8 FILLER_2_7 +*9 FILLER_2_9 +*10 FILLER_3_3 +*11 FILLER_4_3 +*12 FILLER_4_7 +*13 FILLER_4_9 +*14 PHY_0 +*15 PHY_1 +*16 PHY_2 +*17 PHY_3 +*18 PHY_4 +*19 PHY_5 +*20 PHY_6 +*21 PHY_7 +*22 PHY_8 +*23 PHY_9 +*24 TAP_10 +*25 TAP_11 +*26 TAP_12 +*27 gpio_logic_high + +*PORTS +gpio_logic1 O + +*D_NET *1 0.000513616 +*CONN +*P gpio_logic1 O +*I *27:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 gpio_logic1 0.000256808 +2 *27:HI 0.000256808 +*RES +1 *27:HI gpio_logic1 21.9631 +*END diff --git a/spef/mgmt_protect/mgmt_protect.nom.spef b/spef/mgmt_protect/mgmt_protect.nom.spef new file mode 100644 index 00000000..dd9b1a4f --- /dev/null +++ b/spef/mgmt_protect/mgmt_protect.nom.spef @@ -0,0 +1,286017 @@ +*SPEF "ieee 1481-1999" +*DESIGN "mgmt_protect" +*DATE "11:11:11 Fri 11 11, 1111" +*VENDOR "OpenRCX" +*PROGRAM "Parallel Extraction" +*VERSION "1.0" +*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE" +*DIVIDER / +*DELIMITER : +*BUS_DELIMITER [] +*T_UNIT 1 NS +*C_UNIT 1 PF +*R_UNIT 1 OHM +*L_UNIT 1 HENRY + +*NAME_MAP +*1 caravel_clk +*2 caravel_clk2 +*3 caravel_rstn +*4 la_data_in_core[0] +*5 la_data_in_core[100] +*6 la_data_in_core[101] +*7 la_data_in_core[102] +*8 la_data_in_core[103] +*9 la_data_in_core[104] +*10 la_data_in_core[105] +*11 la_data_in_core[106] +*12 la_data_in_core[107] +*13 la_data_in_core[108] +*14 la_data_in_core[109] +*15 la_data_in_core[10] +*16 la_data_in_core[110] +*17 la_data_in_core[111] +*18 la_data_in_core[112] +*19 la_data_in_core[113] +*20 la_data_in_core[114] +*21 la_data_in_core[115] +*22 la_data_in_core[116] +*23 la_data_in_core[117] +*24 la_data_in_core[118] +*25 la_data_in_core[119] +*26 la_data_in_core[11] +*27 la_data_in_core[120] +*28 la_data_in_core[121] +*29 la_data_in_core[122] +*30 la_data_in_core[123] +*31 la_data_in_core[124] +*32 la_data_in_core[125] +*33 la_data_in_core[126] +*34 la_data_in_core[127] +*35 la_data_in_core[12] +*36 la_data_in_core[13] +*37 la_data_in_core[14] +*38 la_data_in_core[15] +*39 la_data_in_core[16] +*40 la_data_in_core[17] +*41 la_data_in_core[18] +*42 la_data_in_core[19] +*43 la_data_in_core[1] +*44 la_data_in_core[20] +*45 la_data_in_core[21] +*46 la_data_in_core[22] +*47 la_data_in_core[23] +*48 la_data_in_core[24] +*49 la_data_in_core[25] +*50 la_data_in_core[26] +*51 la_data_in_core[27] +*52 la_data_in_core[28] +*53 la_data_in_core[29] +*54 la_data_in_core[2] +*55 la_data_in_core[30] +*56 la_data_in_core[31] +*57 la_data_in_core[32] +*58 la_data_in_core[33] +*59 la_data_in_core[34] +*60 la_data_in_core[35] +*61 la_data_in_core[36] +*62 la_data_in_core[37] +*63 la_data_in_core[38] +*64 la_data_in_core[39] +*65 la_data_in_core[3] +*66 la_data_in_core[40] +*67 la_data_in_core[41] +*68 la_data_in_core[42] +*69 la_data_in_core[43] +*70 la_data_in_core[44] +*71 la_data_in_core[45] +*72 la_data_in_core[46] +*73 la_data_in_core[47] +*74 la_data_in_core[48] +*75 la_data_in_core[49] +*76 la_data_in_core[4] +*77 la_data_in_core[50] +*78 la_data_in_core[51] +*79 la_data_in_core[52] +*80 la_data_in_core[53] +*81 la_data_in_core[54] +*82 la_data_in_core[55] +*83 la_data_in_core[56] +*84 la_data_in_core[57] +*85 la_data_in_core[58] +*86 la_data_in_core[59] +*87 la_data_in_core[5] +*88 la_data_in_core[60] +*89 la_data_in_core[61] +*90 la_data_in_core[62] +*91 la_data_in_core[63] +*92 la_data_in_core[64] +*93 la_data_in_core[65] +*94 la_data_in_core[66] +*95 la_data_in_core[67] +*96 la_data_in_core[68] +*97 la_data_in_core[69] +*98 la_data_in_core[6] +*99 la_data_in_core[70] +*100 la_data_in_core[71] +*101 la_data_in_core[72] +*102 la_data_in_core[73] +*103 la_data_in_core[74] +*104 la_data_in_core[75] +*105 la_data_in_core[76] +*106 la_data_in_core[77] +*107 la_data_in_core[78] +*108 la_data_in_core[79] +*109 la_data_in_core[7] +*110 la_data_in_core[80] +*111 la_data_in_core[81] +*112 la_data_in_core[82] +*113 la_data_in_core[83] +*114 la_data_in_core[84] +*115 la_data_in_core[85] +*116 la_data_in_core[86] +*117 la_data_in_core[87] +*118 la_data_in_core[88] +*119 la_data_in_core[89] +*120 la_data_in_core[8] +*121 la_data_in_core[90] +*122 la_data_in_core[91] +*123 la_data_in_core[92] +*124 la_data_in_core[93] +*125 la_data_in_core[94] +*126 la_data_in_core[95] +*127 la_data_in_core[96] +*128 la_data_in_core[97] +*129 la_data_in_core[98] +*130 la_data_in_core[99] +*131 la_data_in_core[9] +*132 la_data_in_mprj[0] +*133 la_data_in_mprj[100] +*134 la_data_in_mprj[101] +*135 la_data_in_mprj[102] +*136 la_data_in_mprj[103] +*137 la_data_in_mprj[104] +*138 la_data_in_mprj[105] +*139 la_data_in_mprj[106] +*140 la_data_in_mprj[107] +*141 la_data_in_mprj[108] +*142 la_data_in_mprj[109] +*143 la_data_in_mprj[10] +*144 la_data_in_mprj[110] +*145 la_data_in_mprj[111] +*146 la_data_in_mprj[112] +*147 la_data_in_mprj[113] +*148 la_data_in_mprj[114] +*149 la_data_in_mprj[115] +*150 la_data_in_mprj[116] +*151 la_data_in_mprj[117] +*152 la_data_in_mprj[118] +*153 la_data_in_mprj[119] +*154 la_data_in_mprj[11] +*155 la_data_in_mprj[120] +*156 la_data_in_mprj[121] +*157 la_data_in_mprj[122] +*158 la_data_in_mprj[123] +*159 la_data_in_mprj[124] +*160 la_data_in_mprj[125] +*161 la_data_in_mprj[126] +*162 la_data_in_mprj[127] +*163 la_data_in_mprj[12] +*164 la_data_in_mprj[13] +*165 la_data_in_mprj[14] +*166 la_data_in_mprj[15] +*167 la_data_in_mprj[16] +*168 la_data_in_mprj[17] +*169 la_data_in_mprj[18] +*170 la_data_in_mprj[19] +*171 la_data_in_mprj[1] +*172 la_data_in_mprj[20] +*173 la_data_in_mprj[21] +*174 la_data_in_mprj[22] +*175 la_data_in_mprj[23] +*176 la_data_in_mprj[24] +*177 la_data_in_mprj[25] +*178 la_data_in_mprj[26] +*179 la_data_in_mprj[27] +*180 la_data_in_mprj[28] +*181 la_data_in_mprj[29] +*182 la_data_in_mprj[2] +*183 la_data_in_mprj[30] +*184 la_data_in_mprj[31] +*185 la_data_in_mprj[32] +*186 la_data_in_mprj[33] +*187 la_data_in_mprj[34] +*188 la_data_in_mprj[35] +*189 la_data_in_mprj[36] +*190 la_data_in_mprj[37] +*191 la_data_in_mprj[38] +*192 la_data_in_mprj[39] +*193 la_data_in_mprj[3] +*194 la_data_in_mprj[40] +*195 la_data_in_mprj[41] +*196 la_data_in_mprj[42] +*197 la_data_in_mprj[43] +*198 la_data_in_mprj[44] +*199 la_data_in_mprj[45] +*200 la_data_in_mprj[46] +*201 la_data_in_mprj[47] +*202 la_data_in_mprj[48] +*203 la_data_in_mprj[49] +*204 la_data_in_mprj[4] +*205 la_data_in_mprj[50] +*206 la_data_in_mprj[51] +*207 la_data_in_mprj[52] +*208 la_data_in_mprj[53] +*209 la_data_in_mprj[54] +*210 la_data_in_mprj[55] +*211 la_data_in_mprj[56] +*212 la_data_in_mprj[57] +*213 la_data_in_mprj[58] +*214 la_data_in_mprj[59] +*215 la_data_in_mprj[5] +*216 la_data_in_mprj[60] +*217 la_data_in_mprj[61] +*218 la_data_in_mprj[62] +*219 la_data_in_mprj[63] +*220 la_data_in_mprj[64] +*221 la_data_in_mprj[65] +*222 la_data_in_mprj[66] +*223 la_data_in_mprj[67] +*224 la_data_in_mprj[68] +*225 la_data_in_mprj[69] +*226 la_data_in_mprj[6] +*227 la_data_in_mprj[70] +*228 la_data_in_mprj[71] +*229 la_data_in_mprj[72] +*230 la_data_in_mprj[73] +*231 la_data_in_mprj[74] +*232 la_data_in_mprj[75] +*233 la_data_in_mprj[76] +*234 la_data_in_mprj[77] +*235 la_data_in_mprj[78] +*236 la_data_in_mprj[79] +*237 la_data_in_mprj[7] +*238 la_data_in_mprj[80] +*239 la_data_in_mprj[81] +*240 la_data_in_mprj[82] +*241 la_data_in_mprj[83] +*242 la_data_in_mprj[84] +*243 la_data_in_mprj[85] +*244 la_data_in_mprj[86] +*245 la_data_in_mprj[87] +*246 la_data_in_mprj[88] +*247 la_data_in_mprj[89] +*248 la_data_in_mprj[8] +*249 la_data_in_mprj[90] +*250 la_data_in_mprj[91] +*251 la_data_in_mprj[92] +*252 la_data_in_mprj[93] +*253 la_data_in_mprj[94] +*254 la_data_in_mprj[95] +*255 la_data_in_mprj[96] +*256 la_data_in_mprj[97] +*257 la_data_in_mprj[98] +*258 la_data_in_mprj[99] +*259 la_data_in_mprj[9] +*260 la_data_out_core[0] +*261 la_data_out_core[100] +*262 la_data_out_core[101] +*263 la_data_out_core[102] +*264 la_data_out_core[103] +*265 la_data_out_core[104] +*266 la_data_out_core[105] +*267 la_data_out_core[106] +*268 la_data_out_core[107] +*269 la_data_out_core[108] +*270 la_data_out_core[109] +*271 la_data_out_core[10] +*272 la_data_out_core[110] +*273 la_data_out_core[111] +*274 la_data_out_core[112] +*275 la_data_out_core[113] +*276 la_data_out_core[114] +*277 la_data_out_core[115] +*278 la_data_out_core[116] +*279 la_data_out_core[117] +*280 la_data_out_core[118] +*281 la_data_out_core[119] +*282 la_data_out_core[11] +*283 la_data_out_core[120] +*284 la_data_out_core[121] +*285 la_data_out_core[122] +*286 la_data_out_core[123] +*287 la_data_out_core[124] +*288 la_data_out_core[125] +*289 la_data_out_core[126] +*290 la_data_out_core[127] +*291 la_data_out_core[12] +*292 la_data_out_core[13] +*293 la_data_out_core[14] +*294 la_data_out_core[15] +*295 la_data_out_core[16] +*296 la_data_out_core[17] +*297 la_data_out_core[18] +*298 la_data_out_core[19] +*299 la_data_out_core[1] +*300 la_data_out_core[20] +*301 la_data_out_core[21] +*302 la_data_out_core[22] +*303 la_data_out_core[23] +*304 la_data_out_core[24] +*305 la_data_out_core[25] +*306 la_data_out_core[26] +*307 la_data_out_core[27] +*308 la_data_out_core[28] +*309 la_data_out_core[29] +*310 la_data_out_core[2] +*311 la_data_out_core[30] +*312 la_data_out_core[31] +*313 la_data_out_core[32] +*314 la_data_out_core[33] +*315 la_data_out_core[34] +*316 la_data_out_core[35] +*317 la_data_out_core[36] +*318 la_data_out_core[37] +*319 la_data_out_core[38] +*320 la_data_out_core[39] +*321 la_data_out_core[3] +*322 la_data_out_core[40] +*323 la_data_out_core[41] +*324 la_data_out_core[42] +*325 la_data_out_core[43] +*326 la_data_out_core[44] +*327 la_data_out_core[45] +*328 la_data_out_core[46] +*329 la_data_out_core[47] +*330 la_data_out_core[48] +*331 la_data_out_core[49] +*332 la_data_out_core[4] +*333 la_data_out_core[50] +*334 la_data_out_core[51] +*335 la_data_out_core[52] +*336 la_data_out_core[53] +*337 la_data_out_core[54] +*338 la_data_out_core[55] +*339 la_data_out_core[56] +*340 la_data_out_core[57] +*341 la_data_out_core[58] +*342 la_data_out_core[59] +*343 la_data_out_core[5] +*344 la_data_out_core[60] +*345 la_data_out_core[61] +*346 la_data_out_core[62] +*347 la_data_out_core[63] +*348 la_data_out_core[64] +*349 la_data_out_core[65] +*350 la_data_out_core[66] +*351 la_data_out_core[67] +*352 la_data_out_core[68] +*353 la_data_out_core[69] +*354 la_data_out_core[6] +*355 la_data_out_core[70] +*356 la_data_out_core[71] +*357 la_data_out_core[72] +*358 la_data_out_core[73] +*359 la_data_out_core[74] +*360 la_data_out_core[75] +*361 la_data_out_core[76] +*362 la_data_out_core[77] +*363 la_data_out_core[78] +*364 la_data_out_core[79] +*365 la_data_out_core[7] +*366 la_data_out_core[80] +*367 la_data_out_core[81] +*368 la_data_out_core[82] +*369 la_data_out_core[83] +*370 la_data_out_core[84] +*371 la_data_out_core[85] +*372 la_data_out_core[86] +*373 la_data_out_core[87] +*374 la_data_out_core[88] +*375 la_data_out_core[89] +*376 la_data_out_core[8] +*377 la_data_out_core[90] +*378 la_data_out_core[91] +*379 la_data_out_core[92] +*380 la_data_out_core[93] +*381 la_data_out_core[94] +*382 la_data_out_core[95] +*383 la_data_out_core[96] +*384 la_data_out_core[97] +*385 la_data_out_core[98] +*386 la_data_out_core[99] +*387 la_data_out_core[9] +*388 la_data_out_mprj[0] +*389 la_data_out_mprj[100] +*390 la_data_out_mprj[101] +*391 la_data_out_mprj[102] +*392 la_data_out_mprj[103] +*393 la_data_out_mprj[104] +*394 la_data_out_mprj[105] +*395 la_data_out_mprj[106] +*396 la_data_out_mprj[107] +*397 la_data_out_mprj[108] +*398 la_data_out_mprj[109] +*399 la_data_out_mprj[10] +*400 la_data_out_mprj[110] +*401 la_data_out_mprj[111] +*402 la_data_out_mprj[112] +*403 la_data_out_mprj[113] +*404 la_data_out_mprj[114] +*405 la_data_out_mprj[115] +*406 la_data_out_mprj[116] +*407 la_data_out_mprj[117] +*408 la_data_out_mprj[118] +*409 la_data_out_mprj[119] +*410 la_data_out_mprj[11] +*411 la_data_out_mprj[120] +*412 la_data_out_mprj[121] +*413 la_data_out_mprj[122] +*414 la_data_out_mprj[123] +*415 la_data_out_mprj[124] +*416 la_data_out_mprj[125] +*417 la_data_out_mprj[126] +*418 la_data_out_mprj[127] +*419 la_data_out_mprj[12] +*420 la_data_out_mprj[13] +*421 la_data_out_mprj[14] +*422 la_data_out_mprj[15] +*423 la_data_out_mprj[16] +*424 la_data_out_mprj[17] +*425 la_data_out_mprj[18] +*426 la_data_out_mprj[19] +*427 la_data_out_mprj[1] +*428 la_data_out_mprj[20] +*429 la_data_out_mprj[21] +*430 la_data_out_mprj[22] +*431 la_data_out_mprj[23] +*432 la_data_out_mprj[24] +*433 la_data_out_mprj[25] +*434 la_data_out_mprj[26] +*435 la_data_out_mprj[27] +*436 la_data_out_mprj[28] +*437 la_data_out_mprj[29] +*438 la_data_out_mprj[2] +*439 la_data_out_mprj[30] +*440 la_data_out_mprj[31] +*441 la_data_out_mprj[32] +*442 la_data_out_mprj[33] +*443 la_data_out_mprj[34] +*444 la_data_out_mprj[35] +*445 la_data_out_mprj[36] +*446 la_data_out_mprj[37] +*447 la_data_out_mprj[38] +*448 la_data_out_mprj[39] +*449 la_data_out_mprj[3] +*450 la_data_out_mprj[40] +*451 la_data_out_mprj[41] +*452 la_data_out_mprj[42] +*453 la_data_out_mprj[43] +*454 la_data_out_mprj[44] +*455 la_data_out_mprj[45] +*456 la_data_out_mprj[46] +*457 la_data_out_mprj[47] +*458 la_data_out_mprj[48] +*459 la_data_out_mprj[49] +*460 la_data_out_mprj[4] +*461 la_data_out_mprj[50] +*462 la_data_out_mprj[51] +*463 la_data_out_mprj[52] +*464 la_data_out_mprj[53] +*465 la_data_out_mprj[54] +*466 la_data_out_mprj[55] +*467 la_data_out_mprj[56] +*468 la_data_out_mprj[57] +*469 la_data_out_mprj[58] +*470 la_data_out_mprj[59] +*471 la_data_out_mprj[5] +*472 la_data_out_mprj[60] +*473 la_data_out_mprj[61] +*474 la_data_out_mprj[62] +*475 la_data_out_mprj[63] +*476 la_data_out_mprj[64] +*477 la_data_out_mprj[65] +*478 la_data_out_mprj[66] +*479 la_data_out_mprj[67] +*480 la_data_out_mprj[68] +*481 la_data_out_mprj[69] +*482 la_data_out_mprj[6] +*483 la_data_out_mprj[70] +*484 la_data_out_mprj[71] +*485 la_data_out_mprj[72] +*486 la_data_out_mprj[73] +*487 la_data_out_mprj[74] +*488 la_data_out_mprj[75] +*489 la_data_out_mprj[76] +*490 la_data_out_mprj[77] +*491 la_data_out_mprj[78] +*492 la_data_out_mprj[79] +*493 la_data_out_mprj[7] +*494 la_data_out_mprj[80] +*495 la_data_out_mprj[81] +*496 la_data_out_mprj[82] +*497 la_data_out_mprj[83] +*498 la_data_out_mprj[84] +*499 la_data_out_mprj[85] +*500 la_data_out_mprj[86] +*501 la_data_out_mprj[87] +*502 la_data_out_mprj[88] +*503 la_data_out_mprj[89] +*504 la_data_out_mprj[8] +*505 la_data_out_mprj[90] +*506 la_data_out_mprj[91] +*507 la_data_out_mprj[92] +*508 la_data_out_mprj[93] +*509 la_data_out_mprj[94] +*510 la_data_out_mprj[95] +*511 la_data_out_mprj[96] +*512 la_data_out_mprj[97] +*513 la_data_out_mprj[98] +*514 la_data_out_mprj[99] +*515 la_data_out_mprj[9] +*516 la_iena_mprj[0] +*517 la_iena_mprj[100] +*518 la_iena_mprj[101] +*519 la_iena_mprj[102] +*520 la_iena_mprj[103] +*521 la_iena_mprj[104] +*522 la_iena_mprj[105] +*523 la_iena_mprj[106] +*524 la_iena_mprj[107] +*525 la_iena_mprj[108] +*526 la_iena_mprj[109] +*527 la_iena_mprj[10] +*528 la_iena_mprj[110] +*529 la_iena_mprj[111] +*530 la_iena_mprj[112] +*531 la_iena_mprj[113] +*532 la_iena_mprj[114] +*533 la_iena_mprj[115] +*534 la_iena_mprj[116] +*535 la_iena_mprj[117] +*536 la_iena_mprj[118] +*537 la_iena_mprj[119] +*538 la_iena_mprj[11] +*539 la_iena_mprj[120] +*540 la_iena_mprj[121] +*541 la_iena_mprj[122] +*542 la_iena_mprj[123] +*543 la_iena_mprj[124] +*544 la_iena_mprj[125] +*545 la_iena_mprj[126] +*546 la_iena_mprj[127] +*547 la_iena_mprj[12] +*548 la_iena_mprj[13] +*549 la_iena_mprj[14] +*550 la_iena_mprj[15] +*551 la_iena_mprj[16] +*552 la_iena_mprj[17] +*553 la_iena_mprj[18] +*554 la_iena_mprj[19] +*555 la_iena_mprj[1] +*556 la_iena_mprj[20] +*557 la_iena_mprj[21] +*558 la_iena_mprj[22] +*559 la_iena_mprj[23] +*560 la_iena_mprj[24] +*561 la_iena_mprj[25] +*562 la_iena_mprj[26] +*563 la_iena_mprj[27] +*564 la_iena_mprj[28] +*565 la_iena_mprj[29] +*566 la_iena_mprj[2] +*567 la_iena_mprj[30] +*568 la_iena_mprj[31] +*569 la_iena_mprj[32] +*570 la_iena_mprj[33] +*571 la_iena_mprj[34] +*572 la_iena_mprj[35] +*573 la_iena_mprj[36] +*574 la_iena_mprj[37] +*575 la_iena_mprj[38] +*576 la_iena_mprj[39] +*577 la_iena_mprj[3] +*578 la_iena_mprj[40] +*579 la_iena_mprj[41] +*580 la_iena_mprj[42] +*581 la_iena_mprj[43] +*582 la_iena_mprj[44] +*583 la_iena_mprj[45] +*584 la_iena_mprj[46] +*585 la_iena_mprj[47] +*586 la_iena_mprj[48] +*587 la_iena_mprj[49] +*588 la_iena_mprj[4] +*589 la_iena_mprj[50] +*590 la_iena_mprj[51] +*591 la_iena_mprj[52] +*592 la_iena_mprj[53] +*593 la_iena_mprj[54] +*594 la_iena_mprj[55] +*595 la_iena_mprj[56] +*596 la_iena_mprj[57] +*597 la_iena_mprj[58] +*598 la_iena_mprj[59] +*599 la_iena_mprj[5] +*600 la_iena_mprj[60] +*601 la_iena_mprj[61] +*602 la_iena_mprj[62] +*603 la_iena_mprj[63] +*604 la_iena_mprj[64] +*605 la_iena_mprj[65] +*606 la_iena_mprj[66] +*607 la_iena_mprj[67] +*608 la_iena_mprj[68] +*609 la_iena_mprj[69] +*610 la_iena_mprj[6] +*611 la_iena_mprj[70] +*612 la_iena_mprj[71] +*613 la_iena_mprj[72] +*614 la_iena_mprj[73] +*615 la_iena_mprj[74] +*616 la_iena_mprj[75] +*617 la_iena_mprj[76] +*618 la_iena_mprj[77] +*619 la_iena_mprj[78] +*620 la_iena_mprj[79] +*621 la_iena_mprj[7] +*622 la_iena_mprj[80] +*623 la_iena_mprj[81] +*624 la_iena_mprj[82] +*625 la_iena_mprj[83] +*626 la_iena_mprj[84] +*627 la_iena_mprj[85] +*628 la_iena_mprj[86] +*629 la_iena_mprj[87] +*630 la_iena_mprj[88] +*631 la_iena_mprj[89] +*632 la_iena_mprj[8] +*633 la_iena_mprj[90] +*634 la_iena_mprj[91] +*635 la_iena_mprj[92] +*636 la_iena_mprj[93] +*637 la_iena_mprj[94] +*638 la_iena_mprj[95] +*639 la_iena_mprj[96] +*640 la_iena_mprj[97] +*641 la_iena_mprj[98] +*642 la_iena_mprj[99] +*643 la_iena_mprj[9] +*644 la_oenb_core[0] +*645 la_oenb_core[100] +*646 la_oenb_core[101] +*647 la_oenb_core[102] +*648 la_oenb_core[103] +*649 la_oenb_core[104] +*650 la_oenb_core[105] +*651 la_oenb_core[106] +*652 la_oenb_core[107] +*653 la_oenb_core[108] +*654 la_oenb_core[109] +*655 la_oenb_core[10] +*656 la_oenb_core[110] +*657 la_oenb_core[111] +*658 la_oenb_core[112] +*659 la_oenb_core[113] +*660 la_oenb_core[114] +*661 la_oenb_core[115] +*662 la_oenb_core[116] +*663 la_oenb_core[117] +*664 la_oenb_core[118] +*665 la_oenb_core[119] +*666 la_oenb_core[11] +*667 la_oenb_core[120] +*668 la_oenb_core[121] +*669 la_oenb_core[122] +*670 la_oenb_core[123] +*671 la_oenb_core[124] +*672 la_oenb_core[125] +*673 la_oenb_core[126] +*674 la_oenb_core[127] +*675 la_oenb_core[12] +*676 la_oenb_core[13] +*677 la_oenb_core[14] +*678 la_oenb_core[15] +*679 la_oenb_core[16] +*680 la_oenb_core[17] +*681 la_oenb_core[18] +*682 la_oenb_core[19] +*683 la_oenb_core[1] +*684 la_oenb_core[20] +*685 la_oenb_core[21] +*686 la_oenb_core[22] +*687 la_oenb_core[23] +*688 la_oenb_core[24] +*689 la_oenb_core[25] +*690 la_oenb_core[26] +*691 la_oenb_core[27] +*692 la_oenb_core[28] +*693 la_oenb_core[29] +*694 la_oenb_core[2] +*695 la_oenb_core[30] +*696 la_oenb_core[31] +*697 la_oenb_core[32] +*698 la_oenb_core[33] +*699 la_oenb_core[34] +*700 la_oenb_core[35] +*701 la_oenb_core[36] +*702 la_oenb_core[37] +*703 la_oenb_core[38] +*704 la_oenb_core[39] +*705 la_oenb_core[3] +*706 la_oenb_core[40] +*707 la_oenb_core[41] +*708 la_oenb_core[42] +*709 la_oenb_core[43] +*710 la_oenb_core[44] +*711 la_oenb_core[45] +*712 la_oenb_core[46] +*713 la_oenb_core[47] +*714 la_oenb_core[48] +*715 la_oenb_core[49] +*716 la_oenb_core[4] +*717 la_oenb_core[50] +*718 la_oenb_core[51] +*719 la_oenb_core[52] +*720 la_oenb_core[53] +*721 la_oenb_core[54] +*722 la_oenb_core[55] +*723 la_oenb_core[56] +*724 la_oenb_core[57] +*725 la_oenb_core[58] +*726 la_oenb_core[59] +*727 la_oenb_core[5] +*728 la_oenb_core[60] +*729 la_oenb_core[61] +*730 la_oenb_core[62] +*731 la_oenb_core[63] +*732 la_oenb_core[64] +*733 la_oenb_core[65] +*734 la_oenb_core[66] +*735 la_oenb_core[67] +*736 la_oenb_core[68] +*737 la_oenb_core[69] +*738 la_oenb_core[6] +*739 la_oenb_core[70] +*740 la_oenb_core[71] +*741 la_oenb_core[72] +*742 la_oenb_core[73] +*743 la_oenb_core[74] +*744 la_oenb_core[75] +*745 la_oenb_core[76] +*746 la_oenb_core[77] +*747 la_oenb_core[78] +*748 la_oenb_core[79] +*749 la_oenb_core[7] +*750 la_oenb_core[80] +*751 la_oenb_core[81] +*752 la_oenb_core[82] +*753 la_oenb_core[83] +*754 la_oenb_core[84] +*755 la_oenb_core[85] +*756 la_oenb_core[86] +*757 la_oenb_core[87] +*758 la_oenb_core[88] +*759 la_oenb_core[89] +*760 la_oenb_core[8] +*761 la_oenb_core[90] +*762 la_oenb_core[91] +*763 la_oenb_core[92] +*764 la_oenb_core[93] +*765 la_oenb_core[94] +*766 la_oenb_core[95] +*767 la_oenb_core[96] +*768 la_oenb_core[97] +*769 la_oenb_core[98] +*770 la_oenb_core[99] +*771 la_oenb_core[9] +*772 la_oenb_mprj[0] +*773 la_oenb_mprj[100] +*774 la_oenb_mprj[101] +*775 la_oenb_mprj[102] +*776 la_oenb_mprj[103] +*777 la_oenb_mprj[104] +*778 la_oenb_mprj[105] +*779 la_oenb_mprj[106] +*780 la_oenb_mprj[107] +*781 la_oenb_mprj[108] +*782 la_oenb_mprj[109] +*783 la_oenb_mprj[10] +*784 la_oenb_mprj[110] +*785 la_oenb_mprj[111] +*786 la_oenb_mprj[112] +*787 la_oenb_mprj[113] +*788 la_oenb_mprj[114] +*789 la_oenb_mprj[115] +*790 la_oenb_mprj[116] +*791 la_oenb_mprj[117] +*792 la_oenb_mprj[118] +*793 la_oenb_mprj[119] +*794 la_oenb_mprj[11] +*795 la_oenb_mprj[120] +*796 la_oenb_mprj[121] +*797 la_oenb_mprj[122] +*798 la_oenb_mprj[123] +*799 la_oenb_mprj[124] +*800 la_oenb_mprj[125] +*801 la_oenb_mprj[126] +*802 la_oenb_mprj[127] +*803 la_oenb_mprj[12] +*804 la_oenb_mprj[13] +*805 la_oenb_mprj[14] +*806 la_oenb_mprj[15] +*807 la_oenb_mprj[16] +*808 la_oenb_mprj[17] +*809 la_oenb_mprj[18] +*810 la_oenb_mprj[19] +*811 la_oenb_mprj[1] +*812 la_oenb_mprj[20] +*813 la_oenb_mprj[21] +*814 la_oenb_mprj[22] +*815 la_oenb_mprj[23] +*816 la_oenb_mprj[24] +*817 la_oenb_mprj[25] +*818 la_oenb_mprj[26] +*819 la_oenb_mprj[27] +*820 la_oenb_mprj[28] +*821 la_oenb_mprj[29] +*822 la_oenb_mprj[2] +*823 la_oenb_mprj[30] +*824 la_oenb_mprj[31] +*825 la_oenb_mprj[32] +*826 la_oenb_mprj[33] +*827 la_oenb_mprj[34] +*828 la_oenb_mprj[35] +*829 la_oenb_mprj[36] +*830 la_oenb_mprj[37] +*831 la_oenb_mprj[38] +*832 la_oenb_mprj[39] +*833 la_oenb_mprj[3] +*834 la_oenb_mprj[40] +*835 la_oenb_mprj[41] +*836 la_oenb_mprj[42] +*837 la_oenb_mprj[43] +*838 la_oenb_mprj[44] +*839 la_oenb_mprj[45] +*840 la_oenb_mprj[46] +*841 la_oenb_mprj[47] +*842 la_oenb_mprj[48] +*843 la_oenb_mprj[49] +*844 la_oenb_mprj[4] +*845 la_oenb_mprj[50] +*846 la_oenb_mprj[51] +*847 la_oenb_mprj[52] +*848 la_oenb_mprj[53] +*849 la_oenb_mprj[54] +*850 la_oenb_mprj[55] +*851 la_oenb_mprj[56] +*852 la_oenb_mprj[57] +*853 la_oenb_mprj[58] +*854 la_oenb_mprj[59] +*855 la_oenb_mprj[5] +*856 la_oenb_mprj[60] +*857 la_oenb_mprj[61] +*858 la_oenb_mprj[62] +*859 la_oenb_mprj[63] +*860 la_oenb_mprj[64] +*861 la_oenb_mprj[65] +*862 la_oenb_mprj[66] +*863 la_oenb_mprj[67] +*864 la_oenb_mprj[68] +*865 la_oenb_mprj[69] +*866 la_oenb_mprj[6] +*867 la_oenb_mprj[70] +*868 la_oenb_mprj[71] +*869 la_oenb_mprj[72] +*870 la_oenb_mprj[73] +*871 la_oenb_mprj[74] +*872 la_oenb_mprj[75] +*873 la_oenb_mprj[76] +*874 la_oenb_mprj[77] +*875 la_oenb_mprj[78] +*876 la_oenb_mprj[79] +*877 la_oenb_mprj[7] +*878 la_oenb_mprj[80] +*879 la_oenb_mprj[81] +*880 la_oenb_mprj[82] +*881 la_oenb_mprj[83] +*882 la_oenb_mprj[84] +*883 la_oenb_mprj[85] +*884 la_oenb_mprj[86] +*885 la_oenb_mprj[87] +*886 la_oenb_mprj[88] +*887 la_oenb_mprj[89] +*888 la_oenb_mprj[8] +*889 la_oenb_mprj[90] +*890 la_oenb_mprj[91] +*891 la_oenb_mprj[92] +*892 la_oenb_mprj[93] +*893 la_oenb_mprj[94] +*894 la_oenb_mprj[95] +*895 la_oenb_mprj[96] +*896 la_oenb_mprj[97] +*897 la_oenb_mprj[98] +*898 la_oenb_mprj[99] +*899 la_oenb_mprj[9] +*900 mprj_ack_i_core +*901 mprj_ack_i_user +*902 mprj_adr_o_core[0] +*903 mprj_adr_o_core[10] +*904 mprj_adr_o_core[11] +*905 mprj_adr_o_core[12] +*906 mprj_adr_o_core[13] +*907 mprj_adr_o_core[14] +*908 mprj_adr_o_core[15] +*909 mprj_adr_o_core[16] +*910 mprj_adr_o_core[17] +*911 mprj_adr_o_core[18] +*912 mprj_adr_o_core[19] +*913 mprj_adr_o_core[1] +*914 mprj_adr_o_core[20] +*915 mprj_adr_o_core[21] +*916 mprj_adr_o_core[22] +*917 mprj_adr_o_core[23] +*918 mprj_adr_o_core[24] +*919 mprj_adr_o_core[25] +*920 mprj_adr_o_core[26] +*921 mprj_adr_o_core[27] +*922 mprj_adr_o_core[28] +*923 mprj_adr_o_core[29] +*924 mprj_adr_o_core[2] +*925 mprj_adr_o_core[30] +*926 mprj_adr_o_core[31] +*927 mprj_adr_o_core[3] +*928 mprj_adr_o_core[4] +*929 mprj_adr_o_core[5] +*930 mprj_adr_o_core[6] +*931 mprj_adr_o_core[7] +*932 mprj_adr_o_core[8] +*933 mprj_adr_o_core[9] +*934 mprj_adr_o_user[0] +*935 mprj_adr_o_user[10] +*936 mprj_adr_o_user[11] +*937 mprj_adr_o_user[12] +*938 mprj_adr_o_user[13] +*939 mprj_adr_o_user[14] +*940 mprj_adr_o_user[15] +*941 mprj_adr_o_user[16] +*942 mprj_adr_o_user[17] +*943 mprj_adr_o_user[18] +*944 mprj_adr_o_user[19] +*945 mprj_adr_o_user[1] +*946 mprj_adr_o_user[20] +*947 mprj_adr_o_user[21] +*948 mprj_adr_o_user[22] +*949 mprj_adr_o_user[23] +*950 mprj_adr_o_user[24] +*951 mprj_adr_o_user[25] +*952 mprj_adr_o_user[26] +*953 mprj_adr_o_user[27] +*954 mprj_adr_o_user[28] +*955 mprj_adr_o_user[29] +*956 mprj_adr_o_user[2] +*957 mprj_adr_o_user[30] +*958 mprj_adr_o_user[31] +*959 mprj_adr_o_user[3] +*960 mprj_adr_o_user[4] +*961 mprj_adr_o_user[5] +*962 mprj_adr_o_user[6] +*963 mprj_adr_o_user[7] +*964 mprj_adr_o_user[8] +*965 mprj_adr_o_user[9] +*966 mprj_cyc_o_core +*967 mprj_cyc_o_user +*968 mprj_dat_i_core[0] +*969 mprj_dat_i_core[10] +*970 mprj_dat_i_core[11] +*971 mprj_dat_i_core[12] +*972 mprj_dat_i_core[13] +*973 mprj_dat_i_core[14] +*974 mprj_dat_i_core[15] +*975 mprj_dat_i_core[16] +*976 mprj_dat_i_core[17] +*977 mprj_dat_i_core[18] +*978 mprj_dat_i_core[19] +*979 mprj_dat_i_core[1] +*980 mprj_dat_i_core[20] +*981 mprj_dat_i_core[21] +*982 mprj_dat_i_core[22] +*983 mprj_dat_i_core[23] +*984 mprj_dat_i_core[24] +*985 mprj_dat_i_core[25] +*986 mprj_dat_i_core[26] +*987 mprj_dat_i_core[27] +*988 mprj_dat_i_core[28] +*989 mprj_dat_i_core[29] +*990 mprj_dat_i_core[2] +*991 mprj_dat_i_core[30] +*992 mprj_dat_i_core[31] +*993 mprj_dat_i_core[3] +*994 mprj_dat_i_core[4] +*995 mprj_dat_i_core[5] +*996 mprj_dat_i_core[6] +*997 mprj_dat_i_core[7] +*998 mprj_dat_i_core[8] +*999 mprj_dat_i_core[9] +*1000 mprj_dat_i_user[0] +*1001 mprj_dat_i_user[10] +*1002 mprj_dat_i_user[11] +*1003 mprj_dat_i_user[12] +*1004 mprj_dat_i_user[13] +*1005 mprj_dat_i_user[14] +*1006 mprj_dat_i_user[15] +*1007 mprj_dat_i_user[16] +*1008 mprj_dat_i_user[17] +*1009 mprj_dat_i_user[18] +*1010 mprj_dat_i_user[19] +*1011 mprj_dat_i_user[1] +*1012 mprj_dat_i_user[20] +*1013 mprj_dat_i_user[21] +*1014 mprj_dat_i_user[22] +*1015 mprj_dat_i_user[23] +*1016 mprj_dat_i_user[24] +*1017 mprj_dat_i_user[25] +*1018 mprj_dat_i_user[26] +*1019 mprj_dat_i_user[27] +*1020 mprj_dat_i_user[28] +*1021 mprj_dat_i_user[29] +*1022 mprj_dat_i_user[2] +*1023 mprj_dat_i_user[30] +*1024 mprj_dat_i_user[31] +*1025 mprj_dat_i_user[3] +*1026 mprj_dat_i_user[4] +*1027 mprj_dat_i_user[5] +*1028 mprj_dat_i_user[6] +*1029 mprj_dat_i_user[7] +*1030 mprj_dat_i_user[8] +*1031 mprj_dat_i_user[9] +*1032 mprj_dat_o_core[0] +*1033 mprj_dat_o_core[10] +*1034 mprj_dat_o_core[11] +*1035 mprj_dat_o_core[12] +*1036 mprj_dat_o_core[13] +*1037 mprj_dat_o_core[14] +*1038 mprj_dat_o_core[15] +*1039 mprj_dat_o_core[16] +*1040 mprj_dat_o_core[17] +*1041 mprj_dat_o_core[18] +*1042 mprj_dat_o_core[19] +*1043 mprj_dat_o_core[1] +*1044 mprj_dat_o_core[20] +*1045 mprj_dat_o_core[21] +*1046 mprj_dat_o_core[22] +*1047 mprj_dat_o_core[23] +*1048 mprj_dat_o_core[24] +*1049 mprj_dat_o_core[25] +*1050 mprj_dat_o_core[26] +*1051 mprj_dat_o_core[27] +*1052 mprj_dat_o_core[28] +*1053 mprj_dat_o_core[29] +*1054 mprj_dat_o_core[2] +*1055 mprj_dat_o_core[30] +*1056 mprj_dat_o_core[31] +*1057 mprj_dat_o_core[3] +*1058 mprj_dat_o_core[4] +*1059 mprj_dat_o_core[5] +*1060 mprj_dat_o_core[6] +*1061 mprj_dat_o_core[7] +*1062 mprj_dat_o_core[8] +*1063 mprj_dat_o_core[9] +*1064 mprj_dat_o_user[0] +*1065 mprj_dat_o_user[10] +*1066 mprj_dat_o_user[11] +*1067 mprj_dat_o_user[12] +*1068 mprj_dat_o_user[13] +*1069 mprj_dat_o_user[14] +*1070 mprj_dat_o_user[15] +*1071 mprj_dat_o_user[16] +*1072 mprj_dat_o_user[17] +*1073 mprj_dat_o_user[18] +*1074 mprj_dat_o_user[19] +*1075 mprj_dat_o_user[1] +*1076 mprj_dat_o_user[20] +*1077 mprj_dat_o_user[21] +*1078 mprj_dat_o_user[22] +*1079 mprj_dat_o_user[23] +*1080 mprj_dat_o_user[24] +*1081 mprj_dat_o_user[25] +*1082 mprj_dat_o_user[26] +*1083 mprj_dat_o_user[27] +*1084 mprj_dat_o_user[28] +*1085 mprj_dat_o_user[29] +*1086 mprj_dat_o_user[2] +*1087 mprj_dat_o_user[30] +*1088 mprj_dat_o_user[31] +*1089 mprj_dat_o_user[3] +*1090 mprj_dat_o_user[4] +*1091 mprj_dat_o_user[5] +*1092 mprj_dat_o_user[6] +*1093 mprj_dat_o_user[7] +*1094 mprj_dat_o_user[8] +*1095 mprj_dat_o_user[9] +*1096 mprj_iena_wb +*1097 mprj_sel_o_core[0] +*1098 mprj_sel_o_core[1] +*1099 mprj_sel_o_core[2] +*1100 mprj_sel_o_core[3] +*1101 mprj_sel_o_user[0] +*1102 mprj_sel_o_user[1] +*1103 mprj_sel_o_user[2] +*1104 mprj_sel_o_user[3] +*1105 mprj_stb_o_core +*1106 mprj_stb_o_user +*1107 mprj_we_o_core +*1108 mprj_we_o_user +*1109 user1_vcc_powergood +*1110 user1_vdd_powergood +*1111 user2_vcc_powergood +*1112 user2_vdd_powergood +*1113 user_clock +*1114 user_clock2 +*1115 user_irq[0] +*1116 user_irq[1] +*1117 user_irq[2] +*1118 user_irq_core[0] +*1119 user_irq_core[1] +*1120 user_irq_core[2] +*1121 user_irq_ena[0] +*1122 user_irq_ena[1] +*1123 user_irq_ena[2] +*1124 user_reset +*1135 _0000_ +*1136 _0001_ +*1137 _0002_ +*1138 _0003_ +*1139 _0004_ +*1140 _0005_ +*1141 _0006_ +*1142 _0007_ +*1143 _0008_ +*1144 _0009_ +*1145 _0010_ +*1146 _0011_ +*1147 _0012_ +*1148 _0013_ +*1149 _0014_ +*1150 _0015_ +*1151 _0016_ +*1152 _0017_ +*1153 _0018_ +*1154 _0019_ +*1155 _0020_ +*1156 _0021_ +*1157 _0022_ +*1158 _0023_ +*1159 _0024_ +*1160 _0025_ +*1161 _0026_ +*1162 _0027_ +*1163 _0028_ +*1164 _0029_ +*1165 _0030_ +*1166 _0031_ +*1167 _0032_ +*1168 _0033_ +*1169 _0034_ +*1170 _0035_ +*1171 _0036_ +*1172 _0037_ +*1173 _0038_ +*1174 _0039_ +*1175 _0040_ +*1176 _0041_ +*1177 _0042_ +*1178 _0043_ +*1179 _0044_ +*1180 _0045_ +*1181 _0046_ +*1182 _0047_ +*1183 _0048_ +*1184 _0049_ +*1185 _0050_ +*1186 _0051_ +*1187 _0052_ +*1188 _0053_ +*1189 _0054_ +*1190 _0055_ +*1191 _0056_ +*1192 _0057_ +*1193 _0058_ +*1194 _0059_ +*1195 _0060_ +*1196 _0061_ +*1197 _0062_ +*1198 _0063_ +*1199 _0064_ +*1200 _0065_ +*1201 _0066_ +*1202 _0067_ +*1203 _0068_ +*1204 _0069_ +*1205 _0070_ +*1206 _0071_ +*1207 _0072_ +*1208 _0073_ +*1209 _0074_ +*1210 _0075_ +*1211 _0076_ +*1212 _0077_ +*1213 _0078_ +*1214 _0079_ +*1215 _0080_ +*1216 _0081_ +*1217 _0082_ +*1218 _0083_ +*1219 _0084_ +*1220 _0085_ +*1221 _0086_ +*1222 _0087_ +*1223 _0088_ +*1224 _0089_ +*1225 _0090_ +*1226 _0091_ +*1227 _0092_ +*1228 _0093_ +*1229 _0094_ +*1230 _0095_ +*1231 _0096_ +*1232 _0097_ +*1233 _0098_ +*1234 _0099_ +*1235 _0100_ +*1236 _0101_ +*1237 _0102_ +*1238 _0103_ +*1239 _0104_ +*1240 _0105_ +*1241 _0106_ +*1242 _0107_ +*1243 _0108_ +*1244 _0109_ +*1245 _0110_ +*1246 _0111_ +*1247 _0112_ +*1248 _0113_ +*1249 _0114_ +*1250 _0115_ +*1251 _0116_ +*1252 _0117_ +*1253 _0118_ +*1254 _0119_ +*1255 _0120_ +*1256 _0121_ +*1257 _0122_ +*1258 _0123_ +*1259 _0124_ +*1260 _0125_ +*1261 _0126_ +*1262 _0127_ +*1263 _0128_ +*1264 _0129_ +*1265 _0130_ +*1266 _0131_ +*1267 _0132_ +*1268 _0133_ +*1269 _0134_ +*1270 _0135_ +*1271 _0136_ +*1272 _0137_ +*1273 _0138_ +*1274 _0139_ +*1275 _0140_ +*1276 _0141_ +*1277 _0142_ +*1278 _0143_ +*1279 _0144_ +*1280 _0145_ +*1281 _0146_ +*1282 _0147_ +*1283 _0148_ +*1284 _0149_ +*1285 _0150_ +*1286 _0151_ +*1287 _0152_ +*1288 _0153_ +*1289 _0154_ +*1290 _0155_ +*1291 _0156_ +*1292 _0157_ +*1293 _0158_ +*1294 _0159_ +*1295 _0160_ +*1296 _0161_ +*1297 _0162_ +*1298 _0163_ +*1299 _0164_ +*1300 _0165_ +*1301 _0166_ +*1302 _0167_ +*1303 _0168_ +*1304 _0169_ +*1305 _0170_ +*1306 _0171_ +*1307 _0172_ +*1308 _0173_ +*1309 _0174_ +*1310 _0175_ +*1311 _0176_ +*1312 _0177_ +*1313 _0178_ +*1314 _0179_ +*1315 _0180_ +*1316 _0181_ +*1317 _0182_ +*1318 _0183_ +*1319 _0184_ +*1320 _0185_ +*1321 _0186_ +*1322 _0187_ +*1323 _0188_ +*1324 _0189_ +*1325 _0190_ +*1326 _0191_ +*1327 _0192_ +*1328 _0193_ +*1329 _0194_ +*1330 _0195_ +*1331 _0196_ +*1332 _0197_ +*1333 _0198_ +*1334 _0199_ +*1335 _0200_ +*1336 _0201_ +*1337 _0202_ +*1338 _0203_ +*1339 _0204_ +*1340 _0205_ +*1341 _0206_ +*1342 _0207_ +*1343 _0208_ +*1344 _0209_ +*1345 _0210_ +*1346 _0211_ +*1347 _0212_ +*1348 _0213_ +*1349 _0214_ +*1350 _0215_ +*1351 _0216_ +*1352 _0217_ +*1353 _0218_ +*1354 _0219_ +*1355 _0220_ +*1356 _0221_ +*1357 _0222_ +*1358 _0223_ +*1359 _0224_ +*1360 _0225_ +*1361 _0226_ +*1362 _0227_ +*1363 _0228_ +*1364 _0229_ +*1365 _0230_ +*1366 _0231_ +*1367 _0232_ +*1368 _0233_ +*1369 _0234_ +*1370 _0235_ +*1371 _0236_ +*1372 _0237_ +*1373 _0238_ +*1374 _0239_ +*1375 _0240_ +*1376 _0241_ +*1377 _0242_ +*1378 _0243_ +*1379 _0244_ +*1380 _0245_ +*1381 _0246_ +*1382 _0247_ +*1383 _0248_ +*1384 _0249_ +*1385 _0250_ +*1386 _0251_ +*1387 _0252_ +*1388 _0253_ +*1389 _0254_ +*1390 _0255_ +*1391 _0256_ +*1392 _0257_ +*1393 _0258_ +*1394 _0259_ +*1395 _0260_ +*1396 _0261_ +*1397 _0262_ +*1398 _0263_ +*1399 _0264_ +*1400 _0265_ +*1401 _0266_ +*1402 _0267_ +*1403 _0268_ +*1404 _0269_ +*1405 _0270_ +*1406 _0271_ +*1407 _0272_ +*1408 _0273_ +*1409 _0274_ +*1410 _0275_ +*1411 _0276_ +*1412 _0277_ +*1413 _0278_ +*1414 _0279_ +*1415 _0280_ +*1416 _0281_ +*1417 _0282_ +*1418 _0283_ +*1419 _0284_ +*1420 _0285_ +*1421 _0286_ +*1422 _0287_ +*1423 _0288_ +*1424 _0289_ +*1425 _0290_ +*1426 _0291_ +*1427 _0292_ +*1428 _0293_ +*1429 _0294_ +*1430 _0295_ +*1431 _0296_ +*1432 _0297_ +*1433 _0298_ +*1434 _0299_ +*1435 _0300_ +*1436 _0301_ +*1437 _0302_ +*1438 _0303_ +*1439 _0304_ +*1440 _0305_ +*1441 _0306_ +*1442 _0307_ +*1443 _0308_ +*1444 _0309_ +*1445 _0310_ +*1446 _0311_ +*1447 _0312_ +*1448 _0313_ +*1449 _0314_ +*1450 _0315_ +*1451 _0316_ +*1452 _0317_ +*1453 _0318_ +*1454 _0319_ +*1455 _0320_ +*1456 _0321_ +*1457 _0322_ +*1458 _0323_ +*1459 _0324_ +*1460 _0325_ +*1461 _0326_ +*1462 _0327_ +*1463 _0328_ +*1464 _0329_ +*1465 _0330_ +*1466 _0331_ +*1467 _0332_ +*1468 _0333_ +*1469 _0334_ +*1470 _0335_ +*1471 _0336_ +*1472 _0337_ +*1473 _0338_ +*1474 _0339_ +*1475 _0340_ +*1476 _0341_ +*1477 _0342_ +*1478 _0343_ +*1479 _0344_ +*1480 _0345_ +*1481 _0346_ +*1482 _0347_ +*1483 _0348_ +*1484 _0349_ +*1485 _0350_ +*1486 _0351_ +*1487 _0352_ +*1488 _0353_ +*1489 _0354_ +*1490 _0355_ +*1491 _0356_ +*1492 _0357_ +*1493 _0358_ +*1494 _0359_ +*1495 _0360_ +*1496 _0361_ +*1497 _0362_ +*1498 _0363_ +*1499 _0364_ +*1500 _0365_ +*1501 _0366_ +*1502 _0367_ +*1503 _0368_ +*1504 _0369_ +*1505 _0370_ +*1506 _0371_ +*1507 _0372_ +*1508 _0373_ +*1509 _0374_ +*1510 _0375_ +*1511 _0376_ +*1512 _0377_ +*1513 _0378_ +*1514 _0379_ +*1515 _0380_ +*1516 _0381_ +*1517 _0382_ +*1518 _0383_ +*1519 _0384_ +*1520 _0385_ +*1521 _0386_ +*1522 _0387_ +*1523 _0388_ +*1524 _0389_ +*1525 _0390_ +*1526 _0391_ +*1527 _0392_ +*1528 _0393_ +*1529 _0394_ +*1530 _0395_ +*1531 _0396_ +*1532 _0397_ +*1533 _0398_ +*1534 _0399_ +*1535 _0400_ +*1536 _0401_ +*1537 _0402_ +*1538 _0403_ +*1539 _0404_ +*1540 _0405_ +*1541 _0406_ +*1542 _0407_ +*1543 _0408_ +*1544 _0409_ +*1545 _0410_ +*1546 _0411_ +*1547 _0412_ +*1548 _0413_ +*1549 _0414_ +*1550 _0415_ +*1551 _0416_ +*1552 _0417_ +*1553 _0418_ +*1554 _0419_ +*1555 _0420_ +*1556 _0421_ +*1557 _0422_ +*1558 _0423_ +*1559 _0424_ +*1560 _0425_ +*1561 _0426_ +*1562 _0427_ +*1563 _0428_ +*1564 _0429_ +*1565 _0430_ +*1566 _0431_ +*1567 _0432_ +*1568 _0433_ +*1569 _0434_ +*1570 _0435_ +*1571 _0436_ +*1572 _0437_ +*1573 _0438_ +*1574 _0439_ +*1575 _0440_ +*1576 _0441_ +*1577 _0442_ +*1578 _0443_ +*1579 _0444_ +*1580 _0445_ +*1581 _0446_ +*1582 _0447_ +*1583 _0448_ +*1584 _0449_ +*1585 _0450_ +*1586 _0451_ +*1587 _0452_ +*1588 _0453_ +*1589 _0454_ +*1590 _0455_ +*1591 _0456_ +*1592 _0457_ +*1593 _0458_ +*1594 _0459_ +*1595 _0460_ +*1596 _0461_ +*1597 la_data_in_enable\[0\] +*1598 la_data_in_enable\[100\] +*1599 la_data_in_enable\[101\] +*1600 la_data_in_enable\[102\] +*1601 la_data_in_enable\[103\] +*1602 la_data_in_enable\[104\] +*1603 la_data_in_enable\[105\] +*1604 la_data_in_enable\[106\] +*1605 la_data_in_enable\[107\] +*1606 la_data_in_enable\[108\] +*1607 la_data_in_enable\[109\] +*1608 la_data_in_enable\[10\] +*1609 la_data_in_enable\[110\] +*1610 la_data_in_enable\[111\] +*1611 la_data_in_enable\[112\] +*1612 la_data_in_enable\[113\] +*1613 la_data_in_enable\[114\] +*1614 la_data_in_enable\[115\] +*1615 la_data_in_enable\[116\] +*1616 la_data_in_enable\[117\] +*1617 la_data_in_enable\[118\] +*1618 la_data_in_enable\[119\] +*1619 la_data_in_enable\[11\] +*1620 la_data_in_enable\[120\] +*1621 la_data_in_enable\[121\] +*1622 la_data_in_enable\[122\] +*1623 la_data_in_enable\[123\] +*1624 la_data_in_enable\[124\] +*1625 la_data_in_enable\[125\] +*1626 la_data_in_enable\[126\] +*1627 la_data_in_enable\[127\] +*1628 la_data_in_enable\[12\] +*1629 la_data_in_enable\[13\] +*1630 la_data_in_enable\[14\] +*1631 la_data_in_enable\[15\] +*1632 la_data_in_enable\[16\] +*1633 la_data_in_enable\[17\] +*1634 la_data_in_enable\[18\] +*1635 la_data_in_enable\[19\] +*1636 la_data_in_enable\[1\] +*1637 la_data_in_enable\[20\] +*1638 la_data_in_enable\[21\] +*1639 la_data_in_enable\[22\] +*1640 la_data_in_enable\[23\] +*1641 la_data_in_enable\[24\] +*1642 la_data_in_enable\[25\] +*1643 la_data_in_enable\[26\] +*1644 la_data_in_enable\[27\] +*1645 la_data_in_enable\[28\] +*1646 la_data_in_enable\[29\] +*1647 la_data_in_enable\[2\] +*1648 la_data_in_enable\[30\] +*1649 la_data_in_enable\[31\] +*1650 la_data_in_enable\[32\] +*1651 la_data_in_enable\[33\] +*1652 la_data_in_enable\[34\] +*1653 la_data_in_enable\[35\] +*1654 la_data_in_enable\[36\] +*1655 la_data_in_enable\[37\] +*1656 la_data_in_enable\[38\] +*1657 la_data_in_enable\[39\] +*1658 la_data_in_enable\[3\] +*1659 la_data_in_enable\[40\] +*1660 la_data_in_enable\[41\] +*1661 la_data_in_enable\[42\] +*1662 la_data_in_enable\[43\] +*1663 la_data_in_enable\[44\] +*1664 la_data_in_enable\[45\] +*1665 la_data_in_enable\[46\] +*1666 la_data_in_enable\[47\] +*1667 la_data_in_enable\[48\] +*1668 la_data_in_enable\[49\] +*1669 la_data_in_enable\[4\] +*1670 la_data_in_enable\[50\] +*1671 la_data_in_enable\[51\] +*1672 la_data_in_enable\[52\] +*1673 la_data_in_enable\[53\] +*1674 la_data_in_enable\[54\] +*1675 la_data_in_enable\[55\] +*1676 la_data_in_enable\[56\] +*1677 la_data_in_enable\[57\] +*1678 la_data_in_enable\[58\] +*1679 la_data_in_enable\[59\] +*1680 la_data_in_enable\[5\] +*1681 la_data_in_enable\[60\] +*1682 la_data_in_enable\[61\] +*1683 la_data_in_enable\[62\] +*1684 la_data_in_enable\[63\] +*1685 la_data_in_enable\[64\] +*1686 la_data_in_enable\[65\] +*1687 la_data_in_enable\[66\] +*1688 la_data_in_enable\[67\] +*1689 la_data_in_enable\[68\] +*1690 la_data_in_enable\[69\] +*1691 la_data_in_enable\[6\] +*1692 la_data_in_enable\[70\] +*1693 la_data_in_enable\[71\] +*1694 la_data_in_enable\[72\] +*1695 la_data_in_enable\[73\] +*1696 la_data_in_enable\[74\] +*1697 la_data_in_enable\[75\] +*1698 la_data_in_enable\[76\] +*1699 la_data_in_enable\[77\] +*1700 la_data_in_enable\[78\] +*1701 la_data_in_enable\[79\] +*1702 la_data_in_enable\[7\] +*1703 la_data_in_enable\[80\] +*1704 la_data_in_enable\[81\] +*1705 la_data_in_enable\[82\] +*1706 la_data_in_enable\[83\] +*1707 la_data_in_enable\[84\] +*1708 la_data_in_enable\[85\] +*1709 la_data_in_enable\[86\] +*1710 la_data_in_enable\[87\] +*1711 la_data_in_enable\[88\] +*1712 la_data_in_enable\[89\] +*1713 la_data_in_enable\[8\] +*1714 la_data_in_enable\[90\] +*1715 la_data_in_enable\[91\] +*1716 la_data_in_enable\[92\] +*1717 la_data_in_enable\[93\] +*1718 la_data_in_enable\[94\] +*1719 la_data_in_enable\[95\] +*1720 la_data_in_enable\[96\] +*1721 la_data_in_enable\[97\] +*1722 la_data_in_enable\[98\] +*1723 la_data_in_enable\[99\] +*1724 la_data_in_enable\[9\] +*1725 la_data_in_mprj_bar\[0\] +*1726 la_data_in_mprj_bar\[100\] +*1727 la_data_in_mprj_bar\[101\] +*1728 la_data_in_mprj_bar\[102\] +*1729 la_data_in_mprj_bar\[103\] +*1730 la_data_in_mprj_bar\[104\] +*1731 la_data_in_mprj_bar\[105\] +*1732 la_data_in_mprj_bar\[106\] +*1733 la_data_in_mprj_bar\[107\] +*1734 la_data_in_mprj_bar\[108\] +*1735 la_data_in_mprj_bar\[109\] +*1736 la_data_in_mprj_bar\[10\] +*1737 la_data_in_mprj_bar\[110\] +*1738 la_data_in_mprj_bar\[111\] +*1739 la_data_in_mprj_bar\[112\] +*1740 la_data_in_mprj_bar\[113\] +*1741 la_data_in_mprj_bar\[114\] +*1742 la_data_in_mprj_bar\[115\] +*1743 la_data_in_mprj_bar\[116\] +*1744 la_data_in_mprj_bar\[117\] +*1745 la_data_in_mprj_bar\[118\] +*1746 la_data_in_mprj_bar\[119\] +*1747 la_data_in_mprj_bar\[11\] +*1748 la_data_in_mprj_bar\[120\] +*1749 la_data_in_mprj_bar\[121\] +*1750 la_data_in_mprj_bar\[122\] +*1751 la_data_in_mprj_bar\[123\] +*1752 la_data_in_mprj_bar\[124\] +*1753 la_data_in_mprj_bar\[125\] +*1754 la_data_in_mprj_bar\[126\] +*1755 la_data_in_mprj_bar\[127\] +*1756 la_data_in_mprj_bar\[12\] +*1757 la_data_in_mprj_bar\[13\] +*1758 la_data_in_mprj_bar\[14\] +*1759 la_data_in_mprj_bar\[15\] +*1760 la_data_in_mprj_bar\[16\] +*1761 la_data_in_mprj_bar\[17\] +*1762 la_data_in_mprj_bar\[18\] +*1763 la_data_in_mprj_bar\[19\] +*1764 la_data_in_mprj_bar\[1\] +*1765 la_data_in_mprj_bar\[20\] +*1766 la_data_in_mprj_bar\[21\] +*1767 la_data_in_mprj_bar\[22\] +*1768 la_data_in_mprj_bar\[23\] +*1769 la_data_in_mprj_bar\[24\] +*1770 la_data_in_mprj_bar\[25\] +*1771 la_data_in_mprj_bar\[26\] +*1772 la_data_in_mprj_bar\[27\] +*1773 la_data_in_mprj_bar\[28\] +*1774 la_data_in_mprj_bar\[29\] +*1775 la_data_in_mprj_bar\[2\] +*1776 la_data_in_mprj_bar\[30\] +*1777 la_data_in_mprj_bar\[31\] +*1778 la_data_in_mprj_bar\[32\] +*1779 la_data_in_mprj_bar\[33\] +*1780 la_data_in_mprj_bar\[34\] +*1781 la_data_in_mprj_bar\[35\] +*1782 la_data_in_mprj_bar\[36\] +*1783 la_data_in_mprj_bar\[37\] +*1784 la_data_in_mprj_bar\[38\] +*1785 la_data_in_mprj_bar\[39\] +*1786 la_data_in_mprj_bar\[3\] +*1787 la_data_in_mprj_bar\[40\] +*1788 la_data_in_mprj_bar\[41\] +*1789 la_data_in_mprj_bar\[42\] +*1790 la_data_in_mprj_bar\[43\] +*1791 la_data_in_mprj_bar\[44\] +*1792 la_data_in_mprj_bar\[45\] +*1793 la_data_in_mprj_bar\[46\] +*1794 la_data_in_mprj_bar\[47\] +*1795 la_data_in_mprj_bar\[48\] +*1796 la_data_in_mprj_bar\[49\] +*1797 la_data_in_mprj_bar\[4\] +*1798 la_data_in_mprj_bar\[50\] +*1799 la_data_in_mprj_bar\[51\] +*1800 la_data_in_mprj_bar\[52\] +*1801 la_data_in_mprj_bar\[53\] +*1802 la_data_in_mprj_bar\[54\] +*1803 la_data_in_mprj_bar\[55\] +*1804 la_data_in_mprj_bar\[56\] +*1805 la_data_in_mprj_bar\[57\] +*1806 la_data_in_mprj_bar\[58\] +*1807 la_data_in_mprj_bar\[59\] +*1808 la_data_in_mprj_bar\[5\] +*1809 la_data_in_mprj_bar\[60\] +*1810 la_data_in_mprj_bar\[61\] +*1811 la_data_in_mprj_bar\[62\] +*1812 la_data_in_mprj_bar\[63\] +*1813 la_data_in_mprj_bar\[64\] +*1814 la_data_in_mprj_bar\[65\] +*1815 la_data_in_mprj_bar\[66\] +*1816 la_data_in_mprj_bar\[67\] +*1817 la_data_in_mprj_bar\[68\] +*1818 la_data_in_mprj_bar\[69\] +*1819 la_data_in_mprj_bar\[6\] +*1820 la_data_in_mprj_bar\[70\] +*1821 la_data_in_mprj_bar\[71\] +*1822 la_data_in_mprj_bar\[72\] +*1823 la_data_in_mprj_bar\[73\] +*1824 la_data_in_mprj_bar\[74\] +*1825 la_data_in_mprj_bar\[75\] +*1826 la_data_in_mprj_bar\[76\] +*1827 la_data_in_mprj_bar\[77\] +*1828 la_data_in_mprj_bar\[78\] +*1829 la_data_in_mprj_bar\[79\] +*1830 la_data_in_mprj_bar\[7\] +*1831 la_data_in_mprj_bar\[80\] +*1832 la_data_in_mprj_bar\[81\] +*1833 la_data_in_mprj_bar\[82\] +*1834 la_data_in_mprj_bar\[83\] +*1835 la_data_in_mprj_bar\[84\] +*1836 la_data_in_mprj_bar\[85\] +*1837 la_data_in_mprj_bar\[86\] +*1838 la_data_in_mprj_bar\[87\] +*1839 la_data_in_mprj_bar\[88\] +*1840 la_data_in_mprj_bar\[89\] +*1841 la_data_in_mprj_bar\[8\] +*1842 la_data_in_mprj_bar\[90\] +*1843 la_data_in_mprj_bar\[91\] +*1844 la_data_in_mprj_bar\[92\] +*1845 la_data_in_mprj_bar\[93\] +*1846 la_data_in_mprj_bar\[94\] +*1847 la_data_in_mprj_bar\[95\] +*1848 la_data_in_mprj_bar\[96\] +*1849 la_data_in_mprj_bar\[97\] +*1850 la_data_in_mprj_bar\[98\] +*1851 la_data_in_mprj_bar\[99\] +*1852 la_data_in_mprj_bar\[9\] +*1853 mprj_ack_i_core_bar +*1854 mprj_dat_i_core_bar\[0\] +*1855 mprj_dat_i_core_bar\[10\] +*1856 mprj_dat_i_core_bar\[11\] +*1857 mprj_dat_i_core_bar\[12\] +*1858 mprj_dat_i_core_bar\[13\] +*1859 mprj_dat_i_core_bar\[14\] +*1860 mprj_dat_i_core_bar\[15\] +*1861 mprj_dat_i_core_bar\[16\] +*1862 mprj_dat_i_core_bar\[17\] +*1863 mprj_dat_i_core_bar\[18\] +*1864 mprj_dat_i_core_bar\[19\] +*1865 mprj_dat_i_core_bar\[1\] +*1866 mprj_dat_i_core_bar\[20\] +*1867 mprj_dat_i_core_bar\[21\] +*1868 mprj_dat_i_core_bar\[22\] +*1869 mprj_dat_i_core_bar\[23\] +*1870 mprj_dat_i_core_bar\[24\] +*1871 mprj_dat_i_core_bar\[25\] +*1872 mprj_dat_i_core_bar\[26\] +*1873 mprj_dat_i_core_bar\[27\] +*1874 mprj_dat_i_core_bar\[28\] +*1875 mprj_dat_i_core_bar\[29\] +*1876 mprj_dat_i_core_bar\[2\] +*1877 mprj_dat_i_core_bar\[30\] +*1878 mprj_dat_i_core_bar\[31\] +*1879 mprj_dat_i_core_bar\[3\] +*1880 mprj_dat_i_core_bar\[4\] +*1881 mprj_dat_i_core_bar\[5\] +*1882 mprj_dat_i_core_bar\[6\] +*1883 mprj_dat_i_core_bar\[7\] +*1884 mprj_dat_i_core_bar\[8\] +*1885 mprj_dat_i_core_bar\[9\] +*1886 mprj_logic1\[0\] +*1887 mprj_logic1\[100\] +*1888 mprj_logic1\[101\] +*1889 mprj_logic1\[102\] +*1890 mprj_logic1\[103\] +*1891 mprj_logic1\[104\] +*1892 mprj_logic1\[105\] +*1893 mprj_logic1\[106\] +*1894 mprj_logic1\[107\] +*1895 mprj_logic1\[108\] +*1896 mprj_logic1\[109\] +*1897 mprj_logic1\[10\] +*1898 mprj_logic1\[110\] +*1899 mprj_logic1\[111\] +*1900 mprj_logic1\[112\] +*1901 mprj_logic1\[113\] +*1902 mprj_logic1\[114\] +*1903 mprj_logic1\[115\] +*1904 mprj_logic1\[116\] +*1905 mprj_logic1\[117\] +*1906 mprj_logic1\[118\] +*1907 mprj_logic1\[119\] +*1908 mprj_logic1\[11\] +*1909 mprj_logic1\[120\] +*1910 mprj_logic1\[121\] +*1911 mprj_logic1\[122\] +*1912 mprj_logic1\[123\] +*1913 mprj_logic1\[124\] +*1914 mprj_logic1\[125\] +*1915 mprj_logic1\[126\] +*1916 mprj_logic1\[127\] +*1917 mprj_logic1\[128\] +*1918 mprj_logic1\[129\] +*1919 mprj_logic1\[12\] +*1920 mprj_logic1\[130\] +*1921 mprj_logic1\[131\] +*1922 mprj_logic1\[132\] +*1923 mprj_logic1\[133\] +*1924 mprj_logic1\[134\] +*1925 mprj_logic1\[135\] +*1926 mprj_logic1\[136\] +*1927 mprj_logic1\[137\] +*1928 mprj_logic1\[138\] +*1929 mprj_logic1\[139\] +*1930 mprj_logic1\[13\] +*1931 mprj_logic1\[140\] +*1932 mprj_logic1\[141\] +*1933 mprj_logic1\[142\] +*1934 mprj_logic1\[143\] +*1935 mprj_logic1\[144\] +*1936 mprj_logic1\[145\] +*1937 mprj_logic1\[146\] +*1938 mprj_logic1\[147\] +*1939 mprj_logic1\[148\] +*1940 mprj_logic1\[149\] +*1941 mprj_logic1\[14\] +*1942 mprj_logic1\[150\] +*1943 mprj_logic1\[151\] +*1944 mprj_logic1\[152\] +*1945 mprj_logic1\[153\] +*1946 mprj_logic1\[154\] +*1947 mprj_logic1\[155\] +*1948 mprj_logic1\[156\] +*1949 mprj_logic1\[157\] +*1950 mprj_logic1\[158\] +*1951 mprj_logic1\[159\] +*1952 mprj_logic1\[15\] +*1953 mprj_logic1\[160\] +*1954 mprj_logic1\[161\] +*1955 mprj_logic1\[162\] +*1956 mprj_logic1\[163\] +*1957 mprj_logic1\[164\] +*1958 mprj_logic1\[165\] +*1959 mprj_logic1\[166\] +*1960 mprj_logic1\[167\] +*1961 mprj_logic1\[168\] +*1962 mprj_logic1\[169\] +*1963 mprj_logic1\[16\] +*1964 mprj_logic1\[170\] +*1965 mprj_logic1\[171\] +*1966 mprj_logic1\[172\] +*1967 mprj_logic1\[173\] +*1968 mprj_logic1\[174\] +*1969 mprj_logic1\[175\] +*1970 mprj_logic1\[176\] +*1971 mprj_logic1\[177\] +*1972 mprj_logic1\[178\] +*1973 mprj_logic1\[179\] +*1974 mprj_logic1\[17\] +*1975 mprj_logic1\[180\] +*1976 mprj_logic1\[181\] +*1977 mprj_logic1\[182\] +*1978 mprj_logic1\[183\] +*1979 mprj_logic1\[184\] +*1980 mprj_logic1\[185\] +*1981 mprj_logic1\[186\] +*1982 mprj_logic1\[187\] +*1983 mprj_logic1\[188\] +*1984 mprj_logic1\[189\] +*1985 mprj_logic1\[18\] +*1986 mprj_logic1\[190\] +*1987 mprj_logic1\[191\] +*1988 mprj_logic1\[192\] +*1989 mprj_logic1\[193\] +*1990 mprj_logic1\[194\] +*1991 mprj_logic1\[195\] +*1992 mprj_logic1\[196\] +*1993 mprj_logic1\[197\] +*1994 mprj_logic1\[198\] +*1995 mprj_logic1\[199\] +*1996 mprj_logic1\[19\] +*1997 mprj_logic1\[1\] +*1998 mprj_logic1\[200\] +*1999 mprj_logic1\[201\] +*2000 mprj_logic1\[202\] +*2001 mprj_logic1\[203\] +*2002 mprj_logic1\[204\] +*2003 mprj_logic1\[205\] +*2004 mprj_logic1\[206\] +*2005 mprj_logic1\[207\] +*2006 mprj_logic1\[208\] +*2007 mprj_logic1\[209\] +*2008 mprj_logic1\[20\] +*2009 mprj_logic1\[210\] +*2010 mprj_logic1\[211\] +*2011 mprj_logic1\[212\] +*2012 mprj_logic1\[213\] +*2013 mprj_logic1\[214\] +*2014 mprj_logic1\[215\] +*2015 mprj_logic1\[216\] +*2016 mprj_logic1\[217\] +*2017 mprj_logic1\[218\] +*2018 mprj_logic1\[219\] +*2019 mprj_logic1\[21\] +*2020 mprj_logic1\[220\] +*2021 mprj_logic1\[221\] +*2022 mprj_logic1\[222\] +*2023 mprj_logic1\[223\] +*2024 mprj_logic1\[224\] +*2025 mprj_logic1\[225\] +*2026 mprj_logic1\[226\] +*2027 mprj_logic1\[227\] +*2028 mprj_logic1\[228\] +*2029 mprj_logic1\[229\] +*2030 mprj_logic1\[22\] +*2031 mprj_logic1\[230\] +*2032 mprj_logic1\[231\] +*2033 mprj_logic1\[232\] +*2034 mprj_logic1\[233\] +*2035 mprj_logic1\[234\] +*2036 mprj_logic1\[235\] +*2037 mprj_logic1\[236\] +*2038 mprj_logic1\[237\] +*2039 mprj_logic1\[238\] +*2040 mprj_logic1\[239\] +*2041 mprj_logic1\[23\] +*2042 mprj_logic1\[240\] +*2043 mprj_logic1\[241\] +*2044 mprj_logic1\[242\] +*2045 mprj_logic1\[243\] +*2046 mprj_logic1\[244\] +*2047 mprj_logic1\[245\] +*2048 mprj_logic1\[246\] +*2049 mprj_logic1\[247\] +*2050 mprj_logic1\[248\] +*2051 mprj_logic1\[249\] +*2052 mprj_logic1\[24\] +*2053 mprj_logic1\[250\] +*2054 mprj_logic1\[251\] +*2055 mprj_logic1\[252\] +*2056 mprj_logic1\[253\] +*2057 mprj_logic1\[254\] +*2058 mprj_logic1\[255\] +*2059 mprj_logic1\[256\] +*2060 mprj_logic1\[257\] +*2061 mprj_logic1\[258\] +*2062 mprj_logic1\[259\] +*2063 mprj_logic1\[25\] +*2064 mprj_logic1\[260\] +*2065 mprj_logic1\[261\] +*2066 mprj_logic1\[262\] +*2067 mprj_logic1\[263\] +*2068 mprj_logic1\[264\] +*2069 mprj_logic1\[265\] +*2070 mprj_logic1\[266\] +*2071 mprj_logic1\[267\] +*2072 mprj_logic1\[268\] +*2073 mprj_logic1\[269\] +*2074 mprj_logic1\[26\] +*2075 mprj_logic1\[270\] +*2076 mprj_logic1\[271\] +*2077 mprj_logic1\[272\] +*2078 mprj_logic1\[273\] +*2079 mprj_logic1\[274\] +*2080 mprj_logic1\[275\] +*2081 mprj_logic1\[276\] +*2082 mprj_logic1\[277\] +*2083 mprj_logic1\[278\] +*2084 mprj_logic1\[279\] +*2085 mprj_logic1\[27\] +*2086 mprj_logic1\[280\] +*2087 mprj_logic1\[281\] +*2088 mprj_logic1\[282\] +*2089 mprj_logic1\[283\] +*2090 mprj_logic1\[284\] +*2091 mprj_logic1\[285\] +*2092 mprj_logic1\[286\] +*2093 mprj_logic1\[287\] +*2094 mprj_logic1\[288\] +*2095 mprj_logic1\[289\] +*2096 mprj_logic1\[28\] +*2097 mprj_logic1\[290\] +*2098 mprj_logic1\[291\] +*2099 mprj_logic1\[292\] +*2100 mprj_logic1\[293\] +*2101 mprj_logic1\[294\] +*2102 mprj_logic1\[295\] +*2103 mprj_logic1\[296\] +*2104 mprj_logic1\[297\] +*2105 mprj_logic1\[298\] +*2106 mprj_logic1\[299\] +*2107 mprj_logic1\[29\] +*2108 mprj_logic1\[2\] +*2109 mprj_logic1\[300\] +*2110 mprj_logic1\[301\] +*2111 mprj_logic1\[302\] +*2112 mprj_logic1\[303\] +*2113 mprj_logic1\[304\] +*2114 mprj_logic1\[305\] +*2115 mprj_logic1\[306\] +*2116 mprj_logic1\[307\] +*2117 mprj_logic1\[308\] +*2118 mprj_logic1\[309\] +*2119 mprj_logic1\[30\] +*2120 mprj_logic1\[310\] +*2121 mprj_logic1\[311\] +*2122 mprj_logic1\[312\] +*2123 mprj_logic1\[313\] +*2124 mprj_logic1\[314\] +*2125 mprj_logic1\[315\] +*2126 mprj_logic1\[316\] +*2127 mprj_logic1\[317\] +*2128 mprj_logic1\[318\] +*2129 mprj_logic1\[319\] +*2130 mprj_logic1\[31\] +*2131 mprj_logic1\[320\] +*2132 mprj_logic1\[321\] +*2133 mprj_logic1\[322\] +*2134 mprj_logic1\[323\] +*2135 mprj_logic1\[324\] +*2136 mprj_logic1\[325\] +*2137 mprj_logic1\[326\] +*2138 mprj_logic1\[327\] +*2139 mprj_logic1\[328\] +*2140 mprj_logic1\[329\] +*2141 mprj_logic1\[32\] +*2142 mprj_logic1\[330\] +*2143 mprj_logic1\[331\] +*2144 mprj_logic1\[332\] +*2145 mprj_logic1\[333\] +*2146 mprj_logic1\[334\] +*2147 mprj_logic1\[335\] +*2148 mprj_logic1\[336\] +*2149 mprj_logic1\[337\] +*2150 mprj_logic1\[338\] +*2151 mprj_logic1\[339\] +*2152 mprj_logic1\[33\] +*2153 mprj_logic1\[340\] +*2154 mprj_logic1\[341\] +*2155 mprj_logic1\[342\] +*2156 mprj_logic1\[343\] +*2157 mprj_logic1\[344\] +*2158 mprj_logic1\[345\] +*2159 mprj_logic1\[346\] +*2160 mprj_logic1\[347\] +*2161 mprj_logic1\[348\] +*2162 mprj_logic1\[349\] +*2163 mprj_logic1\[34\] +*2164 mprj_logic1\[350\] +*2165 mprj_logic1\[351\] +*2166 mprj_logic1\[352\] +*2167 mprj_logic1\[353\] +*2168 mprj_logic1\[354\] +*2169 mprj_logic1\[355\] +*2170 mprj_logic1\[356\] +*2171 mprj_logic1\[357\] +*2172 mprj_logic1\[358\] +*2173 mprj_logic1\[359\] +*2174 mprj_logic1\[35\] +*2175 mprj_logic1\[360\] +*2176 mprj_logic1\[361\] +*2177 mprj_logic1\[362\] +*2178 mprj_logic1\[363\] +*2179 mprj_logic1\[364\] +*2180 mprj_logic1\[365\] +*2181 mprj_logic1\[366\] +*2182 mprj_logic1\[367\] +*2183 mprj_logic1\[368\] +*2184 mprj_logic1\[369\] +*2185 mprj_logic1\[36\] +*2186 mprj_logic1\[370\] +*2187 mprj_logic1\[371\] +*2188 mprj_logic1\[372\] +*2189 mprj_logic1\[373\] +*2190 mprj_logic1\[374\] +*2191 mprj_logic1\[375\] +*2192 mprj_logic1\[376\] +*2193 mprj_logic1\[377\] +*2194 mprj_logic1\[378\] +*2195 mprj_logic1\[379\] +*2196 mprj_logic1\[37\] +*2197 mprj_logic1\[380\] +*2198 mprj_logic1\[381\] +*2199 mprj_logic1\[382\] +*2200 mprj_logic1\[383\] +*2201 mprj_logic1\[384\] +*2202 mprj_logic1\[385\] +*2203 mprj_logic1\[386\] +*2204 mprj_logic1\[387\] +*2205 mprj_logic1\[388\] +*2206 mprj_logic1\[389\] +*2207 mprj_logic1\[38\] +*2208 mprj_logic1\[390\] +*2209 mprj_logic1\[391\] +*2210 mprj_logic1\[392\] +*2211 mprj_logic1\[393\] +*2212 mprj_logic1\[394\] +*2213 mprj_logic1\[395\] +*2214 mprj_logic1\[396\] +*2215 mprj_logic1\[397\] +*2216 mprj_logic1\[398\] +*2217 mprj_logic1\[399\] +*2218 mprj_logic1\[39\] +*2219 mprj_logic1\[3\] +*2220 mprj_logic1\[400\] +*2221 mprj_logic1\[401\] +*2222 mprj_logic1\[402\] +*2223 mprj_logic1\[403\] +*2224 mprj_logic1\[404\] +*2225 mprj_logic1\[405\] +*2226 mprj_logic1\[406\] +*2227 mprj_logic1\[407\] +*2228 mprj_logic1\[408\] +*2229 mprj_logic1\[409\] +*2230 mprj_logic1\[40\] +*2231 mprj_logic1\[410\] +*2232 mprj_logic1\[411\] +*2233 mprj_logic1\[412\] +*2234 mprj_logic1\[413\] +*2235 mprj_logic1\[414\] +*2236 mprj_logic1\[415\] +*2237 mprj_logic1\[416\] +*2238 mprj_logic1\[417\] +*2239 mprj_logic1\[418\] +*2240 mprj_logic1\[419\] +*2241 mprj_logic1\[41\] +*2242 mprj_logic1\[420\] +*2243 mprj_logic1\[421\] +*2244 mprj_logic1\[422\] +*2245 mprj_logic1\[423\] +*2246 mprj_logic1\[424\] +*2247 mprj_logic1\[425\] +*2248 mprj_logic1\[426\] +*2249 mprj_logic1\[427\] +*2250 mprj_logic1\[428\] +*2251 mprj_logic1\[429\] +*2252 mprj_logic1\[42\] +*2253 mprj_logic1\[430\] +*2254 mprj_logic1\[431\] +*2255 mprj_logic1\[432\] +*2256 mprj_logic1\[433\] +*2257 mprj_logic1\[434\] +*2258 mprj_logic1\[435\] +*2259 mprj_logic1\[436\] +*2260 mprj_logic1\[437\] +*2261 mprj_logic1\[438\] +*2262 mprj_logic1\[439\] +*2263 mprj_logic1\[43\] +*2264 mprj_logic1\[440\] +*2265 mprj_logic1\[441\] +*2266 mprj_logic1\[442\] +*2267 mprj_logic1\[443\] +*2268 mprj_logic1\[444\] +*2269 mprj_logic1\[445\] +*2270 mprj_logic1\[446\] +*2271 mprj_logic1\[447\] +*2272 mprj_logic1\[448\] +*2273 mprj_logic1\[449\] +*2274 mprj_logic1\[44\] +*2275 mprj_logic1\[450\] +*2276 mprj_logic1\[451\] +*2277 mprj_logic1\[452\] +*2278 mprj_logic1\[453\] +*2279 mprj_logic1\[454\] +*2280 mprj_logic1\[455\] +*2281 mprj_logic1\[456\] +*2282 mprj_logic1\[457\] +*2283 mprj_logic1\[458\] +*2284 mprj_logic1\[459\] +*2285 mprj_logic1\[45\] +*2286 mprj_logic1\[460\] +*2287 mprj_logic1\[462\] +*2288 mprj_logic1\[46\] +*2289 mprj_logic1\[47\] +*2290 mprj_logic1\[48\] +*2291 mprj_logic1\[49\] +*2292 mprj_logic1\[4\] +*2293 mprj_logic1\[50\] +*2294 mprj_logic1\[51\] +*2295 mprj_logic1\[52\] +*2296 mprj_logic1\[53\] +*2297 mprj_logic1\[54\] +*2298 mprj_logic1\[55\] +*2299 mprj_logic1\[56\] +*2300 mprj_logic1\[57\] +*2301 mprj_logic1\[58\] +*2302 mprj_logic1\[59\] +*2303 mprj_logic1\[5\] +*2304 mprj_logic1\[60\] +*2305 mprj_logic1\[61\] +*2306 mprj_logic1\[62\] +*2307 mprj_logic1\[63\] +*2308 mprj_logic1\[64\] +*2309 mprj_logic1\[65\] +*2310 mprj_logic1\[66\] +*2311 mprj_logic1\[67\] +*2312 mprj_logic1\[68\] +*2313 mprj_logic1\[69\] +*2314 mprj_logic1\[6\] +*2315 mprj_logic1\[70\] +*2316 mprj_logic1\[71\] +*2317 mprj_logic1\[72\] +*2318 mprj_logic1\[73\] +*2319 mprj_logic1\[74\] +*2320 mprj_logic1\[75\] +*2321 mprj_logic1\[76\] +*2322 mprj_logic1\[77\] +*2323 mprj_logic1\[78\] +*2324 mprj_logic1\[79\] +*2325 mprj_logic1\[7\] +*2326 mprj_logic1\[80\] +*2327 mprj_logic1\[81\] +*2328 mprj_logic1\[82\] +*2329 mprj_logic1\[83\] +*2330 mprj_logic1\[84\] +*2331 mprj_logic1\[85\] +*2332 mprj_logic1\[86\] +*2333 mprj_logic1\[87\] +*2334 mprj_logic1\[88\] +*2335 mprj_logic1\[89\] +*2336 mprj_logic1\[8\] +*2337 mprj_logic1\[90\] +*2338 mprj_logic1\[91\] +*2339 mprj_logic1\[92\] +*2340 mprj_logic1\[93\] +*2341 mprj_logic1\[94\] +*2342 mprj_logic1\[95\] +*2343 mprj_logic1\[96\] +*2344 mprj_logic1\[97\] +*2345 mprj_logic1\[98\] +*2346 mprj_logic1\[99\] +*2347 mprj_logic1\[9\] +*2348 net1 +*2349 net10 +*2350 net100 +*2351 net1000 +*2352 net1001 +*2353 net1002 +*2354 net1003 +*2355 net1004 +*2356 net1005 +*2357 net1006 +*2358 net1007 +*2359 net1008 +*2360 net1009 +*2361 net101 +*2362 net1010 +*2363 net1011 +*2364 net1012 +*2365 net1013 +*2366 net1014 +*2367 net1015 +*2368 net1016 +*2369 net1017 +*2370 net1018 +*2371 net1019 +*2372 net102 +*2373 net1020 +*2374 net1021 +*2375 net1022 +*2376 net1023 +*2377 net1024 +*2378 net1025 +*2379 net1026 +*2380 net1027 +*2381 net1028 +*2382 net1029 +*2383 net103 +*2384 net1030 +*2385 net1031 +*2386 net1032 +*2387 net1033 +*2388 net1034 +*2389 net1035 +*2390 net1036 +*2391 net1037 +*2392 net1038 +*2393 net1039 +*2394 net104 +*2395 net1040 +*2396 net1041 +*2397 net1042 +*2398 net1043 +*2399 net1044 +*2400 net1045 +*2401 net1046 +*2402 net1047 +*2403 net1048 +*2404 net1049 +*2405 net105 +*2406 net1050 +*2407 net1051 +*2408 net1052 +*2409 net1053 +*2410 net1054 +*2411 net1055 +*2412 net1056 +*2413 net1057 +*2414 net1058 +*2415 net1059 +*2416 net106 +*2417 net1060 +*2418 net1061 +*2419 net1062 +*2420 net1063 +*2421 net1064 +*2422 net1065 +*2423 net1066 +*2424 net1067 +*2425 net1068 +*2426 net1069 +*2427 net107 +*2428 net1070 +*2429 net1071 +*2430 net1072 +*2431 net1073 +*2432 net1074 +*2433 net1075 +*2434 net1076 +*2435 net1077 +*2436 net1078 +*2437 net1079 +*2438 net108 +*2439 net1080 +*2440 net1081 +*2441 net1082 +*2442 net1083 +*2443 net1084 +*2444 net1085 +*2445 net1086 +*2446 net1087 +*2447 net1088 +*2448 net1089 +*2449 net109 +*2450 net1090 +*2451 net1091 +*2452 net1092 +*2453 net1093 +*2454 net1094 +*2455 net1095 +*2456 net1096 +*2457 net1097 +*2458 net1098 +*2459 net1099 +*2460 net11 +*2461 net110 +*2462 net1100 +*2463 net1101 +*2464 net1102 +*2465 net1103 +*2466 net1104 +*2467 net1105 +*2468 net1106 +*2469 net1107 +*2470 net1108 +*2471 net1109 +*2472 net111 +*2473 net1110 +*2474 net1111 +*2475 net1112 +*2476 net1113 +*2477 net1114 +*2478 net1115 +*2479 net1116 +*2480 net1117 +*2481 net1118 +*2482 net1119 +*2483 net112 +*2484 net1120 +*2485 net1121 +*2486 net1122 +*2487 net1123 +*2488 net1124 +*2489 net1125 +*2490 net1126 +*2491 net1127 +*2492 net1128 +*2493 net1129 +*2494 net113 +*2495 net1130 +*2496 net1131 +*2497 net1132 +*2498 net1133 +*2499 net1134 +*2500 net1135 +*2501 net1136 +*2502 net1137 +*2503 net1138 +*2504 net1139 +*2505 net114 +*2506 net1140 +*2507 net1141 +*2508 net1142 +*2509 net1143 +*2510 net1144 +*2511 net1145 +*2512 net1146 +*2513 net1147 +*2514 net1148 +*2515 net1149 +*2516 net115 +*2517 net1150 +*2518 net1151 +*2519 net1152 +*2520 net1153 +*2521 net1154 +*2522 net1155 +*2523 net1156 +*2524 net1157 +*2525 net1158 +*2526 net1159 +*2527 net116 +*2528 net1160 +*2529 net1161 +*2530 net1162 +*2531 net1163 +*2532 net1164 +*2533 net1165 +*2534 net1166 +*2535 net1167 +*2536 net1168 +*2537 net1169 +*2538 net117 +*2539 net1170 +*2540 net1171 +*2541 net1172 +*2542 net1173 +*2543 net1174 +*2544 net1175 +*2545 net1176 +*2546 net1177 +*2547 net1178 +*2548 net1179 +*2549 net118 +*2550 net1180 +*2551 net1181 +*2552 net1182 +*2553 net1183 +*2554 net1184 +*2555 net1185 +*2556 net1186 +*2557 net1187 +*2558 net1188 +*2559 net1189 +*2560 net119 +*2561 net1190 +*2562 net1191 +*2563 net1192 +*2564 net1193 +*2565 net1194 +*2566 net1195 +*2567 net1196 +*2568 net1197 +*2569 net1198 +*2570 net1199 +*2571 net12 +*2572 net120 +*2573 net1200 +*2574 net1201 +*2575 net1202 +*2576 net1203 +*2577 net1204 +*2578 net1205 +*2579 net1206 +*2580 net1207 +*2581 net1208 +*2582 net1209 +*2583 net121 +*2584 net1210 +*2585 net1211 +*2586 net1212 +*2587 net1213 +*2588 net1214 +*2589 net1215 +*2590 net1216 +*2591 net1217 +*2592 net1218 +*2593 net1219 +*2594 net122 +*2595 net1220 +*2596 net1221 +*2597 net1222 +*2598 net1223 +*2599 net1224 +*2600 net1225 +*2601 net1226 +*2602 net1227 +*2603 net1228 +*2604 net1229 +*2605 net123 +*2606 net1230 +*2607 net1231 +*2608 net1232 +*2609 net1233 +*2610 net1234 +*2611 net1235 +*2612 net1236 +*2613 net1237 +*2614 net1238 +*2615 net1239 +*2616 net124 +*2617 net1240 +*2618 net1241 +*2619 net1242 +*2620 net1243 +*2621 net1244 +*2622 net1245 +*2623 net1246 +*2624 net1247 +*2625 net1248 +*2626 net1249 +*2627 net125 +*2628 net1250 +*2629 net1251 +*2630 net1252 +*2631 net1253 +*2632 net1254 +*2633 net1255 +*2634 net1256 +*2635 net1257 +*2636 net1258 +*2637 net1259 +*2638 net126 +*2639 net1260 +*2640 net1261 +*2641 net1262 +*2642 net1263 +*2643 net1264 +*2644 net1265 +*2645 net1266 +*2646 net1267 +*2647 net1268 +*2648 net1269 +*2649 net127 +*2650 net1270 +*2651 net1271 +*2652 net1272 +*2653 net1273 +*2654 net1274 +*2655 net1275 +*2656 net1276 +*2657 net1277 +*2658 net1278 +*2659 net1279 +*2660 net128 +*2661 net1280 +*2662 net1281 +*2663 net1282 +*2664 net1283 +*2665 net1284 +*2666 net1285 +*2667 net1286 +*2668 net1287 +*2669 net1288 +*2670 net1289 +*2671 net129 +*2672 net1290 +*2673 net1291 +*2674 net1292 +*2675 net1293 +*2676 net1294 +*2677 net1295 +*2678 net1296 +*2679 net1297 +*2680 net1298 +*2681 net1299 +*2682 net13 +*2683 net130 +*2684 net1300 +*2685 net1301 +*2686 net1302 +*2687 net1303 +*2688 net1304 +*2689 net1305 +*2690 net1306 +*2691 net1307 +*2692 net1308 +*2693 net1309 +*2694 net131 +*2695 net1310 +*2696 net1311 +*2697 net1312 +*2698 net1313 +*2699 net1314 +*2700 net1315 +*2701 net1316 +*2702 net1317 +*2703 net1318 +*2704 net1319 +*2705 net132 +*2706 net1320 +*2707 net1321 +*2708 net1322 +*2709 net1323 +*2710 net1324 +*2711 net1325 +*2712 net1326 +*2713 net1327 +*2714 net1328 +*2715 net1329 +*2716 net133 +*2717 net1330 +*2718 net1331 +*2719 net1332 +*2720 net1333 +*2721 net1334 +*2722 net1335 +*2723 net1336 +*2724 net1337 +*2725 net1338 +*2726 net1339 +*2727 net134 +*2728 net1340 +*2729 net1341 +*2730 net1342 +*2731 net1343 +*2732 net1344 +*2733 net1345 +*2734 net1346 +*2735 net1347 +*2736 net1348 +*2737 net1349 +*2738 net135 +*2739 net1350 +*2740 net1351 +*2741 net1352 +*2742 net1353 +*2743 net1354 +*2744 net1355 +*2745 net1356 +*2746 net1357 +*2747 net1358 +*2748 net1359 +*2749 net136 +*2750 net1360 +*2751 net1361 +*2752 net1362 +*2753 net1363 +*2754 net1364 +*2755 net1365 +*2756 net1366 +*2757 net1367 +*2758 net1368 +*2759 net1369 +*2760 net137 +*2761 net1370 +*2762 net1371 +*2763 net1372 +*2764 net1373 +*2765 net1374 +*2766 net1375 +*2767 net1376 +*2768 net1377 +*2769 net1378 +*2770 net1379 +*2771 net138 +*2772 net1380 +*2773 net1381 +*2774 net1382 +*2775 net1383 +*2776 net1384 +*2777 net1385 +*2778 net1386 +*2779 net1387 +*2780 net1388 +*2781 net1389 +*2782 net139 +*2783 net1390 +*2784 net1391 +*2785 net1392 +*2786 net1393 +*2787 net1394 +*2788 net1395 +*2789 net1396 +*2790 net1397 +*2791 net1398 +*2792 net1399 +*2793 net14 +*2794 net140 +*2795 net1400 +*2796 net1401 +*2797 net1402 +*2798 net1403 +*2799 net1404 +*2800 net1405 +*2801 net1406 +*2802 net1407 +*2803 net1408 +*2804 net1409 +*2805 net141 +*2806 net1410 +*2807 net1411 +*2808 net1412 +*2809 net1413 +*2810 net1414 +*2811 net1415 +*2812 net1416 +*2813 net1417 +*2814 net1418 +*2815 net1419 +*2816 net142 +*2817 net1420 +*2818 net1421 +*2819 net1422 +*2820 net1423 +*2821 net1424 +*2822 net1425 +*2823 net1426 +*2824 net1427 +*2825 net1428 +*2826 net1429 +*2827 net143 +*2828 net1430 +*2829 net1431 +*2830 net1432 +*2831 net1433 +*2832 net1434 +*2833 net1435 +*2834 net1436 +*2835 net1437 +*2836 net1438 +*2837 net1439 +*2838 net144 +*2839 net1440 +*2840 net1441 +*2841 net1442 +*2842 net1443 +*2843 net1444 +*2844 net1445 +*2845 net1446 +*2846 net1447 +*2847 net1448 +*2848 net1449 +*2849 net145 +*2850 net1450 +*2851 net1451 +*2852 net1452 +*2853 net1453 +*2854 net1454 +*2855 net1455 +*2856 net1456 +*2857 net1457 +*2858 net1458 +*2859 net1459 +*2860 net146 +*2861 net1460 +*2862 net1461 +*2863 net1462 +*2864 net1463 +*2865 net1464 +*2866 net1465 +*2867 net1466 +*2868 net1467 +*2869 net1468 +*2870 net1469 +*2871 net147 +*2872 net1470 +*2873 net1471 +*2874 net1472 +*2875 net1473 +*2876 net1474 +*2877 net1475 +*2878 net1476 +*2879 net1477 +*2880 net1478 +*2881 net1479 +*2882 net148 +*2883 net1480 +*2884 net1481 +*2885 net1482 +*2886 net1483 +*2887 net1484 +*2888 net1485 +*2889 net1486 +*2890 net1487 +*2891 net1488 +*2892 net1489 +*2893 net149 +*2894 net1490 +*2895 net1491 +*2896 net1492 +*2897 net1493 +*2898 net1494 +*2899 net1495 +*2900 net1496 +*2901 net1497 +*2902 net1498 +*2903 net1499 +*2904 net15 +*2905 net150 +*2906 net1500 +*2907 net1501 +*2908 net1502 +*2909 net1503 +*2910 net1504 +*2911 net1505 +*2912 net1506 +*2913 net1507 +*2914 net1508 +*2915 net1509 +*2916 net151 +*2917 net1510 +*2918 net1511 +*2919 net1512 +*2920 net1513 +*2921 net1514 +*2922 net1515 +*2923 net1516 +*2924 net1517 +*2925 net1518 +*2926 net1519 +*2927 net152 +*2928 net1520 +*2929 net1521 +*2930 net1522 +*2931 net1523 +*2932 net1524 +*2933 net1525 +*2934 net1526 +*2935 net1527 +*2936 net1528 +*2937 net1529 +*2938 net153 +*2939 net1530 +*2940 net1531 +*2941 net1532 +*2942 net1533 +*2943 net1534 +*2944 net1535 +*2945 net1536 +*2946 net1537 +*2947 net1538 +*2948 net1539 +*2949 net154 +*2950 net1540 +*2951 net1541 +*2952 net1542 +*2953 net1543 +*2954 net1544 +*2955 net1545 +*2956 net1546 +*2957 net1547 +*2958 net1548 +*2959 net1549 +*2960 net155 +*2961 net1550 +*2962 net1551 +*2963 net1552 +*2964 net1553 +*2965 net1554 +*2966 net1555 +*2967 net1556 +*2968 net1557 +*2969 net1558 +*2970 net1559 +*2971 net156 +*2972 net1560 +*2973 net1561 +*2974 net1562 +*2975 net1563 +*2976 net1564 +*2977 net1565 +*2978 net1566 +*2979 net1567 +*2980 net1568 +*2981 net1569 +*2982 net157 +*2983 net1570 +*2984 net1571 +*2985 net1572 +*2986 net1573 +*2987 net1574 +*2988 net1575 +*2989 net1576 +*2990 net1577 +*2991 net1578 +*2992 net1579 +*2993 net158 +*2994 net1580 +*2995 net1581 +*2996 net1582 +*2997 net1583 +*2998 net1584 +*2999 net1585 +*3000 net1586 +*3001 net1587 +*3002 net1588 +*3003 net1589 +*3004 net159 +*3005 net1590 +*3006 net1591 +*3007 net1592 +*3008 net1593 +*3009 net1594 +*3010 net1595 +*3011 net1596 +*3012 net1597 +*3013 net1598 +*3014 net1599 +*3015 net16 +*3016 net160 +*3017 net1600 +*3018 net1601 +*3019 net1602 +*3020 net1603 +*3021 net1604 +*3022 net1605 +*3023 net1606 +*3024 net1607 +*3025 net1608 +*3026 net1609 +*3027 net161 +*3028 net1610 +*3029 net1611 +*3030 net1612 +*3031 net1613 +*3032 net1614 +*3033 net1615 +*3034 net1616 +*3035 net1617 +*3036 net1618 +*3037 net1619 +*3038 net162 +*3039 net1620 +*3040 net1621 +*3041 net1622 +*3042 net1623 +*3043 net1624 +*3044 net1625 +*3045 net1626 +*3046 net1627 +*3047 net1628 +*3048 net1629 +*3049 net163 +*3050 net1630 +*3051 net1631 +*3052 net1632 +*3053 net1633 +*3054 net1634 +*3055 net1635 +*3056 net1636 +*3057 net1637 +*3058 net1638 +*3059 net1639 +*3060 net164 +*3061 net1640 +*3062 net1641 +*3063 net1642 +*3064 net1643 +*3065 net1644 +*3066 net1645 +*3067 net1646 +*3068 net1647 +*3069 net1648 +*3070 net1649 +*3071 net165 +*3072 net1650 +*3073 net1651 +*3074 net1652 +*3075 net1653 +*3076 net1654 +*3077 net1655 +*3078 net1656 +*3079 net1657 +*3080 net1658 +*3081 net1659 +*3082 net166 +*3083 net1660 +*3084 net1661 +*3085 net1662 +*3086 net1663 +*3087 net1664 +*3088 net1665 +*3089 net1666 +*3090 net1667 +*3091 net1668 +*3092 net1669 +*3093 net167 +*3094 net1670 +*3095 net1671 +*3096 net1672 +*3097 net1673 +*3098 net1674 +*3099 net1675 +*3100 net1676 +*3101 net1677 +*3102 net1678 +*3103 net1679 +*3104 net168 +*3105 net1680 +*3106 net1681 +*3107 net1682 +*3108 net1683 +*3109 net1684 +*3110 net1685 +*3111 net1686 +*3112 net1687 +*3113 net1688 +*3114 net1689 +*3115 net169 +*3116 net1690 +*3117 net1691 +*3118 net1692 +*3119 net1693 +*3120 net1694 +*3121 net1695 +*3122 net1696 +*3123 net1697 +*3124 net1698 +*3125 net1699 +*3126 net17 +*3127 net170 +*3128 net1700 +*3129 net1701 +*3130 net1702 +*3131 net1703 +*3132 net1704 +*3133 net1705 +*3134 net1706 +*3135 net1707 +*3136 net1708 +*3137 net1709 +*3138 net171 +*3139 net1710 +*3140 net1711 +*3141 net1712 +*3142 net1713 +*3143 net1714 +*3144 net1715 +*3145 net1716 +*3146 net1717 +*3147 net1718 +*3148 net1719 +*3149 net172 +*3150 net1720 +*3151 net1721 +*3152 net1722 +*3153 net1723 +*3154 net1724 +*3155 net1725 +*3156 net1726 +*3157 net1727 +*3158 net1728 +*3159 net1729 +*3160 net173 +*3161 net1730 +*3162 net1731 +*3163 net1732 +*3164 net1733 +*3165 net1734 +*3166 net1735 +*3167 net1736 +*3168 net1737 +*3169 net1738 +*3170 net1739 +*3171 net174 +*3172 net1740 +*3173 net1741 +*3174 net1742 +*3175 net1743 +*3176 net1744 +*3177 net1745 +*3178 net1746 +*3179 net1747 +*3180 net1748 +*3181 net1749 +*3182 net175 +*3183 net1750 +*3184 net1751 +*3185 net1752 +*3186 net1753 +*3187 net1754 +*3188 net1755 +*3189 net1756 +*3190 net1757 +*3191 net1758 +*3192 net1759 +*3193 net176 +*3194 net1760 +*3195 net1761 +*3196 net1762 +*3197 net1763 +*3198 net1764 +*3199 net1765 +*3200 net1766 +*3201 net1767 +*3202 net1768 +*3203 net1769 +*3204 net177 +*3205 net1770 +*3206 net1771 +*3207 net1772 +*3208 net1773 +*3209 net1774 +*3210 net1775 +*3211 net1776 +*3212 net1777 +*3213 net1778 +*3214 net1779 +*3215 net178 +*3216 net1780 +*3217 net1781 +*3218 net1782 +*3219 net1783 +*3220 net1784 +*3221 net1785 +*3222 net1786 +*3223 net1787 +*3224 net1788 +*3225 net1789 +*3226 net179 +*3227 net1790 +*3228 net1791 +*3229 net1792 +*3230 net1793 +*3231 net1794 +*3232 net1795 +*3233 net1796 +*3234 net1797 +*3235 net1798 +*3236 net1799 +*3237 net18 +*3238 net180 +*3239 net1800 +*3240 net1801 +*3241 net1802 +*3242 net1803 +*3243 net1804 +*3244 net1805 +*3245 net1806 +*3246 net1807 +*3247 net1808 +*3248 net1809 +*3249 net181 +*3250 net1810 +*3251 net1811 +*3252 net1812 +*3253 net1813 +*3254 net1814 +*3255 net1815 +*3256 net1816 +*3257 net1817 +*3258 net1818 +*3259 net1819 +*3260 net182 +*3261 net1820 +*3262 net1821 +*3263 net1822 +*3264 net1823 +*3265 net1824 +*3266 net1825 +*3267 net1826 +*3268 net1827 +*3269 net1828 +*3270 net1829 +*3271 net183 +*3272 net1830 +*3273 net1831 +*3274 net1832 +*3275 net1833 +*3276 net1834 +*3277 net1835 +*3278 net1836 +*3279 net1837 +*3280 net1838 +*3281 net1839 +*3282 net184 +*3283 net1840 +*3284 net1841 +*3285 net1842 +*3286 net1843 +*3287 net1844 +*3288 net1845 +*3289 net1846 +*3290 net1847 +*3291 net1848 +*3292 net1849 +*3293 net185 +*3294 net1850 +*3295 net1851 +*3296 net1852 +*3297 net1853 +*3298 net1854 +*3299 net1855 +*3300 net1856 +*3301 net1857 +*3302 net1858 +*3303 net1859 +*3304 net186 +*3305 net1860 +*3306 net1861 +*3307 net1862 +*3308 net1863 +*3309 net1864 +*3310 net1865 +*3311 net1866 +*3312 net1867 +*3313 net1868 +*3314 net1869 +*3315 net187 +*3316 net1870 +*3317 net1871 +*3318 net1872 +*3319 net1873 +*3320 net1874 +*3321 net1875 +*3322 net1876 +*3323 net1877 +*3324 net1878 +*3325 net1879 +*3326 net188 +*3327 net1880 +*3328 net1881 +*3329 net1882 +*3330 net1883 +*3331 net1884 +*3332 net1885 +*3333 net1886 +*3334 net1887 +*3335 net1888 +*3336 net1889 +*3337 net189 +*3338 net1890 +*3339 net1891 +*3340 net1892 +*3341 net1893 +*3342 net1894 +*3343 net1895 +*3344 net1896 +*3345 net1897 +*3346 net1898 +*3347 net1899 +*3348 net19 +*3349 net190 +*3350 net1900 +*3351 net1901 +*3352 net1902 +*3353 net1903 +*3354 net1904 +*3355 net1905 +*3356 net1906 +*3357 net1907 +*3358 net1908 +*3359 net1909 +*3360 net191 +*3361 net1910 +*3362 net1911 +*3363 net1912 +*3364 net1913 +*3365 net1914 +*3366 net1915 +*3367 net1916 +*3368 net1917 +*3369 net1918 +*3370 net1919 +*3371 net192 +*3372 net1920 +*3373 net1921 +*3374 net1922 +*3375 net1923 +*3376 net1924 +*3377 net1925 +*3378 net1926 +*3379 net1927 +*3380 net1928 +*3381 net1929 +*3382 net193 +*3383 net1930 +*3384 net1931 +*3385 net1932 +*3386 net1933 +*3387 net1934 +*3388 net1935 +*3389 net1936 +*3390 net1937 +*3391 net1938 +*3392 net1939 +*3393 net194 +*3394 net1940 +*3395 net1941 +*3396 net1942 +*3397 net1943 +*3398 net1944 +*3399 net1945 +*3400 net1946 +*3401 net1947 +*3402 net1948 +*3403 net1949 +*3404 net195 +*3405 net1950 +*3406 net1951 +*3407 net1952 +*3408 net1953 +*3409 net1954 +*3410 net1955 +*3411 net1956 +*3412 net1957 +*3413 net1958 +*3414 net1959 +*3415 net196 +*3416 net1960 +*3417 net1961 +*3418 net1962 +*3419 net1963 +*3420 net1964 +*3421 net1965 +*3422 net1966 +*3423 net1967 +*3424 net1968 +*3425 net1969 +*3426 net197 +*3427 net1970 +*3428 net1971 +*3429 net1972 +*3430 net1973 +*3431 net1974 +*3432 net1975 +*3433 net1976 +*3434 net1977 +*3435 net1978 +*3436 net1979 +*3437 net198 +*3438 net1980 +*3439 net1981 +*3440 net1982 +*3441 net1983 +*3442 net1984 +*3443 net1985 +*3444 net1986 +*3445 net1987 +*3446 net1988 +*3447 net1989 +*3448 net199 +*3449 net1990 +*3450 net1991 +*3451 net1992 +*3452 net1993 +*3453 net1994 +*3454 net1995 +*3455 net1996 +*3456 net1997 +*3457 net1998 +*3458 net1999 +*3459 net2 +*3460 net20 +*3461 net200 +*3462 net2000 +*3463 net2001 +*3464 net2002 +*3465 net2003 +*3466 net2004 +*3467 net2005 +*3468 net2006 +*3469 net2007 +*3470 net2008 +*3471 net2009 +*3472 net201 +*3473 net2010 +*3474 net2011 +*3475 net2012 +*3476 net2013 +*3477 net2014 +*3478 net2015 +*3479 net2016 +*3480 net2017 +*3481 net2018 +*3482 net2019 +*3483 net202 +*3484 net2020 +*3485 net2021 +*3486 net2022 +*3487 net2023 +*3488 net2024 +*3489 net2025 +*3490 net2026 +*3491 net2027 +*3492 net2028 +*3493 net2029 +*3494 net203 +*3495 net2030 +*3496 net2031 +*3497 net2032 +*3498 net2033 +*3499 net2034 +*3500 net2035 +*3501 net2036 +*3502 net2037 +*3503 net2038 +*3504 net2039 +*3505 net204 +*3506 net2040 +*3507 net2041 +*3508 net2042 +*3509 net2043 +*3510 net2044 +*3511 net2045 +*3512 net2046 +*3513 net2047 +*3514 net2048 +*3515 net2049 +*3516 net205 +*3517 net2050 +*3518 net2051 +*3519 net2052 +*3520 net2053 +*3521 net2054 +*3522 net2055 +*3523 net2056 +*3524 net2057 +*3525 net2058 +*3526 net2059 +*3527 net206 +*3528 net2060 +*3529 net2061 +*3530 net2062 +*3531 net2063 +*3532 net2064 +*3533 net2065 +*3534 net2066 +*3535 net2067 +*3536 net2068 +*3537 net2069 +*3538 net207 +*3539 net2070 +*3540 net2071 +*3541 net2072 +*3542 net2073 +*3543 net2074 +*3544 net2075 +*3545 net2076 +*3546 net2077 +*3547 net2078 +*3548 net2079 +*3549 net208 +*3550 net2080 +*3551 net2081 +*3552 net2082 +*3553 net2083 +*3554 net2084 +*3555 net2085 +*3556 net2086 +*3557 net2087 +*3558 net2088 +*3559 net2089 +*3560 net209 +*3561 net2090 +*3562 net2091 +*3563 net2092 +*3564 net2093 +*3565 net2094 +*3566 net2095 +*3567 net2096 +*3568 net2097 +*3569 net2098 +*3570 net2099 +*3571 net21 +*3572 net210 +*3573 net2100 +*3574 net2101 +*3575 net2102 +*3576 net2103 +*3577 net2104 +*3578 net2105 +*3579 net2106 +*3580 net2107 +*3581 net2108 +*3582 net2109 +*3583 net211 +*3584 net2110 +*3585 net2111 +*3586 net2112 +*3587 net2113 +*3588 net2114 +*3589 net2115 +*3590 net2116 +*3591 net2117 +*3592 net2118 +*3593 net2119 +*3594 net212 +*3595 net2120 +*3596 net2121 +*3597 net2122 +*3598 net2123 +*3599 net2124 +*3600 net2125 +*3601 net2126 +*3602 net2127 +*3603 net2128 +*3604 net2129 +*3605 net213 +*3606 net2130 +*3607 net2131 +*3608 net2132 +*3609 net2133 +*3610 net2134 +*3611 net2135 +*3612 net2136 +*3613 net2137 +*3614 net2138 +*3615 net2139 +*3616 net214 +*3617 net2140 +*3618 net2141 +*3619 net2142 +*3620 net2143 +*3621 net2144 +*3622 net2145 +*3623 net2146 +*3624 net2147 +*3625 net2148 +*3626 net2149 +*3627 net215 +*3628 net2150 +*3629 net2151 +*3630 net2152 +*3631 net2153 +*3632 net2154 +*3633 net2155 +*3634 net2156 +*3635 net2157 +*3636 net2158 +*3637 net2159 +*3638 net216 +*3639 net2160 +*3640 net2161 +*3641 net2162 +*3642 net2163 +*3643 net2164 +*3644 net2165 +*3645 net2166 +*3646 net2167 +*3647 net2168 +*3648 net2169 +*3649 net217 +*3650 net2170 +*3651 net2171 +*3652 net2172 +*3653 net2173 +*3654 net2174 +*3655 net2175 +*3656 net2176 +*3657 net2177 +*3658 net2178 +*3659 net2179 +*3660 net218 +*3661 net2180 +*3662 net2181 +*3663 net2182 +*3664 net2183 +*3665 net2184 +*3666 net2185 +*3667 net2186 +*3668 net2187 +*3669 net2188 +*3670 net2189 +*3671 net219 +*3672 net2190 +*3673 net2191 +*3674 net2192 +*3675 net2193 +*3676 net2194 +*3677 net2195 +*3678 net2196 +*3679 net2197 +*3680 net2198 +*3681 net2199 +*3682 net22 +*3683 net220 +*3684 net2200 +*3685 net2201 +*3686 net2202 +*3687 net2203 +*3688 net2204 +*3689 net2205 +*3690 net2206 +*3691 net2207 +*3692 net2208 +*3693 net2209 +*3694 net221 +*3695 net2210 +*3696 net2211 +*3697 net2212 +*3698 net2213 +*3699 net2214 +*3700 net2215 +*3701 net2216 +*3702 net2217 +*3703 net2218 +*3704 net2219 +*3705 net222 +*3706 net2220 +*3707 net2221 +*3708 net2222 +*3709 net2223 +*3710 net2224 +*3711 net2225 +*3712 net2226 +*3713 net2227 +*3714 net2228 +*3715 net2229 +*3716 net223 +*3717 net2230 +*3718 net2231 +*3719 net2232 +*3720 net2233 +*3721 net2234 +*3722 net2235 +*3723 net2236 +*3724 net2237 +*3725 net2238 +*3726 net2239 +*3727 net224 +*3728 net2240 +*3729 net2241 +*3730 net2242 +*3731 net2243 +*3732 net2244 +*3733 net2245 +*3734 net2246 +*3735 net2247 +*3736 net2248 +*3737 net2249 +*3738 net225 +*3739 net2250 +*3740 net2251 +*3741 net2252 +*3742 net2253 +*3743 net2254 +*3744 net2255 +*3745 net2256 +*3746 net2257 +*3747 net2258 +*3748 net2259 +*3749 net226 +*3750 net2260 +*3751 net2261 +*3752 net2262 +*3753 net2263 +*3754 net2264 +*3755 net2265 +*3756 net2266 +*3757 net2267 +*3758 net2268 +*3759 net2269 +*3760 net227 +*3761 net2270 +*3762 net2271 +*3763 net2272 +*3764 net2273 +*3765 net2274 +*3766 net2275 +*3767 net2276 +*3768 net2277 +*3769 net2278 +*3770 net2279 +*3771 net228 +*3772 net2280 +*3773 net2281 +*3774 net2282 +*3775 net2283 +*3776 net2284 +*3777 net2285 +*3778 net2286 +*3779 net2287 +*3780 net2288 +*3781 net2289 +*3782 net229 +*3783 net2290 +*3784 net2291 +*3785 net2292 +*3786 net2293 +*3787 net2294 +*3788 net2295 +*3789 net2296 +*3790 net2297 +*3791 net2298 +*3792 net2299 +*3793 net23 +*3794 net230 +*3795 net2300 +*3796 net2301 +*3797 net2302 +*3798 net2303 +*3799 net2304 +*3800 net2305 +*3801 net2306 +*3802 net2307 +*3803 net2308 +*3804 net2309 +*3805 net231 +*3806 net2310 +*3807 net2311 +*3808 net2312 +*3809 net2313 +*3810 net2314 +*3811 net2315 +*3812 net2316 +*3813 net2317 +*3814 net2318 +*3815 net2319 +*3816 net232 +*3817 net2320 +*3818 net2321 +*3819 net2322 +*3820 net2323 +*3821 net2324 +*3822 net2325 +*3823 net2326 +*3824 net2327 +*3825 net2328 +*3826 net2329 +*3827 net233 +*3828 net2330 +*3829 net2331 +*3830 net2332 +*3831 net2333 +*3832 net2334 +*3833 net2335 +*3834 net2336 +*3835 net2337 +*3836 net2338 +*3837 net2339 +*3838 net234 +*3839 net2340 +*3840 net2341 +*3841 net2342 +*3842 net2343 +*3843 net2344 +*3844 net2345 +*3845 net2346 +*3846 net2347 +*3847 net2348 +*3848 net2349 +*3849 net235 +*3850 net2350 +*3851 net2351 +*3852 net2352 +*3853 net2353 +*3854 net2354 +*3855 net2355 +*3856 net2356 +*3857 net2357 +*3858 net2358 +*3859 net2359 +*3860 net236 +*3861 net2360 +*3862 net2361 +*3863 net2362 +*3864 net2363 +*3865 net2364 +*3866 net2365 +*3867 net2366 +*3868 net2367 +*3869 net2368 +*3870 net2369 +*3871 net237 +*3872 net2370 +*3873 net2371 +*3874 net2372 +*3875 net2373 +*3876 net2374 +*3877 net2375 +*3878 net2376 +*3879 net2377 +*3880 net2378 +*3881 net2379 +*3882 net238 +*3883 net2380 +*3884 net2381 +*3885 net2382 +*3886 net2383 +*3887 net2384 +*3888 net2385 +*3889 net2386 +*3890 net2387 +*3891 net2388 +*3892 net2389 +*3893 net239 +*3894 net2390 +*3895 net2391 +*3896 net2392 +*3897 net2393 +*3898 net2394 +*3899 net2395 +*3900 net2396 +*3901 net2397 +*3902 net2398 +*3903 net2399 +*3904 net24 +*3905 net240 +*3906 net2400 +*3907 net2401 +*3908 net2402 +*3909 net2403 +*3910 net2404 +*3911 net2405 +*3912 net2406 +*3913 net2407 +*3914 net2408 +*3915 net2409 +*3916 net241 +*3917 net2410 +*3918 net2411 +*3919 net2412 +*3920 net2413 +*3921 net2414 +*3922 net2415 +*3923 net2416 +*3924 net2417 +*3925 net2418 +*3926 net2419 +*3927 net242 +*3928 net2420 +*3929 net2421 +*3930 net2422 +*3931 net2423 +*3932 net2424 +*3933 net2425 +*3934 net2426 +*3935 net2427 +*3936 net2428 +*3937 net2429 +*3938 net243 +*3939 net2430 +*3940 net2431 +*3941 net2432 +*3942 net2433 +*3943 net2434 +*3944 net2435 +*3945 net2436 +*3946 net2437 +*3947 net2438 +*3948 net2439 +*3949 net244 +*3950 net2440 +*3951 net2441 +*3952 net2442 +*3953 net2443 +*3954 net2444 +*3955 net2445 +*3956 net2446 +*3957 net2447 +*3958 net2448 +*3959 net2449 +*3960 net245 +*3961 net2450 +*3962 net2451 +*3963 net2452 +*3964 net2453 +*3965 net2454 +*3966 net2455 +*3967 net2456 +*3968 net2457 +*3969 net2458 +*3970 net2459 +*3971 net246 +*3972 net2460 +*3973 net2461 +*3974 net2462 +*3975 net2463 +*3976 net2464 +*3977 net2465 +*3978 net2466 +*3979 net2467 +*3980 net2468 +*3981 net2469 +*3982 net247 +*3983 net2470 +*3984 net2471 +*3985 net2472 +*3986 net2473 +*3987 net2474 +*3988 net2475 +*3989 net2476 +*3990 net2477 +*3991 net2478 +*3992 net2479 +*3993 net248 +*3994 net2480 +*3995 net2481 +*3996 net2482 +*3997 net2483 +*3998 net2484 +*3999 net2485 +*4000 net2486 +*4001 net2487 +*4002 net2488 +*4003 net2489 +*4004 net249 +*4005 net2490 +*4006 net2491 +*4007 net2492 +*4008 net2493 +*4009 net2494 +*4010 net2495 +*4011 net2496 +*4012 net2497 +*4013 net2498 +*4014 net2499 +*4015 net25 +*4016 net250 +*4017 net2500 +*4018 net2501 +*4019 net2502 +*4020 net2503 +*4021 net2504 +*4022 net2505 +*4023 net2506 +*4024 net2507 +*4025 net2508 +*4026 net2509 +*4027 net251 +*4028 net2510 +*4029 net2511 +*4030 net2512 +*4031 net2513 +*4032 net2514 +*4033 net2515 +*4034 net2516 +*4035 net2517 +*4036 net2518 +*4037 net2519 +*4038 net252 +*4039 net2520 +*4040 net2521 +*4041 net2522 +*4042 net2523 +*4043 net2524 +*4044 net2525 +*4045 net2526 +*4046 net2527 +*4047 net2528 +*4048 net2529 +*4049 net253 +*4050 net2530 +*4051 net2531 +*4052 net2532 +*4053 net2533 +*4054 net2534 +*4055 net2535 +*4056 net2536 +*4057 net2537 +*4058 net2538 +*4059 net2539 +*4060 net254 +*4061 net2540 +*4062 net2541 +*4063 net2542 +*4064 net2543 +*4065 net2544 +*4066 net2545 +*4067 net2546 +*4068 net2547 +*4069 net2548 +*4070 net2549 +*4071 net255 +*4072 net2550 +*4073 net2551 +*4074 net2552 +*4075 net2553 +*4076 net2554 +*4077 net2555 +*4078 net2556 +*4079 net2557 +*4080 net2558 +*4081 net2559 +*4082 net256 +*4083 net2560 +*4084 net2561 +*4085 net2562 +*4086 net2563 +*4087 net2564 +*4088 net2565 +*4089 net2566 +*4090 net2567 +*4091 net2568 +*4092 net2569 +*4093 net257 +*4094 net2570 +*4095 net2571 +*4096 net2572 +*4097 net2573 +*4098 net2574 +*4099 net2575 +*4100 net2576 +*4101 net2577 +*4102 net2578 +*4103 net2579 +*4104 net258 +*4105 net2580 +*4106 net2581 +*4107 net2582 +*4108 net2583 +*4109 net2584 +*4110 net2585 +*4111 net2586 +*4112 net2587 +*4113 net2588 +*4114 net2589 +*4115 net259 +*4116 net2590 +*4117 net2591 +*4118 net2592 +*4119 net2593 +*4120 net2594 +*4121 net2595 +*4122 net2596 +*4123 net2597 +*4124 net2598 +*4125 net2599 +*4126 net26 +*4127 net260 +*4128 net2600 +*4129 net2601 +*4130 net2602 +*4131 net2603 +*4132 net2604 +*4133 net2605 +*4134 net2606 +*4135 net2607 +*4136 net2608 +*4137 net2609 +*4138 net261 +*4139 net2610 +*4140 net2611 +*4141 net2612 +*4142 net2613 +*4143 net2614 +*4144 net2615 +*4145 net2616 +*4146 net2617 +*4147 net2618 +*4148 net2619 +*4149 net262 +*4150 net2620 +*4151 net2621 +*4152 net2622 +*4153 net2623 +*4154 net2624 +*4155 net2625 +*4156 net2626 +*4157 net2627 +*4158 net2628 +*4159 net2629 +*4160 net263 +*4161 net2630 +*4162 net2631 +*4163 net2632 +*4164 net2633 +*4165 net2634 +*4166 net2635 +*4167 net2636 +*4168 net2637 +*4169 net2638 +*4170 net2639 +*4171 net264 +*4172 net2640 +*4173 net2641 +*4174 net2642 +*4175 net2643 +*4176 net2644 +*4177 net2645 +*4178 net2646 +*4179 net2647 +*4180 net2648 +*4181 net2649 +*4182 net265 +*4183 net2650 +*4184 net2651 +*4185 net2652 +*4186 net2653 +*4187 net2654 +*4188 net2655 +*4189 net2656 +*4190 net2657 +*4191 net2658 +*4192 net2659 +*4193 net266 +*4194 net2660 +*4195 net2661 +*4196 net2662 +*4197 net2663 +*4198 net2664 +*4199 net2665 +*4200 net2666 +*4201 net2667 +*4202 net2668 +*4203 net2669 +*4204 net267 +*4205 net2670 +*4206 net2671 +*4207 net2672 +*4208 net2673 +*4209 net2674 +*4210 net2675 +*4211 net2676 +*4212 net2677 +*4213 net2678 +*4214 net2679 +*4215 net268 +*4216 net2680 +*4217 net2681 +*4218 net2682 +*4219 net2683 +*4220 net2684 +*4221 net2685 +*4222 net2686 +*4223 net2687 +*4224 net2688 +*4225 net2689 +*4226 net269 +*4227 net2690 +*4228 net2691 +*4229 net2692 +*4230 net2693 +*4231 net2694 +*4232 net2695 +*4233 net2696 +*4234 net2697 +*4235 net2698 +*4236 net2699 +*4237 net27 +*4238 net270 +*4239 net2700 +*4240 net2701 +*4241 net2702 +*4242 net2703 +*4243 net2704 +*4244 net2705 +*4245 net2706 +*4246 net2707 +*4247 net2708 +*4248 net2709 +*4249 net271 +*4250 net2710 +*4251 net2711 +*4252 net2712 +*4253 net2713 +*4254 net2714 +*4255 net2715 +*4256 net2716 +*4257 net2717 +*4258 net2718 +*4259 net2719 +*4260 net272 +*4261 net2720 +*4262 net2721 +*4263 net2722 +*4264 net2723 +*4265 net2724 +*4266 net2725 +*4267 net2726 +*4268 net2727 +*4269 net2728 +*4270 net2729 +*4271 net273 +*4272 net2730 +*4273 net2731 +*4274 net2732 +*4275 net2733 +*4276 net2734 +*4277 net2735 +*4278 net2736 +*4279 net2737 +*4280 net2738 +*4281 net2739 +*4282 net274 +*4283 net2740 +*4284 net2741 +*4285 net2742 +*4286 net2743 +*4287 net2744 +*4288 net2745 +*4289 net2746 +*4290 net2747 +*4291 net2748 +*4292 net2749 +*4293 net275 +*4294 net2750 +*4295 net2751 +*4296 net2752 +*4297 net2753 +*4298 net2754 +*4299 net2755 +*4300 net2756 +*4301 net2757 +*4302 net2758 +*4303 net2759 +*4304 net276 +*4305 net2760 +*4306 net2761 +*4307 net2762 +*4308 net2763 +*4309 net2764 +*4310 net2765 +*4311 net2766 +*4312 net2767 +*4313 net2768 +*4314 net2769 +*4315 net277 +*4316 net2770 +*4317 net2771 +*4318 net2772 +*4319 net2773 +*4320 net2774 +*4321 net2775 +*4322 net2776 +*4323 net2777 +*4324 net2778 +*4325 net2779 +*4326 net278 +*4327 net2780 +*4328 net2781 +*4329 net2782 +*4330 net2783 +*4331 net2784 +*4332 net2785 +*4333 net2786 +*4334 net2787 +*4335 net2788 +*4336 net2789 +*4337 net279 +*4338 net2790 +*4339 net2791 +*4340 net2792 +*4341 net2793 +*4342 net2794 +*4343 net2795 +*4344 net2796 +*4345 net2797 +*4346 net2798 +*4347 net2799 +*4348 net28 +*4349 net280 +*4350 net2800 +*4351 net2801 +*4352 net2802 +*4353 net2803 +*4354 net2804 +*4355 net2805 +*4356 net2806 +*4357 net2807 +*4358 net2808 +*4359 net2809 +*4360 net281 +*4361 net2810 +*4362 net2811 +*4363 net2812 +*4364 net2813 +*4365 net2814 +*4366 net2815 +*4367 net2816 +*4368 net2817 +*4369 net2818 +*4370 net2819 +*4371 net282 +*4372 net2820 +*4373 net2821 +*4374 net2822 +*4375 net2823 +*4376 net2824 +*4377 net2825 +*4378 net2826 +*4379 net2827 +*4380 net2828 +*4381 net2829 +*4382 net283 +*4383 net2830 +*4384 net2831 +*4385 net2832 +*4386 net2833 +*4387 net2834 +*4388 net2835 +*4389 net2836 +*4390 net2837 +*4391 net2838 +*4392 net2839 +*4393 net284 +*4394 net2840 +*4395 net2841 +*4396 net2842 +*4397 net2843 +*4398 net2844 +*4399 net2845 +*4400 net2846 +*4401 net2847 +*4402 net2848 +*4403 net2849 +*4404 net285 +*4405 net2850 +*4406 net2851 +*4407 net2852 +*4408 net2853 +*4409 net2854 +*4410 net2855 +*4411 net286 +*4412 net287 +*4413 net288 +*4414 net289 +*4415 net29 +*4416 net290 +*4417 net291 +*4418 net292 +*4419 net293 +*4420 net294 +*4421 net295 +*4422 net296 +*4423 net297 +*4424 net298 +*4425 net299 +*4426 net3 +*4427 net30 +*4428 net300 +*4429 net301 +*4430 net302 +*4431 net303 +*4432 net304 +*4433 net305 +*4434 net306 +*4435 net307 +*4436 net308 +*4437 net309 +*4438 net31 +*4439 net310 +*4440 net311 +*4441 net312 +*4442 net313 +*4443 net314 +*4444 net315 +*4445 net316 +*4446 net317 +*4447 net318 +*4448 net319 +*4449 net32 +*4450 net320 +*4451 net321 +*4452 net322 +*4453 net323 +*4454 net324 +*4455 net325 +*4456 net326 +*4457 net327 +*4458 net328 +*4459 net329 +*4460 net33 +*4461 net330 +*4462 net331 +*4463 net332 +*4464 net333 +*4465 net334 +*4466 net335 +*4467 net336 +*4468 net337 +*4469 net338 +*4470 net339 +*4471 net34 +*4472 net340 +*4473 net341 +*4474 net342 +*4475 net343 +*4476 net344 +*4477 net345 +*4478 net346 +*4479 net347 +*4480 net348 +*4481 net349 +*4482 net35 +*4483 net350 +*4484 net351 +*4485 net352 +*4486 net353 +*4487 net354 +*4488 net355 +*4489 net356 +*4490 net357 +*4491 net358 +*4492 net359 +*4493 net36 +*4494 net360 +*4495 net361 +*4496 net362 +*4497 net363 +*4498 net364 +*4499 net365 +*4500 net366 +*4501 net367 +*4502 net368 +*4503 net369 +*4504 net37 +*4505 net370 +*4506 net371 +*4507 net372 +*4508 net373 +*4509 net374 +*4510 net375 +*4511 net376 +*4512 net377 +*4513 net378 +*4514 net379 +*4515 net38 +*4516 net380 +*4517 net381 +*4518 net382 +*4519 net383 +*4520 net384 +*4521 net385 +*4522 net386 +*4523 net387 +*4524 net388 +*4525 net389 +*4526 net39 +*4527 net390 +*4528 net391 +*4529 net392 +*4530 net393 +*4531 net394 +*4532 net395 +*4533 net396 +*4534 net397 +*4535 net398 +*4536 net399 +*4537 net4 +*4538 net40 +*4539 net400 +*4540 net401 +*4541 net402 +*4542 net403 +*4543 net404 +*4544 net405 +*4545 net406 +*4546 net407 +*4547 net408 +*4548 net409 +*4549 net41 +*4550 net410 +*4551 net411 +*4552 net412 +*4553 net413 +*4554 net414 +*4555 net415 +*4556 net416 +*4557 net417 +*4558 net418 +*4559 net419 +*4560 net42 +*4561 net420 +*4562 net421 +*4563 net422 +*4564 net423 +*4565 net424 +*4566 net425 +*4567 net426 +*4568 net427 +*4569 net428 +*4570 net429 +*4571 net43 +*4572 net430 +*4573 net431 +*4574 net432 +*4575 net433 +*4576 net434 +*4577 net435 +*4578 net436 +*4579 net437 +*4580 net438 +*4581 net439 +*4582 net44 +*4583 net440 +*4584 net441 +*4585 net442 +*4586 net443 +*4587 net444 +*4588 net445 +*4589 net446 +*4590 net447 +*4591 net448 +*4592 net449 +*4593 net45 +*4594 net450 +*4595 net451 +*4596 net452 +*4597 net453 +*4598 net454 +*4599 net455 +*4600 net456 +*4601 net457 +*4602 net458 +*4603 net459 +*4604 net46 +*4605 net460 +*4606 net461 +*4607 net462 +*4608 net463 +*4609 net464 +*4610 net465 +*4611 net466 +*4612 net467 +*4613 net468 +*4614 net469 +*4615 net47 +*4616 net470 +*4617 net471 +*4618 net472 +*4619 net473 +*4620 net474 +*4621 net475 +*4622 net476 +*4623 net477 +*4624 net478 +*4625 net479 +*4626 net48 +*4627 net480 +*4628 net481 +*4629 net482 +*4630 net483 +*4631 net484 +*4632 net485 +*4633 net486 +*4634 net487 +*4635 net488 +*4636 net489 +*4637 net49 +*4638 net490 +*4639 net491 +*4640 net492 +*4641 net493 +*4642 net494 +*4643 net495 +*4644 net496 +*4645 net497 +*4646 net498 +*4647 net499 +*4648 net5 +*4649 net50 +*4650 net500 +*4651 net501 +*4652 net502 +*4653 net503 +*4654 net504 +*4655 net505 +*4656 net506 +*4657 net507 +*4658 net508 +*4659 net509 +*4660 net51 +*4661 net510 +*4662 net511 +*4663 net512 +*4664 net513 +*4665 net514 +*4666 net515 +*4667 net516 +*4668 net517 +*4669 net518 +*4670 net519 +*4671 net52 +*4672 net520 +*4673 net521 +*4674 net522 +*4675 net523 +*4676 net524 +*4677 net525 +*4678 net526 +*4679 net527 +*4680 net528 +*4681 net529 +*4682 net53 +*4683 net530 +*4684 net531 +*4685 net532 +*4686 net533 +*4687 net534 +*4688 net535 +*4689 net536 +*4690 net537 +*4691 net538 +*4692 net539 +*4693 net54 +*4694 net540 +*4695 net541 +*4696 net542 +*4697 net543 +*4698 net544 +*4699 net545 +*4700 net546 +*4701 net547 +*4702 net548 +*4703 net549 +*4704 net55 +*4705 net550 +*4706 net551 +*4707 net552 +*4708 net553 +*4709 net554 +*4710 net555 +*4711 net556 +*4712 net557 +*4713 net558 +*4714 net559 +*4715 net56 +*4716 net560 +*4717 net561 +*4718 net562 +*4719 net563 +*4720 net564 +*4721 net565 +*4722 net566 +*4723 net567 +*4724 net568 +*4725 net569 +*4726 net57 +*4727 net570 +*4728 net571 +*4729 net572 +*4730 net573 +*4731 net574 +*4732 net575 +*4733 net576 +*4734 net577 +*4735 net578 +*4736 net579 +*4737 net58 +*4738 net580 +*4739 net581 +*4740 net582 +*4741 net583 +*4742 net584 +*4743 net585 +*4744 net586 +*4745 net587 +*4746 net588 +*4747 net589 +*4748 net59 +*4749 net590 +*4750 net591 +*4751 net592 +*4752 net593 +*4753 net594 +*4754 net595 +*4755 net596 +*4756 net597 +*4757 net598 +*4758 net599 +*4759 net6 +*4760 net60 +*4761 net600 +*4762 net601 +*4763 net602 +*4764 net603 +*4765 net604 +*4766 net605 +*4767 net606 +*4768 net607 +*4769 net608 +*4770 net609 +*4771 net61 +*4772 net610 +*4773 net611 +*4774 net612 +*4775 net613 +*4776 net614 +*4777 net615 +*4778 net616 +*4779 net617 +*4780 net618 +*4781 net619 +*4782 net62 +*4783 net620 +*4784 net621 +*4785 net622 +*4786 net623 +*4787 net624 +*4788 net625 +*4789 net626 +*4790 net627 +*4791 net628 +*4792 net629 +*4793 net63 +*4794 net630 +*4795 net631 +*4796 net632 +*4797 net633 +*4798 net634 +*4799 net635 +*4800 net636 +*4801 net637 +*4802 net638 +*4803 net639 +*4804 net64 +*4805 net640 +*4806 net641 +*4807 net642 +*4808 net643 +*4809 net644 +*4810 net645 +*4811 net646 +*4812 net647 +*4813 net648 +*4814 net649 +*4815 net65 +*4816 net650 +*4817 net651 +*4818 net652 +*4819 net653 +*4820 net654 +*4821 net655 +*4822 net656 +*4823 net657 +*4824 net658 +*4825 net659 +*4826 net66 +*4827 net660 +*4828 net661 +*4829 net662 +*4830 net663 +*4831 net664 +*4832 net665 +*4833 net666 +*4834 net667 +*4835 net668 +*4836 net669 +*4837 net67 +*4838 net670 +*4839 net671 +*4840 net672 +*4841 net673 +*4842 net674 +*4843 net675 +*4844 net676 +*4845 net677 +*4846 net678 +*4847 net679 +*4848 net68 +*4849 net680 +*4850 net681 +*4851 net682 +*4852 net683 +*4853 net684 +*4854 net685 +*4855 net686 +*4856 net687 +*4857 net688 +*4858 net689 +*4859 net69 +*4860 net690 +*4861 net691 +*4862 net692 +*4863 net693 +*4864 net694 +*4865 net695 +*4866 net696 +*4867 net697 +*4868 net698 +*4869 net699 +*4870 net7 +*4871 net70 +*4872 net700 +*4873 net701 +*4874 net702 +*4875 net703 +*4876 net704 +*4877 net705 +*4878 net706 +*4879 net707 +*4880 net708 +*4881 net709 +*4882 net71 +*4883 net710 +*4884 net711 +*4885 net712 +*4886 net713 +*4887 net714 +*4888 net715 +*4889 net716 +*4890 net717 +*4891 net718 +*4892 net719 +*4893 net72 +*4894 net720 +*4895 net721 +*4896 net722 +*4897 net723 +*4898 net724 +*4899 net725 +*4900 net726 +*4901 net727 +*4902 net728 +*4903 net729 +*4904 net73 +*4905 net730 +*4906 net731 +*4907 net732 +*4908 net733 +*4909 net734 +*4910 net735 +*4911 net736 +*4912 net737 +*4913 net738 +*4914 net739 +*4915 net74 +*4916 net740 +*4917 net741 +*4918 net742 +*4919 net743 +*4920 net744 +*4921 net745 +*4922 net746 +*4923 net747 +*4924 net748 +*4925 net749 +*4926 net75 +*4927 net750 +*4928 net751 +*4929 net752 +*4930 net753 +*4931 net754 +*4932 net755 +*4933 net756 +*4934 net757 +*4935 net758 +*4936 net759 +*4937 net76 +*4938 net760 +*4939 net761 +*4940 net762 +*4941 net763 +*4942 net764 +*4943 net765 +*4944 net766 +*4945 net767 +*4946 net768 +*4947 net769 +*4948 net77 +*4949 net770 +*4950 net771 +*4951 net772 +*4952 net773 +*4953 net774 +*4954 net775 +*4955 net776 +*4956 net777 +*4957 net778 +*4958 net779 +*4959 net78 +*4960 net780 +*4961 net781 +*4962 net782 +*4963 net783 +*4964 net784 +*4965 net785 +*4966 net786 +*4967 net787 +*4968 net788 +*4969 net789 +*4970 net79 +*4971 net790 +*4972 net791 +*4973 net792 +*4974 net793 +*4975 net794 +*4976 net795 +*4977 net796 +*4978 net797 +*4979 net798 +*4980 net799 +*4981 net8 +*4982 net80 +*4983 net800 +*4984 net801 +*4985 net802 +*4986 net803 +*4987 net804 +*4988 net805 +*4989 net806 +*4990 net807 +*4991 net808 +*4992 net809 +*4993 net81 +*4994 net810 +*4995 net811 +*4996 net812 +*4997 net813 +*4998 net814 +*4999 net815 +*5000 net816 +*5001 net817 +*5002 net818 +*5003 net819 +*5004 net82 +*5005 net820 +*5006 net821 +*5007 net822 +*5008 net823 +*5009 net824 +*5010 net825 +*5011 net826 +*5012 net827 +*5013 net828 +*5014 net829 +*5015 net83 +*5016 net830 +*5017 net831 +*5018 net832 +*5019 net833 +*5020 net834 +*5021 net835 +*5022 net836 +*5023 net837 +*5024 net838 +*5025 net839 +*5026 net84 +*5027 net840 +*5028 net841 +*5029 net842 +*5030 net843 +*5031 net844 +*5032 net845 +*5033 net846 +*5034 net847 +*5035 net848 +*5036 net849 +*5037 net85 +*5038 net850 +*5039 net851 +*5040 net852 +*5041 net853 +*5042 net854 +*5043 net855 +*5044 net856 +*5045 net857 +*5046 net858 +*5047 net859 +*5048 net86 +*5049 net860 +*5050 net861 +*5051 net862 +*5052 net863 +*5053 net864 +*5054 net865 +*5055 net866 +*5056 net867 +*5057 net868 +*5058 net869 +*5059 net87 +*5060 net870 +*5061 net871 +*5062 net872 +*5063 net873 +*5064 net874 +*5065 net875 +*5066 net876 +*5067 net877 +*5068 net878 +*5069 net879 +*5070 net88 +*5071 net880 +*5072 net881 +*5073 net882 +*5074 net883 +*5075 net884 +*5076 net885 +*5077 net886 +*5078 net887 +*5079 net888 +*5080 net889 +*5081 net89 +*5082 net890 +*5083 net891 +*5084 net892 +*5085 net893 +*5086 net894 +*5087 net895 +*5088 net896 +*5089 net897 +*5090 net898 +*5091 net899 +*5092 net9 +*5093 net90 +*5094 net900 +*5095 net901 +*5096 net902 +*5097 net903 +*5098 net904 +*5099 net905 +*5100 net906 +*5101 net907 +*5102 net908 +*5103 net909 +*5104 net91 +*5105 net910 +*5106 net911 +*5107 net912 +*5108 net913 +*5109 net914 +*5110 net915 +*5111 net916 +*5112 net917 +*5113 net918 +*5114 net919 +*5115 net92 +*5116 net920 +*5117 net921 +*5118 net922 +*5119 net923 +*5120 net924 +*5121 net925 +*5122 net926 +*5123 net927 +*5124 net928 +*5125 net929 +*5126 net93 +*5127 net930 +*5128 net931 +*5129 net932 +*5130 net933 +*5131 net934 +*5132 net935 +*5133 net936 +*5134 net937 +*5135 net938 +*5136 net939 +*5137 net94 +*5138 net940 +*5139 net941 +*5140 net942 +*5141 net943 +*5142 net944 +*5143 net945 +*5144 net946 +*5145 net947 +*5146 net948 +*5147 net949 +*5148 net95 +*5149 net950 +*5150 net951 +*5151 net952 +*5152 net953 +*5153 net954 +*5154 net955 +*5155 net956 +*5156 net957 +*5157 net958 +*5158 net959 +*5159 net96 +*5160 net960 +*5161 net961 +*5162 net962 +*5163 net963 +*5164 net964 +*5165 net965 +*5166 net966 +*5167 net967 +*5168 net968 +*5169 net969 +*5170 net97 +*5171 net970 +*5172 net971 +*5173 net972 +*5174 net973 +*5175 net974 +*5176 net975 +*5177 net976 +*5178 net977 +*5179 net978 +*5180 net979 +*5181 net98 +*5182 net980 +*5183 net981 +*5184 net982 +*5185 net983 +*5186 net984 +*5187 net985 +*5188 net986 +*5189 net987 +*5190 net988 +*5191 net989 +*5192 net99 +*5193 net990 +*5194 net991 +*5195 net992 +*5196 net993 +*5197 net994 +*5198 net995 +*5199 net996 +*5200 net997 +*5201 net998 +*5202 net999 +*5203 user_irq_bar\[0\] +*5204 user_irq_bar\[1\] +*5205 user_irq_bar\[2\] +*5206 user_irq_enable\[0\] +*5207 user_irq_enable\[1\] +*5208 user_irq_enable\[2\] +*5209 wb_in_enable +*5210 ANTENNA__0474__A +*5211 ANTENNA__0476__A +*5212 ANTENNA__0477__A +*5213 ANTENNA__0479__A +*5214 ANTENNA__0481__A +*5215 ANTENNA__0484__A +*5216 ANTENNA__0485__A +*5217 ANTENNA__0487__A +*5218 ANTENNA__0492__A +*5219 ANTENNA__0494__A +*5220 ANTENNA__0495__A +*5221 ANTENNA__0497__A +*5222 ANTENNA__0499__A +*5223 ANTENNA__0501__A +*5224 ANTENNA__0502__A +*5225 ANTENNA__0503__A +*5226 ANTENNA__0504__A +*5227 ANTENNA__0505__A +*5228 ANTENNA__0506__A +*5229 ANTENNA__0507__A +*5230 ANTENNA__0508__A +*5231 ANTENNA__0510__A +*5232 ANTENNA__0511__A +*5233 ANTENNA__0512__A +*5234 ANTENNA__0513__A +*5235 ANTENNA__0514__A +*5236 ANTENNA__0515__A +*5237 ANTENNA__0516__A +*5238 ANTENNA__0517__A +*5239 ANTENNA__0518__A +*5240 ANTENNA__0519__A +*5241 ANTENNA__0520__A +*5242 ANTENNA__0521__A +*5243 ANTENNA__0522__A +*5244 ANTENNA__0523__A +*5245 ANTENNA__0524__A +*5246 ANTENNA__0525__A +*5247 ANTENNA__0526__A +*5248 ANTENNA__0527__A +*5249 ANTENNA__0528__A +*5250 ANTENNA__0529__A +*5251 ANTENNA__0530__A +*5252 ANTENNA__0531__A +*5253 ANTENNA__0532__A +*5254 ANTENNA__0533__A +*5255 ANTENNA__0534__A +*5256 ANTENNA__0535__A +*5257 ANTENNA__0536__A +*5258 ANTENNA__0537__A +*5259 ANTENNA__0538__A +*5260 ANTENNA__0539__A +*5261 ANTENNA__0540__A +*5262 ANTENNA__0541__A +*5263 ANTENNA__0542__A +*5264 ANTENNA__0543__A +*5265 ANTENNA__0544__A +*5266 ANTENNA__0545__A +*5267 ANTENNA__0546__A +*5268 ANTENNA__0547__A +*5269 ANTENNA__0548__A +*5270 ANTENNA__0550__A +*5271 ANTENNA__0552__A +*5272 ANTENNA__0553__A +*5273 ANTENNA__0555__A +*5274 ANTENNA__0557__A +*5275 ANTENNA__0562__A +*5276 ANTENNA__0568__A +*5277 ANTENNA__0591__A +*5278 ANTENNA__0592__A +*5279 ANTENNA__0593__A +*5280 ANTENNA__0594__A +*5281 ANTENNA__0595__A +*5282 ANTENNA__0596__A +*5283 ANTENNA__0603__A +*5284 ANTENNA__0609__A +*5285 ANTENNA__0611__A +*5286 ANTENNA__0613__A +*5287 ANTENNA__0613__B +*5288 ANTENNA__0615__A +*5289 ANTENNA__0617__A +*5290 ANTENNA__0617__B +*5291 ANTENNA__0619__A +*5292 ANTENNA__0619__B +*5293 ANTENNA__0621__A +*5294 ANTENNA__0621__B +*5295 ANTENNA__0623__A +*5296 ANTENNA__0623__B +*5297 ANTENNA__0625__A +*5298 ANTENNA__0625__B +*5299 ANTENNA__0627__A +*5300 ANTENNA__0627__B +*5301 ANTENNA__0629__A +*5302 ANTENNA__0629__B +*5303 ANTENNA__0631__A +*5304 ANTENNA__0633__A +*5305 ANTENNA__0633__B +*5306 ANTENNA__0635__A +*5307 ANTENNA__0637__A +*5308 ANTENNA__0637__B +*5309 ANTENNA__0639__A +*5310 ANTENNA__0641__A +*5311 ANTENNA__0643__A +*5312 ANTENNA__0643__B +*5313 ANTENNA__0645__A +*5314 ANTENNA__0645__B +*5315 ANTENNA__0646__A +*5316 ANTENNA__0647__A +*5317 ANTENNA__0647__B +*5318 ANTENNA__0649__A +*5319 ANTENNA__0651__A +*5320 ANTENNA__0651__B +*5321 ANTENNA__0653__A +*5322 ANTENNA__0653__B +*5323 ANTENNA__0655__A +*5324 ANTENNA__0657__A +*5325 ANTENNA__0657__B +*5326 ANTENNA__0658__A +*5327 ANTENNA__0659__A +*5328 ANTENNA__0659__B +*5329 ANTENNA__0661__A +*5330 ANTENNA__0661__B +*5331 ANTENNA__0662__A +*5332 ANTENNA__0663__A +*5333 ANTENNA__0663__B +*5334 ANTENNA__0665__A +*5335 ANTENNA__0665__B +*5336 ANTENNA__0667__A +*5337 ANTENNA__0667__B +*5338 ANTENNA__0669__A +*5339 ANTENNA__0670__A +*5340 ANTENNA__0671__A +*5341 ANTENNA__0672__A +*5342 ANTENNA__0673__A +*5343 ANTENNA__0673__B +*5344 ANTENNA__0674__A +*5345 ANTENNA__0675__A +*5346 ANTENNA__0675__B +*5347 ANTENNA__0676__A +*5348 ANTENNA__0677__A +*5349 ANTENNA__0677__B +*5350 ANTENNA__0679__A +*5351 ANTENNA__0679__B +*5352 ANTENNA__0680__A +*5353 ANTENNA__0681__A +*5354 ANTENNA__0681__B +*5355 ANTENNA__0682__A +*5356 ANTENNA__0683__A +*5357 ANTENNA__0683__B +*5358 ANTENNA__0685__A +*5359 ANTENNA__0685__B +*5360 ANTENNA__0687__A +*5361 ANTENNA__0689__A +*5362 ANTENNA__0689__B +*5363 ANTENNA__0691__A +*5364 ANTENNA__0691__B +*5365 ANTENNA__0693__A +*5366 ANTENNA__0693__B +*5367 ANTENNA__0695__A +*5368 ANTENNA__0695__B +*5369 ANTENNA__0697__A +*5370 ANTENNA__0697__B +*5371 ANTENNA__0698__A +*5372 ANTENNA__0699__A +*5373 ANTENNA__0699__B +*5374 ANTENNA__0701__A +*5375 ANTENNA__0701__B +*5376 ANTENNA__0703__A +*5377 ANTENNA__0703__B +*5378 ANTENNA__0705__A +*5379 ANTENNA__0706__A +*5380 ANTENNA__0707__A +*5381 ANTENNA__0707__B +*5382 ANTENNA__0709__A +*5383 ANTENNA__0709__B +*5384 ANTENNA__0710__A +*5385 ANTENNA__0711__A +*5386 ANTENNA__0711__B +*5387 ANTENNA__0713__A +*5388 ANTENNA__0713__B +*5389 ANTENNA__0715__A +*5390 ANTENNA__0715__B +*5391 ANTENNA__0717__A +*5392 ANTENNA__0717__B +*5393 ANTENNA__0718__A +*5394 ANTENNA__0719__A +*5395 ANTENNA__0719__B +*5396 ANTENNA__0721__A +*5397 ANTENNA__0721__B +*5398 ANTENNA__0723__A +*5399 ANTENNA__0723__B +*5400 ANTENNA__0725__A +*5401 ANTENNA__0725__B +*5402 ANTENNA__0727__A +*5403 ANTENNA__0727__B +*5404 ANTENNA__0729__A +*5405 ANTENNA__0729__B +*5406 ANTENNA__0730__A +*5407 ANTENNA__0731__A +*5408 ANTENNA__0731__B +*5409 ANTENNA__0733__B +*5410 ANTENNA__0735__A +*5411 ANTENNA__0735__B +*5412 ANTENNA__0737__B +*5413 ANTENNA__0741__B +*5414 ANTENNA__0743__B +*5415 ANTENNA__0745__A +*5416 ANTENNA__0745__B +*5417 ANTENNA__0746__A +*5418 ANTENNA__0747__A +*5419 ANTENNA__0747__B +*5420 ANTENNA__0749__A +*5421 ANTENNA__0749__B +*5422 ANTENNA__0751__A +*5423 ANTENNA__0751__B +*5424 ANTENNA__0752__A +*5425 ANTENNA__0753__A +*5426 ANTENNA__0753__B +*5427 ANTENNA__0754__A +*5428 ANTENNA__0755__A +*5429 ANTENNA__0755__B +*5430 ANTENNA__0756__A +*5431 ANTENNA__0757__A +*5432 ANTENNA__0757__B +*5433 ANTENNA__0758__A +*5434 ANTENNA__0759__A +*5435 ANTENNA__0759__B +*5436 ANTENNA__0760__A +*5437 ANTENNA__0761__A +*5438 ANTENNA__0761__B +*5439 ANTENNA__0762__A +*5440 ANTENNA__0763__A +*5441 ANTENNA__0763__B +*5442 ANTENNA__0764__A +*5443 ANTENNA__0765__A +*5444 ANTENNA__0765__B +*5445 ANTENNA__0766__A +*5446 ANTENNA__0767__A +*5447 ANTENNA__0767__B +*5448 ANTENNA__0768__A +*5449 ANTENNA__0769__A +*5450 ANTENNA__0770__A +*5451 ANTENNA__0771__A +*5452 ANTENNA__0772__A +*5453 ANTENNA__0773__A +*5454 ANTENNA__0774__A +*5455 ANTENNA__0775__A +*5456 ANTENNA__0775__B +*5457 ANTENNA__0776__A +*5458 ANTENNA__0777__A +*5459 ANTENNA__0778__A +*5460 ANTENNA__0779__A +*5461 ANTENNA__0780__A +*5462 ANTENNA__0781__A +*5463 ANTENNA__0781__B +*5464 ANTENNA__0782__A +*5465 ANTENNA__0783__A +*5466 ANTENNA__0783__B +*5467 ANTENNA__0784__A +*5468 ANTENNA__0785__A +*5469 ANTENNA__0786__A +*5470 ANTENNA__0787__A +*5471 ANTENNA__0788__A +*5472 ANTENNA__0789__A +*5473 ANTENNA__0790__A +*5474 ANTENNA__0791__A +*5475 ANTENNA__0792__A +*5476 ANTENNA__0793__A +*5477 ANTENNA__0794__A +*5478 ANTENNA__0795__A +*5479 ANTENNA__0796__A +*5480 ANTENNA__0797__A +*5481 ANTENNA__0797__B +*5482 ANTENNA__0798__A +*5483 ANTENNA__0799__A +*5484 ANTENNA__0800__A +*5485 ANTENNA__0801__A +*5486 ANTENNA__0801__B +*5487 ANTENNA__0802__A +*5488 ANTENNA__0803__A +*5489 ANTENNA__0804__A +*5490 ANTENNA__0805__A +*5491 ANTENNA__0806__A +*5492 ANTENNA__0807__A +*5493 ANTENNA__0808__A +*5494 ANTENNA__0809__A +*5495 ANTENNA__0809__B +*5496 ANTENNA__0810__A +*5497 ANTENNA__0811__A +*5498 ANTENNA__0811__B +*5499 ANTENNA__0812__A +*5500 ANTENNA__0813__A +*5501 ANTENNA__0813__B +*5502 ANTENNA__0814__A +*5503 ANTENNA__0815__A +*5504 ANTENNA__0815__B +*5505 ANTENNA__0816__A +*5506 ANTENNA__0817__A +*5507 ANTENNA__0818__A +*5508 ANTENNA__0819__A +*5509 ANTENNA__0819__B +*5510 ANTENNA__0820__A +*5511 ANTENNA__0821__A +*5512 ANTENNA__0821__B +*5513 ANTENNA__0823__A +*5514 ANTENNA__0823__B +*5515 ANTENNA__0824__A +*5516 ANTENNA__0825__A +*5517 ANTENNA__0825__B +*5518 ANTENNA__0826__A +*5519 ANTENNA__0827__A +*5520 ANTENNA__0827__B +*5521 ANTENNA__0828__A +*5522 ANTENNA__0829__A +*5523 ANTENNA__0829__B +*5524 ANTENNA__0831__A +*5525 ANTENNA__0831__B +*5526 ANTENNA__0833__A +*5527 ANTENNA__0833__B +*5528 ANTENNA__0834__A +*5529 ANTENNA__0835__A +*5530 ANTENNA__0835__B +*5531 ANTENNA__0836__A +*5532 ANTENNA__0837__A +*5533 ANTENNA__0837__B +*5534 ANTENNA__0839__A +*5535 ANTENNA__0839__B +*5536 ANTENNA__0840__A +*5537 ANTENNA__0841__A +*5538 ANTENNA__0841__B +*5539 ANTENNA__0843__A +*5540 ANTENNA__0843__B +*5541 ANTENNA__0844__A +*5542 ANTENNA__0845__A +*5543 ANTENNA__0845__B +*5544 ANTENNA__0847__A +*5545 ANTENNA__0847__B +*5546 ANTENNA__0849__A +*5547 ANTENNA__0849__B +*5548 ANTENNA__0851__A +*5549 ANTENNA__0851__B +*5550 ANTENNA__0853__A +*5551 ANTENNA__0853__B +*5552 ANTENNA__0855__A +*5553 ANTENNA__0855__B +*5554 ANTENNA__0857__A +*5555 ANTENNA__0857__B +*5556 ANTENNA__0858__A +*5557 ANTENNA__0859__A +*5558 ANTENNA__0859__B +*5559 ANTENNA__0861__A +*5560 ANTENNA__0862__A +*5561 ANTENNA__0863__A +*5562 ANTENNA__0863__B +*5563 ANTENNA__0865__A +*5564 ANTENNA__0865__B +*5565 ANTENNA__0867__A +*5566 ANTENNA__0867__B +*5567 ANTENNA__0869__A +*5568 ANTENNA__0871__A_N +*5569 ANTENNA__0871__B +*5570 ANTENNA__0873__A +*5571 ANTENNA__0873__B +*5572 ANTENNA__0874__A +*5573 ANTENNA__0875__A +*5574 ANTENNA__0876__A +*5575 ANTENNA__0877__B +*5576 ANTENNA__0879__A +*5577 ANTENNA__0879__B +*5578 ANTENNA__0880__A +*5579 ANTENNA__0881__A +*5580 ANTENNA__0881__B +*5581 ANTENNA__0882__A +*5582 ANTENNA__0883__A +*5583 ANTENNA__0883__B +*5584 ANTENNA__0884__A +*5585 ANTENNA__0885__A +*5586 ANTENNA__0886__A +*5587 ANTENNA__0887__A +*5588 ANTENNA__0887__B +*5589 ANTENNA__0888__A +*5590 ANTENNA__0889__A +*5591 ANTENNA__0889__B +*5592 ANTENNA__0890__A +*5593 ANTENNA__0891__A +*5594 ANTENNA__0891__B +*5595 ANTENNA__0893__A +*5596 ANTENNA__0893__B +*5597 ANTENNA__0894__A +*5598 ANTENNA__0895__A +*5599 ANTENNA__0895__B +*5600 ANTENNA__0896__A +*5601 ANTENNA__0897__A +*5602 ANTENNA__0897__B +*5603 ANTENNA__0898__A +*5604 ANTENNA__0899__A +*5605 ANTENNA__0899__B +*5606 ANTENNA__0900__A +*5607 ANTENNA__0901__A +*5608 ANTENNA__0901__B +*5609 ANTENNA__0902__A +*5610 ANTENNA__0903__B +*5611 ANTENNA__0904__A +*5612 ANTENNA__0905__A +*5613 ANTENNA__0905__B +*5614 ANTENNA__0906__A +*5615 ANTENNA__0907__A +*5616 ANTENNA__0907__B +*5617 ANTENNA__0908__A +*5618 ANTENNA__0909__A +*5619 ANTENNA__0909__B +*5620 ANTENNA__0910__A +*5621 ANTENNA__0911__A +*5622 ANTENNA__0911__B +*5623 ANTENNA__0912__A +*5624 ANTENNA__0913__A +*5625 ANTENNA__0913__B +*5626 ANTENNA__0914__A +*5627 ANTENNA__0915__B +*5628 ANTENNA__0917__B +*5629 ANTENNA__0918__A +*5630 ANTENNA__0919__A +*5631 ANTENNA__0919__B +*5632 ANTENNA__0920__A +*5633 ANTENNA__0921__A +*5634 ANTENNA__0921__B +*5635 ANTENNA__0922__A +*5636 ANTENNA__0923__A +*5637 ANTENNA__0923__B +*5638 ANTENNA__0925__B +*5639 ANTENNA__0926__A +*5640 ANTENNA__0927__A +*5641 ANTENNA__0927__B +*5642 ANTENNA__0928__A +*5643 ANTENNA__0929__A +*5644 ANTENNA__0929__B +*5645 ANTENNA__0931__A +*5646 ANTENNA__0931__B +*5647 ANTENNA__0932__A +*5648 ANTENNA__0933__A +*5649 ANTENNA__0933__B +*5650 ANTENNA__0934__A +*5651 ANTENNA__0935__A +*5652 ANTENNA__0935__B +*5653 ANTENNA__0936__A +*5654 ANTENNA__0937__B +*5655 ANTENNA__0938__A +*5656 ANTENNA__0939__A +*5657 ANTENNA__0939__B +*5658 ANTENNA__0940__A +*5659 ANTENNA__0941__A +*5660 ANTENNA__0941__B +*5661 ANTENNA__0942__A +*5662 ANTENNA__0943__B +*5663 ANTENNA__0945__A +*5664 ANTENNA__0945__B +*5665 ANTENNA__0947__B +*5666 ANTENNA__0949__B +*5667 ANTENNA__0951__B +*5668 ANTENNA__0953__B +*5669 ANTENNA__0955__B +*5670 ANTENNA__0957__B +*5671 ANTENNA__0959__A +*5672 ANTENNA__0959__B +*5673 ANTENNA__0960__A +*5674 ANTENNA__0961__B +*5675 ANTENNA__0963__B +*5676 ANTENNA__0965__A +*5677 ANTENNA__0965__B +*5678 ANTENNA__0967__A +*5679 ANTENNA__0967__B +*5680 ANTENNA__0969__A +*5681 ANTENNA__0969__B +*5682 ANTENNA__0970__A +*5683 ANTENNA__0971__A +*5684 ANTENNA__0971__B +*5685 ANTENNA__0972__A +*5686 ANTENNA__0973__A +*5687 ANTENNA__0973__B +*5688 ANTENNA__0974__A +*5689 ANTENNA__0975__A +*5690 ANTENNA__0975__B +*5691 ANTENNA__0976__A +*5692 ANTENNA__0977__A +*5693 ANTENNA__0977__B +*5694 ANTENNA__0978__A +*5695 ANTENNA__0979__A +*5696 ANTENNA__0979__B +*5697 ANTENNA__0980__A +*5698 ANTENNA__0981__A +*5699 ANTENNA__0981__B +*5700 ANTENNA__0982__A +*5701 ANTENNA__0983__A +*5702 ANTENNA__0983__B +*5703 ANTENNA__0984__A +*5704 ANTENNA__0985__A +*5705 ANTENNA__0985__B +*5706 ANTENNA__0986__A +*5707 ANTENNA__0987__A +*5708 ANTENNA__0987__B +*5709 ANTENNA__0988__A +*5710 ANTENNA__0989__A +*5711 ANTENNA__0989__B +*5712 ANTENNA__0990__A +*5713 ANTENNA__0991__A +*5714 ANTENNA__0991__B +*5715 ANTENNA__0992__A +*5716 ANTENNA__0993__A +*5717 ANTENNA__0993__B +*5718 ANTENNA__0994__A +*5719 ANTENNA__0995__A +*5720 ANTENNA__0995__B +*5721 ANTENNA__0996__A +*5722 ANTENNA__0997__A +*5723 ANTENNA__0997__B +*5724 ANTENNA__0998__A +*5725 ANTENNA__0999__A +*5726 ANTENNA__0999__B +*5727 ANTENNA__1000__A +*5728 ANTENNA__1001__A +*5729 ANTENNA__1001__B +*5730 ANTENNA__1002__A +*5731 ANTENNA__1003__A +*5732 ANTENNA__1003__B +*5733 ANTENNA__1004__A +*5734 ANTENNA__1005__A +*5735 ANTENNA__1005__B +*5736 ANTENNA__1006__A +*5737 ANTENNA__1007__A +*5738 ANTENNA__1007__B +*5739 ANTENNA__1008__A +*5740 ANTENNA__1009__A +*5741 ANTENNA__1009__B +*5742 ANTENNA__1010__A +*5743 ANTENNA__1011__A +*5744 ANTENNA__1011__B +*5745 ANTENNA__1012__A +*5746 ANTENNA__1013__A +*5747 ANTENNA__1013__B +*5748 ANTENNA__1014__A +*5749 ANTENNA__1015__A +*5750 ANTENNA__1015__B +*5751 ANTENNA__1016__A +*5752 ANTENNA__1017__A +*5753 ANTENNA__1017__B +*5754 ANTENNA__1018__A +*5755 ANTENNA__1019__A_N +*5756 ANTENNA__1019__B +*5757 ANTENNA__1019__C +*5758 ANTENNA__1021__A_N +*5759 ANTENNA__1021__B +*5760 ANTENNA__1021__C +*5761 ANTENNA__1022__A +*5762 ANTENNA__1023__A_N +*5763 ANTENNA__1023__B +*5764 ANTENNA__1023__C +*5765 ANTENNA__1024__A +*5766 ANTENNA__1025__A_N +*5767 ANTENNA__1025__B +*5768 ANTENNA__1025__C +*5769 ANTENNA__1027__A_N +*5770 ANTENNA__1027__B +*5771 ANTENNA__1029__A_N +*5772 ANTENNA__1029__B +*5773 ANTENNA__1031__A_N +*5774 ANTENNA__1031__B +*5775 ANTENNA__1031__C +*5776 ANTENNA__1033__A_N +*5777 ANTENNA__1033__B +*5778 ANTENNA__1033__C +*5779 ANTENNA__1034__A +*5780 ANTENNA__1035__A_N +*5781 ANTENNA__1035__B +*5782 ANTENNA__1035__C +*5783 ANTENNA__1036__A +*5784 ANTENNA__1037__A_N +*5785 ANTENNA__1037__B +*5786 ANTENNA__1037__C +*5787 ANTENNA__1038__A +*5788 ANTENNA__1039__A_N +*5789 ANTENNA__1039__B +*5790 ANTENNA__1039__C +*5791 ANTENNA__1040__A +*5792 ANTENNA__1041__A_N +*5793 ANTENNA__1041__B +*5794 ANTENNA__1041__C +*5795 ANTENNA__1042__A +*5796 ANTENNA__1043__A_N +*5797 ANTENNA__1043__B +*5798 ANTENNA__1043__C +*5799 ANTENNA__1045__A_N +*5800 ANTENNA__1045__B +*5801 ANTENNA__1045__C +*5802 ANTENNA__1046__A +*5803 ANTENNA__1047__A_N +*5804 ANTENNA__1047__B +*5805 ANTENNA__1047__C +*5806 ANTENNA__1048__A +*5807 ANTENNA__1049__A_N +*5808 ANTENNA__1049__B +*5809 ANTENNA__1049__C +*5810 ANTENNA__1050__A +*5811 ANTENNA__1051__B +*5812 ANTENNA__1052__A +*5813 ANTENNA__1053__A_N +*5814 ANTENNA__1053__B +*5815 ANTENNA__1054__A +*5816 ANTENNA__1055__A_N +*5817 ANTENNA__1055__B +*5818 ANTENNA__1055__C +*5819 ANTENNA__1056__A +*5820 ANTENNA__1057__A_N +*5821 ANTENNA__1057__B +*5822 ANTENNA__1057__C +*5823 ANTENNA__1059__A_N +*5824 ANTENNA__1059__B +*5825 ANTENNA__1059__C +*5826 ANTENNA__1060__A +*5827 ANTENNA__1061__A_N +*5828 ANTENNA__1061__B +*5829 ANTENNA__1061__C +*5830 ANTENNA__1062__A +*5831 ANTENNA__1063__A_N +*5832 ANTENNA__1063__B +*5833 ANTENNA__1063__C +*5834 ANTENNA__1064__A +*5835 ANTENNA__1065__A_N +*5836 ANTENNA__1065__B +*5837 ANTENNA__1066__A +*5838 ANTENNA__1067__A_N +*5839 ANTENNA__1067__B +*5840 ANTENNA__1068__A +*5841 ANTENNA__1069__A_N +*5842 ANTENNA__1069__B +*5843 ANTENNA__1069__C +*5844 ANTENNA__1070__A +*5845 ANTENNA__1071__A_N +*5846 ANTENNA__1071__B +*5847 ANTENNA__1071__C +*5848 ANTENNA__1072__A +*5849 ANTENNA__1073__A_N +*5850 ANTENNA__1073__B +*5851 ANTENNA__1073__C +*5852 ANTENNA__1074__A +*5853 ANTENNA__1075__A_N +*5854 ANTENNA__1075__B +*5855 ANTENNA__1075__C +*5856 ANTENNA__1076__A +*5857 ANTENNA__1077__A_N +*5858 ANTENNA__1077__B +*5859 ANTENNA__1077__C +*5860 ANTENNA__1078__A +*5861 ANTENNA__1079__A_N +*5862 ANTENNA__1079__B +*5863 ANTENNA__1080__A +*5864 ANTENNA__1081__B +*5865 ANTENNA__1082__A +*5866 ANTENNA__1083__A_N +*5867 ANTENNA__1083__B +*5868 ANTENNA__1083__C +*5869 ANTENNA__1085__A_N +*5870 ANTENNA__1085__B +*5871 ANTENNA__1085__C +*5872 ANTENNA__1086__A +*5873 ANTENNA__1087__B +*5874 ANTENNA__1088__A +*5875 ANTENNA__1089__A_N +*5876 ANTENNA__1089__B +*5877 ANTENNA__1089__C +*5878 ANTENNA__1090__A +*5879 ANTENNA__1091__B +*5880 ANTENNA__1092__A +*5881 ANTENNA__1093__A_N +*5882 ANTENNA__1093__B +*5883 ANTENNA__1093__C +*5884 ANTENNA__1094__A +*5885 ANTENNA__1095__A_N +*5886 ANTENNA__1095__C +*5887 ANTENNA__1096__A +*5888 ANTENNA__1097__B +*5889 ANTENNA__1098__A +*5890 ANTENNA__1099__A_N +*5891 ANTENNA__1099__B +*5892 ANTENNA__1099__C +*5893 ANTENNA__1100__A +*5894 ANTENNA__1101__A_N +*5895 ANTENNA__1101__B +*5896 ANTENNA__1101__C +*5897 ANTENNA__1102__A +*5898 ANTENNA__1103__A_N +*5899 ANTENNA__1103__B +*5900 ANTENNA__1103__C +*5901 ANTENNA__1104__A +*5902 ANTENNA__1105__A_N +*5903 ANTENNA__1105__B +*5904 ANTENNA__1105__C +*5905 ANTENNA__1106__A +*5906 ANTENNA__1107__B +*5907 ANTENNA__1107__C +*5908 ANTENNA__1108__A +*5909 ANTENNA__1109__A_N +*5910 ANTENNA__1109__B +*5911 ANTENNA__1109__C +*5912 ANTENNA__1110__A +*5913 ANTENNA__1111__A_N +*5914 ANTENNA__1111__B +*5915 ANTENNA__1112__A +*5916 ANTENNA__1113__A_N +*5917 ANTENNA__1113__B +*5918 ANTENNA__1113__C +*5919 ANTENNA__1114__A +*5920 ANTENNA__1115__A_N +*5921 ANTENNA__1115__B +*5922 ANTENNA__1115__C +*5923 ANTENNA__1116__A +*5924 ANTENNA__1117__A_N +*5925 ANTENNA__1117__B +*5926 ANTENNA__1117__C +*5927 ANTENNA__1118__A +*5928 ANTENNA__1119__A_N +*5929 ANTENNA__1120__A +*5930 ANTENNA__1121__A_N +*5931 ANTENNA__1121__B +*5932 ANTENNA__1121__C +*5933 ANTENNA__1122__A +*5934 ANTENNA__1123__A_N +*5935 ANTENNA__1123__B +*5936 ANTENNA__1123__C +*5937 ANTENNA__1124__A +*5938 ANTENNA__1125__A_N +*5939 ANTENNA__1125__B +*5940 ANTENNA__1125__C +*5941 ANTENNA__1126__A +*5942 ANTENNA__1127__A_N +*5943 ANTENNA__1127__B +*5944 ANTENNA__1127__C +*5945 ANTENNA__1128__A +*5946 ANTENNA__1129__A_N +*5947 ANTENNA__1129__B +*5948 ANTENNA__1129__C +*5949 ANTENNA__1130__A +*5950 ANTENNA__1131__A_N +*5951 ANTENNA__1131__B +*5952 ANTENNA__1131__C +*5953 ANTENNA__1132__A +*5954 ANTENNA__1133__A_N +*5955 ANTENNA__1133__B +*5956 ANTENNA__1133__C +*5957 ANTENNA__1134__A +*5958 ANTENNA__1135__A_N +*5959 ANTENNA__1135__B +*5960 ANTENNA__1135__C +*5961 ANTENNA__1136__A +*5962 ANTENNA__1137__A_N +*5963 ANTENNA__1137__B +*5964 ANTENNA__1137__C +*5965 ANTENNA__1138__A +*5966 ANTENNA__1139__A_N +*5967 ANTENNA__1139__B +*5968 ANTENNA__1139__C +*5969 ANTENNA__1140__A +*5970 ANTENNA__1141__A_N +*5971 ANTENNA__1141__B +*5972 ANTENNA__1141__C +*5973 ANTENNA__1142__A +*5974 ANTENNA__1143__A_N +*5975 ANTENNA__1143__B +*5976 ANTENNA__1143__C +*5977 ANTENNA__1144__A +*5978 ANTENNA__1145__A_N +*5979 ANTENNA__1145__B +*5980 ANTENNA__1145__C +*5981 ANTENNA__1146__A +*5982 ANTENNA__1147__A_N +*5983 ANTENNA__1147__B +*5984 ANTENNA__1148__A +*5985 ANTENNA__1149__B +*5986 ANTENNA__1150__A +*5987 ANTENNA__1151__A_N +*5988 ANTENNA__1151__B +*5989 ANTENNA__1152__A +*5990 ANTENNA__1153__A_N +*5991 ANTENNA__1153__B +*5992 ANTENNA__1153__C +*5993 ANTENNA__1154__A +*5994 ANTENNA__1155__A_N +*5995 ANTENNA__1155__B +*5996 ANTENNA__1155__C +*5997 ANTENNA__1156__A +*5998 ANTENNA__1157__A_N +*5999 ANTENNA__1157__B +*6000 ANTENNA__1157__C +*6001 ANTENNA__1159__A_N +*6002 ANTENNA__1159__B +*6003 ANTENNA__1159__C +*6004 ANTENNA__1161__A_N +*6005 ANTENNA__1161__B +*6006 ANTENNA__1161__C +*6007 ANTENNA__1162__A +*6008 ANTENNA__1163__A_N +*6009 ANTENNA__1163__B +*6010 ANTENNA__1163__C +*6011 ANTENNA__1165__A_N +*6012 ANTENNA__1165__B +*6013 ANTENNA__1165__C +*6014 ANTENNA__1166__A +*6015 ANTENNA__1167__A_N +*6016 ANTENNA__1167__B +*6017 ANTENNA__1167__C +*6018 ANTENNA__1168__A +*6019 ANTENNA__1169__A_N +*6020 ANTENNA__1169__B +*6021 ANTENNA__1169__C +*6022 ANTENNA__1171__A_N +*6023 ANTENNA__1171__B +*6024 ANTENNA__1171__C +*6025 ANTENNA__1173__A_N +*6026 ANTENNA__1173__B +*6027 ANTENNA__1173__C +*6028 ANTENNA__1174__A +*6029 ANTENNA__1175__A_N +*6030 ANTENNA__1175__B +*6031 ANTENNA__1175__C +*6032 ANTENNA__1176__A +*6033 ANTENNA__1177__A_N +*6034 ANTENNA__1177__B +*6035 ANTENNA__1177__C +*6036 ANTENNA__1178__A +*6037 ANTENNA__1179__A_N +*6038 ANTENNA__1179__B +*6039 ANTENNA__1179__C +*6040 ANTENNA__1181__A_N +*6041 ANTENNA__1181__B +*6042 ANTENNA__1181__C +*6043 ANTENNA__1183__A_N +*6044 ANTENNA__1183__B +*6045 ANTENNA__1183__C +*6046 ANTENNA__1185__A_N +*6047 ANTENNA__1185__B +*6048 ANTENNA__1185__C +*6049 ANTENNA__1187__A_N +*6050 ANTENNA__1187__B +*6051 ANTENNA__1187__C +*6052 ANTENNA__1188__A +*6053 ANTENNA__1189__A_N +*6054 ANTENNA__1189__B +*6055 ANTENNA__1189__C +*6056 ANTENNA__1190__A +*6057 ANTENNA__1191__A_N +*6058 ANTENNA__1191__B +*6059 ANTENNA__1191__C +*6060 ANTENNA__1192__A +*6061 ANTENNA__1193__A_N +*6062 ANTENNA__1193__B +*6063 ANTENNA__1193__C +*6064 ANTENNA__1194__A +*6065 ANTENNA__1195__A_N +*6066 ANTENNA__1195__B +*6067 ANTENNA__1195__C +*6068 ANTENNA__1196__A +*6069 ANTENNA__1197__B +*6070 ANTENNA__1198__A +*6071 ANTENNA__1199__A_N +*6072 ANTENNA__1199__B +*6073 ANTENNA__1200__A +*6074 ANTENNA__1201__A_N +*6075 ANTENNA__1201__B +*6076 ANTENNA__1202__A +*6077 ANTENNA__1203__A_N +*6078 ANTENNA__1203__B +*6079 ANTENNA__1204__A +*6080 ANTENNA__1205__A_N +*6081 ANTENNA__1205__B +*6082 ANTENNA__1206__A +*6083 ANTENNA__1207__A_N +*6084 ANTENNA__1207__B +*6085 ANTENNA__1208__A +*6086 ANTENNA__1209__A_N +*6087 ANTENNA__1209__B +*6088 ANTENNA__1210__A +*6089 ANTENNA__1211__A_N +*6090 ANTENNA__1211__B +*6091 ANTENNA__1212__A +*6092 ANTENNA__1213__A_N +*6093 ANTENNA__1213__B +*6094 ANTENNA__1214__A +*6095 ANTENNA__1215__A_N +*6096 ANTENNA__1215__B +*6097 ANTENNA__1215__C +*6098 ANTENNA__1217__A_N +*6099 ANTENNA__1217__B +*6100 ANTENNA__1218__A +*6101 ANTENNA__1219__A_N +*6102 ANTENNA__1219__B +*6103 ANTENNA__1220__A +*6104 ANTENNA__1221__A_N +*6105 ANTENNA__1221__B +*6106 ANTENNA__1222__A +*6107 ANTENNA__1223__A_N +*6108 ANTENNA__1223__B +*6109 ANTENNA__1224__A +*6110 ANTENNA__1225__A_N +*6111 ANTENNA__1225__B +*6112 ANTENNA__1225__C +*6113 ANTENNA__1226__A +*6114 ANTENNA__1227__A_N +*6115 ANTENNA__1227__B +*6116 ANTENNA__1229__B +*6117 ANTENNA__1230__A +*6118 ANTENNA__1231__A_N +*6119 ANTENNA__1231__B +*6120 ANTENNA__1231__C +*6121 ANTENNA__1232__A +*6122 ANTENNA__1233__A_N +*6123 ANTENNA__1233__B +*6124 ANTENNA__1234__A +*6125 ANTENNA__1235__A_N +*6126 ANTENNA__1235__B +*6127 ANTENNA__1235__C +*6128 ANTENNA__1236__A +*6129 ANTENNA__1237__A_N +*6130 ANTENNA__1237__B +*6131 ANTENNA__1238__A +*6132 ANTENNA__1239__A_N +*6133 ANTENNA__1239__B +*6134 ANTENNA__1239__C +*6135 ANTENNA__1240__A +*6136 ANTENNA__1241__A_N +*6137 ANTENNA__1241__B +*6138 ANTENNA__1241__C +*6139 ANTENNA__1242__A +*6140 ANTENNA__1243__A_N +*6141 ANTENNA__1243__B +*6142 ANTENNA__1243__C +*6143 ANTENNA__1244__A +*6144 ANTENNA__1245__A_N +*6145 ANTENNA__1245__B +*6146 ANTENNA__1245__C +*6147 ANTENNA__1246__A +*6148 ANTENNA__1247__A_N +*6149 ANTENNA__1247__B +*6150 ANTENNA__1247__C +*6151 ANTENNA__1248__A +*6152 ANTENNA__1249__A_N +*6153 ANTENNA__1249__B +*6154 ANTENNA__1249__C +*6155 ANTENNA__1250__A +*6156 ANTENNA__1251__A_N +*6157 ANTENNA__1251__B +*6158 ANTENNA__1251__C +*6159 ANTENNA__1252__A +*6160 ANTENNA__1253__A_N +*6161 ANTENNA__1253__B +*6162 ANTENNA__1253__C +*6163 ANTENNA__1254__A +*6164 ANTENNA__1255__A_N +*6165 ANTENNA__1255__B +*6166 ANTENNA__1255__C +*6167 ANTENNA__1256__A +*6168 ANTENNA__1257__A_N +*6169 ANTENNA__1257__B +*6170 ANTENNA__1257__C +*6171 ANTENNA__1258__A +*6172 ANTENNA__1259__A_N +*6173 ANTENNA__1259__B +*6174 ANTENNA__1259__C +*6175 ANTENNA__1260__A +*6176 ANTENNA__1261__A_N +*6177 ANTENNA__1261__B +*6178 ANTENNA__1261__C +*6179 ANTENNA__1262__A +*6180 ANTENNA__1263__A_N +*6181 ANTENNA__1263__B +*6182 ANTENNA__1264__A +*6183 ANTENNA__1265__A_N +*6184 ANTENNA__1265__B +*6185 ANTENNA__1265__C +*6186 ANTENNA__1266__A +*6187 ANTENNA__1267__A_N +*6188 ANTENNA__1267__B +*6189 ANTENNA__1267__C +*6190 ANTENNA__1268__A +*6191 ANTENNA__1269__A_N +*6192 ANTENNA__1269__B +*6193 ANTENNA__1269__C +*6194 ANTENNA__1271__A_N +*6195 ANTENNA__1271__B +*6196 ANTENNA__1271__C +*6197 ANTENNA__1272__A +*6198 ANTENNA__1273__A_N +*6199 ANTENNA__1273__B +*6200 ANTENNA__1273__C +*6201 ANTENNA__1274__A +*6202 ANTENNA__1275__A +*6203 ANTENNA__1275__B +*6204 ANTENNA__1276__A +*6205 ANTENNA__1277__A +*6206 ANTENNA__1277__B +*6207 ANTENNA__1278__A +*6208 ANTENNA__1279__A +*6209 ANTENNA__1279__B +*6210 ANTENNA__1280__A +*6211 ANTENNA__1281__A +*6212 ANTENNA__1281__B +*6213 ANTENNA__1282__A +*6214 ANTENNA__1283__A +*6215 ANTENNA__1283__B +*6216 ANTENNA__1284__A +*6217 ANTENNA__1285__A +*6218 ANTENNA__1285__B +*6219 ANTENNA__1286__A +*6220 ANTENNA__1287__A +*6221 ANTENNA__1287__B +*6222 ANTENNA__1288__A +*6223 ANTENNA__1289__A +*6224 ANTENNA__1289__B +*6225 ANTENNA__1290__A +*6226 ANTENNA__1291__A +*6227 ANTENNA__1291__B +*6228 ANTENNA__1292__A +*6229 ANTENNA__1293__A +*6230 ANTENNA__1293__B +*6231 ANTENNA__1294__A +*6232 ANTENNA__1295__A +*6233 ANTENNA__1295__B +*6234 ANTENNA__1296__A +*6235 ANTENNA__1297__A +*6236 ANTENNA__1297__B +*6237 ANTENNA__1298__A +*6238 ANTENNA__1299__A +*6239 ANTENNA__1299__B +*6240 ANTENNA__1300__A +*6241 ANTENNA__1301__A +*6242 ANTENNA__1301__B +*6243 ANTENNA__1302__A +*6244 ANTENNA__1303__A +*6245 ANTENNA__1303__B +*6246 ANTENNA__1304__A +*6247 ANTENNA__1305__A +*6248 ANTENNA__1305__B +*6249 ANTENNA__1306__A +*6250 ANTENNA__1307__B +*6251 ANTENNA__1308__A +*6252 ANTENNA__1309__A +*6253 ANTENNA__1309__B +*6254 ANTENNA__1310__A +*6255 ANTENNA__1311__A +*6256 ANTENNA__1311__B +*6257 ANTENNA__1312__A +*6258 ANTENNA__1313__A +*6259 ANTENNA__1313__B +*6260 ANTENNA__1314__A +*6261 ANTENNA__1315__A +*6262 ANTENNA__1315__B +*6263 ANTENNA__1316__A +*6264 ANTENNA__1317__A +*6265 ANTENNA__1317__B +*6266 ANTENNA__1318__A +*6267 ANTENNA__1319__A +*6268 ANTENNA__1319__B +*6269 ANTENNA__1320__A +*6270 ANTENNA__1321__A +*6271 ANTENNA__1321__B +*6272 ANTENNA__1322__A +*6273 ANTENNA__1323__A +*6274 ANTENNA__1323__B +*6275 ANTENNA__1324__A +*6276 ANTENNA__1325__A +*6277 ANTENNA__1325__B +*6278 ANTENNA__1326__A +*6279 ANTENNA__1327__A +*6280 ANTENNA__1328__A +*6281 ANTENNA__1329__A +*6282 ANTENNA__1329__B +*6283 ANTENNA__1330__A +*6284 ANTENNA__1331__A +*6285 ANTENNA__1331__B +*6286 ANTENNA__1332__A +*6287 ANTENNA__1333__A +*6288 ANTENNA__1333__B +*6289 ANTENNA__1334__A +*6290 ANTENNA__1335__A +*6291 ANTENNA__1335__B +*6292 ANTENNA__1336__A +*6293 ANTENNA__1337__B +*6294 ANTENNA__1338__A +*6295 ANTENNA__1339__A +*6296 ANTENNA__1339__B +*6297 ANTENNA__1340__A +*6298 ANTENNA__1341__A +*6299 ANTENNA__1341__B +*6300 ANTENNA__1342__A +*6301 ANTENNA__1343__B +*6302 ANTENNA__1344__A +*6303 ANTENNA__1345__A +*6304 ANTENNA__1345__B +*6305 ANTENNA__1346__A +*6306 ANTENNA__1347__B +*6307 ANTENNA__1348__A +*6308 ANTENNA__1349__A +*6309 ANTENNA__1349__B +*6310 ANTENNA__1350__A +*6311 ANTENNA__1351__A +*6312 ANTENNA__1351__B +*6313 ANTENNA__1352__A +*6314 ANTENNA__1353__B +*6315 ANTENNA__1355__A +*6316 ANTENNA__1355__B +*6317 ANTENNA__1356__A +*6318 ANTENNA__1357__A +*6319 ANTENNA__1357__B +*6320 ANTENNA__1358__A +*6321 ANTENNA__1359__A +*6322 ANTENNA__1359__B +*6323 ANTENNA__1361__A +*6324 ANTENNA__1361__B +*6325 ANTENNA__1362__A +*6326 ANTENNA__1363__B +*6327 ANTENNA__1364__A +*6328 ANTENNA__1365__A +*6329 ANTENNA__1365__B +*6330 ANTENNA__1366__A +*6331 ANTENNA__1367__A +*6332 ANTENNA__1367__B +*6333 ANTENNA__1368__A +*6334 ANTENNA__1369__A +*6335 ANTENNA__1369__B +*6336 ANTENNA__1370__A +*6337 ANTENNA__1371__A +*6338 ANTENNA__1371__B +*6339 ANTENNA__1372__A +*6340 ANTENNA__1373__A +*6341 ANTENNA__1374__A +*6342 ANTENNA__1375__A +*6343 ANTENNA__1375__B +*6344 ANTENNA__1376__A +*6345 ANTENNA__1377__A +*6346 ANTENNA__1378__A +*6347 ANTENNA__1379__A +*6348 ANTENNA__1379__B +*6349 ANTENNA__1380__A +*6350 ANTENNA__1381__A +*6351 ANTENNA__1381__B +*6352 ANTENNA__1382__A +*6353 ANTENNA__1383__A +*6354 ANTENNA__1383__B +*6355 ANTENNA__1384__A +*6356 ANTENNA__1385__A +*6357 ANTENNA__1385__B +*6358 ANTENNA__1386__A +*6359 ANTENNA__1387__A +*6360 ANTENNA__1387__B +*6361 ANTENNA__1388__A +*6362 ANTENNA__1389__A +*6363 ANTENNA__1389__B +*6364 ANTENNA__1390__A +*6365 ANTENNA__1391__A +*6366 ANTENNA__1391__B +*6367 ANTENNA__1392__A +*6368 ANTENNA__1393__A +*6369 ANTENNA__1393__B +*6370 ANTENNA__1394__A +*6371 ANTENNA__1395__A +*6372 ANTENNA__1395__B +*6373 ANTENNA__1396__A +*6374 ANTENNA__1397__A +*6375 ANTENNA__1397__B +*6376 ANTENNA__1398__A +*6377 ANTENNA__1399__A +*6378 ANTENNA__1399__B +*6379 ANTENNA__1400__A +*6380 ANTENNA__1401__A +*6381 ANTENNA__1401__B +*6382 ANTENNA__1402__A +*6383 ANTENNA__1403__A +*6384 ANTENNA__1403__B +*6385 ANTENNA__1404__A +*6386 ANTENNA__1405__A +*6387 ANTENNA__1405__B +*6388 ANTENNA__1406__A +*6389 ANTENNA__1407__A +*6390 ANTENNA__1407__B +*6391 ANTENNA__1408__A +*6392 ANTENNA__1409__A +*6393 ANTENNA__1409__B +*6394 ANTENNA__1410__A +*6395 ANTENNA__1411__A +*6396 ANTENNA__1411__B +*6397 ANTENNA__1412__A +*6398 ANTENNA__1413__A +*6399 ANTENNA__1413__B +*6400 ANTENNA__1414__A +*6401 ANTENNA__1415__A +*6402 ANTENNA__1415__B +*6403 ANTENNA__1416__A +*6404 ANTENNA__1417__A +*6405 ANTENNA__1417__B +*6406 ANTENNA__1418__A +*6407 ANTENNA__1419__A +*6408 ANTENNA__1419__B +*6409 ANTENNA__1420__A +*6410 ANTENNA__1421__A +*6411 ANTENNA__1421__B +*6412 ANTENNA__1422__A +*6413 ANTENNA__1423__A +*6414 ANTENNA__1423__B +*6415 ANTENNA__1425__A +*6416 ANTENNA__1425__B +*6417 ANTENNA__1427__A +*6418 ANTENNA__1427__B +*6419 ANTENNA__1428__A +*6420 ANTENNA__1429__A +*6421 ANTENNA__1429__B +*6422 ANTENNA__1430__A +*6423 ANTENNA__1431__A +*6424 ANTENNA__1431__B +*6425 ANTENNA__1433__A +*6426 ANTENNA__1433__B +*6427 ANTENNA__1434__A +*6428 ANTENNA__1435__A +*6429 ANTENNA__1435__B +*6430 ANTENNA__1436__A +*6431 ANTENNA__1437__A +*6432 ANTENNA__1437__B +*6433 ANTENNA__1438__A +*6434 ANTENNA__1439__A +*6435 ANTENNA__1439__B +*6436 ANTENNA__1440__A +*6437 ANTENNA__1441__A +*6438 ANTENNA__1441__B +*6439 ANTENNA__1442__A +*6440 ANTENNA__1443__A +*6441 ANTENNA__1443__B +*6442 ANTENNA__1444__A +*6443 ANTENNA__1445__A +*6444 ANTENNA__1445__B +*6445 ANTENNA__1446__A +*6446 ANTENNA__1447__A +*6447 ANTENNA__1447__B +*6448 ANTENNA__1448__A +*6449 ANTENNA__1449__A +*6450 ANTENNA__1449__B +*6451 ANTENNA__1451__A +*6452 ANTENNA__1451__B +*6453 ANTENNA__1453__B +*6454 ANTENNA__1454__A +*6455 ANTENNA__1455__A +*6456 ANTENNA__1455__B +*6457 ANTENNA__1456__A +*6458 ANTENNA__1457__A +*6459 ANTENNA__1457__B +*6460 ANTENNA__1458__A +*6461 ANTENNA__1459__A +*6462 ANTENNA__1459__B +*6463 ANTENNA__1460__A +*6464 ANTENNA__1461__A +*6465 ANTENNA__1461__B +*6466 ANTENNA__1462__A +*6467 ANTENNA__1463__A +*6468 ANTENNA__1463__B +*6469 ANTENNA__1464__A +*6470 ANTENNA__1465__A +*6471 ANTENNA__1465__B +*6472 ANTENNA__1467__A +*6473 ANTENNA__1467__B +*6474 ANTENNA__1469__A +*6475 ANTENNA__1469__B +*6476 ANTENNA__1470__A +*6477 ANTENNA__1471__A +*6478 ANTENNA__1471__B +*6479 ANTENNA__1472__A +*6480 ANTENNA__1473__A +*6481 ANTENNA__1473__B +*6482 ANTENNA__1474__A +*6483 ANTENNA__1475__A +*6484 ANTENNA__1475__B +*6485 ANTENNA__1477__A +*6486 ANTENNA__1477__B +*6487 ANTENNA__1478__A +*6488 ANTENNA__1479__A +*6489 ANTENNA__1479__B +*6490 ANTENNA__1481__A +*6491 ANTENNA__1481__B +*6492 ANTENNA__1482__A +*6493 ANTENNA__1483__A +*6494 ANTENNA__1483__B +*6495 ANTENNA__1485__A +*6496 ANTENNA__1485__B +*6497 ANTENNA__1486__A +*6498 ANTENNA__1487__A +*6499 ANTENNA__1487__B +*6500 ANTENNA__1489__A +*6501 ANTENNA__1489__B +*6502 ANTENNA__1491__A +*6503 ANTENNA__1491__B +*6504 ANTENNA__1493__A +*6505 ANTENNA__1493__B +*6506 ANTENNA__1495__A +*6507 ANTENNA__1495__B +*6508 ANTENNA__1497__A +*6509 ANTENNA__1497__B +*6510 ANTENNA__1499__A +*6511 ANTENNA__1499__B +*6512 ANTENNA__1501__A +*6513 ANTENNA__1501__B +*6514 ANTENNA__1503__A +*6515 ANTENNA__1503__B +*6516 ANTENNA__1505__A +*6517 ANTENNA__1505__B +*6518 ANTENNA__1507__A +*6519 ANTENNA__1507__B +*6520 ANTENNA__1509__A +*6521 ANTENNA__1509__B +*6522 ANTENNA__1510__A +*6523 ANTENNA__1511__A +*6524 ANTENNA__1511__B +*6525 ANTENNA__1513__A +*6526 ANTENNA__1513__B +*6527 ANTENNA__1514__A +*6528 ANTENNA__1515__A +*6529 ANTENNA__1515__B +*6530 ANTENNA__1516__A +*6531 ANTENNA__1517__A +*6532 ANTENNA__1517__B +*6533 ANTENNA__1518__A +*6534 ANTENNA__1519__A +*6535 ANTENNA__1519__B +*6536 ANTENNA__1520__A +*6537 ANTENNA__1521__A +*6538 ANTENNA__1521__B +*6539 ANTENNA__1523__A +*6540 ANTENNA__1523__B +*6541 ANTENNA__1525__A +*6542 ANTENNA__1525__B +*6543 ANTENNA__1526__A +*6544 ANTENNA__1527__A +*6545 ANTENNA__1527__B +*6546 ANTENNA__1528__A +*6547 ANTENNA__1529__A +*6548 ANTENNA__1529__B +*6549 ANTENNA__1531__A +*6550 ANTENNA__1536__A +*6551 ANTENNA_input100_A +*6552 ANTENNA_input101_A +*6553 ANTENNA_input102_A +*6554 ANTENNA_input103_A +*6555 ANTENNA_input104_A +*6556 ANTENNA_input105_A +*6557 ANTENNA_input106_A +*6558 ANTENNA_input107_A +*6559 ANTENNA_input108_A +*6560 ANTENNA_input109_A +*6561 ANTENNA_input10_A +*6562 ANTENNA_input110_A +*6563 ANTENNA_input111_A +*6564 ANTENNA_input112_A +*6565 ANTENNA_input113_A +*6566 ANTENNA_input114_A +*6567 ANTENNA_input115_A +*6568 ANTENNA_input116_A +*6569 ANTENNA_input117_A +*6570 ANTENNA_input118_A +*6571 ANTENNA_input119_A +*6572 ANTENNA_input11_A +*6573 ANTENNA_input120_A +*6574 ANTENNA_input121_A +*6575 ANTENNA_input122_A +*6576 ANTENNA_input123_A +*6577 ANTENNA_input124_A +*6578 ANTENNA_input125_A +*6579 ANTENNA_input126_A +*6580 ANTENNA_input127_A +*6581 ANTENNA_input128_A +*6582 ANTENNA_input129_A +*6583 ANTENNA_input12_A +*6584 ANTENNA_input130_A +*6585 ANTENNA_input131_A +*6586 ANTENNA_input132_A +*6587 ANTENNA_input133_A +*6588 ANTENNA_input134_A +*6589 ANTENNA_input135_A +*6590 ANTENNA_input136_A +*6591 ANTENNA_input137_A +*6592 ANTENNA_input138_A +*6593 ANTENNA_input139_A +*6594 ANTENNA_input13_A +*6595 ANTENNA_input140_A +*6596 ANTENNA_input141_A +*6597 ANTENNA_input142_A +*6598 ANTENNA_input143_A +*6599 ANTENNA_input144_A +*6600 ANTENNA_input145_A +*6601 ANTENNA_input146_A +*6602 ANTENNA_input147_A +*6603 ANTENNA_input148_A +*6604 ANTENNA_input149_A +*6605 ANTENNA_input14_A +*6606 ANTENNA_input150_A +*6607 ANTENNA_input151_A +*6608 ANTENNA_input152_A +*6609 ANTENNA_input153_A +*6610 ANTENNA_input154_A +*6611 ANTENNA_input155_A +*6612 ANTENNA_input156_A +*6613 ANTENNA_input157_A +*6614 ANTENNA_input158_A +*6615 ANTENNA_input159_A +*6616 ANTENNA_input15_A +*6617 ANTENNA_input160_A +*6618 ANTENNA_input161_A +*6619 ANTENNA_input162_A +*6620 ANTENNA_input163_A +*6621 ANTENNA_input164_A +*6622 ANTENNA_input165_A +*6623 ANTENNA_input166_A +*6624 ANTENNA_input167_A +*6625 ANTENNA_input168_A +*6626 ANTENNA_input169_A +*6627 ANTENNA_input16_A +*6628 ANTENNA_input170_A +*6629 ANTENNA_input171_A +*6630 ANTENNA_input172_A +*6631 ANTENNA_input173_A +*6632 ANTENNA_input174_A +*6633 ANTENNA_input175_A +*6634 ANTENNA_input176_A +*6635 ANTENNA_input177_A +*6636 ANTENNA_input178_A +*6637 ANTENNA_input179_A +*6638 ANTENNA_input17_A +*6639 ANTENNA_input180_A +*6640 ANTENNA_input181_A +*6641 ANTENNA_input182_A +*6642 ANTENNA_input183_A +*6643 ANTENNA_input184_A +*6644 ANTENNA_input185_A +*6645 ANTENNA_input186_A +*6646 ANTENNA_input187_A +*6647 ANTENNA_input188_A +*6648 ANTENNA_input189_A +*6649 ANTENNA_input18_A +*6650 ANTENNA_input190_A +*6651 ANTENNA_input191_A +*6652 ANTENNA_input192_A +*6653 ANTENNA_input193_A +*6654 ANTENNA_input194_A +*6655 ANTENNA_input195_A +*6656 ANTENNA_input196_A +*6657 ANTENNA_input197_A +*6658 ANTENNA_input198_A +*6659 ANTENNA_input199_A +*6660 ANTENNA_input19_A +*6661 ANTENNA_input1_A +*6662 ANTENNA_input200_A +*6663 ANTENNA_input201_A +*6664 ANTENNA_input202_A +*6665 ANTENNA_input203_A +*6666 ANTENNA_input204_A +*6667 ANTENNA_input205_A +*6668 ANTENNA_input206_A +*6669 ANTENNA_input207_A +*6670 ANTENNA_input208_A +*6671 ANTENNA_input209_A +*6672 ANTENNA_input20_A +*6673 ANTENNA_input210_A +*6674 ANTENNA_input211_A +*6675 ANTENNA_input212_A +*6676 ANTENNA_input213_A +*6677 ANTENNA_input214_A +*6678 ANTENNA_input215_A +*6679 ANTENNA_input216_A +*6680 ANTENNA_input217_A +*6681 ANTENNA_input218_A +*6682 ANTENNA_input219_A +*6683 ANTENNA_input21_A +*6684 ANTENNA_input220_A +*6685 ANTENNA_input221_A +*6686 ANTENNA_input222_A +*6687 ANTENNA_input223_A +*6688 ANTENNA_input224_A +*6689 ANTENNA_input225_A +*6690 ANTENNA_input226_A +*6691 ANTENNA_input227_A +*6692 ANTENNA_input228_A +*6693 ANTENNA_input229_A +*6694 ANTENNA_input22_A +*6695 ANTENNA_input230_A +*6696 ANTENNA_input231_A +*6697 ANTENNA_input232_A +*6698 ANTENNA_input233_A +*6699 ANTENNA_input234_A +*6700 ANTENNA_input235_A +*6701 ANTENNA_input236_A +*6702 ANTENNA_input237_A +*6703 ANTENNA_input238_A +*6704 ANTENNA_input239_A +*6705 ANTENNA_input23_A +*6706 ANTENNA_input240_A +*6707 ANTENNA_input241_A +*6708 ANTENNA_input242_A +*6709 ANTENNA_input243_A +*6710 ANTENNA_input244_A +*6711 ANTENNA_input245_A +*6712 ANTENNA_input246_A +*6713 ANTENNA_input247_A +*6714 ANTENNA_input248_A +*6715 ANTENNA_input249_A +*6716 ANTENNA_input24_A +*6717 ANTENNA_input250_A +*6718 ANTENNA_input251_A +*6719 ANTENNA_input252_A +*6720 ANTENNA_input253_A +*6721 ANTENNA_input254_A +*6722 ANTENNA_input255_A +*6723 ANTENNA_input256_A +*6724 ANTENNA_input257_A +*6725 ANTENNA_input258_A +*6726 ANTENNA_input259_A +*6727 ANTENNA_input25_A +*6728 ANTENNA_input260_A +*6729 ANTENNA_input261_A +*6730 ANTENNA_input262_A +*6731 ANTENNA_input263_A +*6732 ANTENNA_input264_A +*6733 ANTENNA_input265_A +*6734 ANTENNA_input266_A +*6735 ANTENNA_input267_A +*6736 ANTENNA_input268_A +*6737 ANTENNA_input269_A +*6738 ANTENNA_input26_A +*6739 ANTENNA_input270_A +*6740 ANTENNA_input271_A +*6741 ANTENNA_input272_A +*6742 ANTENNA_input273_A +*6743 ANTENNA_input274_A +*6744 ANTENNA_input275_A +*6745 ANTENNA_input276_A +*6746 ANTENNA_input277_A +*6747 ANTENNA_input278_A +*6748 ANTENNA_input279_A +*6749 ANTENNA_input27_A +*6750 ANTENNA_input280_A +*6751 ANTENNA_input281_A +*6752 ANTENNA_input282_A +*6753 ANTENNA_input283_A +*6754 ANTENNA_input284_A +*6755 ANTENNA_input285_A +*6756 ANTENNA_input286_A +*6757 ANTENNA_input287_A +*6758 ANTENNA_input288_A +*6759 ANTENNA_input289_A +*6760 ANTENNA_input28_A +*6761 ANTENNA_input290_A +*6762 ANTENNA_input291_A +*6763 ANTENNA_input292_A +*6764 ANTENNA_input293_A +*6765 ANTENNA_input294_A +*6766 ANTENNA_input295_A +*6767 ANTENNA_input296_A +*6768 ANTENNA_input297_A +*6769 ANTENNA_input298_A +*6770 ANTENNA_input299_A +*6771 ANTENNA_input29_A +*6772 ANTENNA_input2_A +*6773 ANTENNA_input300_A +*6774 ANTENNA_input301_A +*6775 ANTENNA_input302_A +*6776 ANTENNA_input303_A +*6777 ANTENNA_input304_A +*6778 ANTENNA_input305_A +*6779 ANTENNA_input306_A +*6780 ANTENNA_input307_A +*6781 ANTENNA_input308_A +*6782 ANTENNA_input309_A +*6783 ANTENNA_input30_A +*6784 ANTENNA_input310_A +*6785 ANTENNA_input311_A +*6786 ANTENNA_input312_A +*6787 ANTENNA_input313_A +*6788 ANTENNA_input314_A +*6789 ANTENNA_input315_A +*6790 ANTENNA_input316_A +*6791 ANTENNA_input317_A +*6792 ANTENNA_input318_A +*6793 ANTENNA_input319_A +*6794 ANTENNA_input31_A +*6795 ANTENNA_input320_A +*6796 ANTENNA_input321_A +*6797 ANTENNA_input322_A +*6798 ANTENNA_input323_A +*6799 ANTENNA_input324_A +*6800 ANTENNA_input325_A +*6801 ANTENNA_input326_A +*6802 ANTENNA_input327_A +*6803 ANTENNA_input328_A +*6804 ANTENNA_input329_A +*6805 ANTENNA_input32_A +*6806 ANTENNA_input330_A +*6807 ANTENNA_input331_A +*6808 ANTENNA_input332_A +*6809 ANTENNA_input333_A +*6810 ANTENNA_input334_A +*6811 ANTENNA_input335_A +*6812 ANTENNA_input336_A +*6813 ANTENNA_input337_A +*6814 ANTENNA_input338_A +*6815 ANTENNA_input339_A +*6816 ANTENNA_input33_A +*6817 ANTENNA_input340_A +*6818 ANTENNA_input341_A +*6819 ANTENNA_input342_A +*6820 ANTENNA_input343_A +*6821 ANTENNA_input344_A +*6822 ANTENNA_input345_A +*6823 ANTENNA_input346_A +*6824 ANTENNA_input347_A +*6825 ANTENNA_input348_A +*6826 ANTENNA_input349_A +*6827 ANTENNA_input34_A +*6828 ANTENNA_input350_A +*6829 ANTENNA_input351_A +*6830 ANTENNA_input352_A +*6831 ANTENNA_input353_A +*6832 ANTENNA_input354_A +*6833 ANTENNA_input355_A +*6834 ANTENNA_input356_A +*6835 ANTENNA_input357_A +*6836 ANTENNA_input358_A +*6837 ANTENNA_input359_A +*6838 ANTENNA_input35_A +*6839 ANTENNA_input360_A +*6840 ANTENNA_input361_A +*6841 ANTENNA_input362_A +*6842 ANTENNA_input363_A +*6843 ANTENNA_input364_A +*6844 ANTENNA_input365_A +*6845 ANTENNA_input366_A +*6846 ANTENNA_input367_A +*6847 ANTENNA_input368_A +*6848 ANTENNA_input369_A +*6849 ANTENNA_input36_A +*6850 ANTENNA_input370_A +*6851 ANTENNA_input371_A +*6852 ANTENNA_input372_A +*6853 ANTENNA_input373_A +*6854 ANTENNA_input374_A +*6855 ANTENNA_input375_A +*6856 ANTENNA_input376_A +*6857 ANTENNA_input377_A +*6858 ANTENNA_input378_A +*6859 ANTENNA_input379_A +*6860 ANTENNA_input37_A +*6861 ANTENNA_input380_A +*6862 ANTENNA_input381_A +*6863 ANTENNA_input382_A +*6864 ANTENNA_input383_A +*6865 ANTENNA_input384_A +*6866 ANTENNA_input385_A +*6867 ANTENNA_input386_A +*6868 ANTENNA_input387_A +*6869 ANTENNA_input388_A +*6870 ANTENNA_input389_A +*6871 ANTENNA_input38_A +*6872 ANTENNA_input390_A +*6873 ANTENNA_input391_A +*6874 ANTENNA_input392_A +*6875 ANTENNA_input393_A +*6876 ANTENNA_input394_A +*6877 ANTENNA_input395_A +*6878 ANTENNA_input396_A +*6879 ANTENNA_input397_A +*6880 ANTENNA_input398_A +*6881 ANTENNA_input399_A +*6882 ANTENNA_input39_A +*6883 ANTENNA_input3_A +*6884 ANTENNA_input400_A +*6885 ANTENNA_input401_A +*6886 ANTENNA_input402_A +*6887 ANTENNA_input403_A +*6888 ANTENNA_input404_A +*6889 ANTENNA_input405_A +*6890 ANTENNA_input406_A +*6891 ANTENNA_input407_A +*6892 ANTENNA_input408_A +*6893 ANTENNA_input409_A +*6894 ANTENNA_input40_A +*6895 ANTENNA_input410_A +*6896 ANTENNA_input411_A +*6897 ANTENNA_input412_A +*6898 ANTENNA_input413_A +*6899 ANTENNA_input414_A +*6900 ANTENNA_input415_A +*6901 ANTENNA_input416_A +*6902 ANTENNA_input417_A +*6903 ANTENNA_input418_A +*6904 ANTENNA_input419_A +*6905 ANTENNA_input41_A +*6906 ANTENNA_input420_A +*6907 ANTENNA_input421_A +*6908 ANTENNA_input422_A +*6909 ANTENNA_input423_A +*6910 ANTENNA_input424_A +*6911 ANTENNA_input425_A +*6912 ANTENNA_input426_A +*6913 ANTENNA_input427_A +*6914 ANTENNA_input428_A +*6915 ANTENNA_input429_A +*6916 ANTENNA_input42_A +*6917 ANTENNA_input430_A +*6918 ANTENNA_input431_A +*6919 ANTENNA_input432_A +*6920 ANTENNA_input433_A +*6921 ANTENNA_input434_A +*6922 ANTENNA_input435_A +*6923 ANTENNA_input436_A +*6924 ANTENNA_input437_A +*6925 ANTENNA_input438_A +*6926 ANTENNA_input439_A +*6927 ANTENNA_input43_A +*6928 ANTENNA_input440_A +*6929 ANTENNA_input441_A +*6930 ANTENNA_input442_A +*6931 ANTENNA_input443_A +*6932 ANTENNA_input444_A +*6933 ANTENNA_input445_A +*6934 ANTENNA_input446_A +*6935 ANTENNA_input447_A +*6936 ANTENNA_input448_A +*6937 ANTENNA_input449_A +*6938 ANTENNA_input44_A +*6939 ANTENNA_input450_A +*6940 ANTENNA_input451_A +*6941 ANTENNA_input452_A +*6942 ANTENNA_input453_A +*6943 ANTENNA_input454_A +*6944 ANTENNA_input455_A +*6945 ANTENNA_input456_A +*6946 ANTENNA_input457_A +*6947 ANTENNA_input458_A +*6948 ANTENNA_input459_A +*6949 ANTENNA_input45_A +*6950 ANTENNA_input460_A +*6951 ANTENNA_input461_A +*6952 ANTENNA_input462_A +*6953 ANTENNA_input46_A +*6954 ANTENNA_input47_A +*6955 ANTENNA_input48_A +*6956 ANTENNA_input49_A +*6957 ANTENNA_input4_A +*6958 ANTENNA_input50_A +*6959 ANTENNA_input51_A +*6960 ANTENNA_input52_A +*6961 ANTENNA_input53_A +*6962 ANTENNA_input54_A +*6963 ANTENNA_input55_A +*6964 ANTENNA_input56_A +*6965 ANTENNA_input57_A +*6966 ANTENNA_input58_A +*6967 ANTENNA_input59_A +*6968 ANTENNA_input5_A +*6969 ANTENNA_input60_A +*6970 ANTENNA_input61_A +*6971 ANTENNA_input62_A +*6972 ANTENNA_input63_A +*6973 ANTENNA_input64_A +*6974 ANTENNA_input65_A +*6975 ANTENNA_input66_A +*6976 ANTENNA_input67_A +*6977 ANTENNA_input68_A +*6978 ANTENNA_input69_A +*6979 ANTENNA_input6_A +*6980 ANTENNA_input70_A +*6981 ANTENNA_input71_A +*6982 ANTENNA_input72_A +*6983 ANTENNA_input73_A +*6984 ANTENNA_input74_A +*6985 ANTENNA_input75_A +*6986 ANTENNA_input76_A +*6987 ANTENNA_input77_A +*6988 ANTENNA_input78_A +*6989 ANTENNA_input79_A +*6990 ANTENNA_input7_A +*6991 ANTENNA_input80_A +*6992 ANTENNA_input81_A +*6993 ANTENNA_input82_A +*6994 ANTENNA_input83_A +*6995 ANTENNA_input84_A +*6996 ANTENNA_input85_A +*6997 ANTENNA_input86_A +*6998 ANTENNA_input87_A +*6999 ANTENNA_input88_A +*7000 ANTENNA_input89_A +*7001 ANTENNA_input8_A +*7002 ANTENNA_input90_A +*7003 ANTENNA_input91_A +*7004 ANTENNA_input92_A +*7005 ANTENNA_input93_A +*7006 ANTENNA_input94_A +*7007 ANTENNA_input95_A +*7008 ANTENNA_input96_A +*7009 ANTENNA_input97_A +*7010 ANTENNA_input98_A +*7011 ANTENNA_input99_A +*7012 ANTENNA_input9_A +*7013 ANTENNA_max_length1327_A +*7014 ANTENNA_max_length1834_A +*7015 ANTENNA_max_length1881_A +*7016 ANTENNA_max_length1898_A +*7017 ANTENNA_max_length1906_A +*7018 ANTENNA_max_length1910_A +*7019 ANTENNA_max_length1925_A +*7020 ANTENNA_max_length1964_A +*7021 ANTENNA_output463_A +*7022 ANTENNA_output464_A +*7023 ANTENNA_output465_A +*7024 ANTENNA_output467_A +*7025 ANTENNA_output468_A +*7026 ANTENNA_output469_A +*7027 ANTENNA_output470_A +*7028 ANTENNA_output471_A +*7029 ANTENNA_output472_A +*7030 ANTENNA_output473_A +*7031 ANTENNA_output474_A +*7032 ANTENNA_output475_A +*7033 ANTENNA_output476_A +*7034 ANTENNA_output477_A +*7035 ANTENNA_output478_A +*7036 ANTENNA_output479_A +*7037 ANTENNA_output480_A +*7038 ANTENNA_output481_A +*7039 ANTENNA_output482_A +*7040 ANTENNA_output483_A +*7041 ANTENNA_output484_A +*7042 ANTENNA_output486_A +*7043 ANTENNA_output487_A +*7044 ANTENNA_output488_A +*7045 ANTENNA_output489_A +*7046 ANTENNA_output490_A +*7047 ANTENNA_output491_A +*7048 ANTENNA_output492_A +*7049 ANTENNA_output494_A +*7050 ANTENNA_output495_A +*7051 ANTENNA_output496_A +*7052 ANTENNA_output498_A +*7053 ANTENNA_output501_A +*7054 ANTENNA_output502_A +*7055 ANTENNA_output503_A +*7056 ANTENNA_output505_A +*7057 ANTENNA_output506_A +*7058 ANTENNA_output508_A +*7059 ANTENNA_output509_A +*7060 ANTENNA_output510_A +*7061 ANTENNA_output511_A +*7062 ANTENNA_output512_A +*7063 ANTENNA_output513_A +*7064 ANTENNA_output514_A +*7065 ANTENNA_output515_A +*7066 ANTENNA_output516_A +*7067 ANTENNA_output517_A +*7068 ANTENNA_output518_A +*7069 ANTENNA_output519_A +*7070 ANTENNA_output520_A +*7071 ANTENNA_output521_A +*7072 ANTENNA_output522_A +*7073 ANTENNA_output523_A +*7074 ANTENNA_output524_A +*7075 ANTENNA_output525_A +*7076 ANTENNA_output529_A +*7077 ANTENNA_output530_A +*7078 ANTENNA_output531_A +*7079 ANTENNA_output533_A +*7080 ANTENNA_output534_A +*7081 ANTENNA_output535_A +*7082 ANTENNA_output536_A +*7083 ANTENNA_output538_A +*7084 ANTENNA_output544_A +*7085 ANTENNA_output546_A +*7086 ANTENNA_output550_A +*7087 ANTENNA_output552_A +*7088 ANTENNA_output554_A +*7089 ANTENNA_output555_A +*7090 ANTENNA_output556_A +*7091 ANTENNA_output557_A +*7092 ANTENNA_output559_A +*7093 ANTENNA_output562_A +*7094 ANTENNA_output563_A +*7095 ANTENNA_output564_A +*7096 ANTENNA_output565_A +*7097 ANTENNA_output566_A +*7098 ANTENNA_output567_A +*7099 ANTENNA_output568_A +*7100 ANTENNA_output569_A +*7101 ANTENNA_output570_A +*7102 ANTENNA_output571_A +*7103 ANTENNA_output572_A +*7104 ANTENNA_output573_A +*7105 ANTENNA_output574_A +*7106 ANTENNA_output575_A +*7107 ANTENNA_output576_A +*7108 ANTENNA_output578_A +*7109 ANTENNA_output579_A +*7110 ANTENNA_output580_A +*7111 ANTENNA_output581_A +*7112 ANTENNA_output582_A +*7113 ANTENNA_output583_A +*7114 ANTENNA_output584_A +*7115 ANTENNA_output585_A +*7116 ANTENNA_output586_A +*7117 ANTENNA_output587_A +*7118 ANTENNA_output588_A +*7119 ANTENNA_output589_A +*7120 ANTENNA_output590_A +*7121 ANTENNA_output596_A +*7122 ANTENNA_output597_A +*7123 ANTENNA_output598_A +*7124 ANTENNA_output599_A +*7125 ANTENNA_output600_A +*7126 ANTENNA_output601_A +*7127 ANTENNA_output602_A +*7128 ANTENNA_output603_A +*7129 ANTENNA_output604_A +*7130 ANTENNA_output605_A +*7131 ANTENNA_output606_A +*7132 ANTENNA_output607_A +*7133 ANTENNA_output608_A +*7134 ANTENNA_output609_A +*7135 ANTENNA_output610_A +*7136 ANTENNA_output611_A +*7137 ANTENNA_output612_A +*7138 ANTENNA_output614_A +*7139 ANTENNA_output615_A +*7140 ANTENNA_output616_A +*7141 ANTENNA_output617_A +*7142 ANTENNA_output618_A +*7143 ANTENNA_output619_A +*7144 ANTENNA_output620_A +*7145 ANTENNA_output621_A +*7146 ANTENNA_output623_A +*7147 ANTENNA_output624_A +*7148 ANTENNA_output625_A +*7149 ANTENNA_output626_A +*7150 ANTENNA_output628_A +*7151 ANTENNA_output629_A +*7152 ANTENNA_output631_A +*7153 ANTENNA_output633_A +*7154 ANTENNA_output634_A +*7155 ANTENNA_output635_A +*7156 ANTENNA_output636_A +*7157 ANTENNA_output637_A +*7158 ANTENNA_output638_A +*7159 ANTENNA_output639_A +*7160 ANTENNA_output640_A +*7161 ANTENNA_output642_A +*7162 ANTENNA_output643_A +*7163 ANTENNA_output644_A +*7164 ANTENNA_output645_A +*7165 ANTENNA_output646_A +*7166 ANTENNA_output647_A +*7167 ANTENNA_output648_A +*7168 ANTENNA_output649_A +*7169 ANTENNA_output650_A +*7170 ANTENNA_output651_A +*7171 ANTENNA_output654_A +*7172 ANTENNA_output655_A +*7173 ANTENNA_output656_A +*7174 ANTENNA_output657_A +*7175 ANTENNA_output658_A +*7176 ANTENNA_output659_A +*7177 ANTENNA_output660_A +*7178 ANTENNA_output661_A +*7179 ANTENNA_output664_A +*7180 ANTENNA_output665_A +*7181 ANTENNA_output666_A +*7182 ANTENNA_output667_A +*7183 ANTENNA_output668_A +*7184 ANTENNA_output670_A +*7185 ANTENNA_output671_A +*7186 ANTENNA_output689_A +*7187 ANTENNA_output696_A +*7188 ANTENNA_output703_A +*7189 ANTENNA_output706_A +*7190 ANTENNA_output707_A +*7191 ANTENNA_output709_A +*7192 ANTENNA_output718_A +*7193 ANTENNA_output719_A +*7194 ANTENNA_output721_A +*7195 ANTENNA_output722_A +*7196 ANTENNA_output723_A +*7197 ANTENNA_output724_A +*7198 ANTENNA_output726_A +*7199 ANTENNA_output727_A +*7200 ANTENNA_output728_A +*7201 ANTENNA_output731_A +*7202 ANTENNA_output732_A +*7203 ANTENNA_output733_A +*7204 ANTENNA_output734_A +*7205 ANTENNA_output735_A +*7206 ANTENNA_output737_A +*7207 ANTENNA_output739_A +*7208 ANTENNA_output741_A +*7209 ANTENNA_output743_A +*7210 ANTENNA_output744_A +*7211 ANTENNA_output756_A +*7212 ANTENNA_output757_A +*7213 ANTENNA_output758_A +*7214 ANTENNA_output759_A +*7215 ANTENNA_output766_A +*7216 ANTENNA_output769_A +*7217 ANTENNA_output776_A +*7218 ANTENNA_output779_A +*7219 ANTENNA_output780_A +*7220 ANTENNA_output782_A +*7221 ANTENNA_output783_A +*7222 ANTENNA_output785_A +*7223 ANTENNA_output786_A +*7224 ANTENNA_output789_A +*7225 ANTENNA_output790_A +*7226 ANTENNA_output791_A +*7227 ANTENNA_output792_A +*7228 ANTENNA_output793_A +*7229 ANTENNA_output795_A +*7230 ANTENNA_output798_A +*7231 ANTENNA_output802_A +*7232 ANTENNA_output803_A +*7233 ANTENNA_output806_A +*7234 ANTENNA_output807_A +*7235 ANTENNA_output809_A +*7236 ANTENNA_output810_A +*7237 ANTENNA_output817_A +*7238 ANTENNA_output818_A +*7239 ANTENNA_output820_A +*7240 ANTENNA_output825_A +*7241 ANTENNA_output826_A +*7242 ANTENNA_output827_A +*7243 ANTENNA_output828_A +*7244 ANTENNA_output829_A +*7245 ANTENNA_output830_A +*7246 ANTENNA_output831_A +*7247 ANTENNA_output832_A +*7248 ANTENNA_output833_A +*7249 ANTENNA_output839_A +*7250 ANTENNA_output841_A +*7251 ANTENNA_output844_A +*7252 ANTENNA_output845_A +*7253 ANTENNA_output847_A +*7254 ANTENNA_output848_A +*7255 ANTENNA_output849_A +*7256 ANTENNA_output850_A +*7257 ANTENNA_output851_A +*7258 ANTENNA_output852_A +*7259 ANTENNA_output853_A +*7260 ANTENNA_output854_A +*7261 ANTENNA_output855_A +*7262 ANTENNA_output856_A +*7263 ANTENNA_output857_A +*7264 ANTENNA_output858_A +*7265 ANTENNA_output859_A +*7266 ANTENNA_output860_A +*7267 ANTENNA_output861_A +*7268 ANTENNA_output862_A +*7269 ANTENNA_output863_A +*7270 ANTENNA_output864_A +*7271 ANTENNA_output865_A +*7272 ANTENNA_output866_A +*7273 ANTENNA_output867_A +*7274 ANTENNA_output868_A +*7275 ANTENNA_output869_A +*7276 ANTENNA_output870_A +*7277 ANTENNA_output871_A +*7278 ANTENNA_output872_A +*7279 ANTENNA_output873_A +*7280 ANTENNA_output874_A +*7281 ANTENNA_output875_A +*7282 ANTENNA_output876_A +*7283 ANTENNA_output877_A +*7284 ANTENNA_output878_A +*7285 ANTENNA_output879_A +*7286 ANTENNA_output880_A +*7287 ANTENNA_output881_A +*7288 ANTENNA_output882_A +*7289 ANTENNA_output883_A +*7290 ANTENNA_output884_A +*7291 ANTENNA_output887_A +*7292 ANTENNA_output889_A +*7293 ANTENNA_output892_A +*7294 ANTENNA_output901_A +*7295 ANTENNA_output903_A +*7296 ANTENNA_output906_A +*7297 ANTENNA_output907_A +*7298 ANTENNA_output908_A +*7299 ANTENNA_output909_A +*7300 ANTENNA_output910_A +*7301 ANTENNA_output911_A +*7302 ANTENNA_output913_A +*7303 ANTENNA_output914_A +*7304 ANTENNA_output915_A +*7305 ANTENNA_output916_A +*7306 ANTENNA_output917_A +*7307 ANTENNA_output918_A +*7308 ANTENNA_output919_A +*7309 ANTENNA_output920_A +*7310 ANTENNA_output921_A +*7311 ANTENNA_output922_A +*7312 ANTENNA_output923_A +*7313 ANTENNA_output924_A +*7314 ANTENNA_output925_A +*7315 ANTENNA_output926_A +*7316 ANTENNA_output927_A +*7317 ANTENNA_output928_A +*7318 ANTENNA_output929_A +*7319 ANTENNA_output930_A +*7320 ANTENNA_output931_A +*7321 ANTENNA_output932_A +*7322 ANTENNA_output933_A +*7323 ANTENNA_output934_A +*7324 ANTENNA_output935_A +*7325 ANTENNA_output936_A +*7326 ANTENNA_output937_A +*7327 ANTENNA_output938_A +*7328 ANTENNA_output939_A +*7329 ANTENNA_output940_A +*7330 ANTENNA_output941_A +*7331 ANTENNA_output942_A +*7332 ANTENNA_output943_A +*7333 ANTENNA_output944_A +*7334 ANTENNA_output945_A +*7335 ANTENNA_output946_A +*7336 ANTENNA_output947_A +*7337 ANTENNA_output948_A +*7338 ANTENNA_output949_A +*7339 ANTENNA_output950_A +*7340 ANTENNA_output951_A +*7341 ANTENNA_output952_A +*7342 ANTENNA_output953_A +*7343 ANTENNA_output954_A +*7344 ANTENNA_output955_A +*7345 ANTENNA_output960_A +*7346 ANTENNA_user_irq_gates\[0\]_A +*7347 ANTENNA_user_irq_gates\[1\]_A +*7348 ANTENNA_user_irq_gates\[2\]_A +*7349 ANTENNA_user_to_mprj_in_gates\[0\]_A +*7350 ANTENNA_user_to_mprj_in_gates\[100\]_A +*7351 ANTENNA_user_to_mprj_in_gates\[100\]_B +*7352 ANTENNA_user_to_mprj_in_gates\[101\]_A +*7353 ANTENNA_user_to_mprj_in_gates\[101\]_B +*7354 ANTENNA_user_to_mprj_in_gates\[102\]_A +*7355 ANTENNA_user_to_mprj_in_gates\[103\]_A +*7356 ANTENNA_user_to_mprj_in_gates\[103\]_B +*7357 ANTENNA_user_to_mprj_in_gates\[104\]_A +*7358 ANTENNA_user_to_mprj_in_gates\[104\]_B +*7359 ANTENNA_user_to_mprj_in_gates\[105\]_A +*7360 ANTENNA_user_to_mprj_in_gates\[105\]_B +*7361 ANTENNA_user_to_mprj_in_gates\[106\]_A +*7362 ANTENNA_user_to_mprj_in_gates\[107\]_A +*7363 ANTENNA_user_to_mprj_in_gates\[108\]_A +*7364 ANTENNA_user_to_mprj_in_gates\[109\]_A +*7365 ANTENNA_user_to_mprj_in_gates\[10\]_A +*7366 ANTENNA_user_to_mprj_in_gates\[110\]_A +*7367 ANTENNA_user_to_mprj_in_gates\[111\]_A +*7368 ANTENNA_user_to_mprj_in_gates\[112\]_A +*7369 ANTENNA_user_to_mprj_in_gates\[113\]_A +*7370 ANTENNA_user_to_mprj_in_gates\[114\]_A +*7371 ANTENNA_user_to_mprj_in_gates\[115\]_A +*7372 ANTENNA_user_to_mprj_in_gates\[116\]_A +*7373 ANTENNA_user_to_mprj_in_gates\[117\]_A +*7374 ANTENNA_user_to_mprj_in_gates\[118\]_A +*7375 ANTENNA_user_to_mprj_in_gates\[119\]_A +*7376 ANTENNA_user_to_mprj_in_gates\[11\]_A +*7377 ANTENNA_user_to_mprj_in_gates\[120\]_A +*7378 ANTENNA_user_to_mprj_in_gates\[121\]_A +*7379 ANTENNA_user_to_mprj_in_gates\[122\]_A +*7380 ANTENNA_user_to_mprj_in_gates\[123\]_A +*7381 ANTENNA_user_to_mprj_in_gates\[124\]_A +*7382 ANTENNA_user_to_mprj_in_gates\[125\]_A +*7383 ANTENNA_user_to_mprj_in_gates\[126\]_A +*7384 ANTENNA_user_to_mprj_in_gates\[127\]_A +*7385 ANTENNA_user_to_mprj_in_gates\[12\]_A +*7386 ANTENNA_user_to_mprj_in_gates\[12\]_B +*7387 ANTENNA_user_to_mprj_in_gates\[13\]_A +*7388 ANTENNA_user_to_mprj_in_gates\[14\]_A +*7389 ANTENNA_user_to_mprj_in_gates\[15\]_A +*7390 ANTENNA_user_to_mprj_in_gates\[16\]_A +*7391 ANTENNA_user_to_mprj_in_gates\[17\]_A +*7392 ANTENNA_user_to_mprj_in_gates\[18\]_A +*7393 ANTENNA_user_to_mprj_in_gates\[19\]_A +*7394 ANTENNA_user_to_mprj_in_gates\[1\]_A +*7395 ANTENNA_user_to_mprj_in_gates\[20\]_A +*7396 ANTENNA_user_to_mprj_in_gates\[21\]_A +*7397 ANTENNA_user_to_mprj_in_gates\[22\]_A +*7398 ANTENNA_user_to_mprj_in_gates\[23\]_A +*7399 ANTENNA_user_to_mprj_in_gates\[24\]_A +*7400 ANTENNA_user_to_mprj_in_gates\[25\]_A +*7401 ANTENNA_user_to_mprj_in_gates\[26\]_A +*7402 ANTENNA_user_to_mprj_in_gates\[27\]_A +*7403 ANTENNA_user_to_mprj_in_gates\[28\]_A +*7404 ANTENNA_user_to_mprj_in_gates\[29\]_A +*7405 ANTENNA_user_to_mprj_in_gates\[2\]_A +*7406 ANTENNA_user_to_mprj_in_gates\[30\]_A +*7407 ANTENNA_user_to_mprj_in_gates\[31\]_A +*7408 ANTENNA_user_to_mprj_in_gates\[32\]_A +*7409 ANTENNA_user_to_mprj_in_gates\[33\]_A +*7410 ANTENNA_user_to_mprj_in_gates\[34\]_A +*7411 ANTENNA_user_to_mprj_in_gates\[35\]_A +*7412 ANTENNA_user_to_mprj_in_gates\[36\]_A +*7413 ANTENNA_user_to_mprj_in_gates\[37\]_A +*7414 ANTENNA_user_to_mprj_in_gates\[38\]_A +*7415 ANTENNA_user_to_mprj_in_gates\[39\]_A +*7416 ANTENNA_user_to_mprj_in_gates\[3\]_A +*7417 ANTENNA_user_to_mprj_in_gates\[40\]_A +*7418 ANTENNA_user_to_mprj_in_gates\[41\]_A +*7419 ANTENNA_user_to_mprj_in_gates\[42\]_A +*7420 ANTENNA_user_to_mprj_in_gates\[43\]_A +*7421 ANTENNA_user_to_mprj_in_gates\[44\]_A +*7422 ANTENNA_user_to_mprj_in_gates\[45\]_A +*7423 ANTENNA_user_to_mprj_in_gates\[46\]_A +*7424 ANTENNA_user_to_mprj_in_gates\[47\]_A +*7425 ANTENNA_user_to_mprj_in_gates\[48\]_A +*7426 ANTENNA_user_to_mprj_in_gates\[49\]_A +*7427 ANTENNA_user_to_mprj_in_gates\[4\]_A +*7428 ANTENNA_user_to_mprj_in_gates\[50\]_A +*7429 ANTENNA_user_to_mprj_in_gates\[51\]_A +*7430 ANTENNA_user_to_mprj_in_gates\[52\]_A +*7431 ANTENNA_user_to_mprj_in_gates\[53\]_A +*7432 ANTENNA_user_to_mprj_in_gates\[54\]_A +*7433 ANTENNA_user_to_mprj_in_gates\[55\]_A +*7434 ANTENNA_user_to_mprj_in_gates\[55\]_B +*7435 ANTENNA_user_to_mprj_in_gates\[56\]_A +*7436 ANTENNA_user_to_mprj_in_gates\[56\]_B +*7437 ANTENNA_user_to_mprj_in_gates\[57\]_A +*7438 ANTENNA_user_to_mprj_in_gates\[57\]_B +*7439 ANTENNA_user_to_mprj_in_gates\[58\]_A +*7440 ANTENNA_user_to_mprj_in_gates\[58\]_B +*7441 ANTENNA_user_to_mprj_in_gates\[59\]_A +*7442 ANTENNA_user_to_mprj_in_gates\[59\]_B +*7443 ANTENNA_user_to_mprj_in_gates\[5\]_A +*7444 ANTENNA_user_to_mprj_in_gates\[60\]_A +*7445 ANTENNA_user_to_mprj_in_gates\[60\]_B +*7446 ANTENNA_user_to_mprj_in_gates\[61\]_A +*7447 ANTENNA_user_to_mprj_in_gates\[62\]_A +*7448 ANTENNA_user_to_mprj_in_gates\[63\]_A +*7449 ANTENNA_user_to_mprj_in_gates\[64\]_A +*7450 ANTENNA_user_to_mprj_in_gates\[65\]_A +*7451 ANTENNA_user_to_mprj_in_gates\[66\]_A +*7452 ANTENNA_user_to_mprj_in_gates\[67\]_A +*7453 ANTENNA_user_to_mprj_in_gates\[68\]_A +*7454 ANTENNA_user_to_mprj_in_gates\[69\]_A +*7455 ANTENNA_user_to_mprj_in_gates\[6\]_A +*7456 ANTENNA_user_to_mprj_in_gates\[70\]_A +*7457 ANTENNA_user_to_mprj_in_gates\[71\]_A +*7458 ANTENNA_user_to_mprj_in_gates\[71\]_B +*7459 ANTENNA_user_to_mprj_in_gates\[72\]_A +*7460 ANTENNA_user_to_mprj_in_gates\[73\]_A +*7461 ANTENNA_user_to_mprj_in_gates\[74\]_A +*7462 ANTENNA_user_to_mprj_in_gates\[75\]_A +*7463 ANTENNA_user_to_mprj_in_gates\[75\]_B +*7464 ANTENNA_user_to_mprj_in_gates\[76\]_A +*7465 ANTENNA_user_to_mprj_in_gates\[77\]_A +*7466 ANTENNA_user_to_mprj_in_gates\[78\]_A +*7467 ANTENNA_user_to_mprj_in_gates\[79\]_A +*7468 ANTENNA_user_to_mprj_in_gates\[7\]_A +*7469 ANTENNA_user_to_mprj_in_gates\[80\]_A +*7470 ANTENNA_user_to_mprj_in_gates\[81\]_A +*7471 ANTENNA_user_to_mprj_in_gates\[82\]_A +*7472 ANTENNA_user_to_mprj_in_gates\[83\]_A +*7473 ANTENNA_user_to_mprj_in_gates\[84\]_A +*7474 ANTENNA_user_to_mprj_in_gates\[84\]_B +*7475 ANTENNA_user_to_mprj_in_gates\[85\]_A +*7476 ANTENNA_user_to_mprj_in_gates\[86\]_A +*7477 ANTENNA_user_to_mprj_in_gates\[87\]_A +*7478 ANTENNA_user_to_mprj_in_gates\[88\]_A +*7479 ANTENNA_user_to_mprj_in_gates\[88\]_B +*7480 ANTENNA_user_to_mprj_in_gates\[89\]_A +*7481 ANTENNA_user_to_mprj_in_gates\[8\]_A +*7482 ANTENNA_user_to_mprj_in_gates\[90\]_A +*7483 ANTENNA_user_to_mprj_in_gates\[91\]_A +*7484 ANTENNA_user_to_mprj_in_gates\[92\]_A +*7485 ANTENNA_user_to_mprj_in_gates\[93\]_A +*7486 ANTENNA_user_to_mprj_in_gates\[93\]_B +*7487 ANTENNA_user_to_mprj_in_gates\[94\]_A +*7488 ANTENNA_user_to_mprj_in_gates\[95\]_A +*7489 ANTENNA_user_to_mprj_in_gates\[96\]_A +*7490 ANTENNA_user_to_mprj_in_gates\[97\]_A +*7491 ANTENNA_user_to_mprj_in_gates\[98\]_A +*7492 ANTENNA_user_to_mprj_in_gates\[99\]_A +*7493 ANTENNA_user_to_mprj_in_gates\[9\]_A +*7494 ANTENNA_user_wb_ack_gate_A +*7495 ANTENNA_user_wb_ack_gate_B +*7496 ANTENNA_user_wb_dat_gates\[0\]_A +*7497 ANTENNA_user_wb_dat_gates\[0\]_B +*7498 ANTENNA_user_wb_dat_gates\[10\]_A +*7499 ANTENNA_user_wb_dat_gates\[10\]_B +*7500 ANTENNA_user_wb_dat_gates\[11\]_A +*7501 ANTENNA_user_wb_dat_gates\[11\]_B +*7502 ANTENNA_user_wb_dat_gates\[12\]_A +*7503 ANTENNA_user_wb_dat_gates\[12\]_B +*7504 ANTENNA_user_wb_dat_gates\[13\]_A +*7505 ANTENNA_user_wb_dat_gates\[13\]_B +*7506 ANTENNA_user_wb_dat_gates\[14\]_A +*7507 ANTENNA_user_wb_dat_gates\[14\]_B +*7508 ANTENNA_user_wb_dat_gates\[15\]_A +*7509 ANTENNA_user_wb_dat_gates\[15\]_B +*7510 ANTENNA_user_wb_dat_gates\[16\]_A +*7511 ANTENNA_user_wb_dat_gates\[16\]_B +*7512 ANTENNA_user_wb_dat_gates\[17\]_A +*7513 ANTENNA_user_wb_dat_gates\[17\]_B +*7514 ANTENNA_user_wb_dat_gates\[18\]_A +*7515 ANTENNA_user_wb_dat_gates\[18\]_B +*7516 ANTENNA_user_wb_dat_gates\[19\]_A +*7517 ANTENNA_user_wb_dat_gates\[19\]_B +*7518 ANTENNA_user_wb_dat_gates\[1\]_A +*7519 ANTENNA_user_wb_dat_gates\[1\]_B +*7520 ANTENNA_user_wb_dat_gates\[20\]_A +*7521 ANTENNA_user_wb_dat_gates\[20\]_B +*7522 ANTENNA_user_wb_dat_gates\[21\]_A +*7523 ANTENNA_user_wb_dat_gates\[21\]_B +*7524 ANTENNA_user_wb_dat_gates\[22\]_A +*7525 ANTENNA_user_wb_dat_gates\[22\]_B +*7526 ANTENNA_user_wb_dat_gates\[23\]_A +*7527 ANTENNA_user_wb_dat_gates\[23\]_B +*7528 ANTENNA_user_wb_dat_gates\[24\]_A +*7529 ANTENNA_user_wb_dat_gates\[24\]_B +*7530 ANTENNA_user_wb_dat_gates\[25\]_A +*7531 ANTENNA_user_wb_dat_gates\[25\]_B +*7532 ANTENNA_user_wb_dat_gates\[26\]_A +*7533 ANTENNA_user_wb_dat_gates\[26\]_B +*7534 ANTENNA_user_wb_dat_gates\[27\]_A +*7535 ANTENNA_user_wb_dat_gates\[27\]_B +*7536 ANTENNA_user_wb_dat_gates\[28\]_A +*7537 ANTENNA_user_wb_dat_gates\[28\]_B +*7538 ANTENNA_user_wb_dat_gates\[29\]_A +*7539 ANTENNA_user_wb_dat_gates\[29\]_B +*7540 ANTENNA_user_wb_dat_gates\[2\]_A +*7541 ANTENNA_user_wb_dat_gates\[2\]_B +*7542 ANTENNA_user_wb_dat_gates\[30\]_A +*7543 ANTENNA_user_wb_dat_gates\[30\]_B +*7544 ANTENNA_user_wb_dat_gates\[31\]_A +*7545 ANTENNA_user_wb_dat_gates\[31\]_B +*7546 ANTENNA_user_wb_dat_gates\[3\]_A +*7547 ANTENNA_user_wb_dat_gates\[3\]_B +*7548 ANTENNA_user_wb_dat_gates\[4\]_A +*7549 ANTENNA_user_wb_dat_gates\[4\]_B +*7550 ANTENNA_user_wb_dat_gates\[5\]_A +*7551 ANTENNA_user_wb_dat_gates\[5\]_B +*7552 ANTENNA_user_wb_dat_gates\[6\]_A +*7553 ANTENNA_user_wb_dat_gates\[6\]_B +*7554 ANTENNA_user_wb_dat_gates\[7\]_A +*7555 ANTENNA_user_wb_dat_gates\[7\]_B +*7556 ANTENNA_user_wb_dat_gates\[8\]_A +*7557 ANTENNA_user_wb_dat_gates\[8\]_B +*7558 ANTENNA_user_wb_dat_gates\[9\]_A +*7559 ANTENNA_user_wb_dat_gates\[9\]_B +*7560 ANTENNA_wire1002_A +*7561 ANTENNA_wire1003_A +*7562 ANTENNA_wire1007_A +*7563 ANTENNA_wire1009_A +*7564 ANTENNA_wire1011_A +*7565 ANTENNA_wire1013_A +*7566 ANTENNA_wire1014_A +*7567 ANTENNA_wire1015_A +*7568 ANTENNA_wire1016_A +*7569 ANTENNA_wire1017_A +*7570 ANTENNA_wire1018_A +*7571 ANTENNA_wire1019_A +*7572 ANTENNA_wire1021_A +*7573 ANTENNA_wire1022_A +*7574 ANTENNA_wire1024_A +*7575 ANTENNA_wire1025_A +*7576 ANTENNA_wire1026_A +*7577 ANTENNA_wire1028_A +*7578 ANTENNA_wire1029_A +*7579 ANTENNA_wire1030_A +*7580 ANTENNA_wire1031_A +*7581 ANTENNA_wire1032_A +*7582 ANTENNA_wire1033_A +*7583 ANTENNA_wire1034_A +*7584 ANTENNA_wire1035_A +*7585 ANTENNA_wire1036_A +*7586 ANTENNA_wire1037_A +*7587 ANTENNA_wire1039_A +*7588 ANTENNA_wire1040_A +*7589 ANTENNA_wire1042_A +*7590 ANTENNA_wire1044_A +*7591 ANTENNA_wire1045_A +*7592 ANTENNA_wire1046_A +*7593 ANTENNA_wire1047_A +*7594 ANTENNA_wire1049_A +*7595 ANTENNA_wire1050_A +*7596 ANTENNA_wire1051_A +*7597 ANTENNA_wire1052_A +*7598 ANTENNA_wire1053_A +*7599 ANTENNA_wire1054_A +*7600 ANTENNA_wire1055_A +*7601 ANTENNA_wire1056_A +*7602 ANTENNA_wire1057_A +*7603 ANTENNA_wire1058_A +*7604 ANTENNA_wire1059_A +*7605 ANTENNA_wire1060_A +*7606 ANTENNA_wire1061_A +*7607 ANTENNA_wire1062_A +*7608 ANTENNA_wire1063_A +*7609 ANTENNA_wire1064_A +*7610 ANTENNA_wire1065_A +*7611 ANTENNA_wire1067_A +*7612 ANTENNA_wire1068_A +*7613 ANTENNA_wire1069_A +*7614 ANTENNA_wire1070_A +*7615 ANTENNA_wire1071_A +*7616 ANTENNA_wire1073_A +*7617 ANTENNA_wire1074_A +*7618 ANTENNA_wire1075_A +*7619 ANTENNA_wire1077_A +*7620 ANTENNA_wire1078_A +*7621 ANTENNA_wire1081_A +*7622 ANTENNA_wire1083_A +*7623 ANTENNA_wire1084_A +*7624 ANTENNA_wire1086_A +*7625 ANTENNA_wire1087_A +*7626 ANTENNA_wire1092_A +*7627 ANTENNA_wire1099_A +*7628 ANTENNA_wire1102_A +*7629 ANTENNA_wire1103_A +*7630 ANTENNA_wire1105_A +*7631 ANTENNA_wire1106_A +*7632 ANTENNA_wire1107_A +*7633 ANTENNA_wire1108_A +*7634 ANTENNA_wire1111_A +*7635 ANTENNA_wire1112_A +*7636 ANTENNA_wire1113_A +*7637 ANTENNA_wire1115_A +*7638 ANTENNA_wire1116_A +*7639 ANTENNA_wire1117_A +*7640 ANTENNA_wire1118_A +*7641 ANTENNA_wire1120_A +*7642 ANTENNA_wire1121_A +*7643 ANTENNA_wire1122_A +*7644 ANTENNA_wire1124_A +*7645 ANTENNA_wire1126_A +*7646 ANTENNA_wire1128_A +*7647 ANTENNA_wire1129_A +*7648 ANTENNA_wire1131_A +*7649 ANTENNA_wire1133_A +*7650 ANTENNA_wire1135_A +*7651 ANTENNA_wire1138_A +*7652 ANTENNA_wire1141_A +*7653 ANTENNA_wire1142_A +*7654 ANTENNA_wire1143_A +*7655 ANTENNA_wire1144_A +*7656 ANTENNA_wire1145_A +*7657 ANTENNA_wire1146_A +*7658 ANTENNA_wire1148_A +*7659 ANTENNA_wire1149_A +*7660 ANTENNA_wire1150_A +*7661 ANTENNA_wire1151_A +*7662 ANTENNA_wire1152_A +*7663 ANTENNA_wire1153_A +*7664 ANTENNA_wire1154_A +*7665 ANTENNA_wire1156_A +*7666 ANTENNA_wire1157_A +*7667 ANTENNA_wire1158_A +*7668 ANTENNA_wire1159_A +*7669 ANTENNA_wire1160_A +*7670 ANTENNA_wire1161_A +*7671 ANTENNA_wire1162_A +*7672 ANTENNA_wire1163_A +*7673 ANTENNA_wire1164_A +*7674 ANTENNA_wire1165_A +*7675 ANTENNA_wire1166_A +*7676 ANTENNA_wire1167_A +*7677 ANTENNA_wire1168_A +*7678 ANTENNA_wire1169_A +*7679 ANTENNA_wire1170_A +*7680 ANTENNA_wire1171_A +*7681 ANTENNA_wire1172_A +*7682 ANTENNA_wire1173_A +*7683 ANTENNA_wire1174_A +*7684 ANTENNA_wire1175_A +*7685 ANTENNA_wire1177_A +*7686 ANTENNA_wire1178_A +*7687 ANTENNA_wire1180_A +*7688 ANTENNA_wire1181_A +*7689 ANTENNA_wire1182_A +*7690 ANTENNA_wire1183_A +*7691 ANTENNA_wire1184_A +*7692 ANTENNA_wire1186_A +*7693 ANTENNA_wire1187_A +*7694 ANTENNA_wire1188_A +*7695 ANTENNA_wire1189_A +*7696 ANTENNA_wire1190_A +*7697 ANTENNA_wire1191_A +*7698 ANTENNA_wire1192_A +*7699 ANTENNA_wire1193_A +*7700 ANTENNA_wire1194_A +*7701 ANTENNA_wire1195_A +*7702 ANTENNA_wire1196_A +*7703 ANTENNA_wire1197_A +*7704 ANTENNA_wire1198_A +*7705 ANTENNA_wire1199_A +*7706 ANTENNA_wire1200_A +*7707 ANTENNA_wire1201_A +*7708 ANTENNA_wire1202_A +*7709 ANTENNA_wire1204_A +*7710 ANTENNA_wire1205_A +*7711 ANTENNA_wire1206_A +*7712 ANTENNA_wire1208_A +*7713 ANTENNA_wire1209_A +*7714 ANTENNA_wire1210_A +*7715 ANTENNA_wire1211_A +*7716 ANTENNA_wire1212_A +*7717 ANTENNA_wire1213_A +*7718 ANTENNA_wire1214_A +*7719 ANTENNA_wire1215_A +*7720 ANTENNA_wire1216_A +*7721 ANTENNA_wire1217_A +*7722 ANTENNA_wire1218_A +*7723 ANTENNA_wire1219_A +*7724 ANTENNA_wire1221_A +*7725 ANTENNA_wire1222_A +*7726 ANTENNA_wire1223_A +*7727 ANTENNA_wire1224_A +*7728 ANTENNA_wire1225_A +*7729 ANTENNA_wire1226_A +*7730 ANTENNA_wire1228_A +*7731 ANTENNA_wire1229_A +*7732 ANTENNA_wire1230_A +*7733 ANTENNA_wire1232_A +*7734 ANTENNA_wire1233_A +*7735 ANTENNA_wire1234_A +*7736 ANTENNA_wire1235_A +*7737 ANTENNA_wire1236_A +*7738 ANTENNA_wire1237_A +*7739 ANTENNA_wire1238_A +*7740 ANTENNA_wire1239_A +*7741 ANTENNA_wire1240_A +*7742 ANTENNA_wire1241_A +*7743 ANTENNA_wire1242_A +*7744 ANTENNA_wire1243_A +*7745 ANTENNA_wire1244_A +*7746 ANTENNA_wire1245_A +*7747 ANTENNA_wire1246_A +*7748 ANTENNA_wire1247_A +*7749 ANTENNA_wire1249_A +*7750 ANTENNA_wire1251_A +*7751 ANTENNA_wire1252_A +*7752 ANTENNA_wire1253_A +*7753 ANTENNA_wire1254_A +*7754 ANTENNA_wire1255_A +*7755 ANTENNA_wire1257_A +*7756 ANTENNA_wire1258_A +*7757 ANTENNA_wire1260_A +*7758 ANTENNA_wire1261_A +*7759 ANTENNA_wire1262_A +*7760 ANTENNA_wire1263_A +*7761 ANTENNA_wire1264_A +*7762 ANTENNA_wire1267_A +*7763 ANTENNA_wire1268_A +*7764 ANTENNA_wire1270_A +*7765 ANTENNA_wire1271_A +*7766 ANTENNA_wire1272_A +*7767 ANTENNA_wire1273_A +*7768 ANTENNA_wire1274_A +*7769 ANTENNA_wire1275_A +*7770 ANTENNA_wire1276_A +*7771 ANTENNA_wire1277_A +*7772 ANTENNA_wire1278_A +*7773 ANTENNA_wire1279_A +*7774 ANTENNA_wire1281_A +*7775 ANTENNA_wire1282_A +*7776 ANTENNA_wire1284_A +*7777 ANTENNA_wire1285_A +*7778 ANTENNA_wire1287_A +*7779 ANTENNA_wire1289_A +*7780 ANTENNA_wire1290_A +*7781 ANTENNA_wire1292_A +*7782 ANTENNA_wire1293_A +*7783 ANTENNA_wire1294_A +*7784 ANTENNA_wire1295_A +*7785 ANTENNA_wire1296_A +*7786 ANTENNA_wire1297_A +*7787 ANTENNA_wire1298_A +*7788 ANTENNA_wire1299_A +*7789 ANTENNA_wire1301_A +*7790 ANTENNA_wire1302_A +*7791 ANTENNA_wire1303_A +*7792 ANTENNA_wire1304_A +*7793 ANTENNA_wire1306_A +*7794 ANTENNA_wire1307_A +*7795 ANTENNA_wire1308_A +*7796 ANTENNA_wire1309_A +*7797 ANTENNA_wire1311_A +*7798 ANTENNA_wire1312_A +*7799 ANTENNA_wire1313_A +*7800 ANTENNA_wire1315_A +*7801 ANTENNA_wire1316_A +*7802 ANTENNA_wire1317_A +*7803 ANTENNA_wire1318_A +*7804 ANTENNA_wire1319_A +*7805 ANTENNA_wire1320_A +*7806 ANTENNA_wire1321_A +*7807 ANTENNA_wire1322_A +*7808 ANTENNA_wire1323_A +*7809 ANTENNA_wire1324_A +*7810 ANTENNA_wire1326_A +*7811 ANTENNA_wire1329_A +*7812 ANTENNA_wire1330_A +*7813 ANTENNA_wire1331_A +*7814 ANTENNA_wire1333_A +*7815 ANTENNA_wire1337_A +*7816 ANTENNA_wire1338_A +*7817 ANTENNA_wire1340_A +*7818 ANTENNA_wire1341_A +*7819 ANTENNA_wire1342_A +*7820 ANTENNA_wire1343_A +*7821 ANTENNA_wire1344_A +*7822 ANTENNA_wire1346_A +*7823 ANTENNA_wire1347_A +*7824 ANTENNA_wire1348_A +*7825 ANTENNA_wire1349_A +*7826 ANTENNA_wire1350_A +*7827 ANTENNA_wire1351_A +*7828 ANTENNA_wire1353_A +*7829 ANTENNA_wire1354_A +*7830 ANTENNA_wire1355_A +*7831 ANTENNA_wire1359_A +*7832 ANTENNA_wire1362_A +*7833 ANTENNA_wire1364_A +*7834 ANTENNA_wire1365_A +*7835 ANTENNA_wire1366_A +*7836 ANTENNA_wire1367_A +*7837 ANTENNA_wire1368_A +*7838 ANTENNA_wire1369_A +*7839 ANTENNA_wire1372_A +*7840 ANTENNA_wire1374_A +*7841 ANTENNA_wire1375_A +*7842 ANTENNA_wire1379_A +*7843 ANTENNA_wire1381_A +*7844 ANTENNA_wire1382_A +*7845 ANTENNA_wire1383_A +*7846 ANTENNA_wire1385_A +*7847 ANTENNA_wire1386_A +*7848 ANTENNA_wire1387_A +*7849 ANTENNA_wire1388_A +*7850 ANTENNA_wire1389_A +*7851 ANTENNA_wire1390_A +*7852 ANTENNA_wire1391_A +*7853 ANTENNA_wire1392_A +*7854 ANTENNA_wire1393_A +*7855 ANTENNA_wire1394_A +*7856 ANTENNA_wire1395_A +*7857 ANTENNA_wire1397_A +*7858 ANTENNA_wire1399_A +*7859 ANTENNA_wire1401_A +*7860 ANTENNA_wire1403_A +*7861 ANTENNA_wire1404_A +*7862 ANTENNA_wire1405_A +*7863 ANTENNA_wire1406_A +*7864 ANTENNA_wire1410_A +*7865 ANTENNA_wire1413_A +*7866 ANTENNA_wire1414_A +*7867 ANTENNA_wire1415_A +*7868 ANTENNA_wire1417_A +*7869 ANTENNA_wire1418_A +*7870 ANTENNA_wire1419_A +*7871 ANTENNA_wire1421_A +*7872 ANTENNA_wire1422_A +*7873 ANTENNA_wire1423_A +*7874 ANTENNA_wire1424_A +*7875 ANTENNA_wire1426_A +*7876 ANTENNA_wire1427_A +*7877 ANTENNA_wire1428_A +*7878 ANTENNA_wire1429_A +*7879 ANTENNA_wire1430_A +*7880 ANTENNA_wire1431_A +*7881 ANTENNA_wire1432_A +*7882 ANTENNA_wire1433_A +*7883 ANTENNA_wire1435_A +*7884 ANTENNA_wire1436_A +*7885 ANTENNA_wire1438_A +*7886 ANTENNA_wire1439_A +*7887 ANTENNA_wire1440_A +*7888 ANTENNA_wire1444_A +*7889 ANTENNA_wire1446_A +*7890 ANTENNA_wire1447_A +*7891 ANTENNA_wire1448_A +*7892 ANTENNA_wire1449_A +*7893 ANTENNA_wire1450_A +*7894 ANTENNA_wire1451_A +*7895 ANTENNA_wire1452_A +*7896 ANTENNA_wire1453_A +*7897 ANTENNA_wire1454_A +*7898 ANTENNA_wire1456_A +*7899 ANTENNA_wire1458_A +*7900 ANTENNA_wire1459_A +*7901 ANTENNA_wire1460_A +*7902 ANTENNA_wire1461_A +*7903 ANTENNA_wire1462_A +*7904 ANTENNA_wire1463_A +*7905 ANTENNA_wire1464_A +*7906 ANTENNA_wire1465_A +*7907 ANTENNA_wire1466_A +*7908 ANTENNA_wire1467_A +*7909 ANTENNA_wire1469_A +*7910 ANTENNA_wire1470_A +*7911 ANTENNA_wire1471_A +*7912 ANTENNA_wire1472_A +*7913 ANTENNA_wire1473_A +*7914 ANTENNA_wire1476_A +*7915 ANTENNA_wire1477_A +*7916 ANTENNA_wire1480_A +*7917 ANTENNA_wire1481_A +*7918 ANTENNA_wire1482_A +*7919 ANTENNA_wire1483_A +*7920 ANTENNA_wire1484_A +*7921 ANTENNA_wire1488_A +*7922 ANTENNA_wire1489_A +*7923 ANTENNA_wire1490_A +*7924 ANTENNA_wire1492_A +*7925 ANTENNA_wire1493_A +*7926 ANTENNA_wire1495_A +*7927 ANTENNA_wire1496_A +*7928 ANTENNA_wire1497_A +*7929 ANTENNA_wire1498_A +*7930 ANTENNA_wire1499_A +*7931 ANTENNA_wire1500_A +*7932 ANTENNA_wire1501_A +*7933 ANTENNA_wire1503_A +*7934 ANTENNA_wire1504_A +*7935 ANTENNA_wire1505_A +*7936 ANTENNA_wire1508_A +*7937 ANTENNA_wire1509_A +*7938 ANTENNA_wire1510_A +*7939 ANTENNA_wire1511_A +*7940 ANTENNA_wire1512_A +*7941 ANTENNA_wire1513_A +*7942 ANTENNA_wire1514_A +*7943 ANTENNA_wire1515_A +*7944 ANTENNA_wire1516_A +*7945 ANTENNA_wire1518_A +*7946 ANTENNA_wire1519_A +*7947 ANTENNA_wire1520_A +*7948 ANTENNA_wire1521_A +*7949 ANTENNA_wire1522_A +*7950 ANTENNA_wire1523_A +*7951 ANTENNA_wire1524_A +*7952 ANTENNA_wire1525_A +*7953 ANTENNA_wire1526_A +*7954 ANTENNA_wire1528_A +*7955 ANTENNA_wire1530_A +*7956 ANTENNA_wire1533_A +*7957 ANTENNA_wire1535_A +*7958 ANTENNA_wire1536_A +*7959 ANTENNA_wire1540_A +*7960 ANTENNA_wire1543_A +*7961 ANTENNA_wire1544_A +*7962 ANTENNA_wire1546_A +*7963 ANTENNA_wire1548_A +*7964 ANTENNA_wire1549_A +*7965 ANTENNA_wire1550_A +*7966 ANTENNA_wire1551_A +*7967 ANTENNA_wire1552_A +*7968 ANTENNA_wire1553_A +*7969 ANTENNA_wire1555_A +*7970 ANTENNA_wire1556_A +*7971 ANTENNA_wire1558_A +*7972 ANTENNA_wire1559_A +*7973 ANTENNA_wire1560_A +*7974 ANTENNA_wire1561_A +*7975 ANTENNA_wire1562_A +*7976 ANTENNA_wire1563_A +*7977 ANTENNA_wire1564_A +*7978 ANTENNA_wire1565_A +*7979 ANTENNA_wire1567_A +*7980 ANTENNA_wire1568_A +*7981 ANTENNA_wire1569_A +*7982 ANTENNA_wire1570_A +*7983 ANTENNA_wire1572_A +*7984 ANTENNA_wire1573_A +*7985 ANTENNA_wire1575_A +*7986 ANTENNA_wire1576_A +*7987 ANTENNA_wire1577_A +*7988 ANTENNA_wire1578_A +*7989 ANTENNA_wire1580_A +*7990 ANTENNA_wire1581_A +*7991 ANTENNA_wire1582_A +*7992 ANTENNA_wire1583_A +*7993 ANTENNA_wire1585_A +*7994 ANTENNA_wire1586_A +*7995 ANTENNA_wire1588_A +*7996 ANTENNA_wire1589_A +*7997 ANTENNA_wire1590_A +*7998 ANTENNA_wire1591_A +*7999 ANTENNA_wire1592_A +*8000 ANTENNA_wire1593_A +*8001 ANTENNA_wire1594_A +*8002 ANTENNA_wire1595_A +*8003 ANTENNA_wire1597_A +*8004 ANTENNA_wire1598_A +*8005 ANTENNA_wire1599_A +*8006 ANTENNA_wire1600_A +*8007 ANTENNA_wire1601_A +*8008 ANTENNA_wire1602_A +*8009 ANTENNA_wire1603_A +*8010 ANTENNA_wire1604_A +*8011 ANTENNA_wire1605_A +*8012 ANTENNA_wire1606_A +*8013 ANTENNA_wire1607_A +*8014 ANTENNA_wire1609_A +*8015 ANTENNA_wire1610_A +*8016 ANTENNA_wire1611_A +*8017 ANTENNA_wire1612_A +*8018 ANTENNA_wire1613_A +*8019 ANTENNA_wire1615_A +*8020 ANTENNA_wire1616_A +*8021 ANTENNA_wire1617_A +*8022 ANTENNA_wire1618_A +*8023 ANTENNA_wire1619_A +*8024 ANTENNA_wire1620_A +*8025 ANTENNA_wire1621_A +*8026 ANTENNA_wire1623_A +*8027 ANTENNA_wire1625_A +*8028 ANTENNA_wire1626_A +*8029 ANTENNA_wire1627_A +*8030 ANTENNA_wire1628_A +*8031 ANTENNA_wire1633_A +*8032 ANTENNA_wire1634_A +*8033 ANTENNA_wire1635_A +*8034 ANTENNA_wire1639_A +*8035 ANTENNA_wire1642_A +*8036 ANTENNA_wire1644_A +*8037 ANTENNA_wire1651_A +*8038 ANTENNA_wire1652_A +*8039 ANTENNA_wire1653_A +*8040 ANTENNA_wire1654_A +*8041 ANTENNA_wire1656_A +*8042 ANTENNA_wire1657_A +*8043 ANTENNA_wire1658_A +*8044 ANTENNA_wire1659_A +*8045 ANTENNA_wire1660_A +*8046 ANTENNA_wire1661_A +*8047 ANTENNA_wire1662_A +*8048 ANTENNA_wire1663_A +*8049 ANTENNA_wire1665_A +*8050 ANTENNA_wire1667_A +*8051 ANTENNA_wire1668_A +*8052 ANTENNA_wire1669_A +*8053 ANTENNA_wire1670_A +*8054 ANTENNA_wire1673_A +*8055 ANTENNA_wire1674_A +*8056 ANTENNA_wire1676_A +*8057 ANTENNA_wire1679_A +*8058 ANTENNA_wire1680_A +*8059 ANTENNA_wire1682_A +*8060 ANTENNA_wire1683_A +*8061 ANTENNA_wire1685_A +*8062 ANTENNA_wire1687_A +*8063 ANTENNA_wire1688_A +*8064 ANTENNA_wire1689_A +*8065 ANTENNA_wire1693_A +*8066 ANTENNA_wire1694_A +*8067 ANTENNA_wire1695_A +*8068 ANTENNA_wire1697_A +*8069 ANTENNA_wire1698_A +*8070 ANTENNA_wire1700_A +*8071 ANTENNA_wire1701_A +*8072 ANTENNA_wire1703_A +*8073 ANTENNA_wire1704_A +*8074 ANTENNA_wire1705_A +*8075 ANTENNA_wire1706_A +*8076 ANTENNA_wire1708_A +*8077 ANTENNA_wire1709_A +*8078 ANTENNA_wire1710_A +*8079 ANTENNA_wire1711_A +*8080 ANTENNA_wire1712_A +*8081 ANTENNA_wire1714_A +*8082 ANTENNA_wire1715_A +*8083 ANTENNA_wire1716_A +*8084 ANTENNA_wire1717_A +*8085 ANTENNA_wire1718_A +*8086 ANTENNA_wire1719_A +*8087 ANTENNA_wire1720_A +*8088 ANTENNA_wire1721_A +*8089 ANTENNA_wire1722_A +*8090 ANTENNA_wire1723_A +*8091 ANTENNA_wire1724_A +*8092 ANTENNA_wire1726_A +*8093 ANTENNA_wire1727_A +*8094 ANTENNA_wire1728_A +*8095 ANTENNA_wire1729_A +*8096 ANTENNA_wire1730_A +*8097 ANTENNA_wire1731_A +*8098 ANTENNA_wire1732_A +*8099 ANTENNA_wire1733_A +*8100 ANTENNA_wire1734_A +*8101 ANTENNA_wire1735_A +*8102 ANTENNA_wire1736_A +*8103 ANTENNA_wire1737_A +*8104 ANTENNA_wire1738_A +*8105 ANTENNA_wire1739_A +*8106 ANTENNA_wire1740_A +*8107 ANTENNA_wire1741_A +*8108 ANTENNA_wire1742_A +*8109 ANTENNA_wire1744_A +*8110 ANTENNA_wire1745_A +*8111 ANTENNA_wire1746_A +*8112 ANTENNA_wire1747_A +*8113 ANTENNA_wire1748_A +*8114 ANTENNA_wire1749_A +*8115 ANTENNA_wire1750_A +*8116 ANTENNA_wire1751_A +*8117 ANTENNA_wire1752_A +*8118 ANTENNA_wire1753_A +*8119 ANTENNA_wire1754_A +*8120 ANTENNA_wire1755_A +*8121 ANTENNA_wire1757_A +*8122 ANTENNA_wire1758_A +*8123 ANTENNA_wire1759_A +*8124 ANTENNA_wire1760_A +*8125 ANTENNA_wire1761_A +*8126 ANTENNA_wire1762_A +*8127 ANTENNA_wire1763_A +*8128 ANTENNA_wire1764_A +*8129 ANTENNA_wire1766_A +*8130 ANTENNA_wire1767_A +*8131 ANTENNA_wire1768_A +*8132 ANTENNA_wire1769_A +*8133 ANTENNA_wire1771_A +*8134 ANTENNA_wire1772_A +*8135 ANTENNA_wire1773_A +*8136 ANTENNA_wire1775_A +*8137 ANTENNA_wire1776_A +*8138 ANTENNA_wire1778_A +*8139 ANTENNA_wire1779_A +*8140 ANTENNA_wire1780_A +*8141 ANTENNA_wire1781_A +*8142 ANTENNA_wire1782_A +*8143 ANTENNA_wire1783_A +*8144 ANTENNA_wire1784_A +*8145 ANTENNA_wire1785_A +*8146 ANTENNA_wire1786_A +*8147 ANTENNA_wire1787_A +*8148 ANTENNA_wire1788_A +*8149 ANTENNA_wire1789_A +*8150 ANTENNA_wire1790_A +*8151 ANTENNA_wire1791_A +*8152 ANTENNA_wire1792_A +*8153 ANTENNA_wire1793_A +*8154 ANTENNA_wire1794_A +*8155 ANTENNA_wire1795_A +*8156 ANTENNA_wire1796_A +*8157 ANTENNA_wire1797_A +*8158 ANTENNA_wire1798_A +*8159 ANTENNA_wire1799_A +*8160 ANTENNA_wire1800_A +*8161 ANTENNA_wire1801_A +*8162 ANTENNA_wire1802_A +*8163 ANTENNA_wire1803_A +*8164 ANTENNA_wire1804_A +*8165 ANTENNA_wire1805_A +*8166 ANTENNA_wire1806_A +*8167 ANTENNA_wire1807_A +*8168 ANTENNA_wire1808_A +*8169 ANTENNA_wire1809_A +*8170 ANTENNA_wire1810_A +*8171 ANTENNA_wire1812_A +*8172 ANTENNA_wire1813_A +*8173 ANTENNA_wire1815_A +*8174 ANTENNA_wire1816_A +*8175 ANTENNA_wire1817_A +*8176 ANTENNA_wire1818_A +*8177 ANTENNA_wire1819_A +*8178 ANTENNA_wire1820_A +*8179 ANTENNA_wire1822_A +*8180 ANTENNA_wire1824_A +*8181 ANTENNA_wire1826_A +*8182 ANTENNA_wire1827_A +*8183 ANTENNA_wire1828_A +*8184 ANTENNA_wire1829_A +*8185 ANTENNA_wire1830_A +*8186 ANTENNA_wire1831_A +*8187 ANTENNA_wire1833_A +*8188 ANTENNA_wire1835_A +*8189 ANTENNA_wire1836_A +*8190 ANTENNA_wire1838_A +*8191 ANTENNA_wire1839_A +*8192 ANTENNA_wire1840_A +*8193 ANTENNA_wire1841_A +*8194 ANTENNA_wire1842_A +*8195 ANTENNA_wire1843_A +*8196 ANTENNA_wire1844_A +*8197 ANTENNA_wire1845_A +*8198 ANTENNA_wire1846_A +*8199 ANTENNA_wire1847_A +*8200 ANTENNA_wire1848_A +*8201 ANTENNA_wire1849_A +*8202 ANTENNA_wire1850_A +*8203 ANTENNA_wire1851_A +*8204 ANTENNA_wire1852_A +*8205 ANTENNA_wire1853_A +*8206 ANTENNA_wire1854_A +*8207 ANTENNA_wire1855_A +*8208 ANTENNA_wire1856_A +*8209 ANTENNA_wire1857_A +*8210 ANTENNA_wire1858_A +*8211 ANTENNA_wire1859_A +*8212 ANTENNA_wire1861_A +*8213 ANTENNA_wire1863_A +*8214 ANTENNA_wire1864_A +*8215 ANTENNA_wire1865_A +*8216 ANTENNA_wire1866_A +*8217 ANTENNA_wire1867_A +*8218 ANTENNA_wire1868_A +*8219 ANTENNA_wire1869_A +*8220 ANTENNA_wire1870_A +*8221 ANTENNA_wire1871_A +*8222 ANTENNA_wire1872_A +*8223 ANTENNA_wire1873_A +*8224 ANTENNA_wire1875_A +*8225 ANTENNA_wire1877_A +*8226 ANTENNA_wire1880_A +*8227 ANTENNA_wire1882_A +*8228 ANTENNA_wire1883_A +*8229 ANTENNA_wire1887_A +*8230 ANTENNA_wire1892_A +*8231 ANTENNA_wire1896_A +*8232 ANTENNA_wire1897_A +*8233 ANTENNA_wire1900_A +*8234 ANTENNA_wire1903_A +*8235 ANTENNA_wire1904_A +*8236 ANTENNA_wire1905_A +*8237 ANTENNA_wire1908_A +*8238 ANTENNA_wire1909_A +*8239 ANTENNA_wire1911_A +*8240 ANTENNA_wire1912_A +*8241 ANTENNA_wire1913_A +*8242 ANTENNA_wire1914_A +*8243 ANTENNA_wire1915_A +*8244 ANTENNA_wire1919_A +*8245 ANTENNA_wire1920_A +*8246 ANTENNA_wire1921_A +*8247 ANTENNA_wire1924_A +*8248 ANTENNA_wire1930_A +*8249 ANTENNA_wire1931_A +*8250 ANTENNA_wire1932_A +*8251 ANTENNA_wire1933_A +*8252 ANTENNA_wire1934_A +*8253 ANTENNA_wire1935_A +*8254 ANTENNA_wire1936_A +*8255 ANTENNA_wire1940_A +*8256 ANTENNA_wire1942_A +*8257 ANTENNA_wire1943_A +*8258 ANTENNA_wire1945_A +*8259 ANTENNA_wire1947_A +*8260 ANTENNA_wire1953_A +*8261 ANTENNA_wire1959_A +*8262 ANTENNA_wire1962_A +*8263 ANTENNA_wire1963_A +*8264 ANTENNA_wire1965_A +*8265 ANTENNA_wire1967_A +*8266 ANTENNA_wire1968_A +*8267 ANTENNA_wire1969_A +*8268 ANTENNA_wire1971_A +*8269 ANTENNA_wire1972_A +*8270 ANTENNA_wire1975_A +*8271 ANTENNA_wire1976_A +*8272 ANTENNA_wire1977_A +*8273 ANTENNA_wire1978_A +*8274 ANTENNA_wire1979_A +*8275 ANTENNA_wire1981_A +*8276 ANTENNA_wire1982_A +*8277 ANTENNA_wire1983_A +*8278 ANTENNA_wire1985_A +*8279 ANTENNA_wire1987_A +*8280 ANTENNA_wire1988_A +*8281 ANTENNA_wire1989_A +*8282 ANTENNA_wire1993_A +*8283 ANTENNA_wire2010_A +*8284 ANTENNA_wire2011_A +*8285 ANTENNA_wire2012_A +*8286 ANTENNA_wire2013_A +*8287 ANTENNA_wire2017_A +*8288 ANTENNA_wire2018_A +*8289 ANTENNA_wire2019_A +*8290 ANTENNA_wire2020_A +*8291 ANTENNA_wire2022_A +*8292 ANTENNA_wire2024_A +*8293 ANTENNA_wire2025_A +*8294 ANTENNA_wire2027_A +*8295 ANTENNA_wire2029_A +*8296 ANTENNA_wire2030_A +*8297 ANTENNA_wire2031_A +*8298 ANTENNA_wire2032_A +*8299 ANTENNA_wire2033_A +*8300 ANTENNA_wire2034_A +*8301 ANTENNA_wire2036_A +*8302 ANTENNA_wire2037_A +*8303 ANTENNA_wire2038_A +*8304 ANTENNA_wire2039_A +*8305 ANTENNA_wire2040_A +*8306 ANTENNA_wire2041_A +*8307 ANTENNA_wire2043_A +*8308 ANTENNA_wire2044_A +*8309 ANTENNA_wire2046_A +*8310 ANTENNA_wire2047_A +*8311 ANTENNA_wire2048_A +*8312 ANTENNA_wire2049_A +*8313 ANTENNA_wire2052_A +*8314 ANTENNA_wire2053_A +*8315 ANTENNA_wire2054_A +*8316 ANTENNA_wire2055_A +*8317 ANTENNA_wire2057_A +*8318 ANTENNA_wire2058_A +*8319 ANTENNA_wire2059_A +*8320 ANTENNA_wire2060_A +*8321 ANTENNA_wire2061_A +*8322 ANTENNA_wire2062_A +*8323 ANTENNA_wire2063_A +*8324 ANTENNA_wire2064_A +*8325 ANTENNA_wire2066_A +*8326 ANTENNA_wire2067_A +*8327 ANTENNA_wire2068_A +*8328 ANTENNA_wire2070_A +*8329 ANTENNA_wire2071_A +*8330 ANTENNA_wire2072_A +*8331 ANTENNA_wire2073_A +*8332 ANTENNA_wire2075_A +*8333 ANTENNA_wire2076_A +*8334 ANTENNA_wire2078_A +*8335 ANTENNA_wire2079_A +*8336 ANTENNA_wire2080_A +*8337 ANTENNA_wire2081_A +*8338 ANTENNA_wire2082_A +*8339 ANTENNA_wire2084_A +*8340 ANTENNA_wire2085_A +*8341 ANTENNA_wire2086_A +*8342 ANTENNA_wire2087_A +*8343 ANTENNA_wire2088_A +*8344 ANTENNA_wire2089_A +*8345 ANTENNA_wire2090_A +*8346 ANTENNA_wire2091_A +*8347 ANTENNA_wire2092_A +*8348 ANTENNA_wire2093_A +*8349 ANTENNA_wire2094_A +*8350 ANTENNA_wire2096_A +*8351 ANTENNA_wire2097_A +*8352 ANTENNA_wire2098_A +*8353 ANTENNA_wire2099_A +*8354 ANTENNA_wire2100_A +*8355 ANTENNA_wire2101_A +*8356 ANTENNA_wire2103_A +*8357 ANTENNA_wire2104_A +*8358 ANTENNA_wire2106_A +*8359 ANTENNA_wire2107_A +*8360 ANTENNA_wire2109_A +*8361 ANTENNA_wire2110_A +*8362 ANTENNA_wire2111_A +*8363 ANTENNA_wire2112_A +*8364 ANTENNA_wire2113_A +*8365 ANTENNA_wire2115_A +*8366 ANTENNA_wire2116_A +*8367 ANTENNA_wire2117_A +*8368 ANTENNA_wire2119_A +*8369 ANTENNA_wire2120_A +*8370 ANTENNA_wire2121_A +*8371 ANTENNA_wire2122_A +*8372 ANTENNA_wire2123_A +*8373 ANTENNA_wire2125_A +*8374 ANTENNA_wire2126_A +*8375 ANTENNA_wire2127_A +*8376 ANTENNA_wire2129_A +*8377 ANTENNA_wire2130_A +*8378 ANTENNA_wire2131_A +*8379 ANTENNA_wire2133_A +*8380 ANTENNA_wire2134_A +*8381 ANTENNA_wire2135_A +*8382 ANTENNA_wire2137_A +*8383 ANTENNA_wire2138_A +*8384 ANTENNA_wire2139_A +*8385 ANTENNA_wire2140_A +*8386 ANTENNA_wire2141_A +*8387 ANTENNA_wire2142_A +*8388 ANTENNA_wire2143_A +*8389 ANTENNA_wire2144_A +*8390 ANTENNA_wire2145_A +*8391 ANTENNA_wire2146_A +*8392 ANTENNA_wire2148_A +*8393 ANTENNA_wire2149_A +*8394 ANTENNA_wire2150_A +*8395 ANTENNA_wire2151_A +*8396 ANTENNA_wire2152_A +*8397 ANTENNA_wire2153_A +*8398 ANTENNA_wire2154_A +*8399 ANTENNA_wire2155_A +*8400 ANTENNA_wire2156_A +*8401 ANTENNA_wire2157_A +*8402 ANTENNA_wire2158_A +*8403 ANTENNA_wire2159_A +*8404 ANTENNA_wire2160_A +*8405 ANTENNA_wire2161_A +*8406 ANTENNA_wire2162_A +*8407 ANTENNA_wire2163_A +*8408 ANTENNA_wire2164_A +*8409 ANTENNA_wire2165_A +*8410 ANTENNA_wire2166_A +*8411 ANTENNA_wire2167_A +*8412 ANTENNA_wire2168_A +*8413 ANTENNA_wire2169_A +*8414 ANTENNA_wire2170_A +*8415 ANTENNA_wire2172_A +*8416 ANTENNA_wire2173_A +*8417 ANTENNA_wire2174_A +*8418 ANTENNA_wire2175_A +*8419 ANTENNA_wire2176_A +*8420 ANTENNA_wire2177_A +*8421 ANTENNA_wire2178_A +*8422 ANTENNA_wire2180_A +*8423 ANTENNA_wire2181_A +*8424 ANTENNA_wire2182_A +*8425 ANTENNA_wire2183_A +*8426 ANTENNA_wire2185_A +*8427 ANTENNA_wire2186_A +*8428 ANTENNA_wire2188_A +*8429 ANTENNA_wire2189_A +*8430 ANTENNA_wire2190_A +*8431 ANTENNA_wire2191_A +*8432 ANTENNA_wire2193_A +*8433 ANTENNA_wire2194_A +*8434 ANTENNA_wire2195_A +*8435 ANTENNA_wire2196_A +*8436 ANTENNA_wire2198_A +*8437 ANTENNA_wire2200_A +*8438 ANTENNA_wire2201_A +*8439 ANTENNA_wire2202_A +*8440 ANTENNA_wire2203_A +*8441 ANTENNA_wire2204_A +*8442 ANTENNA_wire2206_A +*8443 ANTENNA_wire2207_A +*8444 ANTENNA_wire2208_A +*8445 ANTENNA_wire2210_A +*8446 ANTENNA_wire2211_A +*8447 ANTENNA_wire2212_A +*8448 ANTENNA_wire2214_A +*8449 ANTENNA_wire2215_A +*8450 ANTENNA_wire2216_A +*8451 ANTENNA_wire2217_A +*8452 ANTENNA_wire2218_A +*8453 ANTENNA_wire2219_A +*8454 ANTENNA_wire2220_A +*8455 ANTENNA_wire2221_A +*8456 ANTENNA_wire2222_A +*8457 ANTENNA_wire2223_A +*8458 ANTENNA_wire2225_A +*8459 ANTENNA_wire2226_A +*8460 ANTENNA_wire2227_A +*8461 ANTENNA_wire2229_A +*8462 ANTENNA_wire2230_A +*8463 ANTENNA_wire2231_A +*8464 ANTENNA_wire2232_A +*8465 ANTENNA_wire2233_A +*8466 ANTENNA_wire2234_A +*8467 ANTENNA_wire2235_A +*8468 ANTENNA_wire2236_A +*8469 ANTENNA_wire2237_A +*8470 ANTENNA_wire2238_A +*8471 ANTENNA_wire2239_A +*8472 ANTENNA_wire2240_A +*8473 ANTENNA_wire2241_A +*8474 ANTENNA_wire2242_A +*8475 ANTENNA_wire2243_A +*8476 ANTENNA_wire2244_A +*8477 ANTENNA_wire2245_A +*8478 ANTENNA_wire2246_A +*8479 ANTENNA_wire2247_A +*8480 ANTENNA_wire2248_A +*8481 ANTENNA_wire2249_A +*8482 ANTENNA_wire2250_A +*8483 ANTENNA_wire2251_A +*8484 ANTENNA_wire2252_A +*8485 ANTENNA_wire2253_A +*8486 ANTENNA_wire2254_A +*8487 ANTENNA_wire2255_A +*8488 ANTENNA_wire2256_A +*8489 ANTENNA_wire2257_A +*8490 ANTENNA_wire2258_A +*8491 ANTENNA_wire2259_A +*8492 ANTENNA_wire2260_A +*8493 ANTENNA_wire2262_A +*8494 ANTENNA_wire2263_A +*8495 ANTENNA_wire2264_A +*8496 ANTENNA_wire2265_A +*8497 ANTENNA_wire2266_A +*8498 ANTENNA_wire2267_A +*8499 ANTENNA_wire2268_A +*8500 ANTENNA_wire2269_A +*8501 ANTENNA_wire2270_A +*8502 ANTENNA_wire2271_A +*8503 ANTENNA_wire2272_A +*8504 ANTENNA_wire2273_A +*8505 ANTENNA_wire2274_A +*8506 ANTENNA_wire2275_A +*8507 ANTENNA_wire2276_A +*8508 ANTENNA_wire2277_A +*8509 ANTENNA_wire2278_A +*8510 ANTENNA_wire2279_A +*8511 ANTENNA_wire2280_A +*8512 ANTENNA_wire2281_A +*8513 ANTENNA_wire2282_A +*8514 ANTENNA_wire2283_A +*8515 ANTENNA_wire2284_A +*8516 ANTENNA_wire2285_A +*8517 ANTENNA_wire2287_A +*8518 ANTENNA_wire2288_A +*8519 ANTENNA_wire2289_A +*8520 ANTENNA_wire2290_A +*8521 ANTENNA_wire2291_A +*8522 ANTENNA_wire2292_A +*8523 ANTENNA_wire2295_A +*8524 ANTENNA_wire2296_A +*8525 ANTENNA_wire2297_A +*8526 ANTENNA_wire2299_A +*8527 ANTENNA_wire2301_A +*8528 ANTENNA_wire2305_A +*8529 ANTENNA_wire2307_A +*8530 ANTENNA_wire2308_A +*8531 ANTENNA_wire2309_A +*8532 ANTENNA_wire2311_A +*8533 ANTENNA_wire2312_A +*8534 ANTENNA_wire2314_A +*8535 ANTENNA_wire2315_A +*8536 ANTENNA_wire2317_A +*8537 ANTENNA_wire2318_A +*8538 ANTENNA_wire2319_A +*8539 ANTENNA_wire2320_A +*8540 ANTENNA_wire2321_A +*8541 ANTENNA_wire2322_A +*8542 ANTENNA_wire2323_A +*8543 ANTENNA_wire2325_A +*8544 ANTENNA_wire2327_A +*8545 ANTENNA_wire2328_A +*8546 ANTENNA_wire2329_A +*8547 ANTENNA_wire2332_A +*8548 ANTENNA_wire2334_A +*8549 ANTENNA_wire2335_A +*8550 ANTENNA_wire2336_A +*8551 ANTENNA_wire2337_A +*8552 ANTENNA_wire2338_A +*8553 ANTENNA_wire2339_A +*8554 ANTENNA_wire2340_A +*8555 ANTENNA_wire2341_A +*8556 ANTENNA_wire2342_A +*8557 ANTENNA_wire2343_A +*8558 ANTENNA_wire2344_A +*8559 ANTENNA_wire2345_A +*8560 ANTENNA_wire2346_A +*8561 ANTENNA_wire2347_A +*8562 ANTENNA_wire2348_A +*8563 ANTENNA_wire2349_A +*8564 ANTENNA_wire2351_A +*8565 ANTENNA_wire2352_A +*8566 ANTENNA_wire2354_A +*8567 ANTENNA_wire2355_A +*8568 ANTENNA_wire2357_A +*8569 ANTENNA_wire2358_A +*8570 ANTENNA_wire2359_A +*8571 ANTENNA_wire2361_A +*8572 ANTENNA_wire2363_A +*8573 ANTENNA_wire2364_A +*8574 ANTENNA_wire2365_A +*8575 ANTENNA_wire2366_A +*8576 ANTENNA_wire2367_A +*8577 ANTENNA_wire2368_A +*8578 ANTENNA_wire2370_A +*8579 ANTENNA_wire2371_A +*8580 ANTENNA_wire2372_A +*8581 ANTENNA_wire2373_A +*8582 ANTENNA_wire2375_A +*8583 ANTENNA_wire2376_A +*8584 ANTENNA_wire2377_A +*8585 ANTENNA_wire2378_A +*8586 ANTENNA_wire2379_A +*8587 ANTENNA_wire2380_A +*8588 ANTENNA_wire2381_A +*8589 ANTENNA_wire2382_A +*8590 ANTENNA_wire2383_A +*8591 ANTENNA_wire2384_A +*8592 ANTENNA_wire2385_A +*8593 ANTENNA_wire2386_A +*8594 ANTENNA_wire2388_A +*8595 ANTENNA_wire2389_A +*8596 ANTENNA_wire2390_A +*8597 ANTENNA_wire2391_A +*8598 ANTENNA_wire2392_A +*8599 ANTENNA_wire2393_A +*8600 ANTENNA_wire2394_A +*8601 ANTENNA_wire2396_A +*8602 ANTENNA_wire2397_A +*8603 ANTENNA_wire2399_A +*8604 ANTENNA_wire2400_A +*8605 ANTENNA_wire2403_A +*8606 ANTENNA_wire2404_A +*8607 ANTENNA_wire2405_A +*8608 ANTENNA_wire2406_A +*8609 ANTENNA_wire2407_A +*8610 ANTENNA_wire2408_A +*8611 ANTENNA_wire2410_A +*8612 ANTENNA_wire2411_A +*8613 ANTENNA_wire2413_A +*8614 ANTENNA_wire2414_A +*8615 ANTENNA_wire2415_A +*8616 ANTENNA_wire2416_A +*8617 ANTENNA_wire2417_A +*8618 ANTENNA_wire2418_A +*8619 ANTENNA_wire2419_A +*8620 ANTENNA_wire2420_A +*8621 ANTENNA_wire2421_A +*8622 ANTENNA_wire2422_A +*8623 ANTENNA_wire2423_A +*8624 ANTENNA_wire2424_A +*8625 ANTENNA_wire2425_A +*8626 ANTENNA_wire2427_A +*8627 ANTENNA_wire2428_A +*8628 ANTENNA_wire2429_A +*8629 ANTENNA_wire2430_A +*8630 ANTENNA_wire2431_A +*8631 ANTENNA_wire2432_A +*8632 ANTENNA_wire2433_A +*8633 ANTENNA_wire2434_A +*8634 ANTENNA_wire2435_A +*8635 ANTENNA_wire2436_A +*8636 ANTENNA_wire2437_A +*8637 ANTENNA_wire2439_A +*8638 ANTENNA_wire2440_A +*8639 ANTENNA_wire2441_A +*8640 ANTENNA_wire2442_A +*8641 ANTENNA_wire2443_A +*8642 ANTENNA_wire2444_A +*8643 ANTENNA_wire2445_A +*8644 ANTENNA_wire2446_A +*8645 ANTENNA_wire2447_A +*8646 ANTENNA_wire2448_A +*8647 ANTENNA_wire2449_A +*8648 ANTENNA_wire2451_A +*8649 ANTENNA_wire2452_A +*8650 ANTENNA_wire2453_A +*8651 ANTENNA_wire2454_A +*8652 ANTENNA_wire2455_A +*8653 ANTENNA_wire2456_A +*8654 ANTENNA_wire2457_A +*8655 ANTENNA_wire2458_A +*8656 ANTENNA_wire2459_A +*8657 ANTENNA_wire2460_A +*8658 ANTENNA_wire2461_A +*8659 ANTENNA_wire2463_A +*8660 ANTENNA_wire2464_A +*8661 ANTENNA_wire2465_A +*8662 ANTENNA_wire2466_A +*8663 ANTENNA_wire2467_A +*8664 ANTENNA_wire2468_A +*8665 ANTENNA_wire2469_A +*8666 ANTENNA_wire2470_A +*8667 ANTENNA_wire2471_A +*8668 ANTENNA_wire2473_A +*8669 ANTENNA_wire2474_A +*8670 ANTENNA_wire2475_A +*8671 ANTENNA_wire2476_A +*8672 ANTENNA_wire2477_A +*8673 ANTENNA_wire2478_A +*8674 ANTENNA_wire2480_A +*8675 ANTENNA_wire2481_A +*8676 ANTENNA_wire2482_A +*8677 ANTENNA_wire2483_A +*8678 ANTENNA_wire2485_A +*8679 ANTENNA_wire2486_A +*8680 ANTENNA_wire2487_A +*8681 ANTENNA_wire2488_A +*8682 ANTENNA_wire2489_A +*8683 ANTENNA_wire2490_A +*8684 ANTENNA_wire2491_A +*8685 ANTENNA_wire2492_A +*8686 ANTENNA_wire2493_A +*8687 ANTENNA_wire2494_A +*8688 ANTENNA_wire2495_A +*8689 ANTENNA_wire2496_A +*8690 ANTENNA_wire2497_A +*8691 ANTENNA_wire2498_A +*8692 ANTENNA_wire2499_A +*8693 ANTENNA_wire2500_A +*8694 ANTENNA_wire2501_A +*8695 ANTENNA_wire2502_A +*8696 ANTENNA_wire2504_A +*8697 ANTENNA_wire2505_A +*8698 ANTENNA_wire2506_A +*8699 ANTENNA_wire2507_A +*8700 ANTENNA_wire2509_A +*8701 ANTENNA_wire2510_A +*8702 ANTENNA_wire2511_A +*8703 ANTENNA_wire2512_A +*8704 ANTENNA_wire2513_A +*8705 ANTENNA_wire2514_A +*8706 ANTENNA_wire2515_A +*8707 ANTENNA_wire2516_A +*8708 ANTENNA_wire2517_A +*8709 ANTENNA_wire2518_A +*8710 ANTENNA_wire2519_A +*8711 ANTENNA_wire2521_A +*8712 ANTENNA_wire2522_A +*8713 ANTENNA_wire2524_A +*8714 ANTENNA_wire2525_A +*8715 ANTENNA_wire2526_A +*8716 ANTENNA_wire2527_A +*8717 ANTENNA_wire2529_A +*8718 ANTENNA_wire2530_A +*8719 ANTENNA_wire2531_A +*8720 ANTENNA_wire2532_A +*8721 ANTENNA_wire2534_A +*8722 ANTENNA_wire2535_A +*8723 ANTENNA_wire2536_A +*8724 ANTENNA_wire2537_A +*8725 ANTENNA_wire2538_A +*8726 ANTENNA_wire2539_A +*8727 ANTENNA_wire2541_A +*8728 ANTENNA_wire2543_A +*8729 ANTENNA_wire2544_A +*8730 ANTENNA_wire2545_A +*8731 ANTENNA_wire2546_A +*8732 ANTENNA_wire2548_A +*8733 ANTENNA_wire2549_A +*8734 ANTENNA_wire2550_A +*8735 ANTENNA_wire2551_A +*8736 ANTENNA_wire2552_A +*8737 ANTENNA_wire2553_A +*8738 ANTENNA_wire2555_A +*8739 ANTENNA_wire2556_A +*8740 ANTENNA_wire2558_A +*8741 ANTENNA_wire2559_A +*8742 ANTENNA_wire2560_A +*8743 ANTENNA_wire2561_A +*8744 ANTENNA_wire2562_A +*8745 ANTENNA_wire2563_A +*8746 ANTENNA_wire2564_A +*8747 ANTENNA_wire2565_A +*8748 ANTENNA_wire2566_A +*8749 ANTENNA_wire2568_A +*8750 ANTENNA_wire2569_A +*8751 ANTENNA_wire2570_A +*8752 ANTENNA_wire2571_A +*8753 ANTENNA_wire2572_A +*8754 ANTENNA_wire2573_A +*8755 ANTENNA_wire2574_A +*8756 ANTENNA_wire2575_A +*8757 ANTENNA_wire2576_A +*8758 ANTENNA_wire2578_A +*8759 ANTENNA_wire2579_A +*8760 ANTENNA_wire2581_A +*8761 ANTENNA_wire2582_A +*8762 ANTENNA_wire2584_A +*8763 ANTENNA_wire2585_A +*8764 ANTENNA_wire2586_A +*8765 ANTENNA_wire2587_A +*8766 ANTENNA_wire2588_A +*8767 ANTENNA_wire2589_A +*8768 ANTENNA_wire2591_A +*8769 ANTENNA_wire2593_A +*8770 ANTENNA_wire2594_A +*8771 ANTENNA_wire2595_A +*8772 ANTENNA_wire2598_A +*8773 ANTENNA_wire2599_A +*8774 ANTENNA_wire2600_A +*8775 ANTENNA_wire2602_A +*8776 ANTENNA_wire2604_A +*8777 ANTENNA_wire2605_A +*8778 ANTENNA_wire2606_A +*8779 ANTENNA_wire2607_A +*8780 ANTENNA_wire2608_A +*8781 ANTENNA_wire2609_A +*8782 ANTENNA_wire2611_A +*8783 ANTENNA_wire2612_A +*8784 ANTENNA_wire2613_A +*8785 ANTENNA_wire2615_A +*8786 ANTENNA_wire2617_A +*8787 ANTENNA_wire2618_A +*8788 ANTENNA_wire2619_A +*8789 ANTENNA_wire2620_A +*8790 ANTENNA_wire2621_A +*8791 ANTENNA_wire2622_A +*8792 ANTENNA_wire2623_A +*8793 ANTENNA_wire2624_A +*8794 ANTENNA_wire2626_A +*8795 ANTENNA_wire2627_A +*8796 ANTENNA_wire2628_A +*8797 ANTENNA_wire2629_A +*8798 ANTENNA_wire2630_A +*8799 ANTENNA_wire2632_A +*8800 ANTENNA_wire2633_A +*8801 ANTENNA_wire2636_A +*8802 ANTENNA_wire2637_A +*8803 ANTENNA_wire2638_A +*8804 ANTENNA_wire2639_A +*8805 ANTENNA_wire2640_A +*8806 ANTENNA_wire2641_A +*8807 ANTENNA_wire2642_A +*8808 ANTENNA_wire2643_A +*8809 ANTENNA_wire2645_A +*8810 ANTENNA_wire2646_A +*8811 ANTENNA_wire2648_A +*8812 ANTENNA_wire2649_A +*8813 ANTENNA_wire2650_A +*8814 ANTENNA_wire2651_A +*8815 ANTENNA_wire2652_A +*8816 ANTENNA_wire2654_A +*8817 ANTENNA_wire2655_A +*8818 ANTENNA_wire2656_A +*8819 ANTENNA_wire2657_A +*8820 ANTENNA_wire2658_A +*8821 ANTENNA_wire2659_A +*8822 ANTENNA_wire2660_A +*8823 ANTENNA_wire2661_A +*8824 ANTENNA_wire2662_A +*8825 ANTENNA_wire2663_A +*8826 ANTENNA_wire2664_A +*8827 ANTENNA_wire2665_A +*8828 ANTENNA_wire2666_A +*8829 ANTENNA_wire2667_A +*8830 ANTENNA_wire2668_A +*8831 ANTENNA_wire2669_A +*8832 ANTENNA_wire2670_A +*8833 ANTENNA_wire2671_A +*8834 ANTENNA_wire2672_A +*8835 ANTENNA_wire2673_A +*8836 ANTENNA_wire2674_A +*8837 ANTENNA_wire2675_A +*8838 ANTENNA_wire2676_A +*8839 ANTENNA_wire2677_A +*8840 ANTENNA_wire2678_A +*8841 ANTENNA_wire2679_A +*8842 ANTENNA_wire2680_A +*8843 ANTENNA_wire2681_A +*8844 ANTENNA_wire2682_A +*8845 ANTENNA_wire2683_A +*8846 ANTENNA_wire2684_A +*8847 ANTENNA_wire2685_A +*8848 ANTENNA_wire2686_A +*8849 ANTENNA_wire2687_A +*8850 ANTENNA_wire2688_A +*8851 ANTENNA_wire2689_A +*8852 ANTENNA_wire2691_A +*8853 ANTENNA_wire2692_A +*8854 ANTENNA_wire2693_A +*8855 ANTENNA_wire2695_A +*8856 ANTENNA_wire2696_A +*8857 ANTENNA_wire2697_A +*8858 ANTENNA_wire2698_A +*8859 ANTENNA_wire2699_A +*8860 ANTENNA_wire2700_A +*8861 ANTENNA_wire2701_A +*8862 ANTENNA_wire2702_A +*8863 ANTENNA_wire2703_A +*8864 ANTENNA_wire2704_A +*8865 ANTENNA_wire2705_A +*8866 ANTENNA_wire2706_A +*8867 ANTENNA_wire2708_A +*8868 ANTENNA_wire2709_A +*8869 ANTENNA_wire2710_A +*8870 ANTENNA_wire2711_A +*8871 ANTENNA_wire2712_A +*8872 ANTENNA_wire2713_A +*8873 ANTENNA_wire2714_A +*8874 ANTENNA_wire2715_A +*8875 ANTENNA_wire2716_A +*8876 ANTENNA_wire2717_A +*8877 ANTENNA_wire2718_A +*8878 ANTENNA_wire2719_A +*8879 ANTENNA_wire2720_A +*8880 ANTENNA_wire2722_A +*8881 ANTENNA_wire2723_A +*8882 ANTENNA_wire2725_A +*8883 ANTENNA_wire2726_A +*8884 ANTENNA_wire2727_A +*8885 ANTENNA_wire2728_A +*8886 ANTENNA_wire2729_A +*8887 ANTENNA_wire2730_A +*8888 ANTENNA_wire2731_A +*8889 ANTENNA_wire2732_A +*8890 ANTENNA_wire2733_A +*8891 ANTENNA_wire2734_A +*8892 ANTENNA_wire2735_A +*8893 ANTENNA_wire2736_A +*8894 ANTENNA_wire2737_A +*8895 ANTENNA_wire2739_A +*8896 ANTENNA_wire2742_A +*8897 ANTENNA_wire2743_A +*8898 ANTENNA_wire2745_A +*8899 ANTENNA_wire2746_A +*8900 ANTENNA_wire2747_A +*8901 ANTENNA_wire2748_A +*8902 ANTENNA_wire2750_A +*8903 ANTENNA_wire2751_A +*8904 ANTENNA_wire2753_A +*8905 ANTENNA_wire2754_A +*8906 ANTENNA_wire2755_A +*8907 ANTENNA_wire2757_A +*8908 ANTENNA_wire2759_A +*8909 ANTENNA_wire2760_A +*8910 ANTENNA_wire2761_A +*8911 ANTENNA_wire2763_A +*8912 ANTENNA_wire2764_A +*8913 ANTENNA_wire2765_A +*8914 ANTENNA_wire2767_A +*8915 ANTENNA_wire2768_A +*8916 ANTENNA_wire2769_A +*8917 ANTENNA_wire2770_A +*8918 ANTENNA_wire2772_A +*8919 ANTENNA_wire2773_A +*8920 ANTENNA_wire2774_A +*8921 ANTENNA_wire2775_A +*8922 ANTENNA_wire2776_A +*8923 ANTENNA_wire2777_A +*8924 ANTENNA_wire2778_A +*8925 ANTENNA_wire2779_A +*8926 ANTENNA_wire2780_A +*8927 ANTENNA_wire2781_A +*8928 ANTENNA_wire2782_A +*8929 ANTENNA_wire2783_A +*8930 ANTENNA_wire2784_A +*8931 ANTENNA_wire2785_A +*8932 ANTENNA_wire2787_A +*8933 ANTENNA_wire2788_A +*8934 ANTENNA_wire2789_A +*8935 ANTENNA_wire2790_A +*8936 ANTENNA_wire2791_A +*8937 ANTENNA_wire2792_A +*8938 ANTENNA_wire2793_A +*8939 ANTENNA_wire2795_A +*8940 ANTENNA_wire2796_A +*8941 ANTENNA_wire2797_A +*8942 ANTENNA_wire2799_A +*8943 ANTENNA_wire2801_A +*8944 ANTENNA_wire2802_A +*8945 ANTENNA_wire2803_A +*8946 ANTENNA_wire2804_A +*8947 ANTENNA_wire2805_A +*8948 ANTENNA_wire2806_A +*8949 ANTENNA_wire2807_A +*8950 ANTENNA_wire2808_A +*8951 ANTENNA_wire2809_A +*8952 ANTENNA_wire2811_A +*8953 ANTENNA_wire2812_A +*8954 ANTENNA_wire2813_A +*8955 ANTENNA_wire2814_A +*8956 ANTENNA_wire2815_A +*8957 ANTENNA_wire2816_A +*8958 ANTENNA_wire2818_A +*8959 ANTENNA_wire2819_A +*8960 ANTENNA_wire2820_A +*8961 ANTENNA_wire2821_A +*8962 ANTENNA_wire2822_A +*8963 ANTENNA_wire2823_A +*8964 ANTENNA_wire2824_A +*8965 ANTENNA_wire2825_A +*8966 ANTENNA_wire2826_A +*8967 ANTENNA_wire2827_A +*8968 ANTENNA_wire2829_A +*8969 ANTENNA_wire2830_A +*8970 ANTENNA_wire2832_A +*8971 ANTENNA_wire2834_A +*8972 ANTENNA_wire2835_A +*8973 ANTENNA_wire2836_A +*8974 ANTENNA_wire2837_A +*8975 ANTENNA_wire2838_A +*8976 ANTENNA_wire2842_A +*8977 ANTENNA_wire2844_A +*8978 ANTENNA_wire2845_A +*8979 ANTENNA_wire2847_A +*8980 ANTENNA_wire2848_A +*8981 ANTENNA_wire2850_A +*8982 ANTENNA_wire2851_A +*8983 ANTENNA_wire2852_A +*8984 ANTENNA_wire2853_A +*8985 ANTENNA_wire2854_A +*8986 ANTENNA_wire2855_A +*8987 ANTENNA_wire963_A +*8988 ANTENNA_wire967_A +*8989 ANTENNA_wire968_A +*8990 ANTENNA_wire969_A +*8991 ANTENNA_wire970_A +*8992 ANTENNA_wire971_A +*8993 ANTENNA_wire972_A +*8994 ANTENNA_wire974_A +*8995 ANTENNA_wire976_A +*8996 ANTENNA_wire978_A +*8997 ANTENNA_wire980_A +*8998 ANTENNA_wire981_A +*8999 ANTENNA_wire982_A +*9000 ANTENNA_wire984_A +*9001 ANTENNA_wire985_A +*9002 ANTENNA_wire986_A +*9003 ANTENNA_wire987_A +*9004 ANTENNA_wire989_A +*9005 ANTENNA_wire990_A +*9006 ANTENNA_wire991_A +*9007 ANTENNA_wire993_A +*9008 ANTENNA_wire997_A +*9009 ANTENNA_wire998_A +*9010 FILLER_0_1006 +*9011 FILLER_0_1009 +*9012 FILLER_0_1014 +*9013 FILLER_0_1034 +*9014 FILLER_0_1037 +*9015 FILLER_0_1041 +*9016 FILLER_0_1061 +*9017 FILLER_0_1065 +*9018 FILLER_0_1085 +*9019 FILLER_0_109 +*9020 FILLER_0_1091 +*9021 FILLER_0_1093 +*9022 FILLER_0_1111 +*9023 FILLER_0_1118 +*9024 FILLER_0_1121 +*9025 FILLER_0_113 +*9026 FILLER_0_1139 +*9027 FILLER_0_1146 +*9028 FILLER_0_1149 +*9029 FILLER_0_1154 +*9030 FILLER_0_1174 +*9031 FILLER_0_1177 +*9032 FILLER_0_1182 +*9033 FILLER_0_1202 +*9034 FILLER_0_1205 +*9035 FILLER_0_1209 +*9036 FILLER_0_1229 +*9037 FILLER_0_1233 +*9038 FILLER_0_125 +*9039 FILLER_0_1253 +*9040 FILLER_0_1259 +*9041 FILLER_0_1261 +*9042 FILLER_0_1279 +*9043 FILLER_0_1286 +*9044 FILLER_0_1289 +*9045 FILLER_0_1307 +*9046 FILLER_0_1314 +*9047 FILLER_0_1317 +*9048 FILLER_0_1322 +*9049 FILLER_0_1342 +*9050 FILLER_0_1345 +*9051 FILLER_0_1350 +*9052 FILLER_0_137 +*9053 FILLER_0_1370 +*9054 FILLER_0_1373 +*9055 FILLER_0_1377 +*9056 FILLER_0_1397 +*9057 FILLER_0_1401 +*9058 FILLER_0_141 +*9059 FILLER_0_1421 +*9060 FILLER_0_1427 +*9061 FILLER_0_1429 +*9062 FILLER_0_1447 +*9063 FILLER_0_1454 +*9064 FILLER_0_1457 +*9065 FILLER_0_1475 +*9066 FILLER_0_1482 +*9067 FILLER_0_1485 +*9068 FILLER_0_1490 +*9069 FILLER_0_15 +*9070 FILLER_0_1510 +*9071 FILLER_0_1513 +*9072 FILLER_0_1518 +*9073 FILLER_0_153 +*9074 FILLER_0_1538 +*9075 FILLER_0_1541 +*9076 FILLER_0_1545 +*9077 FILLER_0_1565 +*9078 FILLER_0_1569 +*9079 FILLER_0_1589 +*9080 FILLER_0_1595 +*9081 FILLER_0_1597 +*9082 FILLER_0_1615 +*9083 FILLER_0_1622 +*9084 FILLER_0_1625 +*9085 FILLER_0_1643 +*9086 FILLER_0_165 +*9087 FILLER_0_1650 +*9088 FILLER_0_1653 +*9089 FILLER_0_1658 +*9090 FILLER_0_1678 +*9091 FILLER_0_1681 +*9092 FILLER_0_1686 +*9093 FILLER_0_169 +*9094 FILLER_0_1706 +*9095 FILLER_0_1709 +*9096 FILLER_0_1713 +*9097 FILLER_0_1733 +*9098 FILLER_0_1737 +*9099 FILLER_0_1757 +*9100 FILLER_0_1763 +*9101 FILLER_0_1765 +*9102 FILLER_0_1783 +*9103 FILLER_0_1790 +*9104 FILLER_0_1793 +*9105 FILLER_0_181 +*9106 FILLER_0_1811 +*9107 FILLER_0_1818 +*9108 FILLER_0_1821 +*9109 FILLER_0_1826 +*9110 FILLER_0_1846 +*9111 FILLER_0_1849 +*9112 FILLER_0_1854 +*9113 FILLER_0_1874 +*9114 FILLER_0_1877 +*9115 FILLER_0_1901 +*9116 FILLER_0_1905 +*9117 FILLER_0_1925 +*9118 FILLER_0_193 +*9119 FILLER_0_1931 +*9120 FILLER_0_1933 +*9121 FILLER_0_1951 +*9122 FILLER_0_1958 +*9123 FILLER_0_1961 +*9124 FILLER_0_197 +*9125 FILLER_0_1979 +*9126 FILLER_0_1986 +*9127 FILLER_0_1989 +*9128 FILLER_0_1994 +*9129 FILLER_0_2014 +*9130 FILLER_0_2017 +*9131 FILLER_0_2022 +*9132 FILLER_0_2042 +*9133 FILLER_0_2045 +*9134 FILLER_0_2049 +*9135 FILLER_0_2069 +*9136 FILLER_0_2073 +*9137 FILLER_0_2093 +*9138 FILLER_0_2099 +*9139 FILLER_0_2101 +*9140 FILLER_0_2119 +*9141 FILLER_0_2126 +*9142 FILLER_0_2129 +*9143 FILLER_0_2147 +*9144 FILLER_0_2154 +*9145 FILLER_0_2157 +*9146 FILLER_0_2162 +*9147 FILLER_0_2182 +*9148 FILLER_0_2185 +*9149 FILLER_0_2190 +*9150 FILLER_0_221 +*9151 FILLER_0_2210 +*9152 FILLER_0_2213 +*9153 FILLER_0_2217 +*9154 FILLER_0_2237 +*9155 FILLER_0_2241 +*9156 FILLER_0_225 +*9157 FILLER_0_2261 +*9158 FILLER_0_2267 +*9159 FILLER_0_2269 +*9160 FILLER_0_2287 +*9161 FILLER_0_2294 +*9162 FILLER_0_2297 +*9163 FILLER_0_2315 +*9164 FILLER_0_2322 +*9165 FILLER_0_2325 +*9166 FILLER_0_2330 +*9167 FILLER_0_2350 +*9168 FILLER_0_2353 +*9169 FILLER_0_2358 +*9170 FILLER_0_2378 +*9171 FILLER_0_2381 +*9172 FILLER_0_2385 +*9173 FILLER_0_2405 +*9174 FILLER_0_2409 +*9175 FILLER_0_2429 +*9176 FILLER_0_2435 +*9177 FILLER_0_2437 +*9178 FILLER_0_245 +*9179 FILLER_0_2455 +*9180 FILLER_0_2462 +*9181 FILLER_0_2465 +*9182 FILLER_0_2483 +*9183 FILLER_0_2490 +*9184 FILLER_0_2493 +*9185 FILLER_0_2498 +*9186 FILLER_0_251 +*9187 FILLER_0_2518 +*9188 FILLER_0_2521 +*9189 FILLER_0_2526 +*9190 FILLER_0_253 +*9191 FILLER_0_2546 +*9192 FILLER_0_2549 +*9193 FILLER_0_2553 +*9194 FILLER_0_2573 +*9195 FILLER_0_2577 +*9196 FILLER_0_2597 +*9197 FILLER_0_2603 +*9198 FILLER_0_2605 +*9199 FILLER_0_2623 +*9200 FILLER_0_2630 +*9201 FILLER_0_2633 +*9202 FILLER_0_2651 +*9203 FILLER_0_2658 +*9204 FILLER_0_2661 +*9205 FILLER_0_2666 +*9206 FILLER_0_2686 +*9207 FILLER_0_2689 +*9208 FILLER_0_2694 +*9209 FILLER_0_27 +*9210 FILLER_0_271 +*9211 FILLER_0_2714 +*9212 FILLER_0_2717 +*9213 FILLER_0_2721 +*9214 FILLER_0_2741 +*9215 FILLER_0_2745 +*9216 FILLER_0_2765 +*9217 FILLER_0_2771 +*9218 FILLER_0_2773 +*9219 FILLER_0_278 +*9220 FILLER_0_2791 +*9221 FILLER_0_2798 +*9222 FILLER_0_2801 +*9223 FILLER_0_281 +*9224 FILLER_0_2819 +*9225 FILLER_0_2826 +*9226 FILLER_0_2829 +*9227 FILLER_0_2834 +*9228 FILLER_0_2854 +*9229 FILLER_0_2857 +*9230 FILLER_0_2862 +*9231 FILLER_0_2882 +*9232 FILLER_0_2885 +*9233 FILLER_0_2889 +*9234 FILLER_0_29 +*9235 FILLER_0_2909 +*9236 FILLER_0_2913 +*9237 FILLER_0_2933 +*9238 FILLER_0_2939 +*9239 FILLER_0_2941 +*9240 FILLER_0_2959 +*9241 FILLER_0_2966 +*9242 FILLER_0_2969 +*9243 FILLER_0_2987 +*9244 FILLER_0_299 +*9245 FILLER_0_2994 +*9246 FILLER_0_2997 +*9247 FILLER_0_3 +*9248 FILLER_0_3002 +*9249 FILLER_0_3022 +*9250 FILLER_0_3025 +*9251 FILLER_0_3030 +*9252 FILLER_0_3050 +*9253 FILLER_0_3053 +*9254 FILLER_0_3057 +*9255 FILLER_0_306 +*9256 FILLER_0_3077 +*9257 FILLER_0_3081 +*9258 FILLER_0_309 +*9259 FILLER_0_3101 +*9260 FILLER_0_3107 +*9261 FILLER_0_3109 +*9262 FILLER_0_3127 +*9263 FILLER_0_3134 +*9264 FILLER_0_3137 +*9265 FILLER_0_314 +*9266 FILLER_0_3155 +*9267 FILLER_0_3161 +*9268 FILLER_0_3165 +*9269 FILLER_0_3170 +*9270 FILLER_0_3190 +*9271 FILLER_0_3193 +*9272 FILLER_0_3198 +*9273 FILLER_0_3218 +*9274 FILLER_0_3221 +*9275 FILLER_0_3225 +*9276 FILLER_0_3245 +*9277 FILLER_0_3249 +*9278 FILLER_0_3269 +*9279 FILLER_0_3275 +*9280 FILLER_0_3277 +*9281 FILLER_0_3295 +*9282 FILLER_0_3302 +*9283 FILLER_0_3305 +*9284 FILLER_0_3323 +*9285 FILLER_0_3330 +*9286 FILLER_0_3333 +*9287 FILLER_0_334 +*9288 FILLER_0_3351 +*9289 FILLER_0_3358 +*9290 FILLER_0_3361 +*9291 FILLER_0_337 +*9292 FILLER_0_3379 +*9293 FILLER_0_3386 +*9294 FILLER_0_3389 +*9295 FILLER_0_3394 +*9296 FILLER_0_3414 +*9297 FILLER_0_3417 +*9298 FILLER_0_342 +*9299 FILLER_0_3437 +*9300 FILLER_0_3443 +*9301 FILLER_0_3445 +*9302 FILLER_0_3463 +*9303 FILLER_0_3470 +*9304 FILLER_0_3473 +*9305 FILLER_0_3491 +*9306 FILLER_0_3498 +*9307 FILLER_0_3501 +*9308 FILLER_0_3506 +*9309 FILLER_0_3526 +*9310 FILLER_0_3529 +*9311 FILLER_0_3547 +*9312 FILLER_0_3554 +*9313 FILLER_0_3557 +*9314 FILLER_0_3561 +*9315 FILLER_0_3581 +*9316 FILLER_0_3585 +*9317 FILLER_0_3603 +*9318 FILLER_0_3610 +*9319 FILLER_0_3613 +*9320 FILLER_0_362 +*9321 FILLER_0_3635 +*9322 FILLER_0_3639 +*9323 FILLER_0_3641 +*9324 FILLER_0_365 +*9325 FILLER_0_3659 +*9326 FILLER_0_3666 +*9327 FILLER_0_3669 +*9328 FILLER_0_3689 +*9329 FILLER_0_369 +*9330 FILLER_0_3695 +*9331 FILLER_0_3697 +*9332 FILLER_0_3715 +*9333 FILLER_0_3722 +*9334 FILLER_0_3725 +*9335 FILLER_0_3743 +*9336 FILLER_0_3750 +*9337 FILLER_0_3753 +*9338 FILLER_0_3771 +*9339 FILLER_0_3778 +*9340 FILLER_0_3781 +*9341 FILLER_0_3799 +*9342 FILLER_0_3806 +*9343 FILLER_0_3809 +*9344 FILLER_0_3827 +*9345 FILLER_0_3834 +*9346 FILLER_0_3837 +*9347 FILLER_0_3855 +*9348 FILLER_0_3862 +*9349 FILLER_0_3865 +*9350 FILLER_0_3883 +*9351 FILLER_0_389 +*9352 FILLER_0_3890 +*9353 FILLER_0_3893 +*9354 FILLER_0_3911 +*9355 FILLER_0_3918 +*9356 FILLER_0_3921 +*9357 FILLER_0_3925 +*9358 FILLER_0_393 +*9359 FILLER_0_3937 +*9360 FILLER_0_3945 +*9361 FILLER_0_3949 +*9362 FILLER_0_3961 +*9363 FILLER_0_3973 +*9364 FILLER_0_3977 +*9365 FILLER_0_3989 +*9366 FILLER_0_4001 +*9367 FILLER_0_4005 +*9368 FILLER_0_4017 +*9369 FILLER_0_4029 +*9370 FILLER_0_4033 +*9371 FILLER_0_4045 +*9372 FILLER_0_4057 +*9373 FILLER_0_4061 +*9374 FILLER_0_4073 +*9375 FILLER_0_4085 +*9376 FILLER_0_4089 +*9377 FILLER_0_4094 +*9378 FILLER_0_41 +*9379 FILLER_0_4101 +*9380 FILLER_0_413 +*9381 FILLER_0_419 +*9382 FILLER_0_421 +*9383 FILLER_0_439 +*9384 FILLER_0_446 +*9385 FILLER_0_449 +*9386 FILLER_0_467 +*9387 FILLER_0_471 +*9388 FILLER_0_474 +*9389 FILLER_0_477 +*9390 FILLER_0_482 +*9391 FILLER_0_502 +*9392 FILLER_0_505 +*9393 FILLER_0_510 +*9394 FILLER_0_53 +*9395 FILLER_0_530 +*9396 FILLER_0_533 +*9397 FILLER_0_537 +*9398 FILLER_0_557 +*9399 FILLER_0_561 +*9400 FILLER_0_57 +*9401 FILLER_0_581 +*9402 FILLER_0_587 +*9403 FILLER_0_589 +*9404 FILLER_0_607 +*9405 FILLER_0_614 +*9406 FILLER_0_617 +*9407 FILLER_0_635 +*9408 FILLER_0_642 +*9409 FILLER_0_645 +*9410 FILLER_0_650 +*9411 FILLER_0_670 +*9412 FILLER_0_673 +*9413 FILLER_0_678 +*9414 FILLER_0_69 +*9415 FILLER_0_698 +*9416 FILLER_0_701 +*9417 FILLER_0_705 +*9418 FILLER_0_725 +*9419 FILLER_0_729 +*9420 FILLER_0_749 +*9421 FILLER_0_755 +*9422 FILLER_0_757 +*9423 FILLER_0_775 +*9424 FILLER_0_782 +*9425 FILLER_0_785 +*9426 FILLER_0_803 +*9427 FILLER_0_81 +*9428 FILLER_0_810 +*9429 FILLER_0_813 +*9430 FILLER_0_818 +*9431 FILLER_0_838 +*9432 FILLER_0_841 +*9433 FILLER_0_846 +*9434 FILLER_0_85 +*9435 FILLER_0_866 +*9436 FILLER_0_869 +*9437 FILLER_0_873 +*9438 FILLER_0_893 +*9439 FILLER_0_897 +*9440 FILLER_0_917 +*9441 FILLER_0_923 +*9442 FILLER_0_925 +*9443 FILLER_0_943 +*9444 FILLER_0_950 +*9445 FILLER_0_953 +*9446 FILLER_0_97 +*9447 FILLER_0_971 +*9448 FILLER_0_978 +*9449 FILLER_0_981 +*9450 FILLER_0_986 +*9451 FILLER_10_1011 +*9452 FILLER_10_1023 +*9453 FILLER_10_1029 +*9454 FILLER_10_1031 +*9455 FILLER_10_1037 +*9456 FILLER_10_1040 +*9457 FILLER_10_1052 +*9458 FILLER_10_1059 +*9459 FILLER_10_1065 +*9460 FILLER_10_1077 +*9461 FILLER_10_1085 +*9462 FILLER_10_1087 +*9463 FILLER_10_109 +*9464 FILLER_10_1099 +*9465 FILLER_10_1109 +*9466 FILLER_10_1115 +*9467 FILLER_10_1119 +*9468 FILLER_10_1131 +*9469 FILLER_10_1139 +*9470 FILLER_10_1143 +*9471 FILLER_10_1155 +*9472 FILLER_10_1167 +*9473 FILLER_10_1179 +*9474 FILLER_10_1191 +*9475 FILLER_10_1197 +*9476 FILLER_10_1199 +*9477 FILLER_10_121 +*9478 FILLER_10_1211 +*9479 FILLER_10_1219 +*9480 FILLER_10_1225 +*9481 FILLER_10_1231 +*9482 FILLER_10_1243 +*9483 FILLER_10_1251 +*9484 FILLER_10_1255 +*9485 FILLER_10_1267 +*9486 FILLER_10_1279 +*9487 FILLER_10_1291 +*9488 FILLER_10_1303 +*9489 FILLER_10_1309 +*9490 FILLER_10_1311 +*9491 FILLER_10_1323 +*9492 FILLER_10_133 +*9493 FILLER_10_1335 +*9494 FILLER_10_1347 +*9495 FILLER_10_1359 +*9496 FILLER_10_1365 +*9497 FILLER_10_1367 +*9498 FILLER_10_1379 +*9499 FILLER_10_139 +*9500 FILLER_10_1391 +*9501 FILLER_10_1403 +*9502 FILLER_10_141 +*9503 FILLER_10_1415 +*9504 FILLER_10_1421 +*9505 FILLER_10_1423 +*9506 FILLER_10_1435 +*9507 FILLER_10_1447 +*9508 FILLER_10_1459 +*9509 FILLER_10_1467 +*9510 FILLER_10_1475 +*9511 FILLER_10_1479 +*9512 FILLER_10_1483 +*9513 FILLER_10_1491 +*9514 FILLER_10_1497 +*9515 FILLER_10_15 +*9516 FILLER_10_1502 +*9517 FILLER_10_1506 +*9518 FILLER_10_1511 +*9519 FILLER_10_1517 +*9520 FILLER_10_1520 +*9521 FILLER_10_153 +*9522 FILLER_10_1532 +*9523 FILLER_10_1535 +*9524 FILLER_10_1539 +*9525 FILLER_10_1547 +*9526 FILLER_10_1553 +*9527 FILLER_10_1561 +*9528 FILLER_10_1566 +*9529 FILLER_10_1572 +*9530 FILLER_10_1580 +*9531 FILLER_10_1588 +*9532 FILLER_10_1591 +*9533 FILLER_10_1597 +*9534 FILLER_10_1603 +*9535 FILLER_10_1608 +*9536 FILLER_10_1615 +*9537 FILLER_10_1619 +*9538 FILLER_10_1624 +*9539 FILLER_10_1628 +*9540 FILLER_10_1633 +*9541 FILLER_10_1642 +*9542 FILLER_10_1647 +*9543 FILLER_10_165 +*9544 FILLER_10_1651 +*9545 FILLER_10_1659 +*9546 FILLER_10_1663 +*9547 FILLER_10_1671 +*9548 FILLER_10_1674 +*9549 FILLER_10_1682 +*9550 FILLER_10_1690 +*9551 FILLER_10_1696 +*9552 FILLER_10_1703 +*9553 FILLER_10_1709 +*9554 FILLER_10_1714 +*9555 FILLER_10_1722 +*9556 FILLER_10_1730 +*9557 FILLER_10_1738 +*9558 FILLER_10_1748 +*9559 FILLER_10_1756 +*9560 FILLER_10_1759 +*9561 FILLER_10_1769 +*9562 FILLER_10_177 +*9563 FILLER_10_1779 +*9564 FILLER_10_1787 +*9565 FILLER_10_1795 +*9566 FILLER_10_1799 +*9567 FILLER_10_1804 +*9568 FILLER_10_1812 +*9569 FILLER_10_1815 +*9570 FILLER_10_1821 +*9571 FILLER_10_1827 +*9572 FILLER_10_1831 +*9573 FILLER_10_1836 +*9574 FILLER_10_1844 +*9575 FILLER_10_1852 +*9576 FILLER_10_1860 +*9577 FILLER_10_1868 +*9578 FILLER_10_1871 +*9579 FILLER_10_1877 +*9580 FILLER_10_189 +*9581 FILLER_10_1890 +*9582 FILLER_10_1894 +*9583 FILLER_10_1899 +*9584 FILLER_10_1905 +*9585 FILLER_10_1908 +*9586 FILLER_10_1916 +*9587 FILLER_10_1924 +*9588 FILLER_10_1927 +*9589 FILLER_10_1932 +*9590 FILLER_10_1940 +*9591 FILLER_10_1944 +*9592 FILLER_10_1949 +*9593 FILLER_10_195 +*9594 FILLER_10_1958 +*9595 FILLER_10_1964 +*9596 FILLER_10_197 +*9597 FILLER_10_1972 +*9598 FILLER_10_1980 +*9599 FILLER_10_1983 +*9600 FILLER_10_1989 +*9601 FILLER_10_1995 +*9602 FILLER_10_2003 +*9603 FILLER_10_2011 +*9604 FILLER_10_2019 +*9605 FILLER_10_2032 +*9606 FILLER_10_2039 +*9607 FILLER_10_2049 +*9608 FILLER_10_2057 +*9609 FILLER_10_2065 +*9610 FILLER_10_2073 +*9611 FILLER_10_2086 +*9612 FILLER_10_209 +*9613 FILLER_10_2092 +*9614 FILLER_10_2095 +*9615 FILLER_10_2101 +*9616 FILLER_10_2109 +*9617 FILLER_10_2117 +*9618 FILLER_10_2125 +*9619 FILLER_10_2133 +*9620 FILLER_10_2141 +*9621 FILLER_10_2147 +*9622 FILLER_10_2151 +*9623 FILLER_10_2159 +*9624 FILLER_10_2167 +*9625 FILLER_10_2171 +*9626 FILLER_10_2181 +*9627 FILLER_10_2189 +*9628 FILLER_10_2195 +*9629 FILLER_10_2204 +*9630 FILLER_10_2207 +*9631 FILLER_10_221 +*9632 FILLER_10_2220 +*9633 FILLER_10_2228 +*9634 FILLER_10_2234 +*9635 FILLER_10_2240 +*9636 FILLER_10_2252 +*9637 FILLER_10_2260 +*9638 FILLER_10_2263 +*9639 FILLER_10_2267 +*9640 FILLER_10_2275 +*9641 FILLER_10_2280 +*9642 FILLER_10_2286 +*9643 FILLER_10_2298 +*9644 FILLER_10_2302 +*9645 FILLER_10_2305 +*9646 FILLER_10_2311 +*9647 FILLER_10_2317 +*9648 FILLER_10_2319 +*9649 FILLER_10_233 +*9650 FILLER_10_2331 +*9651 FILLER_10_2343 +*9652 FILLER_10_2355 +*9653 FILLER_10_2367 +*9654 FILLER_10_2373 +*9655 FILLER_10_2375 +*9656 FILLER_10_2387 +*9657 FILLER_10_2399 +*9658 FILLER_10_2407 +*9659 FILLER_10_2419 +*9660 FILLER_10_2427 +*9661 FILLER_10_2431 +*9662 FILLER_10_2441 +*9663 FILLER_10_245 +*9664 FILLER_10_2450 +*9665 FILLER_10_2462 +*9666 FILLER_10_2474 +*9667 FILLER_10_2487 +*9668 FILLER_10_2491 +*9669 FILLER_10_2494 +*9670 FILLER_10_2502 +*9671 FILLER_10_251 +*9672 FILLER_10_2514 +*9673 FILLER_10_2526 +*9674 FILLER_10_253 +*9675 FILLER_10_2538 +*9676 FILLER_10_2543 +*9677 FILLER_10_2555 +*9678 FILLER_10_2567 +*9679 FILLER_10_2572 +*9680 FILLER_10_2578 +*9681 FILLER_10_2590 +*9682 FILLER_10_2599 +*9683 FILLER_10_2603 +*9684 FILLER_10_2611 +*9685 FILLER_10_2619 +*9686 FILLER_10_2625 +*9687 FILLER_10_2631 +*9688 FILLER_10_2643 +*9689 FILLER_10_265 +*9690 FILLER_10_2651 +*9691 FILLER_10_2655 +*9692 FILLER_10_2669 +*9693 FILLER_10_2675 +*9694 FILLER_10_2683 +*9695 FILLER_10_2691 +*9696 FILLER_10_2694 +*9697 FILLER_10_2698 +*9698 FILLER_10_27 +*9699 FILLER_10_2703 +*9700 FILLER_10_277 +*9701 FILLER_10_289 +*9702 FILLER_10_29 +*9703 FILLER_10_3 +*9704 FILLER_10_301 +*9705 FILLER_10_307 +*9706 FILLER_10_3082 +*9707 FILLER_10_309 +*9708 FILLER_10_3090 +*9709 FILLER_10_3098 +*9710 FILLER_10_3104 +*9711 FILLER_10_3108 +*9712 FILLER_10_3112 +*9713 FILLER_10_3118 +*9714 FILLER_10_3124 +*9715 FILLER_10_3130 +*9716 FILLER_10_3136 +*9717 FILLER_10_3142 +*9718 FILLER_10_3148 +*9719 FILLER_10_3154 +*9720 FILLER_10_3160 +*9721 FILLER_10_3164 +*9722 FILLER_10_3168 +*9723 FILLER_10_3174 +*9724 FILLER_10_3180 +*9725 FILLER_10_3186 +*9726 FILLER_10_3192 +*9727 FILLER_10_3198 +*9728 FILLER_10_3204 +*9729 FILLER_10_321 +*9730 FILLER_10_3210 +*9731 FILLER_10_3218 +*9732 FILLER_10_3220 +*9733 FILLER_10_3226 +*9734 FILLER_10_3232 +*9735 FILLER_10_3239 +*9736 FILLER_10_3245 +*9737 FILLER_10_3253 +*9738 FILLER_10_3257 +*9739 FILLER_10_3263 +*9740 FILLER_10_3276 +*9741 FILLER_10_3288 +*9742 FILLER_10_329 +*9743 FILLER_10_3300 +*9744 FILLER_10_3312 +*9745 FILLER_10_3324 +*9746 FILLER_10_3330 +*9747 FILLER_10_3332 +*9748 FILLER_10_3344 +*9749 FILLER_10_3356 +*9750 FILLER_10_3368 +*9751 FILLER_10_3376 +*9752 FILLER_10_3381 +*9753 FILLER_10_3388 +*9754 FILLER_10_3400 +*9755 FILLER_10_341 +*9756 FILLER_10_3412 +*9757 FILLER_10_3424 +*9758 FILLER_10_3436 +*9759 FILLER_10_3442 +*9760 FILLER_10_3444 +*9761 FILLER_10_3456 +*9762 FILLER_10_3468 +*9763 FILLER_10_3480 +*9764 FILLER_10_3492 +*9765 FILLER_10_3498 +*9766 FILLER_10_3500 +*9767 FILLER_10_3506 +*9768 FILLER_10_3518 +*9769 FILLER_10_353 +*9770 FILLER_10_3530 +*9771 FILLER_10_3542 +*9772 FILLER_10_3554 +*9773 FILLER_10_3556 +*9774 FILLER_10_3562 +*9775 FILLER_10_3570 +*9776 FILLER_10_3575 +*9777 FILLER_10_3581 +*9778 FILLER_10_3593 +*9779 FILLER_10_3605 +*9780 FILLER_10_361 +*9781 FILLER_10_3612 +*9782 FILLER_10_3624 +*9783 FILLER_10_3636 +*9784 FILLER_10_3642 +*9785 FILLER_10_3648 +*9786 FILLER_10_365 +*9787 FILLER_10_3660 +*9788 FILLER_10_3666 +*9789 FILLER_10_3668 +*9790 FILLER_10_3680 +*9791 FILLER_10_369 +*9792 FILLER_10_3692 +*9793 FILLER_10_3698 +*9794 FILLER_10_3706 +*9795 FILLER_10_3712 +*9796 FILLER_10_3720 +*9797 FILLER_10_3724 +*9798 FILLER_10_3736 +*9799 FILLER_10_3748 +*9800 FILLER_10_375 +*9801 FILLER_10_3760 +*9802 FILLER_10_3770 +*9803 FILLER_10_3776 +*9804 FILLER_10_3780 +*9805 FILLER_10_3792 +*9806 FILLER_10_3795 +*9807 FILLER_10_3802 +*9808 FILLER_10_3809 +*9809 FILLER_10_381 +*9810 FILLER_10_3817 +*9811 FILLER_10_3823 +*9812 FILLER_10_3836 +*9813 FILLER_10_3848 +*9814 FILLER_10_3860 +*9815 FILLER_10_3872 +*9816 FILLER_10_3884 +*9817 FILLER_10_3890 +*9818 FILLER_10_3892 +*9819 FILLER_10_3904 +*9820 FILLER_10_3908 +*9821 FILLER_10_3913 +*9822 FILLER_10_3925 +*9823 FILLER_10_393 +*9824 FILLER_10_3937 +*9825 FILLER_10_3945 +*9826 FILLER_10_3948 +*9827 FILLER_10_3959 +*9828 FILLER_10_3971 +*9829 FILLER_10_3983 +*9830 FILLER_10_3995 +*9831 FILLER_10_4004 +*9832 FILLER_10_4016 +*9833 FILLER_10_402 +*9834 FILLER_10_4028 +*9835 FILLER_10_4040 +*9836 FILLER_10_4052 +*9837 FILLER_10_4058 +*9838 FILLER_10_4060 +*9839 FILLER_10_4072 +*9840 FILLER_10_408 +*9841 FILLER_10_4084 +*9842 FILLER_10_4096 +*9843 FILLER_10_41 +*9844 FILLER_10_4102 +*9845 FILLER_10_421 +*9846 FILLER_10_427 +*9847 FILLER_10_439 +*9848 FILLER_10_451 +*9849 FILLER_10_463 +*9850 FILLER_10_475 +*9851 FILLER_10_477 +*9852 FILLER_10_489 +*9853 FILLER_10_501 +*9854 FILLER_10_509 +*9855 FILLER_10_516 +*9856 FILLER_10_528 +*9857 FILLER_10_53 +*9858 FILLER_10_533 +*9859 FILLER_10_545 +*9860 FILLER_10_557 +*9861 FILLER_10_569 +*9862 FILLER_10_573 +*9863 FILLER_10_581 +*9864 FILLER_10_587 +*9865 FILLER_10_589 +*9866 FILLER_10_601 +*9867 FILLER_10_613 +*9868 FILLER_10_625 +*9869 FILLER_10_637 +*9870 FILLER_10_643 +*9871 FILLER_10_645 +*9872 FILLER_10_65 +*9873 FILLER_10_657 +*9874 FILLER_10_669 +*9875 FILLER_10_77 +*9876 FILLER_10_83 +*9877 FILLER_10_85 +*9878 FILLER_10_949 +*9879 FILLER_10_961 +*9880 FILLER_10_97 +*9881 FILLER_10_973 +*9882 FILLER_10_975 +*9883 FILLER_10_987 +*9884 FILLER_10_999 +*9885 FILLER_11_1003 +*9886 FILLER_11_1015 +*9887 FILLER_11_1027 +*9888 FILLER_11_1031 +*9889 FILLER_11_1038 +*9890 FILLER_11_1048 +*9891 FILLER_11_1056 +*9892 FILLER_11_1059 +*9893 FILLER_11_1071 +*9894 FILLER_11_1083 +*9895 FILLER_11_1087 +*9896 FILLER_11_109 +*9897 FILLER_11_1099 +*9898 FILLER_11_1111 +*9899 FILLER_11_1115 +*9900 FILLER_11_1127 +*9901 FILLER_11_113 +*9902 FILLER_11_1139 +*9903 FILLER_11_1143 +*9904 FILLER_11_1149 +*9905 FILLER_11_1155 +*9906 FILLER_11_1167 +*9907 FILLER_11_1171 +*9908 FILLER_11_1183 +*9909 FILLER_11_1195 +*9910 FILLER_11_1199 +*9911 FILLER_11_1211 +*9912 FILLER_11_1223 +*9913 FILLER_11_1227 +*9914 FILLER_11_1231 +*9915 FILLER_11_1238 +*9916 FILLER_11_1244 +*9917 FILLER_11_125 +*9918 FILLER_11_1252 +*9919 FILLER_11_1255 +*9920 FILLER_11_1267 +*9921 FILLER_11_1279 +*9922 FILLER_11_1283 +*9923 FILLER_11_1295 +*9924 FILLER_11_1307 +*9925 FILLER_11_1311 +*9926 FILLER_11_1323 +*9927 FILLER_11_1335 +*9928 FILLER_11_1339 +*9929 FILLER_11_1351 +*9930 FILLER_11_1363 +*9931 FILLER_11_1367 +*9932 FILLER_11_137 +*9933 FILLER_11_1379 +*9934 FILLER_11_1391 +*9935 FILLER_11_1395 +*9936 FILLER_11_1407 +*9937 FILLER_11_141 +*9938 FILLER_11_1419 +*9939 FILLER_11_1423 +*9940 FILLER_11_1429 +*9941 FILLER_11_1432 +*9942 FILLER_11_1438 +*9943 FILLER_11_1451 +*9944 FILLER_11_1457 +*9945 FILLER_11_1460 +*9946 FILLER_11_1468 +*9947 FILLER_11_1476 +*9948 FILLER_11_1479 +*9949 FILLER_11_1483 +*9950 FILLER_11_1488 +*9951 FILLER_11_1496 +*9952 FILLER_11_15 +*9953 FILLER_11_1504 +*9954 FILLER_11_1507 +*9955 FILLER_11_1518 +*9956 FILLER_11_1524 +*9957 FILLER_11_153 +*9958 FILLER_11_1532 +*9959 FILLER_11_1535 +*9960 FILLER_11_1542 +*9961 FILLER_11_1551 +*9962 FILLER_11_1559 +*9963 FILLER_11_1563 +*9964 FILLER_11_1574 +*9965 FILLER_11_1578 +*9966 FILLER_11_1588 +*9967 FILLER_11_1591 +*9968 FILLER_11_1597 +*9969 FILLER_11_1603 +*9970 FILLER_11_1608 +*9971 FILLER_11_1616 +*9972 FILLER_11_1619 +*9973 FILLER_11_1625 +*9974 FILLER_11_1630 +*9975 FILLER_11_1643 +*9976 FILLER_11_1647 +*9977 FILLER_11_165 +*9978 FILLER_11_1651 +*9979 FILLER_11_1661 +*9980 FILLER_11_1667 +*9981 FILLER_11_1672 +*9982 FILLER_11_1675 +*9983 FILLER_11_1682 +*9984 FILLER_11_169 +*9985 FILLER_11_1690 +*9986 FILLER_11_1698 +*9987 FILLER_11_1703 +*9988 FILLER_11_1711 +*9989 FILLER_11_1724 +*9990 FILLER_11_1731 +*9991 FILLER_11_1742 +*9992 FILLER_11_1750 +*9993 FILLER_11_1756 +*9994 FILLER_11_1759 +*9995 FILLER_11_1770 +*9996 FILLER_11_1780 +*9997 FILLER_11_1787 +*9998 FILLER_11_1791 +*9999 FILLER_11_1799 +*10000 FILLER_11_181 +*10001 FILLER_11_1812 +*10002 FILLER_11_1815 +*10003 FILLER_11_1819 +*10004 FILLER_11_1823 +*10005 FILLER_11_1833 +*10006 FILLER_11_1839 +*10007 FILLER_11_1843 +*10008 FILLER_11_1847 +*10009 FILLER_11_1855 +*10010 FILLER_11_1868 +*10011 FILLER_11_1871 +*10012 FILLER_11_1881 +*10013 FILLER_11_1890 +*10014 FILLER_11_1896 +*10015 FILLER_11_1899 +*10016 FILLER_11_1910 +*10017 FILLER_11_1916 +*10018 FILLER_11_1924 +*10019 FILLER_11_1927 +*10020 FILLER_11_193 +*10021 FILLER_11_1931 +*10022 FILLER_11_1936 +*10023 FILLER_11_1944 +*10024 FILLER_11_1952 +*10025 FILLER_11_1955 +*10026 FILLER_11_1960 +*10027 FILLER_11_197 +*10028 FILLER_11_1973 +*10029 FILLER_11_1977 +*10030 FILLER_11_1980 +*10031 FILLER_11_1983 +*10032 FILLER_11_1990 +*10033 FILLER_11_1998 +*10034 FILLER_11_2008 +*10035 FILLER_11_2011 +*10036 FILLER_11_2022 +*10037 FILLER_11_2028 +*10038 FILLER_11_2036 +*10039 FILLER_11_2039 +*10040 FILLER_11_2050 +*10041 FILLER_11_2056 +*10042 FILLER_11_2064 +*10043 FILLER_11_2067 +*10044 FILLER_11_2073 +*10045 FILLER_11_2079 +*10046 FILLER_11_209 +*10047 FILLER_11_2092 +*10048 FILLER_11_2095 +*10049 FILLER_11_2106 +*10050 FILLER_11_2114 +*10051 FILLER_11_2120 +*10052 FILLER_11_2123 +*10053 FILLER_11_2129 +*10054 FILLER_11_2137 +*10055 FILLER_11_2145 +*10056 FILLER_11_2149 +*10057 FILLER_11_2151 +*10058 FILLER_11_2157 +*10059 FILLER_11_2166 +*10060 FILLER_11_2174 +*10061 FILLER_11_2179 +*10062 FILLER_11_2190 +*10063 FILLER_11_2198 +*10064 FILLER_11_2204 +*10065 FILLER_11_2207 +*10066 FILLER_11_221 +*10067 FILLER_11_2218 +*10068 FILLER_11_2228 +*10069 FILLER_11_2235 +*10070 FILLER_11_2241 +*10071 FILLER_11_2247 +*10072 FILLER_11_225 +*10073 FILLER_11_2253 +*10074 FILLER_11_2259 +*10075 FILLER_11_2263 +*10076 FILLER_11_2267 +*10077 FILLER_11_2284 +*10078 FILLER_11_2291 +*10079 FILLER_11_2296 +*10080 FILLER_11_2308 +*10081 FILLER_11_2316 +*10082 FILLER_11_2319 +*10083 FILLER_11_2323 +*10084 FILLER_11_2329 +*10085 FILLER_11_2344 +*10086 FILLER_11_2347 +*10087 FILLER_11_2351 +*10088 FILLER_11_2363 +*10089 FILLER_11_237 +*10090 FILLER_11_2371 +*10091 FILLER_11_2375 +*10092 FILLER_11_2387 +*10093 FILLER_11_2399 +*10094 FILLER_11_2403 +*10095 FILLER_11_2411 +*10096 FILLER_11_2423 +*10097 FILLER_11_2429 +*10098 FILLER_11_2431 +*10099 FILLER_11_2442 +*10100 FILLER_11_2448 +*10101 FILLER_11_2456 +*10102 FILLER_11_2459 +*10103 FILLER_11_246 +*10104 FILLER_11_2467 +*10105 FILLER_11_2478 +*10106 FILLER_11_2484 +*10107 FILLER_11_2487 +*10108 FILLER_11_2499 +*10109 FILLER_11_2511 +*10110 FILLER_11_2515 +*10111 FILLER_11_2527 +*10112 FILLER_11_253 +*10113 FILLER_11_2534 +*10114 FILLER_11_2540 +*10115 FILLER_11_2543 +*10116 FILLER_11_2547 +*10117 FILLER_11_2553 +*10118 FILLER_11_2565 +*10119 FILLER_11_2569 +*10120 FILLER_11_257 +*10121 FILLER_11_2571 +*10122 FILLER_11_2583 +*10123 FILLER_11_2595 +*10124 FILLER_11_2599 +*10125 FILLER_11_2603 +*10126 FILLER_11_2615 +*10127 FILLER_11_2619 +*10128 FILLER_11_2622 +*10129 FILLER_11_2627 +*10130 FILLER_11_2635 +*10131 FILLER_11_2638 +*10132 FILLER_11_2646 +*10133 FILLER_11_265 +*10134 FILLER_11_2655 +*10135 FILLER_11_2659 +*10136 FILLER_11_2662 +*10137 FILLER_11_2668 +*10138 FILLER_11_2674 +*10139 FILLER_11_2680 +*10140 FILLER_11_2683 +*10141 FILLER_11_2687 +*10142 FILLER_11_2695 +*10143 FILLER_11_27 +*10144 FILLER_11_270 +*10145 FILLER_11_2703 +*10146 FILLER_11_278 +*10147 FILLER_11_281 +*10148 FILLER_11_29 +*10149 FILLER_11_293 +*10150 FILLER_11_3 +*10151 FILLER_11_305 +*10152 FILLER_11_3082 +*10153 FILLER_11_309 +*10154 FILLER_11_3090 +*10155 FILLER_11_3098 +*10156 FILLER_11_3104 +*10157 FILLER_11_3108 +*10158 FILLER_11_3120 +*10159 FILLER_11_3126 +*10160 FILLER_11_3132 +*10161 FILLER_11_3136 +*10162 FILLER_11_3140 +*10163 FILLER_11_3152 +*10164 FILLER_11_3158 +*10165 FILLER_11_3162 +*10166 FILLER_11_3164 +*10167 FILLER_11_3168 +*10168 FILLER_11_3174 +*10169 FILLER_11_3180 +*10170 FILLER_11_3188 +*10171 FILLER_11_3192 +*10172 FILLER_11_3197 +*10173 FILLER_11_3205 +*10174 FILLER_11_321 +*10175 FILLER_11_3217 +*10176 FILLER_11_3220 +*10177 FILLER_11_3230 +*10178 FILLER_11_3240 +*10179 FILLER_11_3246 +*10180 FILLER_11_3248 +*10181 FILLER_11_3252 +*10182 FILLER_11_3255 +*10183 FILLER_11_3266 +*10184 FILLER_11_327 +*10185 FILLER_11_3274 +*10186 FILLER_11_3276 +*10187 FILLER_11_3290 +*10188 FILLER_11_3302 +*10189 FILLER_11_3304 +*10190 FILLER_11_3316 +*10191 FILLER_11_3324 +*10192 FILLER_11_3330 +*10193 FILLER_11_3332 +*10194 FILLER_11_3336 +*10195 FILLER_11_334 +*10196 FILLER_11_3348 +*10197 FILLER_11_3356 +*10198 FILLER_11_3360 +*10199 FILLER_11_337 +*10200 FILLER_11_3372 +*10201 FILLER_11_3384 +*10202 FILLER_11_3388 +*10203 FILLER_11_3400 +*10204 FILLER_11_341 +*10205 FILLER_11_3412 +*10206 FILLER_11_3416 +*10207 FILLER_11_3428 +*10208 FILLER_11_3440 +*10209 FILLER_11_3444 +*10210 FILLER_11_3456 +*10211 FILLER_11_3468 +*10212 FILLER_11_3472 +*10213 FILLER_11_3484 +*10214 FILLER_11_349 +*10215 FILLER_11_3496 +*10216 FILLER_11_3500 +*10217 FILLER_11_3506 +*10218 FILLER_11_3518 +*10219 FILLER_11_3526 +*10220 FILLER_11_3528 +*10221 FILLER_11_3540 +*10222 FILLER_11_3552 +*10223 FILLER_11_3556 +*10224 FILLER_11_3568 +*10225 FILLER_11_3576 +*10226 FILLER_11_3581 +*10227 FILLER_11_3584 +*10228 FILLER_11_3592 +*10229 FILLER_11_3604 +*10230 FILLER_11_361 +*10231 FILLER_11_3610 +*10232 FILLER_11_3612 +*10233 FILLER_11_3624 +*10234 FILLER_11_3636 +*10235 FILLER_11_3640 +*10236 FILLER_11_365 +*10237 FILLER_11_3652 +*10238 FILLER_11_3664 +*10239 FILLER_11_3668 +*10240 FILLER_11_3674 +*10241 FILLER_11_3690 +*10242 FILLER_11_3694 +*10243 FILLER_11_3696 +*10244 FILLER_11_3704 +*10245 FILLER_11_3708 +*10246 FILLER_11_3714 +*10247 FILLER_11_3722 +*10248 FILLER_11_3724 +*10249 FILLER_11_3736 +*10250 FILLER_11_3748 +*10251 FILLER_11_3752 +*10252 FILLER_11_3764 +*10253 FILLER_11_377 +*10254 FILLER_11_3776 +*10255 FILLER_11_3780 +*10256 FILLER_11_3792 +*10257 FILLER_11_3804 +*10258 FILLER_11_3808 +*10259 FILLER_11_3820 +*10260 FILLER_11_3832 +*10261 FILLER_11_3836 +*10262 FILLER_11_3841 +*10263 FILLER_11_3853 +*10264 FILLER_11_3861 +*10265 FILLER_11_3864 +*10266 FILLER_11_3872 +*10267 FILLER_11_3879 +*10268 FILLER_11_389 +*10269 FILLER_11_3892 +*10270 FILLER_11_3904 +*10271 FILLER_11_3916 +*10272 FILLER_11_3920 +*10273 FILLER_11_3925 +*10274 FILLER_11_393 +*10275 FILLER_11_3931 +*10276 FILLER_11_3943 +*10277 FILLER_11_3948 +*10278 FILLER_11_3960 +*10279 FILLER_11_3972 +*10280 FILLER_11_3976 +*10281 FILLER_11_3982 +*10282 FILLER_11_3985 +*10283 FILLER_11_3994 +*10284 FILLER_11_4002 +*10285 FILLER_11_4004 +*10286 FILLER_11_4016 +*10287 FILLER_11_4028 +*10288 FILLER_11_4032 +*10289 FILLER_11_4044 +*10290 FILLER_11_405 +*10291 FILLER_11_4052 +*10292 FILLER_11_4057 +*10293 FILLER_11_4060 +*10294 FILLER_11_4072 +*10295 FILLER_11_4084 +*10296 FILLER_11_4088 +*10297 FILLER_11_41 +*10298 FILLER_11_4100 +*10299 FILLER_11_417 +*10300 FILLER_11_421 +*10301 FILLER_11_429 +*10302 FILLER_11_435 +*10303 FILLER_11_447 +*10304 FILLER_11_449 +*10305 FILLER_11_461 +*10306 FILLER_11_473 +*10307 FILLER_11_477 +*10308 FILLER_11_491 +*10309 FILLER_11_498 +*10310 FILLER_11_505 +*10311 FILLER_11_511 +*10312 FILLER_11_523 +*10313 FILLER_11_53 +*10314 FILLER_11_531 +*10315 FILLER_11_533 +*10316 FILLER_11_537 +*10317 FILLER_11_542 +*10318 FILLER_11_554 +*10319 FILLER_11_561 +*10320 FILLER_11_57 +*10321 FILLER_11_573 +*10322 FILLER_11_585 +*10323 FILLER_11_589 +*10324 FILLER_11_601 +*10325 FILLER_11_613 +*10326 FILLER_11_617 +*10327 FILLER_11_622 +*10328 FILLER_11_634 +*10329 FILLER_11_642 +*10330 FILLER_11_645 +*10331 FILLER_11_657 +*10332 FILLER_11_669 +*10333 FILLER_11_673 +*10334 FILLER_11_679 +*10335 FILLER_11_69 +*10336 FILLER_11_81 +*10337 FILLER_11_85 +*10338 FILLER_11_949 +*10339 FILLER_11_961 +*10340 FILLER_11_97 +*10341 FILLER_11_973 +*10342 FILLER_11_975 +*10343 FILLER_11_987 +*10344 FILLER_11_999 +*10345 FILLER_12_1010 +*10346 FILLER_12_1016 +*10347 FILLER_12_1028 +*10348 FILLER_12_1031 +*10349 FILLER_12_1043 +*10350 FILLER_12_1055 +*10351 FILLER_12_1067 +*10352 FILLER_12_1073 +*10353 FILLER_12_1079 +*10354 FILLER_12_1085 +*10355 FILLER_12_1087 +*10356 FILLER_12_109 +*10357 FILLER_12_1099 +*10358 FILLER_12_1111 +*10359 FILLER_12_1123 +*10360 FILLER_12_1135 +*10361 FILLER_12_1140 +*10362 FILLER_12_1143 +*10363 FILLER_12_1147 +*10364 FILLER_12_1159 +*10365 FILLER_12_1171 +*10366 FILLER_12_1183 +*10367 FILLER_12_1195 +*10368 FILLER_12_1199 +*10369 FILLER_12_121 +*10370 FILLER_12_1211 +*10371 FILLER_12_1217 +*10372 FILLER_12_1223 +*10373 FILLER_12_1235 +*10374 FILLER_12_1247 +*10375 FILLER_12_1253 +*10376 FILLER_12_1255 +*10377 FILLER_12_1267 +*10378 FILLER_12_1279 +*10379 FILLER_12_1287 +*10380 FILLER_12_1292 +*10381 FILLER_12_1298 +*10382 FILLER_12_1311 +*10383 FILLER_12_1323 +*10384 FILLER_12_133 +*10385 FILLER_12_1335 +*10386 FILLER_12_1347 +*10387 FILLER_12_1357 +*10388 FILLER_12_1363 +*10389 FILLER_12_1367 +*10390 FILLER_12_1379 +*10391 FILLER_12_139 +*10392 FILLER_12_1391 +*10393 FILLER_12_1403 +*10394 FILLER_12_141 +*10395 FILLER_12_1415 +*10396 FILLER_12_1421 +*10397 FILLER_12_1423 +*10398 FILLER_12_1431 +*10399 FILLER_12_1437 +*10400 FILLER_12_15 +*10401 FILLER_12_153 +*10402 FILLER_12_165 +*10403 FILLER_12_177 +*10404 FILLER_12_189 +*10405 FILLER_12_195 +*10406 FILLER_12_197 +*10407 FILLER_12_209 +*10408 FILLER_12_221 +*10409 FILLER_12_2249 +*10410 FILLER_12_2256 +*10411 FILLER_12_2262 +*10412 FILLER_12_2268 +*10413 FILLER_12_2275 +*10414 FILLER_12_2279 +*10415 FILLER_12_2285 +*10416 FILLER_12_2291 +*10417 FILLER_12_2297 +*10418 FILLER_12_2303 +*10419 FILLER_12_2318 +*10420 FILLER_12_2322 +*10421 FILLER_12_2327 +*10422 FILLER_12_233 +*10423 FILLER_12_2331 +*10424 FILLER_12_2335 +*10425 FILLER_12_2341 +*10426 FILLER_12_2353 +*10427 FILLER_12_2365 +*10428 FILLER_12_2371 +*10429 FILLER_12_2374 +*10430 FILLER_12_2383 +*10431 FILLER_12_2387 +*10432 FILLER_12_2399 +*10433 FILLER_12_2411 +*10434 FILLER_12_2423 +*10435 FILLER_12_2435 +*10436 FILLER_12_2441 +*10437 FILLER_12_2443 +*10438 FILLER_12_245 +*10439 FILLER_12_2455 +*10440 FILLER_12_2467 +*10441 FILLER_12_2479 +*10442 FILLER_12_2491 +*10443 FILLER_12_2497 +*10444 FILLER_12_2499 +*10445 FILLER_12_251 +*10446 FILLER_12_2511 +*10447 FILLER_12_2523 +*10448 FILLER_12_2529 +*10449 FILLER_12_253 +*10450 FILLER_12_2539 +*10451 FILLER_12_2545 +*10452 FILLER_12_2551 +*10453 FILLER_12_2555 +*10454 FILLER_12_2559 +*10455 FILLER_12_2565 +*10456 FILLER_12_2573 +*10457 FILLER_12_2578 +*10458 FILLER_12_2590 +*10459 FILLER_12_2593 +*10460 FILLER_12_2608 +*10461 FILLER_12_2611 +*10462 FILLER_12_2615 +*10463 FILLER_12_2621 +*10464 FILLER_12_2628 +*10465 FILLER_12_2640 +*10466 FILLER_12_2652 +*10467 FILLER_12_2658 +*10468 FILLER_12_266 +*10469 FILLER_12_2664 +*10470 FILLER_12_2667 +*10471 FILLER_12_2671 +*10472 FILLER_12_2674 +*10473 FILLER_12_2689 +*10474 FILLER_12_2693 +*10475 FILLER_12_27 +*10476 FILLER_12_2703 +*10477 FILLER_12_274 +*10478 FILLER_12_281 +*10479 FILLER_12_285 +*10480 FILLER_12_288 +*10481 FILLER_12_29 +*10482 FILLER_12_3 +*10483 FILLER_12_300 +*10484 FILLER_12_3082 +*10485 FILLER_12_309 +*10486 FILLER_12_3090 +*10487 FILLER_12_3100 +*10488 FILLER_12_3106 +*10489 FILLER_12_3108 +*10490 FILLER_12_3116 +*10491 FILLER_12_3122 +*10492 FILLER_12_3128 +*10493 FILLER_12_3134 +*10494 FILLER_12_3140 +*10495 FILLER_12_3146 +*10496 FILLER_12_3152 +*10497 FILLER_12_3158 +*10498 FILLER_12_3162 +*10499 FILLER_12_3164 +*10500 FILLER_12_3168 +*10501 FILLER_12_3174 +*10502 FILLER_12_3180 +*10503 FILLER_12_3186 +*10504 FILLER_12_3198 +*10505 FILLER_12_321 +*10506 FILLER_12_3210 +*10507 FILLER_12_3218 +*10508 FILLER_12_3220 +*10509 FILLER_12_3230 +*10510 FILLER_12_3241 +*10511 FILLER_12_325 +*10512 FILLER_12_3253 +*10513 FILLER_12_3259 +*10514 FILLER_12_3262 +*10515 FILLER_12_3274 +*10516 FILLER_12_3276 +*10517 FILLER_12_3284 +*10518 FILLER_12_3288 +*10519 FILLER_12_329 +*10520 FILLER_12_3299 +*10521 FILLER_12_3311 +*10522 FILLER_12_3315 +*10523 FILLER_12_3318 +*10524 FILLER_12_3328 +*10525 FILLER_12_3332 +*10526 FILLER_12_3339 +*10527 FILLER_12_3349 +*10528 FILLER_12_3355 +*10529 FILLER_12_3361 +*10530 FILLER_12_3364 +*10531 FILLER_12_3372 +*10532 FILLER_12_3384 +*10533 FILLER_12_3388 +*10534 FILLER_12_3400 +*10535 FILLER_12_3408 +*10536 FILLER_12_341 +*10537 FILLER_12_3413 +*10538 FILLER_12_3421 +*10539 FILLER_12_3429 +*10540 FILLER_12_3435 +*10541 FILLER_12_3444 +*10542 FILLER_12_3456 +*10543 FILLER_12_3468 +*10544 FILLER_12_3480 +*10545 FILLER_12_3490 +*10546 FILLER_12_3497 +*10547 FILLER_12_3500 +*10548 FILLER_12_3508 +*10549 FILLER_12_3514 +*10550 FILLER_12_3520 +*10551 FILLER_12_3526 +*10552 FILLER_12_353 +*10553 FILLER_12_3538 +*10554 FILLER_12_3550 +*10555 FILLER_12_3554 +*10556 FILLER_12_3556 +*10557 FILLER_12_3564 +*10558 FILLER_12_3571 +*10559 FILLER_12_3577 +*10560 FILLER_12_3589 +*10561 FILLER_12_3601 +*10562 FILLER_12_3609 +*10563 FILLER_12_361 +*10564 FILLER_12_3612 +*10565 FILLER_12_3624 +*10566 FILLER_12_3636 +*10567 FILLER_12_3648 +*10568 FILLER_12_365 +*10569 FILLER_12_3660 +*10570 FILLER_12_3666 +*10571 FILLER_12_3668 +*10572 FILLER_12_3680 +*10573 FILLER_12_3692 +*10574 FILLER_12_3696 +*10575 FILLER_12_3708 +*10576 FILLER_12_3711 +*10577 FILLER_12_3717 +*10578 FILLER_12_3724 +*10579 FILLER_12_3732 +*10580 FILLER_12_3738 +*10581 FILLER_12_3750 +*10582 FILLER_12_3762 +*10583 FILLER_12_377 +*10584 FILLER_12_3772 +*10585 FILLER_12_3778 +*10586 FILLER_12_3780 +*10587 FILLER_12_3792 +*10588 FILLER_12_3804 +*10589 FILLER_12_3816 +*10590 FILLER_12_3828 +*10591 FILLER_12_3834 +*10592 FILLER_12_3836 +*10593 FILLER_12_3841 +*10594 FILLER_12_3847 +*10595 FILLER_12_3859 +*10596 FILLER_12_3867 +*10597 FILLER_12_3879 +*10598 FILLER_12_389 +*10599 FILLER_12_3892 +*10600 FILLER_12_3904 +*10601 FILLER_12_3916 +*10602 FILLER_12_3928 +*10603 FILLER_12_3940 +*10604 FILLER_12_3946 +*10605 FILLER_12_3948 +*10606 FILLER_12_3953 +*10607 FILLER_12_3962 +*10608 FILLER_12_3974 +*10609 FILLER_12_3982 +*10610 FILLER_12_3990 +*10611 FILLER_12_4002 +*10612 FILLER_12_4004 +*10613 FILLER_12_401 +*10614 FILLER_12_4016 +*10615 FILLER_12_4028 +*10616 FILLER_12_4036 +*10617 FILLER_12_4048 +*10618 FILLER_12_405 +*10619 FILLER_12_4056 +*10620 FILLER_12_4060 +*10621 FILLER_12_4069 +*10622 FILLER_12_4081 +*10623 FILLER_12_409 +*10624 FILLER_12_4093 +*10625 FILLER_12_41 +*10626 FILLER_12_4101 +*10627 FILLER_12_417 +*10628 FILLER_12_421 +*10629 FILLER_12_425 +*10630 FILLER_12_431 +*10631 FILLER_12_437 +*10632 FILLER_12_449 +*10633 FILLER_12_461 +*10634 FILLER_12_473 +*10635 FILLER_12_477 +*10636 FILLER_12_489 +*10637 FILLER_12_496 +*10638 FILLER_12_502 +*10639 FILLER_12_514 +*10640 FILLER_12_526 +*10641 FILLER_12_53 +*10642 FILLER_12_533 +*10643 FILLER_12_542 +*10644 FILLER_12_548 +*10645 FILLER_12_560 +*10646 FILLER_12_572 +*10647 FILLER_12_580 +*10648 FILLER_12_586 +*10649 FILLER_12_589 +*10650 FILLER_12_601 +*10651 FILLER_12_613 +*10652 FILLER_12_625 +*10653 FILLER_12_637 +*10654 FILLER_12_643 +*10655 FILLER_12_645 +*10656 FILLER_12_65 +*10657 FILLER_12_657 +*10658 FILLER_12_669 +*10659 FILLER_12_77 +*10660 FILLER_12_83 +*10661 FILLER_12_85 +*10662 FILLER_12_949 +*10663 FILLER_12_961 +*10664 FILLER_12_97 +*10665 FILLER_12_973 +*10666 FILLER_12_975 +*10667 FILLER_12_987 +*10668 FILLER_12_999 +*10669 FILLER_13_1001 +*10670 FILLER_13_1003 +*10671 FILLER_13_1015 +*10672 FILLER_13_1027 +*10673 FILLER_13_1035 +*10674 FILLER_13_1039 +*10675 FILLER_13_1046 +*10676 FILLER_13_105 +*10677 FILLER_13_1052 +*10678 FILLER_13_1059 +*10679 FILLER_13_1065 +*10680 FILLER_13_1071 +*10681 FILLER_13_1077 +*10682 FILLER_13_1083 +*10683 FILLER_13_1095 +*10684 FILLER_13_1107 +*10685 FILLER_13_111 +*10686 FILLER_13_1113 +*10687 FILLER_13_1115 +*10688 FILLER_13_1127 +*10689 FILLER_13_113 +*10690 FILLER_13_1139 +*10691 FILLER_13_1151 +*10692 FILLER_13_1163 +*10693 FILLER_13_1169 +*10694 FILLER_13_1171 +*10695 FILLER_13_1179 +*10696 FILLER_13_1185 +*10697 FILLER_13_1197 +*10698 FILLER_13_1209 +*10699 FILLER_13_1221 +*10700 FILLER_13_1225 +*10701 FILLER_13_1227 +*10702 FILLER_13_1239 +*10703 FILLER_13_1243 +*10704 FILLER_13_1248 +*10705 FILLER_13_125 +*10706 FILLER_13_1254 +*10707 FILLER_13_1266 +*10708 FILLER_13_1274 +*10709 FILLER_13_1280 +*10710 FILLER_13_1283 +*10711 FILLER_13_1287 +*10712 FILLER_13_1299 +*10713 FILLER_13_1311 +*10714 FILLER_13_1323 +*10715 FILLER_13_1335 +*10716 FILLER_13_1339 +*10717 FILLER_13_1351 +*10718 FILLER_13_1363 +*10719 FILLER_13_137 +*10720 FILLER_13_1375 +*10721 FILLER_13_1387 +*10722 FILLER_13_1393 +*10723 FILLER_13_1395 +*10724 FILLER_13_1403 +*10725 FILLER_13_1411 +*10726 FILLER_13_1417 +*10727 FILLER_13_1421 +*10728 FILLER_13_1426 +*10729 FILLER_13_1436 +*10730 FILLER_13_149 +*10731 FILLER_13_15 +*10732 FILLER_13_161 +*10733 FILLER_13_167 +*10734 FILLER_13_169 +*10735 FILLER_13_181 +*10736 FILLER_13_193 +*10737 FILLER_13_205 +*10738 FILLER_13_217 +*10739 FILLER_13_223 +*10740 FILLER_13_2249 +*10741 FILLER_13_225 +*10742 FILLER_13_2255 +*10743 FILLER_13_2261 +*10744 FILLER_13_2278 +*10745 FILLER_13_2284 +*10746 FILLER_13_2290 +*10747 FILLER_13_2300 +*10748 FILLER_13_2303 +*10749 FILLER_13_2312 +*10750 FILLER_13_2318 +*10751 FILLER_13_2324 +*10752 FILLER_13_2330 +*10753 FILLER_13_2336 +*10754 FILLER_13_2342 +*10755 FILLER_13_2354 +*10756 FILLER_13_2359 +*10757 FILLER_13_2363 +*10758 FILLER_13_237 +*10759 FILLER_13_2375 +*10760 FILLER_13_2387 +*10761 FILLER_13_2399 +*10762 FILLER_13_2411 +*10763 FILLER_13_2415 +*10764 FILLER_13_2419 +*10765 FILLER_13_2425 +*10766 FILLER_13_2437 +*10767 FILLER_13_2449 +*10768 FILLER_13_2461 +*10769 FILLER_13_2469 +*10770 FILLER_13_2471 +*10771 FILLER_13_2481 +*10772 FILLER_13_2489 +*10773 FILLER_13_249 +*10774 FILLER_13_2501 +*10775 FILLER_13_2513 +*10776 FILLER_13_2516 +*10777 FILLER_13_2522 +*10778 FILLER_13_2527 +*10779 FILLER_13_2533 +*10780 FILLER_13_2541 +*10781 FILLER_13_2547 +*10782 FILLER_13_2553 +*10783 FILLER_13_2559 +*10784 FILLER_13_2565 +*10785 FILLER_13_2571 +*10786 FILLER_13_2577 +*10787 FILLER_13_2581 +*10788 FILLER_13_2583 +*10789 FILLER_13_2591 +*10790 FILLER_13_2597 +*10791 FILLER_13_2605 +*10792 FILLER_13_261 +*10793 FILLER_13_2610 +*10794 FILLER_13_2618 +*10795 FILLER_13_2630 +*10796 FILLER_13_2639 +*10797 FILLER_13_2643 +*10798 FILLER_13_2649 +*10799 FILLER_13_265 +*10800 FILLER_13_2655 +*10801 FILLER_13_2661 +*10802 FILLER_13_2665 +*10803 FILLER_13_2670 +*10804 FILLER_13_2676 +*10805 FILLER_13_268 +*10806 FILLER_13_2681 +*10807 FILLER_13_2692 +*10808 FILLER_13_2695 +*10809 FILLER_13_27 +*10810 FILLER_13_2703 +*10811 FILLER_13_281 +*10812 FILLER_13_287 +*10813 FILLER_13_292 +*10814 FILLER_13_3 +*10815 FILLER_13_304 +*10816 FILLER_13_3082 +*10817 FILLER_13_3090 +*10818 FILLER_13_3100 +*10819 FILLER_13_3108 +*10820 FILLER_13_3116 +*10821 FILLER_13_3122 +*10822 FILLER_13_3127 +*10823 FILLER_13_3133 +*10824 FILLER_13_3136 +*10825 FILLER_13_3140 +*10826 FILLER_13_3146 +*10827 FILLER_13_3152 +*10828 FILLER_13_3158 +*10829 FILLER_13_316 +*10830 FILLER_13_3164 +*10831 FILLER_13_3170 +*10832 FILLER_13_3176 +*10833 FILLER_13_3180 +*10834 FILLER_13_3188 +*10835 FILLER_13_3192 +*10836 FILLER_13_3196 +*10837 FILLER_13_3208 +*10838 FILLER_13_3220 +*10839 FILLER_13_3223 +*10840 FILLER_13_3229 +*10841 FILLER_13_3232 +*10842 FILLER_13_3238 +*10843 FILLER_13_3242 +*10844 FILLER_13_3245 +*10845 FILLER_13_3248 +*10846 FILLER_13_3260 +*10847 FILLER_13_3272 +*10848 FILLER_13_3278 +*10849 FILLER_13_328 +*10850 FILLER_13_3292 +*10851 FILLER_13_3298 +*10852 FILLER_13_3301 +*10853 FILLER_13_3304 +*10854 FILLER_13_3310 +*10855 FILLER_13_3318 +*10856 FILLER_13_3329 +*10857 FILLER_13_3335 +*10858 FILLER_13_3341 +*10859 FILLER_13_3353 +*10860 FILLER_13_3360 +*10861 FILLER_13_3366 +*10862 FILLER_13_337 +*10863 FILLER_13_3378 +*10864 FILLER_13_3390 +*10865 FILLER_13_3402 +*10866 FILLER_13_3410 +*10867 FILLER_13_3414 +*10868 FILLER_13_3416 +*10869 FILLER_13_3424 +*10870 FILLER_13_3431 +*10871 FILLER_13_3437 +*10872 FILLER_13_3449 +*10873 FILLER_13_3461 +*10874 FILLER_13_3469 +*10875 FILLER_13_3472 +*10876 FILLER_13_3476 +*10877 FILLER_13_3480 +*10878 FILLER_13_3483 +*10879 FILLER_13_349 +*10880 FILLER_13_3496 +*10881 FILLER_13_3504 +*10882 FILLER_13_3510 +*10883 FILLER_13_3516 +*10884 FILLER_13_3520 +*10885 FILLER_13_3525 +*10886 FILLER_13_3528 +*10887 FILLER_13_3532 +*10888 FILLER_13_3538 +*10889 FILLER_13_355 +*10890 FILLER_13_3550 +*10891 FILLER_13_3560 +*10892 FILLER_13_3573 +*10893 FILLER_13_3581 +*10894 FILLER_13_3584 +*10895 FILLER_13_3596 +*10896 FILLER_13_3608 +*10897 FILLER_13_361 +*10898 FILLER_13_3618 +*10899 FILLER_13_3626 +*10900 FILLER_13_3638 +*10901 FILLER_13_3640 +*10902 FILLER_13_3644 +*10903 FILLER_13_3656 +*10904 FILLER_13_3668 +*10905 FILLER_13_3680 +*10906 FILLER_13_3688 +*10907 FILLER_13_3693 +*10908 FILLER_13_3696 +*10909 FILLER_13_3705 +*10910 FILLER_13_3718 +*10911 FILLER_13_373 +*10912 FILLER_13_3730 +*10913 FILLER_13_3742 +*10914 FILLER_13_3750 +*10915 FILLER_13_3752 +*10916 FILLER_13_3764 +*10917 FILLER_13_3768 +*10918 FILLER_13_377 +*10919 FILLER_13_3777 +*10920 FILLER_13_3789 +*10921 FILLER_13_3801 +*10922 FILLER_13_3808 +*10923 FILLER_13_3816 +*10924 FILLER_13_3820 +*10925 FILLER_13_3826 +*10926 FILLER_13_3838 +*10927 FILLER_13_3843 +*10928 FILLER_13_3855 +*10929 FILLER_13_3864 +*10930 FILLER_13_3870 +*10931 FILLER_13_3877 +*10932 FILLER_13_3883 +*10933 FILLER_13_389 +*10934 FILLER_13_3895 +*10935 FILLER_13_39 +*10936 FILLER_13_3907 +*10937 FILLER_13_3920 +*10938 FILLER_13_393 +*10939 FILLER_13_3932 +*10940 FILLER_13_3944 +*10941 FILLER_13_3952 +*10942 FILLER_13_3957 +*10943 FILLER_13_3969 +*10944 FILLER_13_3976 +*10945 FILLER_13_3984 +*10946 FILLER_13_3988 +*10947 FILLER_13_4000 +*10948 FILLER_13_4006 +*10949 FILLER_13_4018 +*10950 FILLER_13_4030 +*10951 FILLER_13_4032 +*10952 FILLER_13_4039 +*10953 FILLER_13_4050 +*10954 FILLER_13_4056 +*10955 FILLER_13_4068 +*10956 FILLER_13_408 +*10957 FILLER_13_4080 +*10958 FILLER_13_4086 +*10959 FILLER_13_4088 +*10960 FILLER_13_4100 +*10961 FILLER_13_414 +*10962 FILLER_13_426 +*10963 FILLER_13_430 +*10964 FILLER_13_433 +*10965 FILLER_13_445 +*10966 FILLER_13_449 +*10967 FILLER_13_461 +*10968 FILLER_13_473 +*10969 FILLER_13_483 +*10970 FILLER_13_495 +*10971 FILLER_13_503 +*10972 FILLER_13_505 +*10973 FILLER_13_51 +*10974 FILLER_13_511 +*10975 FILLER_13_523 +*10976 FILLER_13_537 +*10977 FILLER_13_549 +*10978 FILLER_13_55 +*10979 FILLER_13_557 +*10980 FILLER_13_561 +*10981 FILLER_13_57 +*10982 FILLER_13_573 +*10983 FILLER_13_584 +*10984 FILLER_13_596 +*10985 FILLER_13_608 +*10986 FILLER_13_617 +*10987 FILLER_13_629 +*10988 FILLER_13_641 +*10989 FILLER_13_653 +*10990 FILLER_13_665 +*10991 FILLER_13_671 +*10992 FILLER_13_673 +*10993 FILLER_13_69 +*10994 FILLER_13_81 +*10995 FILLER_13_93 +*10996 FILLER_13_949 +*10997 FILLER_13_961 +*10998 FILLER_13_965 +*10999 FILLER_13_977 +*11000 FILLER_13_989 +*11001 FILLER_14_1009 +*11002 FILLER_14_1015 +*11003 FILLER_14_1027 +*11004 FILLER_14_1031 +*11005 FILLER_14_1043 +*11006 FILLER_14_1055 +*11007 FILLER_14_1067 +*11008 FILLER_14_1073 +*11009 FILLER_14_1076 +*11010 FILLER_14_1084 +*11011 FILLER_14_1087 +*11012 FILLER_14_109 +*11013 FILLER_14_1098 +*11014 FILLER_14_1104 +*11015 FILLER_14_1116 +*11016 FILLER_14_1119 +*11017 FILLER_14_1131 +*11018 FILLER_14_1139 +*11019 FILLER_14_1143 +*11020 FILLER_14_1154 +*11021 FILLER_14_1160 +*11022 FILLER_14_1172 +*11023 FILLER_14_1184 +*11024 FILLER_14_1196 +*11025 FILLER_14_1199 +*11026 FILLER_14_121 +*11027 FILLER_14_1211 +*11028 FILLER_14_1223 +*11029 FILLER_14_1227 +*11030 FILLER_14_1235 +*11031 FILLER_14_1238 +*11032 FILLER_14_1252 +*11033 FILLER_14_1255 +*11034 FILLER_14_1259 +*11035 FILLER_14_1262 +*11036 FILLER_14_1274 +*11037 FILLER_14_1286 +*11038 FILLER_14_1298 +*11039 FILLER_14_1308 +*11040 FILLER_14_1311 +*11041 FILLER_14_1317 +*11042 FILLER_14_1329 +*11043 FILLER_14_133 +*11044 FILLER_14_1341 +*11045 FILLER_14_1353 +*11046 FILLER_14_1365 +*11047 FILLER_14_1367 +*11048 FILLER_14_1379 +*11049 FILLER_14_139 +*11050 FILLER_14_1391 +*11051 FILLER_14_1403 +*11052 FILLER_14_141 +*11053 FILLER_14_1411 +*11054 FILLER_14_1414 +*11055 FILLER_14_1420 +*11056 FILLER_14_1423 +*11057 FILLER_14_1428 +*11058 FILLER_14_1436 +*11059 FILLER_14_15 +*11060 FILLER_14_153 +*11061 FILLER_14_165 +*11062 FILLER_14_177 +*11063 FILLER_14_189 +*11064 FILLER_14_195 +*11065 FILLER_14_197 +*11066 FILLER_14_209 +*11067 FILLER_14_221 +*11068 FILLER_14_2249 +*11069 FILLER_14_2258 +*11070 FILLER_14_2266 +*11071 FILLER_14_2272 +*11072 FILLER_14_2275 +*11073 FILLER_14_2279 +*11074 FILLER_14_2285 +*11075 FILLER_14_2291 +*11076 FILLER_14_2297 +*11077 FILLER_14_2303 +*11078 FILLER_14_2308 +*11079 FILLER_14_2314 +*11080 FILLER_14_2320 +*11081 FILLER_14_2326 +*11082 FILLER_14_233 +*11083 FILLER_14_2331 +*11084 FILLER_14_2341 +*11085 FILLER_14_2347 +*11086 FILLER_14_2353 +*11087 FILLER_14_2361 +*11088 FILLER_14_2366 +*11089 FILLER_14_2372 +*11090 FILLER_14_2384 +*11091 FILLER_14_2387 +*11092 FILLER_14_2399 +*11093 FILLER_14_2407 +*11094 FILLER_14_2420 +*11095 FILLER_14_2428 +*11096 FILLER_14_2434 +*11097 FILLER_14_2440 +*11098 FILLER_14_2443 +*11099 FILLER_14_2448 +*11100 FILLER_14_245 +*11101 FILLER_14_2459 +*11102 FILLER_14_2465 +*11103 FILLER_14_2473 +*11104 FILLER_14_2477 +*11105 FILLER_14_2485 +*11106 FILLER_14_2497 +*11107 FILLER_14_2499 +*11108 FILLER_14_2504 +*11109 FILLER_14_251 +*11110 FILLER_14_2510 +*11111 FILLER_14_2513 +*11112 FILLER_14_2519 +*11113 FILLER_14_2525 +*11114 FILLER_14_253 +*11115 FILLER_14_2535 +*11116 FILLER_14_2545 +*11117 FILLER_14_2551 +*11118 FILLER_14_2555 +*11119 FILLER_14_2561 +*11120 FILLER_14_2569 +*11121 FILLER_14_2576 +*11122 FILLER_14_2582 +*11123 FILLER_14_2588 +*11124 FILLER_14_2600 +*11125 FILLER_14_2606 +*11126 FILLER_14_2611 +*11127 FILLER_14_2617 +*11128 FILLER_14_2624 +*11129 FILLER_14_2630 +*11130 FILLER_14_2636 +*11131 FILLER_14_2642 +*11132 FILLER_14_2648 +*11133 FILLER_14_265 +*11134 FILLER_14_2654 +*11135 FILLER_14_2660 +*11136 FILLER_14_2667 +*11137 FILLER_14_2673 +*11138 FILLER_14_2677 +*11139 FILLER_14_2682 +*11140 FILLER_14_2690 +*11141 FILLER_14_27 +*11142 FILLER_14_2703 +*11143 FILLER_14_277 +*11144 FILLER_14_284 +*11145 FILLER_14_29 +*11146 FILLER_14_290 +*11147 FILLER_14_3 +*11148 FILLER_14_302 +*11149 FILLER_14_3082 +*11150 FILLER_14_309 +*11151 FILLER_14_3093 +*11152 FILLER_14_3101 +*11153 FILLER_14_3108 +*11154 FILLER_14_3114 +*11155 FILLER_14_3120 +*11156 FILLER_14_3126 +*11157 FILLER_14_3132 +*11158 FILLER_14_3137 +*11159 FILLER_14_3143 +*11160 FILLER_14_3149 +*11161 FILLER_14_3155 +*11162 FILLER_14_3160 +*11163 FILLER_14_3164 +*11164 FILLER_14_3170 +*11165 FILLER_14_3178 +*11166 FILLER_14_3184 +*11167 FILLER_14_3190 +*11168 FILLER_14_3202 +*11169 FILLER_14_321 +*11170 FILLER_14_3214 +*11171 FILLER_14_3218 +*11172 FILLER_14_3220 +*11173 FILLER_14_3232 +*11174 FILLER_14_3238 +*11175 FILLER_14_3244 +*11176 FILLER_14_3254 +*11177 FILLER_14_3267 +*11178 FILLER_14_3273 +*11179 FILLER_14_3276 +*11180 FILLER_14_3288 +*11181 FILLER_14_3300 +*11182 FILLER_14_3304 +*11183 FILLER_14_3316 +*11184 FILLER_14_3320 +*11185 FILLER_14_3328 +*11186 FILLER_14_333 +*11187 FILLER_14_3332 +*11188 FILLER_14_3344 +*11189 FILLER_14_3356 +*11190 FILLER_14_3364 +*11191 FILLER_14_3375 +*11192 FILLER_14_3388 +*11193 FILLER_14_3393 +*11194 FILLER_14_3399 +*11195 FILLER_14_3411 +*11196 FILLER_14_3423 +*11197 FILLER_14_3429 +*11198 FILLER_14_3441 +*11199 FILLER_14_3444 +*11200 FILLER_14_3449 +*11201 FILLER_14_345 +*11202 FILLER_14_3453 +*11203 FILLER_14_3458 +*11204 FILLER_14_3464 +*11205 FILLER_14_3472 +*11206 FILLER_14_3481 +*11207 FILLER_14_3487 +*11208 FILLER_14_3493 +*11209 FILLER_14_3500 +*11210 FILLER_14_3506 +*11211 FILLER_14_3510 +*11212 FILLER_14_3515 +*11213 FILLER_14_3527 +*11214 FILLER_14_3533 +*11215 FILLER_14_3539 +*11216 FILLER_14_3551 +*11217 FILLER_14_3556 +*11218 FILLER_14_357 +*11219 FILLER_14_3572 +*11220 FILLER_14_3578 +*11221 FILLER_14_3590 +*11222 FILLER_14_3602 +*11223 FILLER_14_3610 +*11224 FILLER_14_3612 +*11225 FILLER_14_3616 +*11226 FILLER_14_363 +*11227 FILLER_14_3630 +*11228 FILLER_14_3636 +*11229 FILLER_14_3647 +*11230 FILLER_14_365 +*11231 FILLER_14_3653 +*11232 FILLER_14_3659 +*11233 FILLER_14_3668 +*11234 FILLER_14_3680 +*11235 FILLER_14_3684 +*11236 FILLER_14_3698 +*11237 FILLER_14_3709 +*11238 FILLER_14_3715 +*11239 FILLER_14_3724 +*11240 FILLER_14_3736 +*11241 FILLER_14_3748 +*11242 FILLER_14_3760 +*11243 FILLER_14_377 +*11244 FILLER_14_3772 +*11245 FILLER_14_3778 +*11246 FILLER_14_3780 +*11247 FILLER_14_3792 +*11248 FILLER_14_3804 +*11249 FILLER_14_3816 +*11250 FILLER_14_3828 +*11251 FILLER_14_3834 +*11252 FILLER_14_3836 +*11253 FILLER_14_3848 +*11254 FILLER_14_3860 +*11255 FILLER_14_3866 +*11256 FILLER_14_3878 +*11257 FILLER_14_389 +*11258 FILLER_14_3890 +*11259 FILLER_14_3892 +*11260 FILLER_14_3904 +*11261 FILLER_14_3916 +*11262 FILLER_14_3924 +*11263 FILLER_14_3928 +*11264 FILLER_14_3940 +*11265 FILLER_14_3946 +*11266 FILLER_14_3948 +*11267 FILLER_14_3960 +*11268 FILLER_14_3972 +*11269 FILLER_14_3980 +*11270 FILLER_14_3984 +*11271 FILLER_14_3993 +*11272 FILLER_14_4001 +*11273 FILLER_14_4004 +*11274 FILLER_14_401 +*11275 FILLER_14_4011 +*11276 FILLER_14_4023 +*11277 FILLER_14_4035 +*11278 FILLER_14_4040 +*11279 FILLER_14_4046 +*11280 FILLER_14_4058 +*11281 FILLER_14_406 +*11282 FILLER_14_4060 +*11283 FILLER_14_4072 +*11284 FILLER_14_4078 +*11285 FILLER_14_4081 +*11286 FILLER_14_41 +*11287 FILLER_14_4101 +*11288 FILLER_14_412 +*11289 FILLER_14_421 +*11290 FILLER_14_433 +*11291 FILLER_14_445 +*11292 FILLER_14_457 +*11293 FILLER_14_469 +*11294 FILLER_14_475 +*11295 FILLER_14_477 +*11296 FILLER_14_487 +*11297 FILLER_14_499 +*11298 FILLER_14_507 +*11299 FILLER_14_512 +*11300 FILLER_14_524 +*11301 FILLER_14_53 +*11302 FILLER_14_533 +*11303 FILLER_14_540 +*11304 FILLER_14_546 +*11305 FILLER_14_558 +*11306 FILLER_14_570 +*11307 FILLER_14_582 +*11308 FILLER_14_589 +*11309 FILLER_14_601 +*11310 FILLER_14_613 +*11311 FILLER_14_625 +*11312 FILLER_14_637 +*11313 FILLER_14_643 +*11314 FILLER_14_645 +*11315 FILLER_14_65 +*11316 FILLER_14_652 +*11317 FILLER_14_658 +*11318 FILLER_14_666 +*11319 FILLER_14_671 +*11320 FILLER_14_677 +*11321 FILLER_14_77 +*11322 FILLER_14_83 +*11323 FILLER_14_85 +*11324 FILLER_14_949 +*11325 FILLER_14_954 +*11326 FILLER_14_963 +*11327 FILLER_14_969 +*11328 FILLER_14_97 +*11329 FILLER_14_973 +*11330 FILLER_14_975 +*11331 FILLER_14_987 +*11332 FILLER_14_999 +*11333 FILLER_15_1003 +*11334 FILLER_15_1015 +*11335 FILLER_15_1023 +*11336 FILLER_15_1029 +*11337 FILLER_15_1037 +*11338 FILLER_15_1044 +*11339 FILLER_15_105 +*11340 FILLER_15_1056 +*11341 FILLER_15_1059 +*11342 FILLER_15_1065 +*11343 FILLER_15_1074 +*11344 FILLER_15_1082 +*11345 FILLER_15_1092 +*11346 FILLER_15_1104 +*11347 FILLER_15_111 +*11348 FILLER_15_1112 +*11349 FILLER_15_1115 +*11350 FILLER_15_1119 +*11351 FILLER_15_1125 +*11352 FILLER_15_1128 +*11353 FILLER_15_113 +*11354 FILLER_15_1140 +*11355 FILLER_15_1152 +*11356 FILLER_15_1164 +*11357 FILLER_15_1171 +*11358 FILLER_15_1183 +*11359 FILLER_15_1195 +*11360 FILLER_15_1207 +*11361 FILLER_15_1219 +*11362 FILLER_15_1224 +*11363 FILLER_15_1227 +*11364 FILLER_15_1236 +*11365 FILLER_15_1240 +*11366 FILLER_15_1243 +*11367 FILLER_15_125 +*11368 FILLER_15_1251 +*11369 FILLER_15_1260 +*11370 FILLER_15_1266 +*11371 FILLER_15_1274 +*11372 FILLER_15_1278 +*11373 FILLER_15_1283 +*11374 FILLER_15_1295 +*11375 FILLER_15_1307 +*11376 FILLER_15_1315 +*11377 FILLER_15_1320 +*11378 FILLER_15_1326 +*11379 FILLER_15_1329 +*11380 FILLER_15_1337 +*11381 FILLER_15_1339 +*11382 FILLER_15_1347 +*11383 FILLER_15_1356 +*11384 FILLER_15_1362 +*11385 FILLER_15_137 +*11386 FILLER_15_1374 +*11387 FILLER_15_1386 +*11388 FILLER_15_1395 +*11389 FILLER_15_1403 +*11390 FILLER_15_1407 +*11391 FILLER_15_1415 +*11392 FILLER_15_1421 +*11393 FILLER_15_1427 +*11394 FILLER_15_1436 +*11395 FILLER_15_149 +*11396 FILLER_15_15 +*11397 FILLER_15_161 +*11398 FILLER_15_167 +*11399 FILLER_15_169 +*11400 FILLER_15_181 +*11401 FILLER_15_193 +*11402 FILLER_15_205 +*11403 FILLER_15_217 +*11404 FILLER_15_223 +*11405 FILLER_15_2249 +*11406 FILLER_15_225 +*11407 FILLER_15_2257 +*11408 FILLER_15_2267 +*11409 FILLER_15_2275 +*11410 FILLER_15_2279 +*11411 FILLER_15_2286 +*11412 FILLER_15_2292 +*11413 FILLER_15_2298 +*11414 FILLER_15_2303 +*11415 FILLER_15_2307 +*11416 FILLER_15_2313 +*11417 FILLER_15_2319 +*11418 FILLER_15_2325 +*11419 FILLER_15_2329 +*11420 FILLER_15_2334 +*11421 FILLER_15_2342 +*11422 FILLER_15_2348 +*11423 FILLER_15_2356 +*11424 FILLER_15_2359 +*11425 FILLER_15_2367 +*11426 FILLER_15_237 +*11427 FILLER_15_2373 +*11428 FILLER_15_2381 +*11429 FILLER_15_2391 +*11430 FILLER_15_2399 +*11431 FILLER_15_2403 +*11432 FILLER_15_2412 +*11433 FILLER_15_2415 +*11434 FILLER_15_2420 +*11435 FILLER_15_2431 +*11436 FILLER_15_2445 +*11437 FILLER_15_2451 +*11438 FILLER_15_2457 +*11439 FILLER_15_2463 +*11440 FILLER_15_2469 +*11441 FILLER_15_2471 +*11442 FILLER_15_2479 +*11443 FILLER_15_249 +*11444 FILLER_15_2490 +*11445 FILLER_15_2498 +*11446 FILLER_15_2508 +*11447 FILLER_15_2514 +*11448 FILLER_15_2518 +*11449 FILLER_15_2523 +*11450 FILLER_15_2527 +*11451 FILLER_15_2531 +*11452 FILLER_15_2541 +*11453 FILLER_15_2545 +*11454 FILLER_15_2552 +*11455 FILLER_15_2558 +*11456 FILLER_15_2564 +*11457 FILLER_15_2570 +*11458 FILLER_15_2580 +*11459 FILLER_15_2583 +*11460 FILLER_15_2589 +*11461 FILLER_15_2597 +*11462 FILLER_15_2603 +*11463 FILLER_15_2609 +*11464 FILLER_15_261 +*11465 FILLER_15_2617 +*11466 FILLER_15_2622 +*11467 FILLER_15_2628 +*11468 FILLER_15_2634 +*11469 FILLER_15_2639 +*11470 FILLER_15_2648 +*11471 FILLER_15_2656 +*11472 FILLER_15_2664 +*11473 FILLER_15_2673 +*11474 FILLER_15_2683 +*11475 FILLER_15_2691 +*11476 FILLER_15_2695 +*11477 FILLER_15_27 +*11478 FILLER_15_2703 +*11479 FILLER_15_277 +*11480 FILLER_15_281 +*11481 FILLER_15_288 +*11482 FILLER_15_294 +*11483 FILLER_15_3 +*11484 FILLER_15_306 +*11485 FILLER_15_3082 +*11486 FILLER_15_3093 +*11487 FILLER_15_3103 +*11488 FILLER_15_3111 +*11489 FILLER_15_3119 +*11490 FILLER_15_3125 +*11491 FILLER_15_3131 +*11492 FILLER_15_3136 +*11493 FILLER_15_3142 +*11494 FILLER_15_3146 +*11495 FILLER_15_3154 +*11496 FILLER_15_3162 +*11497 FILLER_15_3168 +*11498 FILLER_15_3174 +*11499 FILLER_15_318 +*11500 FILLER_15_3186 +*11501 FILLER_15_3190 +*11502 FILLER_15_3192 +*11503 FILLER_15_3196 +*11504 FILLER_15_3210 +*11505 FILLER_15_3218 +*11506 FILLER_15_3226 +*11507 FILLER_15_3234 +*11508 FILLER_15_3244 +*11509 FILLER_15_3248 +*11510 FILLER_15_3260 +*11511 FILLER_15_3266 +*11512 FILLER_15_3272 +*11513 FILLER_15_3284 +*11514 FILLER_15_3296 +*11515 FILLER_15_330 +*11516 FILLER_15_3301 +*11517 FILLER_15_3304 +*11518 FILLER_15_3313 +*11519 FILLER_15_3319 +*11520 FILLER_15_3327 +*11521 FILLER_15_3332 +*11522 FILLER_15_3340 +*11523 FILLER_15_3348 +*11524 FILLER_15_3356 +*11525 FILLER_15_3360 +*11526 FILLER_15_3368 +*11527 FILLER_15_337 +*11528 FILLER_15_3382 +*11529 FILLER_15_3395 +*11530 FILLER_15_3399 +*11531 FILLER_15_3404 +*11532 FILLER_15_3410 +*11533 FILLER_15_3414 +*11534 FILLER_15_3416 +*11535 FILLER_15_3422 +*11536 FILLER_15_3434 +*11537 FILLER_15_3442 +*11538 FILLER_15_3445 +*11539 FILLER_15_3454 +*11540 FILLER_15_3466 +*11541 FILLER_15_3469 +*11542 FILLER_15_3472 +*11543 FILLER_15_3476 +*11544 FILLER_15_3489 +*11545 FILLER_15_349 +*11546 FILLER_15_3495 +*11547 FILLER_15_3501 +*11548 FILLER_15_3512 +*11549 FILLER_15_3525 +*11550 FILLER_15_3528 +*11551 FILLER_15_3539 +*11552 FILLER_15_3545 +*11553 FILLER_15_3553 +*11554 FILLER_15_3565 +*11555 FILLER_15_3568 +*11556 FILLER_15_3581 +*11557 FILLER_15_3584 +*11558 FILLER_15_3594 +*11559 FILLER_15_3600 +*11560 FILLER_15_3608 +*11561 FILLER_15_361 +*11562 FILLER_15_3619 +*11563 FILLER_15_3625 +*11564 FILLER_15_3631 +*11565 FILLER_15_3637 +*11566 FILLER_15_3640 +*11567 FILLER_15_3651 +*11568 FILLER_15_3660 +*11569 FILLER_15_3672 +*11570 FILLER_15_3680 +*11571 FILLER_15_3689 +*11572 FILLER_15_3696 +*11573 FILLER_15_3708 +*11574 FILLER_15_3712 +*11575 FILLER_15_3718 +*11576 FILLER_15_3727 +*11577 FILLER_15_373 +*11578 FILLER_15_3733 +*11579 FILLER_15_3739 +*11580 FILLER_15_3752 +*11581 FILLER_15_3764 +*11582 FILLER_15_3776 +*11583 FILLER_15_3788 +*11584 FILLER_15_3800 +*11585 FILLER_15_3806 +*11586 FILLER_15_3808 +*11587 FILLER_15_3820 +*11588 FILLER_15_3832 +*11589 FILLER_15_3843 +*11590 FILLER_15_385 +*11591 FILLER_15_3855 +*11592 FILLER_15_3861 +*11593 FILLER_15_3864 +*11594 FILLER_15_3871 +*11595 FILLER_15_3883 +*11596 FILLER_15_3895 +*11597 FILLER_15_3899 +*11598 FILLER_15_39 +*11599 FILLER_15_3908 +*11600 FILLER_15_391 +*11601 FILLER_15_3916 +*11602 FILLER_15_3920 +*11603 FILLER_15_3926 +*11604 FILLER_15_393 +*11605 FILLER_15_3937 +*11606 FILLER_15_3951 +*11607 FILLER_15_3963 +*11608 FILLER_15_3976 +*11609 FILLER_15_3982 +*11610 FILLER_15_3985 +*11611 FILLER_15_3994 +*11612 FILLER_15_4006 +*11613 FILLER_15_401 +*11614 FILLER_15_4018 +*11615 FILLER_15_4030 +*11616 FILLER_15_4032 +*11617 FILLER_15_4042 +*11618 FILLER_15_4052 +*11619 FILLER_15_406 +*11620 FILLER_15_4064 +*11621 FILLER_15_4076 +*11622 FILLER_15_4084 +*11623 FILLER_15_4088 +*11624 FILLER_15_4100 +*11625 FILLER_15_418 +*11626 FILLER_15_430 +*11627 FILLER_15_442 +*11628 FILLER_15_449 +*11629 FILLER_15_457 +*11630 FILLER_15_462 +*11631 FILLER_15_474 +*11632 FILLER_15_486 +*11633 FILLER_15_498 +*11634 FILLER_15_505 +*11635 FILLER_15_51 +*11636 FILLER_15_510 +*11637 FILLER_15_516 +*11638 FILLER_15_528 +*11639 FILLER_15_540 +*11640 FILLER_15_55 +*11641 FILLER_15_551 +*11642 FILLER_15_557 +*11643 FILLER_15_561 +*11644 FILLER_15_57 +*11645 FILLER_15_573 +*11646 FILLER_15_585 +*11647 FILLER_15_589 +*11648 FILLER_15_601 +*11649 FILLER_15_613 +*11650 FILLER_15_617 +*11651 FILLER_15_629 +*11652 FILLER_15_641 +*11653 FILLER_15_653 +*11654 FILLER_15_657 +*11655 FILLER_15_665 +*11656 FILLER_15_671 +*11657 FILLER_15_673 +*11658 FILLER_15_679 +*11659 FILLER_15_69 +*11660 FILLER_15_81 +*11661 FILLER_15_93 +*11662 FILLER_15_949 +*11663 FILLER_15_956 +*11664 FILLER_15_962 +*11665 FILLER_15_966 +*11666 FILLER_15_969 +*11667 FILLER_15_975 +*11668 FILLER_15_987 +*11669 FILLER_15_999 +*11670 FILLER_16_1011 +*11671 FILLER_16_1023 +*11672 FILLER_16_1029 +*11673 FILLER_16_1031 +*11674 FILLER_16_1043 +*11675 FILLER_16_1057 +*11676 FILLER_16_1069 +*11677 FILLER_16_1072 +*11678 FILLER_16_1078 +*11679 FILLER_16_1084 +*11680 FILLER_16_1087 +*11681 FILLER_16_109 +*11682 FILLER_16_1093 +*11683 FILLER_16_1096 +*11684 FILLER_16_1104 +*11685 FILLER_16_1117 +*11686 FILLER_16_1126 +*11687 FILLER_16_1132 +*11688 FILLER_16_1140 +*11689 FILLER_16_1143 +*11690 FILLER_16_1147 +*11691 FILLER_16_1159 +*11692 FILLER_16_1171 +*11693 FILLER_16_1183 +*11694 FILLER_16_1193 +*11695 FILLER_16_1197 +*11696 FILLER_16_1199 +*11697 FILLER_16_1203 +*11698 FILLER_16_1209 +*11699 FILLER_16_121 +*11700 FILLER_16_1215 +*11701 FILLER_16_1221 +*11702 FILLER_16_1227 +*11703 FILLER_16_1233 +*11704 FILLER_16_1239 +*11705 FILLER_16_1250 +*11706 FILLER_16_1255 +*11707 FILLER_16_1261 +*11708 FILLER_16_1264 +*11709 FILLER_16_1268 +*11710 FILLER_16_1271 +*11711 FILLER_16_1279 +*11712 FILLER_16_1285 +*11713 FILLER_16_1297 +*11714 FILLER_16_1305 +*11715 FILLER_16_1308 +*11716 FILLER_16_1311 +*11717 FILLER_16_1318 +*11718 FILLER_16_1324 +*11719 FILLER_16_1327 +*11720 FILLER_16_133 +*11721 FILLER_16_1338 +*11722 FILLER_16_1350 +*11723 FILLER_16_1362 +*11724 FILLER_16_1367 +*11725 FILLER_16_1375 +*11726 FILLER_16_1378 +*11727 FILLER_16_139 +*11728 FILLER_16_1390 +*11729 FILLER_16_1398 +*11730 FILLER_16_1401 +*11731 FILLER_16_141 +*11732 FILLER_16_1410 +*11733 FILLER_16_1420 +*11734 FILLER_16_1423 +*11735 FILLER_16_1430 +*11736 FILLER_16_1436 +*11737 FILLER_16_15 +*11738 FILLER_16_153 +*11739 FILLER_16_164 +*11740 FILLER_16_170 +*11741 FILLER_16_186 +*11742 FILLER_16_194 +*11743 FILLER_16_197 +*11744 FILLER_16_201 +*11745 FILLER_16_204 +*11746 FILLER_16_216 +*11747 FILLER_16_2249 +*11748 FILLER_16_2257 +*11749 FILLER_16_2271 +*11750 FILLER_16_2275 +*11751 FILLER_16_228 +*11752 FILLER_16_2281 +*11753 FILLER_16_2287 +*11754 FILLER_16_2297 +*11755 FILLER_16_2307 +*11756 FILLER_16_2315 +*11757 FILLER_16_2323 +*11758 FILLER_16_2329 +*11759 FILLER_16_2331 +*11760 FILLER_16_2335 +*11761 FILLER_16_2341 +*11762 FILLER_16_2347 +*11763 FILLER_16_2353 +*11764 FILLER_16_2359 +*11765 FILLER_16_2364 +*11766 FILLER_16_2372 +*11767 FILLER_16_2378 +*11768 FILLER_16_2383 +*11769 FILLER_16_2387 +*11770 FILLER_16_2392 +*11771 FILLER_16_240 +*11772 FILLER_16_2400 +*11773 FILLER_16_2412 +*11774 FILLER_16_2420 +*11775 FILLER_16_2434 +*11776 FILLER_16_2440 +*11777 FILLER_16_2443 +*11778 FILLER_16_2455 +*11779 FILLER_16_2463 +*11780 FILLER_16_2475 +*11781 FILLER_16_2483 +*11782 FILLER_16_2491 +*11783 FILLER_16_2497 +*11784 FILLER_16_2499 +*11785 FILLER_16_2505 +*11786 FILLER_16_2520 +*11787 FILLER_16_253 +*11788 FILLER_16_2531 +*11789 FILLER_16_2541 +*11790 FILLER_16_2551 +*11791 FILLER_16_2555 +*11792 FILLER_16_2559 +*11793 FILLER_16_2571 +*11794 FILLER_16_2581 +*11795 FILLER_16_2590 +*11796 FILLER_16_2598 +*11797 FILLER_16_2606 +*11798 FILLER_16_2611 +*11799 FILLER_16_2615 +*11800 FILLER_16_2621 +*11801 FILLER_16_2635 +*11802 FILLER_16_2641 +*11803 FILLER_16_2646 +*11804 FILLER_16_265 +*11805 FILLER_16_2659 +*11806 FILLER_16_2665 +*11807 FILLER_16_2667 +*11808 FILLER_16_2675 +*11809 FILLER_16_2690 +*11810 FILLER_16_27 +*11811 FILLER_16_2703 +*11812 FILLER_16_277 +*11813 FILLER_16_289 +*11814 FILLER_16_29 +*11815 FILLER_16_299 +*11816 FILLER_16_3 +*11817 FILLER_16_307 +*11818 FILLER_16_3082 +*11819 FILLER_16_309 +*11820 FILLER_16_3093 +*11821 FILLER_16_3101 +*11822 FILLER_16_3108 +*11823 FILLER_16_3119 +*11824 FILLER_16_3127 +*11825 FILLER_16_3142 +*11826 FILLER_16_3153 +*11827 FILLER_16_3161 +*11828 FILLER_16_3164 +*11829 FILLER_16_3179 +*11830 FILLER_16_3187 +*11831 FILLER_16_3193 +*11832 FILLER_16_3199 +*11833 FILLER_16_3205 +*11834 FILLER_16_321 +*11835 FILLER_16_3213 +*11836 FILLER_16_3217 +*11837 FILLER_16_3220 +*11838 FILLER_16_3231 +*11839 FILLER_16_3235 +*11840 FILLER_16_3241 +*11841 FILLER_16_3252 +*11842 FILLER_16_3260 +*11843 FILLER_16_3266 +*11844 FILLER_16_3272 +*11845 FILLER_16_3276 +*11846 FILLER_16_3280 +*11847 FILLER_16_3292 +*11848 FILLER_16_3306 +*11849 FILLER_16_3312 +*11850 FILLER_16_3322 +*11851 FILLER_16_3326 +*11852 FILLER_16_3329 +*11853 FILLER_16_333 +*11854 FILLER_16_3332 +*11855 FILLER_16_3338 +*11856 FILLER_16_3350 +*11857 FILLER_16_3362 +*11858 FILLER_16_3370 +*11859 FILLER_16_3378 +*11860 FILLER_16_3384 +*11861 FILLER_16_3388 +*11862 FILLER_16_3394 +*11863 FILLER_16_3400 +*11864 FILLER_16_3410 +*11865 FILLER_16_3422 +*11866 FILLER_16_3427 +*11867 FILLER_16_3435 +*11868 FILLER_16_3444 +*11869 FILLER_16_345 +*11870 FILLER_16_3450 +*11871 FILLER_16_3458 +*11872 FILLER_16_3468 +*11873 FILLER_16_3477 +*11874 FILLER_16_3485 +*11875 FILLER_16_349 +*11876 FILLER_16_3491 +*11877 FILLER_16_3497 +*11878 FILLER_16_3500 +*11879 FILLER_16_3505 +*11880 FILLER_16_3513 +*11881 FILLER_16_3517 +*11882 FILLER_16_3527 +*11883 FILLER_16_3533 +*11884 FILLER_16_3539 +*11885 FILLER_16_3551 +*11886 FILLER_16_3556 +*11887 FILLER_16_3560 +*11888 FILLER_16_3566 +*11889 FILLER_16_3576 +*11890 FILLER_16_3584 +*11891 FILLER_16_3592 +*11892 FILLER_16_3601 +*11893 FILLER_16_3607 +*11894 FILLER_16_361 +*11895 FILLER_16_3612 +*11896 FILLER_16_3618 +*11897 FILLER_16_3624 +*11898 FILLER_16_3630 +*11899 FILLER_16_3638 +*11900 FILLER_16_365 +*11901 FILLER_16_3651 +*11902 FILLER_16_3657 +*11903 FILLER_16_3665 +*11904 FILLER_16_3668 +*11905 FILLER_16_3680 +*11906 FILLER_16_3692 +*11907 FILLER_16_3704 +*11908 FILLER_16_3716 +*11909 FILLER_16_3722 +*11910 FILLER_16_3724 +*11911 FILLER_16_3730 +*11912 FILLER_16_3741 +*11913 FILLER_16_3753 +*11914 FILLER_16_3765 +*11915 FILLER_16_377 +*11916 FILLER_16_3777 +*11917 FILLER_16_3780 +*11918 FILLER_16_3788 +*11919 FILLER_16_3791 +*11920 FILLER_16_3799 +*11921 FILLER_16_3807 +*11922 FILLER_16_3812 +*11923 FILLER_16_3818 +*11924 FILLER_16_3830 +*11925 FILLER_16_3834 +*11926 FILLER_16_3836 +*11927 FILLER_16_3848 +*11928 FILLER_16_3860 +*11929 FILLER_16_3872 +*11930 FILLER_16_3878 +*11931 FILLER_16_3884 +*11932 FILLER_16_389 +*11933 FILLER_16_3890 +*11934 FILLER_16_3892 +*11935 FILLER_16_3904 +*11936 FILLER_16_3916 +*11937 FILLER_16_3930 +*11938 FILLER_16_3942 +*11939 FILLER_16_3945 +*11940 FILLER_16_3948 +*11941 FILLER_16_3956 +*11942 FILLER_16_3964 +*11943 FILLER_16_3969 +*11944 FILLER_16_3978 +*11945 FILLER_16_3986 +*11946 FILLER_16_3989 +*11947 FILLER_16_4001 +*11948 FILLER_16_4004 +*11949 FILLER_16_4009 +*11950 FILLER_16_401 +*11951 FILLER_16_4015 +*11952 FILLER_16_4027 +*11953 FILLER_16_4039 +*11954 FILLER_16_4043 +*11955 FILLER_16_4046 +*11956 FILLER_16_4058 +*11957 FILLER_16_4060 +*11958 FILLER_16_4072 +*11959 FILLER_16_4084 +*11960 FILLER_16_4096 +*11961 FILLER_16_41 +*11962 FILLER_16_4102 +*11963 FILLER_16_413 +*11964 FILLER_16_419 +*11965 FILLER_16_421 +*11966 FILLER_16_433 +*11967 FILLER_16_445 +*11968 FILLER_16_453 +*11969 FILLER_16_460 +*11970 FILLER_16_466 +*11971 FILLER_16_474 +*11972 FILLER_16_477 +*11973 FILLER_16_485 +*11974 FILLER_16_488 +*11975 FILLER_16_494 +*11976 FILLER_16_501 +*11977 FILLER_16_507 +*11978 FILLER_16_513 +*11979 FILLER_16_525 +*11980 FILLER_16_53 +*11981 FILLER_16_531 +*11982 FILLER_16_533 +*11983 FILLER_16_545 +*11984 FILLER_16_553 +*11985 FILLER_16_556 +*11986 FILLER_16_570 +*11987 FILLER_16_576 +*11988 FILLER_16_589 +*11989 FILLER_16_601 +*11990 FILLER_16_613 +*11991 FILLER_16_625 +*11992 FILLER_16_637 +*11993 FILLER_16_643 +*11994 FILLER_16_645 +*11995 FILLER_16_65 +*11996 FILLER_16_657 +*11997 FILLER_16_663 +*11998 FILLER_16_666 +*11999 FILLER_16_679 +*12000 FILLER_16_73 +*12001 FILLER_16_77 +*12002 FILLER_16_83 +*12003 FILLER_16_85 +*12004 FILLER_16_949 +*12005 FILLER_16_959 +*12006 FILLER_16_967 +*12007 FILLER_16_97 +*12008 FILLER_16_973 +*12009 FILLER_16_975 +*12010 FILLER_16_979 +*12011 FILLER_16_991 +*12012 FILLER_16_995 +*12013 FILLER_16_999 +*12014 FILLER_17_1003 +*12015 FILLER_17_1007 +*12016 FILLER_17_1015 +*12017 FILLER_17_1024 +*12018 FILLER_17_1030 +*12019 FILLER_17_1036 +*12020 FILLER_17_1042 +*12021 FILLER_17_105 +*12022 FILLER_17_1053 +*12023 FILLER_17_1057 +*12024 FILLER_17_1059 +*12025 FILLER_17_1065 +*12026 FILLER_17_1070 +*12027 FILLER_17_1081 +*12028 FILLER_17_1085 +*12029 FILLER_17_1090 +*12030 FILLER_17_1098 +*12031 FILLER_17_1104 +*12032 FILLER_17_111 +*12033 FILLER_17_1112 +*12034 FILLER_17_1115 +*12035 FILLER_17_1122 +*12036 FILLER_17_1128 +*12037 FILLER_17_113 +*12038 FILLER_17_1134 +*12039 FILLER_17_1146 +*12040 FILLER_17_1153 +*12041 FILLER_17_1159 +*12042 FILLER_17_1167 +*12043 FILLER_17_1171 +*12044 FILLER_17_1175 +*12045 FILLER_17_1183 +*12046 FILLER_17_1191 +*12047 FILLER_17_1199 +*12048 FILLER_17_1204 +*12049 FILLER_17_1210 +*12050 FILLER_17_1213 +*12051 FILLER_17_1223 +*12052 FILLER_17_1227 +*12053 FILLER_17_1233 +*12054 FILLER_17_1239 +*12055 FILLER_17_1244 +*12056 FILLER_17_125 +*12057 FILLER_17_1252 +*12058 FILLER_17_1262 +*12059 FILLER_17_1268 +*12060 FILLER_17_1276 +*12061 FILLER_17_128 +*12062 FILLER_17_1283 +*12063 FILLER_17_1287 +*12064 FILLER_17_1293 +*12065 FILLER_17_1305 +*12066 FILLER_17_1315 +*12067 FILLER_17_1327 +*12068 FILLER_17_1335 +*12069 FILLER_17_1339 +*12070 FILLER_17_1351 +*12071 FILLER_17_1356 +*12072 FILLER_17_1364 +*12073 FILLER_17_1372 +*12074 FILLER_17_1378 +*12075 FILLER_17_1381 +*12076 FILLER_17_1385 +*12077 FILLER_17_1388 +*12078 FILLER_17_1395 +*12079 FILLER_17_140 +*12080 FILLER_17_1400 +*12081 FILLER_17_1406 +*12082 FILLER_17_1412 +*12083 FILLER_17_1420 +*12084 FILLER_17_1428 +*12085 FILLER_17_1436 +*12086 FILLER_17_15 +*12087 FILLER_17_152 +*12088 FILLER_17_164 +*12089 FILLER_17_169 +*12090 FILLER_17_181 +*12091 FILLER_17_193 +*12092 FILLER_17_202 +*12093 FILLER_17_208 +*12094 FILLER_17_220 +*12095 FILLER_17_2249 +*12096 FILLER_17_225 +*12097 FILLER_17_2260 +*12098 FILLER_17_2268 +*12099 FILLER_17_2276 +*12100 FILLER_17_2285 +*12101 FILLER_17_2300 +*12102 FILLER_17_2303 +*12103 FILLER_17_2309 +*12104 FILLER_17_2317 +*12105 FILLER_17_2325 +*12106 FILLER_17_2333 +*12107 FILLER_17_2341 +*12108 FILLER_17_2347 +*12109 FILLER_17_2353 +*12110 FILLER_17_2357 +*12111 FILLER_17_2359 +*12112 FILLER_17_2366 +*12113 FILLER_17_237 +*12114 FILLER_17_2372 +*12115 FILLER_17_2378 +*12116 FILLER_17_2384 +*12117 FILLER_17_2396 +*12118 FILLER_17_2407 +*12119 FILLER_17_2413 +*12120 FILLER_17_2415 +*12121 FILLER_17_2419 +*12122 FILLER_17_2426 +*12123 FILLER_17_2437 +*12124 FILLER_17_2449 +*12125 FILLER_17_245 +*12126 FILLER_17_2459 +*12127 FILLER_17_2465 +*12128 FILLER_17_2469 +*12129 FILLER_17_2471 +*12130 FILLER_17_2479 +*12131 FILLER_17_2489 +*12132 FILLER_17_249 +*12133 FILLER_17_2499 +*12134 FILLER_17_2505 +*12135 FILLER_17_2509 +*12136 FILLER_17_2514 +*12137 FILLER_17_2518 +*12138 FILLER_17_2524 +*12139 FILLER_17_2527 +*12140 FILLER_17_2533 +*12141 FILLER_17_2540 +*12142 FILLER_17_2544 +*12143 FILLER_17_2550 +*12144 FILLER_17_2558 +*12145 FILLER_17_2568 +*12146 FILLER_17_257 +*12147 FILLER_17_2580 +*12148 FILLER_17_2583 +*12149 FILLER_17_2591 +*12150 FILLER_17_2601 +*12151 FILLER_17_2607 +*12152 FILLER_17_2617 +*12153 FILLER_17_262 +*12154 FILLER_17_2623 +*12155 FILLER_17_2633 +*12156 FILLER_17_2637 +*12157 FILLER_17_2639 +*12158 FILLER_17_2643 +*12159 FILLER_17_2649 +*12160 FILLER_17_2660 +*12161 FILLER_17_2670 +*12162 FILLER_17_2678 +*12163 FILLER_17_2682 +*12164 FILLER_17_2692 +*12165 FILLER_17_2695 +*12166 FILLER_17_27 +*12167 FILLER_17_2703 +*12168 FILLER_17_274 +*12169 FILLER_17_281 +*12170 FILLER_17_285 +*12171 FILLER_17_289 +*12172 FILLER_17_295 +*12173 FILLER_17_3 +*12174 FILLER_17_301 +*12175 FILLER_17_304 +*12176 FILLER_17_3082 +*12177 FILLER_17_3093 +*12178 FILLER_17_3101 +*12179 FILLER_17_3109 +*12180 FILLER_17_3115 +*12181 FILLER_17_3121 +*12182 FILLER_17_3128 +*12183 FILLER_17_313 +*12184 FILLER_17_3134 +*12185 FILLER_17_3136 +*12186 FILLER_17_3147 +*12187 FILLER_17_3160 +*12188 FILLER_17_3168 +*12189 FILLER_17_3174 +*12190 FILLER_17_3184 +*12191 FILLER_17_319 +*12192 FILLER_17_3190 +*12193 FILLER_17_3192 +*12194 FILLER_17_3196 +*12195 FILLER_17_3202 +*12196 FILLER_17_3214 +*12197 FILLER_17_3222 +*12198 FILLER_17_3231 +*12199 FILLER_17_3241 +*12200 FILLER_17_3248 +*12201 FILLER_17_3255 +*12202 FILLER_17_3261 +*12203 FILLER_17_3274 +*12204 FILLER_17_3286 +*12205 FILLER_17_3291 +*12206 FILLER_17_3297 +*12207 FILLER_17_3304 +*12208 FILLER_17_3309 +*12209 FILLER_17_331 +*12210 FILLER_17_3318 +*12211 FILLER_17_3326 +*12212 FILLER_17_3329 +*12213 FILLER_17_3337 +*12214 FILLER_17_3343 +*12215 FILLER_17_3349 +*12216 FILLER_17_335 +*12217 FILLER_17_3355 +*12218 FILLER_17_3360 +*12219 FILLER_17_3364 +*12220 FILLER_17_337 +*12221 FILLER_17_3370 +*12222 FILLER_17_3376 +*12223 FILLER_17_3379 +*12224 FILLER_17_3390 +*12225 FILLER_17_3398 +*12226 FILLER_17_3413 +*12227 FILLER_17_3416 +*12228 FILLER_17_3420 +*12229 FILLER_17_3432 +*12230 FILLER_17_3444 +*12231 FILLER_17_3452 +*12232 FILLER_17_3456 +*12233 FILLER_17_3459 +*12234 FILLER_17_3463 +*12235 FILLER_17_3466 +*12236 FILLER_17_347 +*12237 FILLER_17_3470 +*12238 FILLER_17_3472 +*12239 FILLER_17_3478 +*12240 FILLER_17_3488 +*12241 FILLER_17_3501 +*12242 FILLER_17_3512 +*12243 FILLER_17_3523 +*12244 FILLER_17_3528 +*12245 FILLER_17_353 +*12246 FILLER_17_3536 +*12247 FILLER_17_3542 +*12248 FILLER_17_3548 +*12249 FILLER_17_3554 +*12250 FILLER_17_3560 +*12251 FILLER_17_3568 +*12252 FILLER_17_3573 +*12253 FILLER_17_3579 +*12254 FILLER_17_3584 +*12255 FILLER_17_3588 +*12256 FILLER_17_359 +*12257 FILLER_17_3592 +*12258 FILLER_17_3597 +*12259 FILLER_17_3606 +*12260 FILLER_17_3615 +*12261 FILLER_17_3624 +*12262 FILLER_17_363 +*12263 FILLER_17_3630 +*12264 FILLER_17_3636 +*12265 FILLER_17_3640 +*12266 FILLER_17_3652 +*12267 FILLER_17_3664 +*12268 FILLER_17_367 +*12269 FILLER_17_3676 +*12270 FILLER_17_3688 +*12271 FILLER_17_3694 +*12272 FILLER_17_3696 +*12273 FILLER_17_3708 +*12274 FILLER_17_3720 +*12275 FILLER_17_3724 +*12276 FILLER_17_373 +*12277 FILLER_17_3730 +*12278 FILLER_17_3739 +*12279 FILLER_17_3752 +*12280 FILLER_17_3764 +*12281 FILLER_17_3776 +*12282 FILLER_17_3788 +*12283 FILLER_17_3800 +*12284 FILLER_17_3803 +*12285 FILLER_17_3808 +*12286 FILLER_17_3814 +*12287 FILLER_17_3817 +*12288 FILLER_17_3821 +*12289 FILLER_17_3824 +*12290 FILLER_17_3836 +*12291 FILLER_17_385 +*12292 FILLER_17_3850 +*12293 FILLER_17_3858 +*12294 FILLER_17_3862 +*12295 FILLER_17_3864 +*12296 FILLER_17_3868 +*12297 FILLER_17_3874 +*12298 FILLER_17_3880 +*12299 FILLER_17_3886 +*12300 FILLER_17_3898 +*12301 FILLER_17_39 +*12302 FILLER_17_391 +*12303 FILLER_17_3910 +*12304 FILLER_17_3918 +*12305 FILLER_17_3920 +*12306 FILLER_17_393 +*12307 FILLER_17_3932 +*12308 FILLER_17_3944 +*12309 FILLER_17_3956 +*12310 FILLER_17_3968 +*12311 FILLER_17_3974 +*12312 FILLER_17_3976 +*12313 FILLER_17_3988 +*12314 FILLER_17_3994 +*12315 FILLER_17_4000 +*12316 FILLER_17_4006 +*12317 FILLER_17_4014 +*12318 FILLER_17_4019 +*12319 FILLER_17_4028 +*12320 FILLER_17_4032 +*12321 FILLER_17_4038 +*12322 FILLER_17_4042 +*12323 FILLER_17_4048 +*12324 FILLER_17_405 +*12325 FILLER_17_4060 +*12326 FILLER_17_4072 +*12327 FILLER_17_4084 +*12328 FILLER_17_4088 +*12329 FILLER_17_4100 +*12330 FILLER_17_417 +*12331 FILLER_17_423 +*12332 FILLER_17_426 +*12333 FILLER_17_434 +*12334 FILLER_17_446 +*12335 FILLER_17_449 +*12336 FILLER_17_461 +*12337 FILLER_17_473 +*12338 FILLER_17_477 +*12339 FILLER_17_486 +*12340 FILLER_17_492 +*12341 FILLER_17_498 +*12342 FILLER_17_505 +*12343 FILLER_17_51 +*12344 FILLER_17_517 +*12345 FILLER_17_525 +*12346 FILLER_17_528 +*12347 FILLER_17_540 +*12348 FILLER_17_544 +*12349 FILLER_17_55 +*12350 FILLER_17_554 +*12351 FILLER_17_561 +*12352 FILLER_17_57 +*12353 FILLER_17_570 +*12354 FILLER_17_581 +*12355 FILLER_17_587 +*12356 FILLER_17_599 +*12357 FILLER_17_603 +*12358 FILLER_17_615 +*12359 FILLER_17_617 +*12360 FILLER_17_629 +*12361 FILLER_17_641 +*12362 FILLER_17_655 +*12363 FILLER_17_667 +*12364 FILLER_17_671 +*12365 FILLER_17_673 +*12366 FILLER_17_75 +*12367 FILLER_17_81 +*12368 FILLER_17_93 +*12369 FILLER_17_949 +*12370 FILLER_17_953 +*12371 FILLER_17_958 +*12372 FILLER_17_964 +*12373 FILLER_17_976 +*12374 FILLER_17_982 +*12375 FILLER_17_991 +*12376 FILLER_17_999 +*12377 FILLER_18_1001 +*12378 FILLER_18_1013 +*12379 FILLER_18_1021 +*12380 FILLER_18_1026 +*12381 FILLER_18_1031 +*12382 FILLER_18_1037 +*12383 FILLER_18_1043 +*12384 FILLER_18_1049 +*12385 FILLER_18_1055 +*12386 FILLER_18_1067 +*12387 FILLER_18_1079 +*12388 FILLER_18_1084 +*12389 FILLER_18_1087 +*12390 FILLER_18_109 +*12391 FILLER_18_1094 +*12392 FILLER_18_1102 +*12393 FILLER_18_1107 +*12394 FILLER_18_1116 +*12395 FILLER_18_1122 +*12396 FILLER_18_113 +*12397 FILLER_18_1130 +*12398 FILLER_18_1136 +*12399 FILLER_18_1143 +*12400 FILLER_18_1149 +*12401 FILLER_18_1155 +*12402 FILLER_18_117 +*12403 FILLER_18_1172 +*12404 FILLER_18_1178 +*12405 FILLER_18_1190 +*12406 FILLER_18_1196 +*12407 FILLER_18_1199 +*12408 FILLER_18_1203 +*12409 FILLER_18_1210 +*12410 FILLER_18_1216 +*12411 FILLER_18_1227 +*12412 FILLER_18_1231 +*12413 FILLER_18_1234 +*12414 FILLER_18_1242 +*12415 FILLER_18_1248 +*12416 FILLER_18_1251 +*12417 FILLER_18_1255 +*12418 FILLER_18_126 +*12419 FILLER_18_1261 +*12420 FILLER_18_1273 +*12421 FILLER_18_1281 +*12422 FILLER_18_1290 +*12423 FILLER_18_1296 +*12424 FILLER_18_1308 +*12425 FILLER_18_1311 +*12426 FILLER_18_132 +*12427 FILLER_18_1321 +*12428 FILLER_18_1327 +*12429 FILLER_18_1339 +*12430 FILLER_18_1347 +*12431 FILLER_18_1352 +*12432 FILLER_18_1360 +*12433 FILLER_18_1367 +*12434 FILLER_18_1376 +*12435 FILLER_18_1386 +*12436 FILLER_18_1392 +*12437 FILLER_18_1398 +*12438 FILLER_18_1404 +*12439 FILLER_18_141 +*12440 FILLER_18_1412 +*12441 FILLER_18_1420 +*12442 FILLER_18_1423 +*12443 FILLER_18_1427 +*12444 FILLER_18_1436 +*12445 FILLER_18_149 +*12446 FILLER_18_15 +*12447 FILLER_18_155 +*12448 FILLER_18_167 +*12449 FILLER_18_179 +*12450 FILLER_18_191 +*12451 FILLER_18_195 +*12452 FILLER_18_197 +*12453 FILLER_18_209 +*12454 FILLER_18_221 +*12455 FILLER_18_2249 +*12456 FILLER_18_2255 +*12457 FILLER_18_2263 +*12458 FILLER_18_2271 +*12459 FILLER_18_2275 +*12460 FILLER_18_2281 +*12461 FILLER_18_2286 +*12462 FILLER_18_2292 +*12463 FILLER_18_2298 +*12464 FILLER_18_2307 +*12465 FILLER_18_2315 +*12466 FILLER_18_2323 +*12467 FILLER_18_2329 +*12468 FILLER_18_233 +*12469 FILLER_18_2331 +*12470 FILLER_18_2337 +*12471 FILLER_18_2341 +*12472 FILLER_18_2351 +*12473 FILLER_18_2359 +*12474 FILLER_18_2367 +*12475 FILLER_18_2375 +*12476 FILLER_18_2381 +*12477 FILLER_18_2385 +*12478 FILLER_18_2387 +*12479 FILLER_18_2391 +*12480 FILLER_18_2397 +*12481 FILLER_18_2400 +*12482 FILLER_18_2410 +*12483 FILLER_18_2414 +*12484 FILLER_18_2417 +*12485 FILLER_18_2425 +*12486 FILLER_18_2433 +*12487 FILLER_18_2439 +*12488 FILLER_18_2443 +*12489 FILLER_18_245 +*12490 FILLER_18_2452 +*12491 FILLER_18_2456 +*12492 FILLER_18_2463 +*12493 FILLER_18_2469 +*12494 FILLER_18_2477 +*12495 FILLER_18_2480 +*12496 FILLER_18_2488 +*12497 FILLER_18_2494 +*12498 FILLER_18_2499 +*12499 FILLER_18_2505 +*12500 FILLER_18_2509 +*12501 FILLER_18_251 +*12502 FILLER_18_2521 +*12503 FILLER_18_2527 +*12504 FILLER_18_253 +*12505 FILLER_18_2533 +*12506 FILLER_18_2541 +*12507 FILLER_18_2549 +*12508 FILLER_18_2553 +*12509 FILLER_18_2555 +*12510 FILLER_18_2561 +*12511 FILLER_18_2568 +*12512 FILLER_18_2576 +*12513 FILLER_18_2584 +*12514 FILLER_18_2592 +*12515 FILLER_18_2598 +*12516 FILLER_18_260 +*12517 FILLER_18_2604 +*12518 FILLER_18_2611 +*12519 FILLER_18_2615 +*12520 FILLER_18_2618 +*12521 FILLER_18_2628 +*12522 FILLER_18_2638 +*12523 FILLER_18_2642 +*12524 FILLER_18_2649 +*12525 FILLER_18_2655 +*12526 FILLER_18_266 +*12527 FILLER_18_2661 +*12528 FILLER_18_2665 +*12529 FILLER_18_2667 +*12530 FILLER_18_2677 +*12531 FILLER_18_2690 +*12532 FILLER_18_27 +*12533 FILLER_18_2703 +*12534 FILLER_18_274 +*12535 FILLER_18_282 +*12536 FILLER_18_288 +*12537 FILLER_18_29 +*12538 FILLER_18_294 +*12539 FILLER_18_297 +*12540 FILLER_18_3 +*12541 FILLER_18_305 +*12542 FILLER_18_3082 +*12543 FILLER_18_309 +*12544 FILLER_18_3093 +*12545 FILLER_18_3101 +*12546 FILLER_18_3108 +*12547 FILLER_18_3119 +*12548 FILLER_18_3127 +*12549 FILLER_18_3132 +*12550 FILLER_18_3142 +*12551 FILLER_18_3155 +*12552 FILLER_18_3161 +*12553 FILLER_18_3164 +*12554 FILLER_18_3175 +*12555 FILLER_18_3188 +*12556 FILLER_18_3197 +*12557 FILLER_18_3203 +*12558 FILLER_18_3209 +*12559 FILLER_18_321 +*12560 FILLER_18_3217 +*12561 FILLER_18_3220 +*12562 FILLER_18_3226 +*12563 FILLER_18_3234 +*12564 FILLER_18_3237 +*12565 FILLER_18_3246 +*12566 FILLER_18_3252 +*12567 FILLER_18_3258 +*12568 FILLER_18_3264 +*12569 FILLER_18_3270 +*12570 FILLER_18_3274 +*12571 FILLER_18_3276 +*12572 FILLER_18_3284 +*12573 FILLER_18_3289 +*12574 FILLER_18_3301 +*12575 FILLER_18_3306 +*12576 FILLER_18_3310 +*12577 FILLER_18_3313 +*12578 FILLER_18_3325 +*12579 FILLER_18_333 +*12580 FILLER_18_3332 +*12581 FILLER_18_3338 +*12582 FILLER_18_3343 +*12583 FILLER_18_3354 +*12584 FILLER_18_3358 +*12585 FILLER_18_3364 +*12586 FILLER_18_3373 +*12587 FILLER_18_3381 +*12588 FILLER_18_3388 +*12589 FILLER_18_3392 +*12590 FILLER_18_3402 +*12591 FILLER_18_3410 +*12592 FILLER_18_3422 +*12593 FILLER_18_3432 +*12594 FILLER_18_3440 +*12595 FILLER_18_3444 +*12596 FILLER_18_345 +*12597 FILLER_18_3452 +*12598 FILLER_18_3458 +*12599 FILLER_18_3470 +*12600 FILLER_18_3479 +*12601 FILLER_18_348 +*12602 FILLER_18_3485 +*12603 FILLER_18_3491 +*12604 FILLER_18_3497 +*12605 FILLER_18_3500 +*12606 FILLER_18_3504 +*12607 FILLER_18_3507 +*12608 FILLER_18_3515 +*12609 FILLER_18_3525 +*12610 FILLER_18_354 +*12611 FILLER_18_3542 +*12612 FILLER_18_3550 +*12613 FILLER_18_3554 +*12614 FILLER_18_3556 +*12615 FILLER_18_3565 +*12616 FILLER_18_3569 +*12617 FILLER_18_3575 +*12618 FILLER_18_3583 +*12619 FILLER_18_3589 +*12620 FILLER_18_3599 +*12621 FILLER_18_3605 +*12622 FILLER_18_3612 +*12623 FILLER_18_362 +*12624 FILLER_18_3620 +*12625 FILLER_18_3626 +*12626 FILLER_18_3632 +*12627 FILLER_18_3639 +*12628 FILLER_18_365 +*12629 FILLER_18_3651 +*12630 FILLER_18_3663 +*12631 FILLER_18_3668 +*12632 FILLER_18_3680 +*12633 FILLER_18_3692 +*12634 FILLER_18_3704 +*12635 FILLER_18_3716 +*12636 FILLER_18_3722 +*12637 FILLER_18_3724 +*12638 FILLER_18_3730 +*12639 FILLER_18_3733 +*12640 FILLER_18_3744 +*12641 FILLER_18_3756 +*12642 FILLER_18_3768 +*12643 FILLER_18_377 +*12644 FILLER_18_3776 +*12645 FILLER_18_3780 +*12646 FILLER_18_3788 +*12647 FILLER_18_3793 +*12648 FILLER_18_3799 +*12649 FILLER_18_3813 +*12650 FILLER_18_3820 +*12651 FILLER_18_3829 +*12652 FILLER_18_3836 +*12653 FILLER_18_3844 +*12654 FILLER_18_3849 +*12655 FILLER_18_3857 +*12656 FILLER_18_3860 +*12657 FILLER_18_3869 +*12658 FILLER_18_3875 +*12659 FILLER_18_3887 +*12660 FILLER_18_389 +*12661 FILLER_18_3892 +*12662 FILLER_18_3897 +*12663 FILLER_18_3903 +*12664 FILLER_18_3914 +*12665 FILLER_18_3920 +*12666 FILLER_18_3932 +*12667 FILLER_18_3944 +*12668 FILLER_18_3948 +*12669 FILLER_18_3960 +*12670 FILLER_18_3972 +*12671 FILLER_18_3984 +*12672 FILLER_18_3996 +*12673 FILLER_18_4001 +*12674 FILLER_18_4004 +*12675 FILLER_18_401 +*12676 FILLER_18_4011 +*12677 FILLER_18_4023 +*12678 FILLER_18_4031 +*12679 FILLER_18_4036 +*12680 FILLER_18_4048 +*12681 FILLER_18_4056 +*12682 FILLER_18_4060 +*12683 FILLER_18_4066 +*12684 FILLER_18_4078 +*12685 FILLER_18_4081 +*12686 FILLER_18_41 +*12687 FILLER_18_4101 +*12688 FILLER_18_413 +*12689 FILLER_18_419 +*12690 FILLER_18_421 +*12691 FILLER_18_433 +*12692 FILLER_18_445 +*12693 FILLER_18_457 +*12694 FILLER_18_469 +*12695 FILLER_18_474 +*12696 FILLER_18_477 +*12697 FILLER_18_487 +*12698 FILLER_18_494 +*12699 FILLER_18_500 +*12700 FILLER_18_512 +*12701 FILLER_18_520 +*12702 FILLER_18_526 +*12703 FILLER_18_533 +*12704 FILLER_18_537 +*12705 FILLER_18_54 +*12706 FILLER_18_549 +*12707 FILLER_18_559 +*12708 FILLER_18_567 +*12709 FILLER_18_573 +*12710 FILLER_18_579 +*12711 FILLER_18_587 +*12712 FILLER_18_589 +*12713 FILLER_18_60 +*12714 FILLER_18_601 +*12715 FILLER_18_611 +*12716 FILLER_18_619 +*12717 FILLER_18_625 +*12718 FILLER_18_637 +*12719 FILLER_18_643 +*12720 FILLER_18_645 +*12721 FILLER_18_653 +*12722 FILLER_18_659 +*12723 FILLER_18_66 +*12724 FILLER_18_671 +*12725 FILLER_18_679 +*12726 FILLER_18_78 +*12727 FILLER_18_85 +*12728 FILLER_18_949 +*12729 FILLER_18_953 +*12730 FILLER_18_965 +*12731 FILLER_18_97 +*12732 FILLER_18_973 +*12733 FILLER_18_975 +*12734 FILLER_18_987 +*12735 FILLER_18_995 +*12736 FILLER_19_1000 +*12737 FILLER_19_1006 +*12738 FILLER_19_1009 +*12739 FILLER_19_1017 +*12740 FILLER_19_1024 +*12741 FILLER_19_1028 +*12742 FILLER_19_1034 +*12743 FILLER_19_1037 +*12744 FILLER_19_1045 +*12745 FILLER_19_1051 +*12746 FILLER_19_1057 +*12747 FILLER_19_1063 +*12748 FILLER_19_1065 +*12749 FILLER_19_1077 +*12750 FILLER_19_1087 +*12751 FILLER_19_109 +*12752 FILLER_19_1091 +*12753 FILLER_19_1093 +*12754 FILLER_19_1099 +*12755 FILLER_19_1102 +*12756 FILLER_19_1109 +*12757 FILLER_19_1118 +*12758 FILLER_19_1121 +*12759 FILLER_19_1127 +*12760 FILLER_19_113 +*12761 FILLER_19_1130 +*12762 FILLER_19_1136 +*12763 FILLER_19_1142 +*12764 FILLER_19_1149 +*12765 FILLER_19_1159 +*12766 FILLER_19_1167 +*12767 FILLER_19_1172 +*12768 FILLER_19_1177 +*12769 FILLER_19_1181 +*12770 FILLER_19_1187 +*12771 FILLER_19_1190 +*12772 FILLER_19_1196 +*12773 FILLER_19_1202 +*12774 FILLER_19_1205 +*12775 FILLER_19_121 +*12776 FILLER_19_1213 +*12777 FILLER_19_1219 +*12778 FILLER_19_1225 +*12779 FILLER_19_1231 +*12780 FILLER_19_1233 +*12781 FILLER_19_1238 +*12782 FILLER_19_1247 +*12783 FILLER_19_1255 +*12784 FILLER_19_1258 +*12785 FILLER_19_1261 +*12786 FILLER_19_1274 +*12787 FILLER_19_1280 +*12788 FILLER_19_1286 +*12789 FILLER_19_1289 +*12790 FILLER_19_1301 +*12791 FILLER_19_1313 +*12792 FILLER_19_1317 +*12793 FILLER_19_1323 +*12794 FILLER_19_133 +*12795 FILLER_19_1335 +*12796 FILLER_19_1339 +*12797 FILLER_19_1342 +*12798 FILLER_19_1345 +*12799 FILLER_19_1352 +*12800 FILLER_19_1360 +*12801 FILLER_19_1367 +*12802 FILLER_19_1371 +*12803 FILLER_19_1373 +*12804 FILLER_19_1379 +*12805 FILLER_19_1383 +*12806 FILLER_19_1386 +*12807 FILLER_19_139 +*12808 FILLER_19_1392 +*12809 FILLER_19_1398 +*12810 FILLER_19_1401 +*12811 FILLER_19_1405 +*12812 FILLER_19_1408 +*12813 FILLER_19_141 +*12814 FILLER_19_1414 +*12815 FILLER_19_1420 +*12816 FILLER_19_1426 +*12817 FILLER_19_1429 +*12818 FILLER_19_1436 +*12819 FILLER_19_149 +*12820 FILLER_19_15 +*12821 FILLER_19_155 +*12822 FILLER_19_167 +*12823 FILLER_19_169 +*12824 FILLER_19_181 +*12825 FILLER_19_193 +*12826 FILLER_19_197 +*12827 FILLER_19_205 +*12828 FILLER_19_213 +*12829 FILLER_19_221 +*12830 FILLER_19_2249 +*12831 FILLER_19_225 +*12832 FILLER_19_2262 +*12833 FILLER_19_2266 +*12834 FILLER_19_2271 +*12835 FILLER_19_2275 +*12836 FILLER_19_2282 +*12837 FILLER_19_2288 +*12838 FILLER_19_229 +*12839 FILLER_19_2294 +*12840 FILLER_19_2300 +*12841 FILLER_19_2303 +*12842 FILLER_19_2307 +*12843 FILLER_19_2311 +*12844 FILLER_19_2317 +*12845 FILLER_19_2323 +*12846 FILLER_19_2329 +*12847 FILLER_19_2331 +*12848 FILLER_19_2338 +*12849 FILLER_19_2344 +*12850 FILLER_19_2350 +*12851 FILLER_19_2356 +*12852 FILLER_19_2359 +*12853 FILLER_19_2363 +*12854 FILLER_19_2369 +*12855 FILLER_19_2382 +*12856 FILLER_19_2387 +*12857 FILLER_19_2395 +*12858 FILLER_19_2407 +*12859 FILLER_19_241 +*12860 FILLER_19_2413 +*12861 FILLER_19_2415 +*12862 FILLER_19_2429 +*12863 FILLER_19_2433 +*12864 FILLER_19_2436 +*12865 FILLER_19_2443 +*12866 FILLER_19_2447 +*12867 FILLER_19_2451 +*12868 FILLER_19_2454 +*12869 FILLER_19_2460 +*12870 FILLER_19_2468 +*12871 FILLER_19_247 +*12872 FILLER_19_2471 +*12873 FILLER_19_2483 +*12874 FILLER_19_2487 +*12875 FILLER_19_2496 +*12876 FILLER_19_2499 +*12877 FILLER_19_2509 +*12878 FILLER_19_251 +*12879 FILLER_19_2515 +*12880 FILLER_19_2521 +*12881 FILLER_19_2525 +*12882 FILLER_19_2527 +*12883 FILLER_19_253 +*12884 FILLER_19_2531 +*12885 FILLER_19_2538 +*12886 FILLER_19_2544 +*12887 FILLER_19_2550 +*12888 FILLER_19_2555 +*12889 FILLER_19_2561 +*12890 FILLER_19_2567 +*12891 FILLER_19_2573 +*12892 FILLER_19_2579 +*12893 FILLER_19_2583 +*12894 FILLER_19_2589 +*12895 FILLER_19_2595 +*12896 FILLER_19_2601 +*12897 FILLER_19_2609 +*12898 FILLER_19_2611 +*12899 FILLER_19_2615 +*12900 FILLER_19_2620 +*12901 FILLER_19_2626 +*12902 FILLER_19_2630 +*12903 FILLER_19_2635 +*12904 FILLER_19_2639 +*12905 FILLER_19_2644 +*12906 FILLER_19_265 +*12907 FILLER_19_2650 +*12908 FILLER_19_2656 +*12909 FILLER_19_2664 +*12910 FILLER_19_2667 +*12911 FILLER_19_2671 +*12912 FILLER_19_2678 +*12913 FILLER_19_2691 +*12914 FILLER_19_2695 +*12915 FILLER_19_27 +*12916 FILLER_19_2703 +*12917 FILLER_19_277 +*12918 FILLER_19_281 +*12919 FILLER_19_29 +*12920 FILLER_19_291 +*12921 FILLER_19_3 +*12922 FILLER_19_303 +*12923 FILLER_19_307 +*12924 FILLER_19_3082 +*12925 FILLER_19_3089 +*12926 FILLER_19_309 +*12927 FILLER_19_3093 +*12928 FILLER_19_3103 +*12929 FILLER_19_3108 +*12930 FILLER_19_3121 +*12931 FILLER_19_3127 +*12932 FILLER_19_3133 +*12933 FILLER_19_3136 +*12934 FILLER_19_314 +*12935 FILLER_19_3140 +*12936 FILLER_19_3146 +*12937 FILLER_19_3152 +*12938 FILLER_19_3158 +*12939 FILLER_19_3162 +*12940 FILLER_19_3164 +*12941 FILLER_19_3168 +*12942 FILLER_19_3174 +*12943 FILLER_19_3185 +*12944 FILLER_19_3192 +*12945 FILLER_19_3196 +*12946 FILLER_19_3202 +*12947 FILLER_19_3205 +*12948 FILLER_19_3213 +*12949 FILLER_19_3220 +*12950 FILLER_19_3232 +*12951 FILLER_19_3244 +*12952 FILLER_19_3248 +*12953 FILLER_19_3252 +*12954 FILLER_19_3258 +*12955 FILLER_19_326 +*12956 FILLER_19_3264 +*12957 FILLER_19_3270 +*12958 FILLER_19_3273 +*12959 FILLER_19_3276 +*12960 FILLER_19_3287 +*12961 FILLER_19_3296 +*12962 FILLER_19_3302 +*12963 FILLER_19_3304 +*12964 FILLER_19_3310 +*12965 FILLER_19_3322 +*12966 FILLER_19_3330 +*12967 FILLER_19_3332 +*12968 FILLER_19_3337 +*12969 FILLER_19_334 +*12970 FILLER_19_3341 +*12971 FILLER_19_3347 +*12972 FILLER_19_3353 +*12973 FILLER_19_3360 +*12974 FILLER_19_3364 +*12975 FILLER_19_337 +*12976 FILLER_19_3370 +*12977 FILLER_19_3382 +*12978 FILLER_19_3386 +*12979 FILLER_19_3388 +*12980 FILLER_19_3393 +*12981 FILLER_19_3399 +*12982 FILLER_19_3403 +*12983 FILLER_19_3406 +*12984 FILLER_19_3410 +*12985 FILLER_19_3413 +*12986 FILLER_19_3416 +*12987 FILLER_19_3422 +*12988 FILLER_19_3428 +*12989 FILLER_19_3437 +*12990 FILLER_19_344 +*12991 FILLER_19_3444 +*12992 FILLER_19_3452 +*12993 FILLER_19_3464 +*12994 FILLER_19_3470 +*12995 FILLER_19_3472 +*12996 FILLER_19_3476 +*12997 FILLER_19_3482 +*12998 FILLER_19_3494 +*12999 FILLER_19_3498 +*13000 FILLER_19_3500 +*13001 FILLER_19_3504 +*13002 FILLER_19_3512 +*13003 FILLER_19_3516 +*13004 FILLER_19_352 +*13005 FILLER_19_3522 +*13006 FILLER_19_3526 +*13007 FILLER_19_3528 +*13008 FILLER_19_3532 +*13009 FILLER_19_3538 +*13010 FILLER_19_3544 +*13011 FILLER_19_355 +*13012 FILLER_19_3552 +*13013 FILLER_19_3556 +*13014 FILLER_19_3560 +*13015 FILLER_19_3575 +*13016 FILLER_19_3581 +*13017 FILLER_19_3584 +*13018 FILLER_19_3590 +*13019 FILLER_19_3596 +*13020 FILLER_19_3604 +*13021 FILLER_19_3609 +*13022 FILLER_19_3612 +*13023 FILLER_19_3616 +*13024 FILLER_19_3622 +*13025 FILLER_19_363 +*13026 FILLER_19_3630 +*13027 FILLER_19_3633 +*13028 FILLER_19_3640 +*13029 FILLER_19_365 +*13030 FILLER_19_3652 +*13031 FILLER_19_3664 +*13032 FILLER_19_3668 +*13033 FILLER_19_3680 +*13034 FILLER_19_3692 +*13035 FILLER_19_3696 +*13036 FILLER_19_3708 +*13037 FILLER_19_3720 +*13038 FILLER_19_3724 +*13039 FILLER_19_3732 +*13040 FILLER_19_3735 +*13041 FILLER_19_3741 +*13042 FILLER_19_3749 +*13043 FILLER_19_3752 +*13044 FILLER_19_3764 +*13045 FILLER_19_377 +*13046 FILLER_19_3776 +*13047 FILLER_19_3780 +*13048 FILLER_19_3792 +*13049 FILLER_19_3804 +*13050 FILLER_19_3808 +*13051 FILLER_19_3814 +*13052 FILLER_19_3820 +*13053 FILLER_19_3832 +*13054 FILLER_19_3836 +*13055 FILLER_19_3840 +*13056 FILLER_19_3847 +*13057 FILLER_19_385 +*13058 FILLER_19_3853 +*13059 FILLER_19_3861 +*13060 FILLER_19_3864 +*13061 FILLER_19_3868 +*13062 FILLER_19_3880 +*13063 FILLER_19_3888 +*13064 FILLER_19_3892 +*13065 FILLER_19_3899 +*13066 FILLER_19_390 +*13067 FILLER_19_3905 +*13068 FILLER_19_3917 +*13069 FILLER_19_3920 +*13070 FILLER_19_393 +*13071 FILLER_19_3932 +*13072 FILLER_19_3944 +*13073 FILLER_19_3948 +*13074 FILLER_19_3960 +*13075 FILLER_19_397 +*13076 FILLER_19_3972 +*13077 FILLER_19_3976 +*13078 FILLER_19_3988 +*13079 FILLER_19_4000 +*13080 FILLER_19_4004 +*13081 FILLER_19_4008 +*13082 FILLER_19_4020 +*13083 FILLER_19_4026 +*13084 FILLER_19_4029 +*13085 FILLER_19_4032 +*13086 FILLER_19_4041 +*13087 FILLER_19_4053 +*13088 FILLER_19_4060 +*13089 FILLER_19_4072 +*13090 FILLER_19_4084 +*13091 FILLER_19_4088 +*13092 FILLER_19_409 +*13093 FILLER_19_41 +*13094 FILLER_19_4100 +*13095 FILLER_19_417 +*13096 FILLER_19_421 +*13097 FILLER_19_433 +*13098 FILLER_19_445 +*13099 FILLER_19_449 +*13100 FILLER_19_461 +*13101 FILLER_19_473 +*13102 FILLER_19_477 +*13103 FILLER_19_483 +*13104 FILLER_19_486 +*13105 FILLER_19_498 +*13106 FILLER_19_505 +*13107 FILLER_19_513 +*13108 FILLER_19_522 +*13109 FILLER_19_53 +*13110 FILLER_19_530 +*13111 FILLER_19_533 +*13112 FILLER_19_539 +*13113 FILLER_19_545 +*13114 FILLER_19_553 +*13115 FILLER_19_558 +*13116 FILLER_19_561 +*13117 FILLER_19_567 +*13118 FILLER_19_57 +*13119 FILLER_19_571 +*13120 FILLER_19_578 +*13121 FILLER_19_584 +*13122 FILLER_19_589 +*13123 FILLER_19_595 +*13124 FILLER_19_598 +*13125 FILLER_19_606 +*13126 FILLER_19_613 +*13127 FILLER_19_617 +*13128 FILLER_19_623 +*13129 FILLER_19_633 +*13130 FILLER_19_641 +*13131 FILLER_19_645 +*13132 FILLER_19_652 +*13133 FILLER_19_658 +*13134 FILLER_19_670 +*13135 FILLER_19_673 +*13136 FILLER_19_680 +*13137 FILLER_19_686 +*13138 FILLER_19_69 +*13139 FILLER_19_692 +*13140 FILLER_19_698 +*13141 FILLER_19_701 +*13142 FILLER_19_709 +*13143 FILLER_19_722 +*13144 FILLER_19_729 +*13145 FILLER_19_736 +*13146 FILLER_19_749 +*13147 FILLER_19_755 +*13148 FILLER_19_757 +*13149 FILLER_19_763 +*13150 FILLER_19_771 +*13151 FILLER_19_779 +*13152 FILLER_19_782 +*13153 FILLER_19_785 +*13154 FILLER_19_791 +*13155 FILLER_19_797 +*13156 FILLER_19_802 +*13157 FILLER_19_81 +*13158 FILLER_19_810 +*13159 FILLER_19_813 +*13160 FILLER_19_820 +*13161 FILLER_19_828 +*13162 FILLER_19_834 +*13163 FILLER_19_841 +*13164 FILLER_19_849 +*13165 FILLER_19_85 +*13166 FILLER_19_860 +*13167 FILLER_19_866 +*13168 FILLER_19_869 +*13169 FILLER_19_875 +*13170 FILLER_19_883 +*13171 FILLER_19_894 +*13172 FILLER_19_897 +*13173 FILLER_19_904 +*13174 FILLER_19_910 +*13175 FILLER_19_916 +*13176 FILLER_19_922 +*13177 FILLER_19_925 +*13178 FILLER_19_931 +*13179 FILLER_19_937 +*13180 FILLER_19_945 +*13181 FILLER_19_951 +*13182 FILLER_19_953 +*13183 FILLER_19_965 +*13184 FILLER_19_969 +*13185 FILLER_19_97 +*13186 FILLER_19_974 +*13187 FILLER_19_981 +*13188 FILLER_19_991 +*13189 FILLER_1_1006 +*13190 FILLER_1_1009 +*13191 FILLER_1_1019 +*13192 FILLER_1_1028 +*13193 FILLER_1_1035 +*13194 FILLER_1_1042 +*13195 FILLER_1_105 +*13196 FILLER_1_1050 +*13197 FILLER_1_1061 +*13198 FILLER_1_1065 +*13199 FILLER_1_1071 +*13200 FILLER_1_1077 +*13201 FILLER_1_1091 +*13202 FILLER_1_1097 +*13203 FILLER_1_1101 +*13204 FILLER_1_111 +*13205 FILLER_1_1115 +*13206 FILLER_1_1119 +*13207 FILLER_1_1121 +*13208 FILLER_1_113 +*13209 FILLER_1_1133 +*13210 FILLER_1_1157 +*13211 FILLER_1_1164 +*13212 FILLER_1_1174 +*13213 FILLER_1_1177 +*13214 FILLER_1_1183 +*13215 FILLER_1_1191 +*13216 FILLER_1_1202 +*13217 FILLER_1_1209 +*13218 FILLER_1_1216 +*13219 FILLER_1_1230 +*13220 FILLER_1_1233 +*13221 FILLER_1_1239 +*13222 FILLER_1_1247 +*13223 FILLER_1_125 +*13224 FILLER_1_1251 +*13225 FILLER_1_1264 +*13226 FILLER_1_1272 +*13227 FILLER_1_1277 +*13228 FILLER_1_1283 +*13229 FILLER_1_1286 +*13230 FILLER_1_1289 +*13231 FILLER_1_1294 +*13232 FILLER_1_1300 +*13233 FILLER_1_1305 +*13234 FILLER_1_1325 +*13235 FILLER_1_1339 +*13236 FILLER_1_1343 +*13237 FILLER_1_1345 +*13238 FILLER_1_1357 +*13239 FILLER_1_137 +*13240 FILLER_1_1373 +*13241 FILLER_1_1386 +*13242 FILLER_1_1392 +*13243 FILLER_1_1396 +*13244 FILLER_1_1401 +*13245 FILLER_1_1407 +*13246 FILLER_1_1415 +*13247 FILLER_1_1419 +*13248 FILLER_1_1427 +*13249 FILLER_1_1439 +*13250 FILLER_1_1446 +*13251 FILLER_1_1454 +*13252 FILLER_1_1457 +*13253 FILLER_1_1465 +*13254 FILLER_1_1473 +*13255 FILLER_1_149 +*13256 FILLER_1_1493 +*13257 FILLER_1_15 +*13258 FILLER_1_1501 +*13259 FILLER_1_1505 +*13260 FILLER_1_1510 +*13261 FILLER_1_1513 +*13262 FILLER_1_1528 +*13263 FILLER_1_1536 +*13264 FILLER_1_1547 +*13265 FILLER_1_1553 +*13266 FILLER_1_1558 +*13267 FILLER_1_1566 +*13268 FILLER_1_1569 +*13269 FILLER_1_1573 +*13270 FILLER_1_1581 +*13271 FILLER_1_1589 +*13272 FILLER_1_1593 +*13273 FILLER_1_1598 +*13274 FILLER_1_1605 +*13275 FILLER_1_161 +*13276 FILLER_1_1613 +*13277 FILLER_1_1620 +*13278 FILLER_1_1625 +*13279 FILLER_1_1629 +*13280 FILLER_1_1637 +*13281 FILLER_1_1661 +*13282 FILLER_1_1669 +*13283 FILLER_1_167 +*13284 FILLER_1_1678 +*13285 FILLER_1_1681 +*13286 FILLER_1_1686 +*13287 FILLER_1_169 +*13288 FILLER_1_1692 +*13289 FILLER_1_1699 +*13290 FILLER_1_1715 +*13291 FILLER_1_1723 +*13292 FILLER_1_1734 +*13293 FILLER_1_1737 +*13294 FILLER_1_1743 +*13295 FILLER_1_1749 +*13296 FILLER_1_1763 +*13297 FILLER_1_1771 +*13298 FILLER_1_1775 +*13299 FILLER_1_1779 +*13300 FILLER_1_1787 +*13301 FILLER_1_1791 +*13302 FILLER_1_1793 +*13303 FILLER_1_1797 +*13304 FILLER_1_1804 +*13305 FILLER_1_181 +*13306 FILLER_1_1812 +*13307 FILLER_1_1829 +*13308 FILLER_1_1836 +*13309 FILLER_1_1846 +*13310 FILLER_1_1849 +*13311 FILLER_1_1859 +*13312 FILLER_1_1871 +*13313 FILLER_1_1879 +*13314 FILLER_1_1885 +*13315 FILLER_1_1893 +*13316 FILLER_1_1901 +*13317 FILLER_1_1905 +*13318 FILLER_1_1911 +*13319 FILLER_1_1923 +*13320 FILLER_1_193 +*13321 FILLER_1_1931 +*13322 FILLER_1_1939 +*13323 FILLER_1_1947 +*13324 FILLER_1_1955 +*13325 FILLER_1_1959 +*13326 FILLER_1_1961 +*13327 FILLER_1_1970 +*13328 FILLER_1_1977 +*13329 FILLER_1_1997 +*13330 FILLER_1_2003 +*13331 FILLER_1_2013 +*13332 FILLER_1_2017 +*13333 FILLER_1_2023 +*13334 FILLER_1_2031 +*13335 FILLER_1_2039 +*13336 FILLER_1_2051 +*13337 FILLER_1_2057 +*13338 FILLER_1_2061 +*13339 FILLER_1_2069 +*13340 FILLER_1_207 +*13341 FILLER_1_2073 +*13342 FILLER_1_2079 +*13343 FILLER_1_2085 +*13344 FILLER_1_2099 +*13345 FILLER_1_2106 +*13346 FILLER_1_2112 +*13347 FILLER_1_2123 +*13348 FILLER_1_2127 +*13349 FILLER_1_2129 +*13350 FILLER_1_2135 +*13351 FILLER_1_2143 +*13352 FILLER_1_2165 +*13353 FILLER_1_2173 +*13354 FILLER_1_2182 +*13355 FILLER_1_2185 +*13356 FILLER_1_2197 +*13357 FILLER_1_2206 +*13358 FILLER_1_221 +*13359 FILLER_1_2214 +*13360 FILLER_1_2219 +*13361 FILLER_1_2231 +*13362 FILLER_1_2238 +*13363 FILLER_1_2241 +*13364 FILLER_1_2247 +*13365 FILLER_1_225 +*13366 FILLER_1_2257 +*13367 FILLER_1_2267 +*13368 FILLER_1_2274 +*13369 FILLER_1_2281 +*13370 FILLER_1_2291 +*13371 FILLER_1_2295 +*13372 FILLER_1_2297 +*13373 FILLER_1_2308 +*13374 FILLER_1_2316 +*13375 FILLER_1_2333 +*13376 FILLER_1_2341 +*13377 FILLER_1_2347 +*13378 FILLER_1_2351 +*13379 FILLER_1_2353 +*13380 FILLER_1_2361 +*13381 FILLER_1_2368 +*13382 FILLER_1_2375 +*13383 FILLER_1_2382 +*13384 FILLER_1_2389 +*13385 FILLER_1_2395 +*13386 FILLER_1_2401 +*13387 FILLER_1_2407 +*13388 FILLER_1_2409 +*13389 FILLER_1_241 +*13390 FILLER_1_2416 +*13391 FILLER_1_2424 +*13392 FILLER_1_2431 +*13393 FILLER_1_2438 +*13394 FILLER_1_2451 +*13395 FILLER_1_2459 +*13396 FILLER_1_2463 +*13397 FILLER_1_2465 +*13398 FILLER_1_2470 +*13399 FILLER_1_2476 +*13400 FILLER_1_2481 +*13401 FILLER_1_2501 +*13402 FILLER_1_2509 +*13403 FILLER_1_2515 +*13404 FILLER_1_2519 +*13405 FILLER_1_2521 +*13406 FILLER_1_2527 +*13407 FILLER_1_2535 +*13408 FILLER_1_2542 +*13409 FILLER_1_255 +*13410 FILLER_1_2550 +*13411 FILLER_1_2555 +*13412 FILLER_1_2562 +*13413 FILLER_1_2569 +*13414 FILLER_1_2575 +*13415 FILLER_1_2577 +*13416 FILLER_1_2589 +*13417 FILLER_1_2596 +*13418 FILLER_1_2602 +*13419 FILLER_1_2607 +*13420 FILLER_1_2614 +*13421 FILLER_1_2622 +*13422 FILLER_1_2627 +*13423 FILLER_1_2631 +*13424 FILLER_1_2633 +*13425 FILLER_1_2649 +*13426 FILLER_1_2669 +*13427 FILLER_1_2683 +*13428 FILLER_1_2687 +*13429 FILLER_1_2689 +*13430 FILLER_1_269 +*13431 FILLER_1_2697 +*13432 FILLER_1_27 +*13433 FILLER_1_2703 +*13434 FILLER_1_2709 +*13435 FILLER_1_2723 +*13436 FILLER_1_2731 +*13437 FILLER_1_2738 +*13438 FILLER_1_2745 +*13439 FILLER_1_2751 +*13440 FILLER_1_2765 +*13441 FILLER_1_277 +*13442 FILLER_1_2773 +*13443 FILLER_1_2781 +*13444 FILLER_1_2789 +*13445 FILLER_1_2797 +*13446 FILLER_1_2801 +*13447 FILLER_1_2807 +*13448 FILLER_1_281 +*13449 FILLER_1_2817 +*13450 FILLER_1_2837 +*13451 FILLER_1_2845 +*13452 FILLER_1_2852 +*13453 FILLER_1_2857 +*13454 FILLER_1_2863 +*13455 FILLER_1_2873 +*13456 FILLER_1_2881 +*13457 FILLER_1_2889 +*13458 FILLER_1_2895 +*13459 FILLER_1_2909 +*13460 FILLER_1_2913 +*13461 FILLER_1_2919 +*13462 FILLER_1_2927 +*13463 FILLER_1_2935 +*13464 FILLER_1_2943 +*13465 FILLER_1_2957 +*13466 FILLER_1_2965 +*13467 FILLER_1_2969 +*13468 FILLER_1_297 +*13469 FILLER_1_2981 +*13470 FILLER_1_3 +*13471 FILLER_1_3005 +*13472 FILLER_1_3019 +*13473 FILLER_1_3023 +*13474 FILLER_1_3025 +*13475 FILLER_1_3037 +*13476 FILLER_1_3053 +*13477 FILLER_1_3061 +*13478 FILLER_1_3069 +*13479 FILLER_1_3076 +*13480 FILLER_1_3081 +*13481 FILLER_1_3087 +*13482 FILLER_1_3094 +*13483 FILLER_1_3101 +*13484 FILLER_1_3111 +*13485 FILLER_1_3117 +*13486 FILLER_1_3125 +*13487 FILLER_1_3132 +*13488 FILLER_1_3137 +*13489 FILLER_1_3144 +*13490 FILLER_1_3153 +*13491 FILLER_1_317 +*13492 FILLER_1_3173 +*13493 FILLER_1_3181 +*13494 FILLER_1_3189 +*13495 FILLER_1_3193 +*13496 FILLER_1_3198 +*13497 FILLER_1_3205 +*13498 FILLER_1_3213 +*13499 FILLER_1_3227 +*13500 FILLER_1_3235 +*13501 FILLER_1_3243 +*13502 FILLER_1_3247 +*13503 FILLER_1_3249 +*13504 FILLER_1_3255 +*13505 FILLER_1_3263 +*13506 FILLER_1_3269 +*13507 FILLER_1_3274 +*13508 FILLER_1_3280 +*13509 FILLER_1_3290 +*13510 FILLER_1_3302 +*13511 FILLER_1_3305 +*13512 FILLER_1_331 +*13513 FILLER_1_3316 +*13514 FILLER_1_3324 +*13515 FILLER_1_3334 +*13516 FILLER_1_3342 +*13517 FILLER_1_3348 +*13518 FILLER_1_335 +*13519 FILLER_1_3353 +*13520 FILLER_1_3359 +*13521 FILLER_1_3361 +*13522 FILLER_1_337 +*13523 FILLER_1_3375 +*13524 FILLER_1_3395 +*13525 FILLER_1_3408 +*13526 FILLER_1_3414 +*13527 FILLER_1_3417 +*13528 FILLER_1_3428 +*13529 FILLER_1_3432 +*13530 FILLER_1_3442 +*13531 FILLER_1_3450 +*13532 FILLER_1_3458 +*13533 FILLER_1_3466 +*13534 FILLER_1_347 +*13535 FILLER_1_3473 +*13536 FILLER_1_3491 +*13537 FILLER_1_3511 +*13538 FILLER_1_3519 +*13539 FILLER_1_3526 +*13540 FILLER_1_3529 +*13541 FILLER_1_3534 +*13542 FILLER_1_3538 +*13543 FILLER_1_3543 +*13544 FILLER_1_3563 +*13545 FILLER_1_3581 +*13546 FILLER_1_3585 +*13547 FILLER_1_3590 +*13548 FILLER_1_3597 +*13549 FILLER_1_3617 +*13550 FILLER_1_3625 +*13551 FILLER_1_3638 +*13552 FILLER_1_3641 +*13553 FILLER_1_365 +*13554 FILLER_1_3651 +*13555 FILLER_1_3671 +*13556 FILLER_1_3684 +*13557 FILLER_1_3692 +*13558 FILLER_1_3697 +*13559 FILLER_1_3705 +*13560 FILLER_1_371 +*13561 FILLER_1_3725 +*13562 FILLER_1_3732 +*13563 FILLER_1_3738 +*13564 FILLER_1_3748 +*13565 FILLER_1_3753 +*13566 FILLER_1_3759 +*13567 FILLER_1_376 +*13568 FILLER_1_3779 +*13569 FILLER_1_3793 +*13570 FILLER_1_3806 +*13571 FILLER_1_3809 +*13572 FILLER_1_3813 +*13573 FILLER_1_3833 +*13574 FILLER_1_3846 +*13575 FILLER_1_3859 +*13576 FILLER_1_3863 +*13577 FILLER_1_3865 +*13578 FILLER_1_3887 +*13579 FILLER_1_39 +*13580 FILLER_1_390 +*13581 FILLER_1_3900 +*13582 FILLER_1_3907 +*13583 FILLER_1_3914 +*13584 FILLER_1_3921 +*13585 FILLER_1_393 +*13586 FILLER_1_3933 +*13587 FILLER_1_3945 +*13588 FILLER_1_3957 +*13589 FILLER_1_3968 +*13590 FILLER_1_3977 +*13591 FILLER_1_3989 +*13592 FILLER_1_4001 +*13593 FILLER_1_4013 +*13594 FILLER_1_4025 +*13595 FILLER_1_4031 +*13596 FILLER_1_4033 +*13597 FILLER_1_4041 +*13598 FILLER_1_4047 +*13599 FILLER_1_4059 +*13600 FILLER_1_4071 +*13601 FILLER_1_4083 +*13602 FILLER_1_4087 +*13603 FILLER_1_4089 +*13604 FILLER_1_409 +*13605 FILLER_1_4101 +*13606 FILLER_1_423 +*13607 FILLER_1_437 +*13608 FILLER_1_445 +*13609 FILLER_1_449 +*13610 FILLER_1_457 +*13611 FILLER_1_465 +*13612 FILLER_1_485 +*13613 FILLER_1_493 +*13614 FILLER_1_502 +*13615 FILLER_1_505 +*13616 FILLER_1_51 +*13617 FILLER_1_517 +*13618 FILLER_1_531 +*13619 FILLER_1_545 +*13620 FILLER_1_55 +*13621 FILLER_1_553 +*13622 FILLER_1_559 +*13623 FILLER_1_561 +*13624 FILLER_1_567 +*13625 FILLER_1_57 +*13626 FILLER_1_577 +*13627 FILLER_1_585 +*13628 FILLER_1_593 +*13629 FILLER_1_599 +*13630 FILLER_1_603 +*13631 FILLER_1_610 +*13632 FILLER_1_617 +*13633 FILLER_1_621 +*13634 FILLER_1_625 +*13635 FILLER_1_633 +*13636 FILLER_1_653 +*13637 FILLER_1_661 +*13638 FILLER_1_667 +*13639 FILLER_1_670 +*13640 FILLER_1_673 +*13641 FILLER_1_689 +*13642 FILLER_1_69 +*13643 FILLER_1_701 +*13644 FILLER_1_709 +*13645 FILLER_1_725 +*13646 FILLER_1_729 +*13647 FILLER_1_735 +*13648 FILLER_1_741 +*13649 FILLER_1_755 +*13650 FILLER_1_763 +*13651 FILLER_1_771 +*13652 FILLER_1_782 +*13653 FILLER_1_785 +*13654 FILLER_1_790 +*13655 FILLER_1_796 +*13656 FILLER_1_801 +*13657 FILLER_1_81 +*13658 FILLER_1_821 +*13659 FILLER_1_835 +*13660 FILLER_1_839 +*13661 FILLER_1_841 +*13662 FILLER_1_853 +*13663 FILLER_1_861 +*13664 FILLER_1_865 +*13665 FILLER_1_869 +*13666 FILLER_1_877 +*13667 FILLER_1_893 +*13668 FILLER_1_897 +*13669 FILLER_1_902 +*13670 FILLER_1_910 +*13671 FILLER_1_918 +*13672 FILLER_1_926 +*13673 FILLER_1_93 +*13674 FILLER_1_933 +*13675 FILLER_1_947 +*13676 FILLER_1_951 +*13677 FILLER_1_953 +*13678 FILLER_1_957 +*13679 FILLER_1_964 +*13680 FILLER_1_972 +*13681 FILLER_1_989 +*13682 FILLER_1_993 +*13683 FILLER_1_998 +*13684 FILLER_20_1000 +*13685 FILLER_20_1006 +*13686 FILLER_20_1018 +*13687 FILLER_20_102 +*13688 FILLER_20_1025 +*13689 FILLER_20_1034 +*13690 FILLER_20_1037 +*13691 FILLER_20_1041 +*13692 FILLER_20_1047 +*13693 FILLER_20_1059 +*13694 FILLER_20_1069 +*13695 FILLER_20_1075 +*13696 FILLER_20_1085 +*13697 FILLER_20_1091 +*13698 FILLER_20_1093 +*13699 FILLER_20_110 +*13700 FILLER_20_1107 +*13701 FILLER_20_1113 +*13702 FILLER_20_1119 +*13703 FILLER_20_1128 +*13704 FILLER_20_1132 +*13705 FILLER_20_1139 +*13706 FILLER_20_1145 +*13707 FILLER_20_1149 +*13708 FILLER_20_1153 +*13709 FILLER_20_1161 +*13710 FILLER_20_1166 +*13711 FILLER_20_1174 +*13712 FILLER_20_1184 +*13713 FILLER_20_119 +*13714 FILLER_20_1190 +*13715 FILLER_20_1198 +*13716 FILLER_20_1205 +*13717 FILLER_20_1213 +*13718 FILLER_20_1219 +*13719 FILLER_20_1225 +*13720 FILLER_20_1231 +*13721 FILLER_20_1237 +*13722 FILLER_20_1240 +*13723 FILLER_20_1246 +*13724 FILLER_20_125 +*13725 FILLER_20_1252 +*13726 FILLER_20_1258 +*13727 FILLER_20_1261 +*13728 FILLER_20_1267 +*13729 FILLER_20_1273 +*13730 FILLER_20_1276 +*13731 FILLER_20_1282 +*13732 FILLER_20_1294 +*13733 FILLER_20_1306 +*13734 FILLER_20_131 +*13735 FILLER_20_1314 +*13736 FILLER_20_1317 +*13737 FILLER_20_1329 +*13738 FILLER_20_1341 +*13739 FILLER_20_1347 +*13740 FILLER_20_1359 +*13741 FILLER_20_1369 +*13742 FILLER_20_1373 +*13743 FILLER_20_1377 +*13744 FILLER_20_1388 +*13745 FILLER_20_139 +*13746 FILLER_20_1394 +*13747 FILLER_20_1399 +*13748 FILLER_20_1407 +*13749 FILLER_20_141 +*13750 FILLER_20_1413 +*13751 FILLER_20_1418 +*13752 FILLER_20_1426 +*13753 FILLER_20_1429 +*13754 FILLER_20_1436 +*13755 FILLER_20_147 +*13756 FILLER_20_15 +*13757 FILLER_20_153 +*13758 FILLER_20_159 +*13759 FILLER_20_165 +*13760 FILLER_20_177 +*13761 FILLER_20_189 +*13762 FILLER_20_195 +*13763 FILLER_20_197 +*13764 FILLER_20_207 +*13765 FILLER_20_213 +*13766 FILLER_20_224 +*13767 FILLER_20_2249 +*13768 FILLER_20_2255 +*13769 FILLER_20_2263 +*13770 FILLER_20_2269 +*13771 FILLER_20_2273 +*13772 FILLER_20_2275 +*13773 FILLER_20_2283 +*13774 FILLER_20_2295 +*13775 FILLER_20_230 +*13776 FILLER_20_2303 +*13777 FILLER_20_2309 +*13778 FILLER_20_2315 +*13779 FILLER_20_2321 +*13780 FILLER_20_2327 +*13781 FILLER_20_2331 +*13782 FILLER_20_2335 +*13783 FILLER_20_2343 +*13784 FILLER_20_2348 +*13785 FILLER_20_2352 +*13786 FILLER_20_2355 +*13787 FILLER_20_236 +*13788 FILLER_20_2361 +*13789 FILLER_20_2366 +*13790 FILLER_20_2372 +*13791 FILLER_20_2378 +*13792 FILLER_20_2384 +*13793 FILLER_20_2387 +*13794 FILLER_20_2393 +*13795 FILLER_20_2405 +*13796 FILLER_20_2413 +*13797 FILLER_20_2417 +*13798 FILLER_20_2421 +*13799 FILLER_20_2424 +*13800 FILLER_20_2434 +*13801 FILLER_20_2440 +*13802 FILLER_20_2443 +*13803 FILLER_20_2455 +*13804 FILLER_20_2459 +*13805 FILLER_20_2462 +*13806 FILLER_20_2470 +*13807 FILLER_20_2477 +*13808 FILLER_20_248 +*13809 FILLER_20_2485 +*13810 FILLER_20_2496 +*13811 FILLER_20_2499 +*13812 FILLER_20_2503 +*13813 FILLER_20_2509 +*13814 FILLER_20_2515 +*13815 FILLER_20_2523 +*13816 FILLER_20_2529 +*13817 FILLER_20_253 +*13818 FILLER_20_2540 +*13819 FILLER_20_2546 +*13820 FILLER_20_2552 +*13821 FILLER_20_2555 +*13822 FILLER_20_2559 +*13823 FILLER_20_2565 +*13824 FILLER_20_2571 +*13825 FILLER_20_2574 +*13826 FILLER_20_2586 +*13827 FILLER_20_2598 +*13828 FILLER_20_2608 +*13829 FILLER_20_2611 +*13830 FILLER_20_2615 +*13831 FILLER_20_2623 +*13832 FILLER_20_2628 +*13833 FILLER_20_2634 +*13834 FILLER_20_2640 +*13835 FILLER_20_2646 +*13836 FILLER_20_265 +*13837 FILLER_20_2652 +*13838 FILLER_20_2658 +*13839 FILLER_20_2664 +*13840 FILLER_20_2667 +*13841 FILLER_20_2672 +*13842 FILLER_20_2678 +*13843 FILLER_20_2690 +*13844 FILLER_20_27 +*13845 FILLER_20_2703 +*13846 FILLER_20_277 +*13847 FILLER_20_285 +*13848 FILLER_20_29 +*13849 FILLER_20_291 +*13850 FILLER_20_297 +*13851 FILLER_20_3 +*13852 FILLER_20_305 +*13853 FILLER_20_3082 +*13854 FILLER_20_3087 +*13855 FILLER_20_309 +*13856 FILLER_20_3100 +*13857 FILLER_20_3106 +*13858 FILLER_20_3108 +*13859 FILLER_20_3116 +*13860 FILLER_20_3122 +*13861 FILLER_20_3128 +*13862 FILLER_20_3134 +*13863 FILLER_20_3140 +*13864 FILLER_20_3146 +*13865 FILLER_20_3152 +*13866 FILLER_20_3158 +*13867 FILLER_20_3162 +*13868 FILLER_20_3164 +*13869 FILLER_20_3168 +*13870 FILLER_20_3178 +*13871 FILLER_20_3184 +*13872 FILLER_20_3190 +*13873 FILLER_20_3196 +*13874 FILLER_20_3208 +*13875 FILLER_20_321 +*13876 FILLER_20_3216 +*13877 FILLER_20_3220 +*13878 FILLER_20_3232 +*13879 FILLER_20_3244 +*13880 FILLER_20_3256 +*13881 FILLER_20_3268 +*13882 FILLER_20_3273 +*13883 FILLER_20_3276 +*13884 FILLER_20_3287 +*13885 FILLER_20_3293 +*13886 FILLER_20_3305 +*13887 FILLER_20_3311 +*13888 FILLER_20_3314 +*13889 FILLER_20_3320 +*13890 FILLER_20_3328 +*13891 FILLER_20_333 +*13892 FILLER_20_3332 +*13893 FILLER_20_3336 +*13894 FILLER_20_3341 +*13895 FILLER_20_3347 +*13896 FILLER_20_3359 +*13897 FILLER_20_3371 +*13898 FILLER_20_3377 +*13899 FILLER_20_3382 +*13900 FILLER_20_3386 +*13901 FILLER_20_3388 +*13902 FILLER_20_3392 +*13903 FILLER_20_3404 +*13904 FILLER_20_3416 +*13905 FILLER_20_3419 +*13906 FILLER_20_3429 +*13907 FILLER_20_3437 +*13908 FILLER_20_3444 +*13909 FILLER_20_3450 +*13910 FILLER_20_3457 +*13911 FILLER_20_346 +*13912 FILLER_20_3463 +*13913 FILLER_20_3467 +*13914 FILLER_20_3470 +*13915 FILLER_20_3481 +*13916 FILLER_20_3487 +*13917 FILLER_20_3497 +*13918 FILLER_20_3500 +*13919 FILLER_20_3507 +*13920 FILLER_20_3513 +*13921 FILLER_20_3523 +*13922 FILLER_20_3533 +*13923 FILLER_20_354 +*13924 FILLER_20_3541 +*13925 FILLER_20_3553 +*13926 FILLER_20_3556 +*13927 FILLER_20_3560 +*13928 FILLER_20_3571 +*13929 FILLER_20_3584 +*13930 FILLER_20_3588 +*13931 FILLER_20_3595 +*13932 FILLER_20_3601 +*13933 FILLER_20_3609 +*13934 FILLER_20_3612 +*13935 FILLER_20_362 +*13936 FILLER_20_3624 +*13937 FILLER_20_3636 +*13938 FILLER_20_3640 +*13939 FILLER_20_3645 +*13940 FILLER_20_365 +*13941 FILLER_20_3657 +*13942 FILLER_20_3665 +*13943 FILLER_20_3668 +*13944 FILLER_20_3674 +*13945 FILLER_20_3686 +*13946 FILLER_20_369 +*13947 FILLER_20_3698 +*13948 FILLER_20_3710 +*13949 FILLER_20_3722 +*13950 FILLER_20_3724 +*13951 FILLER_20_3736 +*13952 FILLER_20_3748 +*13953 FILLER_20_3754 +*13954 FILLER_20_3760 +*13955 FILLER_20_3763 +*13956 FILLER_20_377 +*13957 FILLER_20_3775 +*13958 FILLER_20_3780 +*13959 FILLER_20_3784 +*13960 FILLER_20_3798 +*13961 FILLER_20_3810 +*13962 FILLER_20_3814 +*13963 FILLER_20_3822 +*13964 FILLER_20_3826 +*13965 FILLER_20_3833 +*13966 FILLER_20_3836 +*13967 FILLER_20_3844 +*13968 FILLER_20_3856 +*13969 FILLER_20_386 +*13970 FILLER_20_3868 +*13971 FILLER_20_3880 +*13972 FILLER_20_3888 +*13973 FILLER_20_3892 +*13974 FILLER_20_390 +*13975 FILLER_20_3904 +*13976 FILLER_20_3916 +*13977 FILLER_20_3924 +*13978 FILLER_20_3928 +*13979 FILLER_20_393 +*13980 FILLER_20_3937 +*13981 FILLER_20_3943 +*13982 FILLER_20_3948 +*13983 FILLER_20_3960 +*13984 FILLER_20_3972 +*13985 FILLER_20_3984 +*13986 FILLER_20_3990 +*13987 FILLER_20_3994 +*13988 FILLER_20_4000 +*13989 FILLER_20_4004 +*13990 FILLER_20_4009 +*13991 FILLER_20_4016 +*13992 FILLER_20_4022 +*13993 FILLER_20_4034 +*13994 FILLER_20_404 +*13995 FILLER_20_4042 +*13996 FILLER_20_4047 +*13997 FILLER_20_4053 +*13998 FILLER_20_4060 +*13999 FILLER_20_4065 +*14000 FILLER_20_4071 +*14001 FILLER_20_4083 +*14002 FILLER_20_4095 +*14003 FILLER_20_41 +*14004 FILLER_20_410 +*14005 FILLER_20_418 +*14006 FILLER_20_421 +*14007 FILLER_20_433 +*14008 FILLER_20_441 +*14009 FILLER_20_444 +*14010 FILLER_20_456 +*14011 FILLER_20_468 +*14012 FILLER_20_477 +*14013 FILLER_20_484 +*14014 FILLER_20_490 +*14015 FILLER_20_502 +*14016 FILLER_20_514 +*14017 FILLER_20_522 +*14018 FILLER_20_528 +*14019 FILLER_20_53 +*14020 FILLER_20_533 +*14021 FILLER_20_537 +*14022 FILLER_20_549 +*14023 FILLER_20_556 +*14024 FILLER_20_562 +*14025 FILLER_20_574 +*14026 FILLER_20_584 +*14027 FILLER_20_589 +*14028 FILLER_20_593 +*14029 FILLER_20_602 +*14030 FILLER_20_610 +*14031 FILLER_20_615 +*14032 FILLER_20_619 +*14033 FILLER_20_622 +*14034 FILLER_20_628 +*14035 FILLER_20_632 +*14036 FILLER_20_635 +*14037 FILLER_20_641 +*14038 FILLER_20_645 +*14039 FILLER_20_65 +*14040 FILLER_20_651 +*14041 FILLER_20_654 +*14042 FILLER_20_666 +*14043 FILLER_20_678 +*14044 FILLER_20_690 +*14045 FILLER_20_698 +*14046 FILLER_20_701 +*14047 FILLER_20_705 +*14048 FILLER_20_713 +*14049 FILLER_20_724 +*14050 FILLER_20_730 +*14051 FILLER_20_741 +*14052 FILLER_20_748 +*14053 FILLER_20_754 +*14054 FILLER_20_757 +*14055 FILLER_20_761 +*14056 FILLER_20_764 +*14057 FILLER_20_77 +*14058 FILLER_20_770 +*14059 FILLER_20_778 +*14060 FILLER_20_784 +*14061 FILLER_20_790 +*14062 FILLER_20_793 +*14063 FILLER_20_799 +*14064 FILLER_20_810 +*14065 FILLER_20_813 +*14066 FILLER_20_820 +*14067 FILLER_20_827 +*14068 FILLER_20_83 +*14069 FILLER_20_833 +*14070 FILLER_20_837 +*14071 FILLER_20_840 +*14072 FILLER_20_848 +*14073 FILLER_20_85 +*14074 FILLER_20_851 +*14075 FILLER_20_855 +*14076 FILLER_20_858 +*14077 FILLER_20_864 +*14078 FILLER_20_869 +*14079 FILLER_20_877 +*14080 FILLER_20_880 +*14081 FILLER_20_886 +*14082 FILLER_20_892 +*14083 FILLER_20_898 +*14084 FILLER_20_90 +*14085 FILLER_20_904 +*14086 FILLER_20_910 +*14087 FILLER_20_918 +*14088 FILLER_20_925 +*14089 FILLER_20_935 +*14090 FILLER_20_945 +*14091 FILLER_20_958 +*14092 FILLER_20_964 +*14093 FILLER_20_976 +*14094 FILLER_20_981 +*14095 FILLER_20_989 +*14096 FILLER_20_995 +*14097 FILLER_21_1002 +*14098 FILLER_21_1009 +*14099 FILLER_21_1021 +*14100 FILLER_21_1027 +*14101 FILLER_21_1033 +*14102 FILLER_21_1038 +*14103 FILLER_21_1044 +*14104 FILLER_21_105 +*14105 FILLER_21_1056 +*14106 FILLER_21_1062 +*14107 FILLER_21_1065 +*14108 FILLER_21_1071 +*14109 FILLER_21_1077 +*14110 FILLER_21_1083 +*14111 FILLER_21_1089 +*14112 FILLER_21_1095 +*14113 FILLER_21_1098 +*14114 FILLER_21_1107 +*14115 FILLER_21_111 +*14116 FILLER_21_1115 +*14117 FILLER_21_1118 +*14118 FILLER_21_1121 +*14119 FILLER_21_113 +*14120 FILLER_21_1130 +*14121 FILLER_21_1138 +*14122 FILLER_21_1148 +*14123 FILLER_21_1158 +*14124 FILLER_21_1164 +*14125 FILLER_21_1174 +*14126 FILLER_21_1177 +*14127 FILLER_21_1186 +*14128 FILLER_21_1196 +*14129 FILLER_21_1204 +*14130 FILLER_21_1212 +*14131 FILLER_21_1220 +*14132 FILLER_21_1226 +*14133 FILLER_21_1233 +*14134 FILLER_21_1237 +*14135 FILLER_21_1248 +*14136 FILLER_21_125 +*14137 FILLER_21_1256 +*14138 FILLER_21_1262 +*14139 FILLER_21_1268 +*14140 FILLER_21_1273 +*14141 FILLER_21_1281 +*14142 FILLER_21_1287 +*14143 FILLER_21_1289 +*14144 FILLER_21_1293 +*14145 FILLER_21_1305 +*14146 FILLER_21_1310 +*14147 FILLER_21_1316 +*14148 FILLER_21_1328 +*14149 FILLER_21_1335 +*14150 FILLER_21_1341 +*14151 FILLER_21_1345 +*14152 FILLER_21_1350 +*14153 FILLER_21_1358 +*14154 FILLER_21_1368 +*14155 FILLER_21_137 +*14156 FILLER_21_1376 +*14157 FILLER_21_1384 +*14158 FILLER_21_1390 +*14159 FILLER_21_1398 +*14160 FILLER_21_1401 +*14161 FILLER_21_1407 +*14162 FILLER_21_1412 +*14163 FILLER_21_1422 +*14164 FILLER_21_1428 +*14165 FILLER_21_1436 +*14166 FILLER_21_146 +*14167 FILLER_21_15 +*14168 FILLER_21_152 +*14169 FILLER_21_158 +*14170 FILLER_21_166 +*14171 FILLER_21_169 +*14172 FILLER_21_181 +*14173 FILLER_21_193 +*14174 FILLER_21_205 +*14175 FILLER_21_211 +*14176 FILLER_21_222 +*14177 FILLER_21_2249 +*14178 FILLER_21_225 +*14179 FILLER_21_2256 +*14180 FILLER_21_2264 +*14181 FILLER_21_2270 +*14182 FILLER_21_2276 +*14183 FILLER_21_2282 +*14184 FILLER_21_2288 +*14185 FILLER_21_229 +*14186 FILLER_21_2294 +*14187 FILLER_21_2300 +*14188 FILLER_21_2303 +*14189 FILLER_21_2310 +*14190 FILLER_21_2316 +*14191 FILLER_21_2322 +*14192 FILLER_21_2328 +*14193 FILLER_21_2334 +*14194 FILLER_21_2344 +*14195 FILLER_21_235 +*14196 FILLER_21_2350 +*14197 FILLER_21_2356 +*14198 FILLER_21_2359 +*14199 FILLER_21_2365 +*14200 FILLER_21_2370 +*14201 FILLER_21_2378 +*14202 FILLER_21_2384 +*14203 FILLER_21_239 +*14204 FILLER_21_2392 +*14205 FILLER_21_2397 +*14206 FILLER_21_2411 +*14207 FILLER_21_2415 +*14208 FILLER_21_2422 +*14209 FILLER_21_2430 +*14210 FILLER_21_2436 +*14211 FILLER_21_244 +*14212 FILLER_21_2445 +*14213 FILLER_21_2451 +*14214 FILLER_21_2455 +*14215 FILLER_21_2458 +*14216 FILLER_21_2468 +*14217 FILLER_21_2471 +*14218 FILLER_21_2483 +*14219 FILLER_21_2489 +*14220 FILLER_21_2499 +*14221 FILLER_21_2505 +*14222 FILLER_21_2508 +*14223 FILLER_21_2520 +*14224 FILLER_21_2527 +*14225 FILLER_21_2532 +*14226 FILLER_21_2540 +*14227 FILLER_21_2548 +*14228 FILLER_21_2554 +*14229 FILLER_21_256 +*14230 FILLER_21_2560 +*14231 FILLER_21_2572 +*14232 FILLER_21_2580 +*14233 FILLER_21_2583 +*14234 FILLER_21_2587 +*14235 FILLER_21_2595 +*14236 FILLER_21_2602 +*14237 FILLER_21_2608 +*14238 FILLER_21_2617 +*14239 FILLER_21_2630 +*14240 FILLER_21_2636 +*14241 FILLER_21_2639 +*14242 FILLER_21_2643 +*14243 FILLER_21_2648 +*14244 FILLER_21_2654 +*14245 FILLER_21_2660 +*14246 FILLER_21_2668 +*14247 FILLER_21_2674 +*14248 FILLER_21_268 +*14249 FILLER_21_2680 +*14250 FILLER_21_2686 +*14251 FILLER_21_2692 +*14252 FILLER_21_2695 +*14253 FILLER_21_27 +*14254 FILLER_21_2703 +*14255 FILLER_21_271 +*14256 FILLER_21_279 +*14257 FILLER_21_281 +*14258 FILLER_21_287 +*14259 FILLER_21_297 +*14260 FILLER_21_3 +*14261 FILLER_21_3082 +*14262 FILLER_21_3089 +*14263 FILLER_21_309 +*14264 FILLER_21_3097 +*14265 FILLER_21_3104 +*14266 FILLER_21_3110 +*14267 FILLER_21_3122 +*14268 FILLER_21_3128 +*14269 FILLER_21_3134 +*14270 FILLER_21_3136 +*14271 FILLER_21_3148 +*14272 FILLER_21_315 +*14273 FILLER_21_3160 +*14274 FILLER_21_3164 +*14275 FILLER_21_3167 +*14276 FILLER_21_3178 +*14277 FILLER_21_318 +*14278 FILLER_21_3189 +*14279 FILLER_21_3192 +*14280 FILLER_21_3204 +*14281 FILLER_21_3217 +*14282 FILLER_21_3229 +*14283 FILLER_21_3241 +*14284 FILLER_21_3248 +*14285 FILLER_21_3260 +*14286 FILLER_21_3272 +*14287 FILLER_21_3275 +*14288 FILLER_21_3281 +*14289 FILLER_21_3291 +*14290 FILLER_21_330 +*14291 FILLER_21_3304 +*14292 FILLER_21_3312 +*14293 FILLER_21_3323 +*14294 FILLER_21_3335 +*14295 FILLER_21_3347 +*14296 FILLER_21_3351 +*14297 FILLER_21_3360 +*14298 FILLER_21_3364 +*14299 FILLER_21_3367 +*14300 FILLER_21_337 +*14301 FILLER_21_3376 +*14302 FILLER_21_3384 +*14303 FILLER_21_3396 +*14304 FILLER_21_3408 +*14305 FILLER_21_3414 +*14306 FILLER_21_3416 +*14307 FILLER_21_3428 +*14308 FILLER_21_343 +*14309 FILLER_21_3433 +*14310 FILLER_21_3441 +*14311 FILLER_21_3445 +*14312 FILLER_21_3453 +*14313 FILLER_21_3465 +*14314 FILLER_21_3472 +*14315 FILLER_21_3477 +*14316 FILLER_21_3483 +*14317 FILLER_21_349 +*14318 FILLER_21_3495 +*14319 FILLER_21_3499 +*14320 FILLER_21_3503 +*14321 FILLER_21_3511 +*14322 FILLER_21_3515 +*14323 FILLER_21_3525 +*14324 FILLER_21_3528 +*14325 FILLER_21_3538 +*14326 FILLER_21_3549 +*14327 FILLER_21_3559 +*14328 FILLER_21_3565 +*14329 FILLER_21_3571 +*14330 FILLER_21_3581 +*14331 FILLER_21_3584 +*14332 FILLER_21_3588 +*14333 FILLER_21_3594 +*14334 FILLER_21_360 +*14335 FILLER_21_3604 +*14336 FILLER_21_3616 +*14337 FILLER_21_3628 +*14338 FILLER_21_3636 +*14339 FILLER_21_3640 +*14340 FILLER_21_3652 +*14341 FILLER_21_366 +*14342 FILLER_21_3664 +*14343 FILLER_21_3676 +*14344 FILLER_21_3688 +*14345 FILLER_21_3694 +*14346 FILLER_21_3696 +*14347 FILLER_21_3708 +*14348 FILLER_21_3711 +*14349 FILLER_21_372 +*14350 FILLER_21_3723 +*14351 FILLER_21_3735 +*14352 FILLER_21_3740 +*14353 FILLER_21_3746 +*14354 FILLER_21_3749 +*14355 FILLER_21_3752 +*14356 FILLER_21_3759 +*14357 FILLER_21_3766 +*14358 FILLER_21_3772 +*14359 FILLER_21_3777 +*14360 FILLER_21_3786 +*14361 FILLER_21_3794 +*14362 FILLER_21_3802 +*14363 FILLER_21_3806 +*14364 FILLER_21_3808 +*14365 FILLER_21_3818 +*14366 FILLER_21_3830 +*14367 FILLER_21_3837 +*14368 FILLER_21_384 +*14369 FILLER_21_3843 +*14370 FILLER_21_3855 +*14371 FILLER_21_3864 +*14372 FILLER_21_3868 +*14373 FILLER_21_3871 +*14374 FILLER_21_3879 +*14375 FILLER_21_388 +*14376 FILLER_21_3885 +*14377 FILLER_21_3888 +*14378 FILLER_21_3896 +*14379 FILLER_21_39 +*14380 FILLER_21_3908 +*14381 FILLER_21_3913 +*14382 FILLER_21_3920 +*14383 FILLER_21_3924 +*14384 FILLER_21_393 +*14385 FILLER_21_3932 +*14386 FILLER_21_3944 +*14387 FILLER_21_3956 +*14388 FILLER_21_3968 +*14389 FILLER_21_3974 +*14390 FILLER_21_3976 +*14391 FILLER_21_3988 +*14392 FILLER_21_4000 +*14393 FILLER_21_4006 +*14394 FILLER_21_4013 +*14395 FILLER_21_4019 +*14396 FILLER_21_4023 +*14397 FILLER_21_4029 +*14398 FILLER_21_4032 +*14399 FILLER_21_4047 +*14400 FILLER_21_405 +*14401 FILLER_21_4053 +*14402 FILLER_21_4065 +*14403 FILLER_21_4077 +*14404 FILLER_21_4085 +*14405 FILLER_21_4088 +*14406 FILLER_21_4100 +*14407 FILLER_21_413 +*14408 FILLER_21_418 +*14409 FILLER_21_430 +*14410 FILLER_21_436 +*14411 FILLER_21_442 +*14412 FILLER_21_449 +*14413 FILLER_21_453 +*14414 FILLER_21_465 +*14415 FILLER_21_477 +*14416 FILLER_21_485 +*14417 FILLER_21_488 +*14418 FILLER_21_500 +*14419 FILLER_21_505 +*14420 FILLER_21_51 +*14421 FILLER_21_517 +*14422 FILLER_21_528 +*14423 FILLER_21_534 +*14424 FILLER_21_540 +*14425 FILLER_21_548 +*14426 FILLER_21_55 +*14427 FILLER_21_553 +*14428 FILLER_21_559 +*14429 FILLER_21_561 +*14430 FILLER_21_565 +*14431 FILLER_21_57 +*14432 FILLER_21_573 +*14433 FILLER_21_582 +*14434 FILLER_21_597 +*14435 FILLER_21_605 +*14436 FILLER_21_613 +*14437 FILLER_21_617 +*14438 FILLER_21_629 +*14439 FILLER_21_633 +*14440 FILLER_21_638 +*14441 FILLER_21_644 +*14442 FILLER_21_647 +*14443 FILLER_21_659 +*14444 FILLER_21_671 +*14445 FILLER_21_673 +*14446 FILLER_21_684 +*14447 FILLER_21_69 +*14448 FILLER_21_690 +*14449 FILLER_21_700 +*14450 FILLER_21_714 +*14451 FILLER_21_720 +*14452 FILLER_21_726 +*14453 FILLER_21_729 +*14454 FILLER_21_73 +*14455 FILLER_21_735 +*14456 FILLER_21_745 +*14457 FILLER_21_762 +*14458 FILLER_21_768 +*14459 FILLER_21_780 +*14460 FILLER_21_785 +*14461 FILLER_21_793 +*14462 FILLER_21_796 +*14463 FILLER_21_802 +*14464 FILLER_21_808 +*14465 FILLER_21_81 +*14466 FILLER_21_814 +*14467 FILLER_21_820 +*14468 FILLER_21_827 +*14469 FILLER_21_838 +*14470 FILLER_21_841 +*14471 FILLER_21_845 +*14472 FILLER_21_851 +*14473 FILLER_21_863 +*14474 FILLER_21_87 +*14475 FILLER_21_880 +*14476 FILLER_21_890 +*14477 FILLER_21_897 +*14478 FILLER_21_905 +*14479 FILLER_21_914 +*14480 FILLER_21_920 +*14481 FILLER_21_93 +*14482 FILLER_21_930 +*14483 FILLER_21_939 +*14484 FILLER_21_950 +*14485 FILLER_21_953 +*14486 FILLER_21_957 +*14487 FILLER_21_967 +*14488 FILLER_21_979 +*14489 FILLER_21_996 +*14490 FILLER_22_1000 +*14491 FILLER_22_1008 +*14492 FILLER_22_101 +*14493 FILLER_22_1022 +*14494 FILLER_22_1030 +*14495 FILLER_22_1034 +*14496 FILLER_22_1037 +*14497 FILLER_22_1043 +*14498 FILLER_22_1046 +*14499 FILLER_22_1058 +*14500 FILLER_22_1065 +*14501 FILLER_22_1069 +*14502 FILLER_22_1078 +*14503 FILLER_22_1082 +*14504 FILLER_22_1087 +*14505 FILLER_22_1091 +*14506 FILLER_22_1093 +*14507 FILLER_22_1097 +*14508 FILLER_22_1102 +*14509 FILLER_22_1108 +*14510 FILLER_22_1114 +*14511 FILLER_22_1120 +*14512 FILLER_22_1126 +*14513 FILLER_22_113 +*14514 FILLER_22_1137 +*14515 FILLER_22_1143 +*14516 FILLER_22_1146 +*14517 FILLER_22_1149 +*14518 FILLER_22_1158 +*14519 FILLER_22_1166 +*14520 FILLER_22_1173 +*14521 FILLER_22_1177 +*14522 FILLER_22_1180 +*14523 FILLER_22_1186 +*14524 FILLER_22_1194 +*14525 FILLER_22_1202 +*14526 FILLER_22_1205 +*14527 FILLER_22_1215 +*14528 FILLER_22_1223 +*14529 FILLER_22_1230 +*14530 FILLER_22_1239 +*14531 FILLER_22_1243 +*14532 FILLER_22_1246 +*14533 FILLER_22_125 +*14534 FILLER_22_1252 +*14535 FILLER_22_1258 +*14536 FILLER_22_1261 +*14537 FILLER_22_1265 +*14538 FILLER_22_1268 +*14539 FILLER_22_1276 +*14540 FILLER_22_1284 +*14541 FILLER_22_1290 +*14542 FILLER_22_1294 +*14543 FILLER_22_1297 +*14544 FILLER_22_1309 +*14545 FILLER_22_1315 +*14546 FILLER_22_1317 +*14547 FILLER_22_1323 +*14548 FILLER_22_1326 +*14549 FILLER_22_1338 +*14550 FILLER_22_1348 +*14551 FILLER_22_1356 +*14552 FILLER_22_1364 +*14553 FILLER_22_137 +*14554 FILLER_22_1370 +*14555 FILLER_22_1373 +*14556 FILLER_22_1377 +*14557 FILLER_22_1386 +*14558 FILLER_22_1390 +*14559 FILLER_22_1396 +*14560 FILLER_22_1400 +*14561 FILLER_22_1403 +*14562 FILLER_22_141 +*14563 FILLER_22_1411 +*14564 FILLER_22_1424 +*14565 FILLER_22_1429 +*14566 FILLER_22_1436 +*14567 FILLER_22_145 +*14568 FILLER_22_15 +*14569 FILLER_22_151 +*14570 FILLER_22_163 +*14571 FILLER_22_175 +*14572 FILLER_22_187 +*14573 FILLER_22_195 +*14574 FILLER_22_197 +*14575 FILLER_22_209 +*14576 FILLER_22_221 +*14577 FILLER_22_224 +*14578 FILLER_22_2249 +*14579 FILLER_22_2257 +*14580 FILLER_22_2265 +*14581 FILLER_22_2271 +*14582 FILLER_22_2275 +*14583 FILLER_22_2279 +*14584 FILLER_22_2284 +*14585 FILLER_22_2292 +*14586 FILLER_22_2304 +*14587 FILLER_22_2310 +*14588 FILLER_22_2316 +*14589 FILLER_22_2324 +*14590 FILLER_22_2328 +*14591 FILLER_22_2331 +*14592 FILLER_22_2340 +*14593 FILLER_22_2348 +*14594 FILLER_22_2354 +*14595 FILLER_22_2359 +*14596 FILLER_22_236 +*14597 FILLER_22_2367 +*14598 FILLER_22_2373 +*14599 FILLER_22_2379 +*14600 FILLER_22_2385 +*14601 FILLER_22_2387 +*14602 FILLER_22_2399 +*14603 FILLER_22_2403 +*14604 FILLER_22_2406 +*14605 FILLER_22_2412 +*14606 FILLER_22_2418 +*14607 FILLER_22_242 +*14608 FILLER_22_2424 +*14609 FILLER_22_2432 +*14610 FILLER_22_2438 +*14611 FILLER_22_2443 +*14612 FILLER_22_2455 +*14613 FILLER_22_2467 +*14614 FILLER_22_2477 +*14615 FILLER_22_2489 +*14616 FILLER_22_2497 +*14617 FILLER_22_2499 +*14618 FILLER_22_250 +*14619 FILLER_22_2504 +*14620 FILLER_22_2513 +*14621 FILLER_22_2521 +*14622 FILLER_22_253 +*14623 FILLER_22_2530 +*14624 FILLER_22_2534 +*14625 FILLER_22_2541 +*14626 FILLER_22_2547 +*14627 FILLER_22_2553 +*14628 FILLER_22_2555 +*14629 FILLER_22_2559 +*14630 FILLER_22_2571 +*14631 FILLER_22_2579 +*14632 FILLER_22_2588 +*14633 FILLER_22_2594 +*14634 FILLER_22_2607 +*14635 FILLER_22_261 +*14636 FILLER_22_2611 +*14637 FILLER_22_2615 +*14638 FILLER_22_2621 +*14639 FILLER_22_2627 +*14640 FILLER_22_2633 +*14641 FILLER_22_2639 +*14642 FILLER_22_2654 +*14643 FILLER_22_2660 +*14644 FILLER_22_2667 +*14645 FILLER_22_2671 +*14646 FILLER_22_2675 +*14647 FILLER_22_2678 +*14648 FILLER_22_2684 +*14649 FILLER_22_269 +*14650 FILLER_22_2692 +*14651 FILLER_22_27 +*14652 FILLER_22_2703 +*14653 FILLER_22_275 +*14654 FILLER_22_287 +*14655 FILLER_22_29 +*14656 FILLER_22_295 +*14657 FILLER_22_3 +*14658 FILLER_22_301 +*14659 FILLER_22_307 +*14660 FILLER_22_3082 +*14661 FILLER_22_309 +*14662 FILLER_22_3093 +*14663 FILLER_22_3101 +*14664 FILLER_22_3108 +*14665 FILLER_22_3112 +*14666 FILLER_22_3118 +*14667 FILLER_22_3124 +*14668 FILLER_22_3130 +*14669 FILLER_22_3142 +*14670 FILLER_22_3146 +*14671 FILLER_22_3149 +*14672 FILLER_22_3155 +*14673 FILLER_22_316 +*14674 FILLER_22_3164 +*14675 FILLER_22_3169 +*14676 FILLER_22_3175 +*14677 FILLER_22_3179 +*14678 FILLER_22_3182 +*14679 FILLER_22_3194 +*14680 FILLER_22_3206 +*14681 FILLER_22_3218 +*14682 FILLER_22_322 +*14683 FILLER_22_3220 +*14684 FILLER_22_3232 +*14685 FILLER_22_3244 +*14686 FILLER_22_3256 +*14687 FILLER_22_3268 +*14688 FILLER_22_3274 +*14689 FILLER_22_3276 +*14690 FILLER_22_3291 +*14691 FILLER_22_3305 +*14692 FILLER_22_3318 +*14693 FILLER_22_3330 +*14694 FILLER_22_3332 +*14695 FILLER_22_334 +*14696 FILLER_22_3340 +*14697 FILLER_22_3348 +*14698 FILLER_22_3352 +*14699 FILLER_22_3359 +*14700 FILLER_22_3367 +*14701 FILLER_22_3379 +*14702 FILLER_22_3388 +*14703 FILLER_22_3396 +*14704 FILLER_22_3401 +*14705 FILLER_22_3409 +*14706 FILLER_22_342 +*14707 FILLER_22_3421 +*14708 FILLER_22_3433 +*14709 FILLER_22_3441 +*14710 FILLER_22_3444 +*14711 FILLER_22_3456 +*14712 FILLER_22_3460 +*14713 FILLER_22_3468 +*14714 FILLER_22_347 +*14715 FILLER_22_3474 +*14716 FILLER_22_3480 +*14717 FILLER_22_3488 +*14718 FILLER_22_3494 +*14719 FILLER_22_3498 +*14720 FILLER_22_3500 +*14721 FILLER_22_3512 +*14722 FILLER_22_3520 +*14723 FILLER_22_3524 +*14724 FILLER_22_3527 +*14725 FILLER_22_3533 +*14726 FILLER_22_3545 +*14727 FILLER_22_3551 +*14728 FILLER_22_3556 +*14729 FILLER_22_3560 +*14730 FILLER_22_3566 +*14731 FILLER_22_3572 +*14732 FILLER_22_3585 +*14733 FILLER_22_359 +*14734 FILLER_22_3591 +*14735 FILLER_22_3597 +*14736 FILLER_22_3600 +*14737 FILLER_22_3609 +*14738 FILLER_22_3612 +*14739 FILLER_22_362 +*14740 FILLER_22_3624 +*14741 FILLER_22_3632 +*14742 FILLER_22_3635 +*14743 FILLER_22_3648 +*14744 FILLER_22_365 +*14745 FILLER_22_3660 +*14746 FILLER_22_3666 +*14747 FILLER_22_3668 +*14748 FILLER_22_3680 +*14749 FILLER_22_3692 +*14750 FILLER_22_3704 +*14751 FILLER_22_3710 +*14752 FILLER_22_3721 +*14753 FILLER_22_3724 +*14754 FILLER_22_3732 +*14755 FILLER_22_3738 +*14756 FILLER_22_3744 +*14757 FILLER_22_3756 +*14758 FILLER_22_3768 +*14759 FILLER_22_377 +*14760 FILLER_22_3776 +*14761 FILLER_22_3780 +*14762 FILLER_22_3784 +*14763 FILLER_22_3796 +*14764 FILLER_22_3808 +*14765 FILLER_22_3820 +*14766 FILLER_22_3828 +*14767 FILLER_22_3832 +*14768 FILLER_22_3836 +*14769 FILLER_22_3840 +*14770 FILLER_22_3852 +*14771 FILLER_22_3864 +*14772 FILLER_22_3876 +*14773 FILLER_22_3889 +*14774 FILLER_22_389 +*14775 FILLER_22_3892 +*14776 FILLER_22_3904 +*14777 FILLER_22_3907 +*14778 FILLER_22_3915 +*14779 FILLER_22_3926 +*14780 FILLER_22_3938 +*14781 FILLER_22_3946 +*14782 FILLER_22_3948 +*14783 FILLER_22_3960 +*14784 FILLER_22_3972 +*14785 FILLER_22_3984 +*14786 FILLER_22_3996 +*14787 FILLER_22_4001 +*14788 FILLER_22_4004 +*14789 FILLER_22_401 +*14790 FILLER_22_4010 +*14791 FILLER_22_4014 +*14792 FILLER_22_4017 +*14793 FILLER_22_4031 +*14794 FILLER_22_4037 +*14795 FILLER_22_4043 +*14796 FILLER_22_4055 +*14797 FILLER_22_4060 +*14798 FILLER_22_407 +*14799 FILLER_22_4072 +*14800 FILLER_22_4078 +*14801 FILLER_22_4081 +*14802 FILLER_22_41 +*14803 FILLER_22_4101 +*14804 FILLER_22_419 +*14805 FILLER_22_421 +*14806 FILLER_22_433 +*14807 FILLER_22_445 +*14808 FILLER_22_457 +*14809 FILLER_22_469 +*14810 FILLER_22_475 +*14811 FILLER_22_477 +*14812 FILLER_22_486 +*14813 FILLER_22_492 +*14814 FILLER_22_498 +*14815 FILLER_22_51 +*14816 FILLER_22_510 +*14817 FILLER_22_516 +*14818 FILLER_22_526 +*14819 FILLER_22_533 +*14820 FILLER_22_539 +*14821 FILLER_22_547 +*14822 FILLER_22_560 +*14823 FILLER_22_573 +*14824 FILLER_22_579 +*14825 FILLER_22_585 +*14826 FILLER_22_589 +*14827 FILLER_22_593 +*14828 FILLER_22_598 +*14829 FILLER_22_604 +*14830 FILLER_22_607 +*14831 FILLER_22_613 +*14832 FILLER_22_626 +*14833 FILLER_22_63 +*14834 FILLER_22_634 +*14835 FILLER_22_642 +*14836 FILLER_22_645 +*14837 FILLER_22_651 +*14838 FILLER_22_66 +*14839 FILLER_22_663 +*14840 FILLER_22_669 +*14841 FILLER_22_672 +*14842 FILLER_22_678 +*14843 FILLER_22_686 +*14844 FILLER_22_698 +*14845 FILLER_22_701 +*14846 FILLER_22_712 +*14847 FILLER_22_722 +*14848 FILLER_22_732 +*14849 FILLER_22_738 +*14850 FILLER_22_744 +*14851 FILLER_22_750 +*14852 FILLER_22_757 +*14853 FILLER_22_769 +*14854 FILLER_22_78 +*14855 FILLER_22_781 +*14856 FILLER_22_785 +*14857 FILLER_22_796 +*14858 FILLER_22_802 +*14859 FILLER_22_810 +*14860 FILLER_22_813 +*14861 FILLER_22_818 +*14862 FILLER_22_824 +*14863 FILLER_22_841 +*14864 FILLER_22_847 +*14865 FILLER_22_85 +*14866 FILLER_22_859 +*14867 FILLER_22_867 +*14868 FILLER_22_869 +*14869 FILLER_22_881 +*14870 FILLER_22_888 +*14871 FILLER_22_89 +*14872 FILLER_22_894 +*14873 FILLER_22_906 +*14874 FILLER_22_912 +*14875 FILLER_22_918 +*14876 FILLER_22_925 +*14877 FILLER_22_931 +*14878 FILLER_22_934 +*14879 FILLER_22_942 +*14880 FILLER_22_950 +*14881 FILLER_22_956 +*14882 FILLER_22_965 +*14883 FILLER_22_971 +*14884 FILLER_22_979 +*14885 FILLER_22_981 +*14886 FILLER_22_988 +*14887 FILLER_23_1006 +*14888 FILLER_23_1009 +*14889 FILLER_23_1013 +*14890 FILLER_23_1020 +*14891 FILLER_23_1033 +*14892 FILLER_23_1042 +*14893 FILLER_23_1050 +*14894 FILLER_23_1053 +*14895 FILLER_23_1062 +*14896 FILLER_23_1065 +*14897 FILLER_23_1081 +*14898 FILLER_23_1089 +*14899 FILLER_23_109 +*14900 FILLER_23_1096 +*14901 FILLER_23_1100 +*14902 FILLER_23_1105 +*14903 FILLER_23_1113 +*14904 FILLER_23_1119 +*14905 FILLER_23_1121 +*14906 FILLER_23_1127 +*14907 FILLER_23_113 +*14908 FILLER_23_1131 +*14909 FILLER_23_1134 +*14910 FILLER_23_1142 +*14911 FILLER_23_1150 +*14912 FILLER_23_1154 +*14913 FILLER_23_1157 +*14914 FILLER_23_1163 +*14915 FILLER_23_1167 +*14916 FILLER_23_1174 +*14917 FILLER_23_1177 +*14918 FILLER_23_1181 +*14919 FILLER_23_1189 +*14920 FILLER_23_1192 +*14921 FILLER_23_1198 +*14922 FILLER_23_1204 +*14923 FILLER_23_1212 +*14924 FILLER_23_1216 +*14925 FILLER_23_1223 +*14926 FILLER_23_1227 +*14927 FILLER_23_1230 +*14928 FILLER_23_1233 +*14929 FILLER_23_1237 +*14930 FILLER_23_1242 +*14931 FILLER_23_1246 +*14932 FILLER_23_125 +*14933 FILLER_23_1251 +*14934 FILLER_23_1257 +*14935 FILLER_23_1263 +*14936 FILLER_23_1271 +*14937 FILLER_23_1279 +*14938 FILLER_23_1286 +*14939 FILLER_23_1289 +*14940 FILLER_23_1295 +*14941 FILLER_23_1298 +*14942 FILLER_23_1304 +*14943 FILLER_23_1312 +*14944 FILLER_23_1318 +*14945 FILLER_23_1324 +*14946 FILLER_23_133 +*14947 FILLER_23_1330 +*14948 FILLER_23_1336 +*14949 FILLER_23_1345 +*14950 FILLER_23_1349 +*14951 FILLER_23_1354 +*14952 FILLER_23_1360 +*14953 FILLER_23_1368 +*14954 FILLER_23_1374 +*14955 FILLER_23_1383 +*14956 FILLER_23_1387 +*14957 FILLER_23_1390 +*14958 FILLER_23_1398 +*14959 FILLER_23_1401 +*14960 FILLER_23_1405 +*14961 FILLER_23_1413 +*14962 FILLER_23_1426 +*14963 FILLER_23_143 +*14964 FILLER_23_1436 +*14965 FILLER_23_149 +*14966 FILLER_23_15 +*14967 FILLER_23_155 +*14968 FILLER_23_167 +*14969 FILLER_23_169 +*14970 FILLER_23_173 +*14971 FILLER_23_185 +*14972 FILLER_23_197 +*14973 FILLER_23_209 +*14974 FILLER_23_221 +*14975 FILLER_23_2249 +*14976 FILLER_23_225 +*14977 FILLER_23_2257 +*14978 FILLER_23_2265 +*14979 FILLER_23_2269 +*14980 FILLER_23_2279 +*14981 FILLER_23_2287 +*14982 FILLER_23_2295 +*14983 FILLER_23_2301 +*14984 FILLER_23_2303 +*14985 FILLER_23_2307 +*14986 FILLER_23_2313 +*14987 FILLER_23_2319 +*14988 FILLER_23_2322 +*14989 FILLER_23_2330 +*14990 FILLER_23_2342 +*14991 FILLER_23_2350 +*14992 FILLER_23_2356 +*14993 FILLER_23_2359 +*14994 FILLER_23_2370 +*14995 FILLER_23_2374 +*14996 FILLER_23_2381 +*14997 FILLER_23_2387 +*14998 FILLER_23_2397 +*14999 FILLER_23_240 +*15000 FILLER_23_2405 +*15001 FILLER_23_2410 +*15002 FILLER_23_2415 +*15003 FILLER_23_2420 +*15004 FILLER_23_2434 +*15005 FILLER_23_2446 +*15006 FILLER_23_2451 +*15007 FILLER_23_246 +*15008 FILLER_23_2460 +*15009 FILLER_23_2466 +*15010 FILLER_23_2471 +*15011 FILLER_23_2481 +*15012 FILLER_23_2495 +*15013 FILLER_23_2505 +*15014 FILLER_23_2513 +*15015 FILLER_23_2516 +*15016 FILLER_23_252 +*15017 FILLER_23_2524 +*15018 FILLER_23_2527 +*15019 FILLER_23_2533 +*15020 FILLER_23_2537 +*15021 FILLER_23_2544 +*15022 FILLER_23_2552 +*15023 FILLER_23_2558 +*15024 FILLER_23_2566 +*15025 FILLER_23_2574 +*15026 FILLER_23_2580 +*15027 FILLER_23_2583 +*15028 FILLER_23_2587 +*15029 FILLER_23_2597 +*15030 FILLER_23_2605 +*15031 FILLER_23_2611 +*15032 FILLER_23_2618 +*15033 FILLER_23_2629 +*15034 FILLER_23_2635 +*15035 FILLER_23_2639 +*15036 FILLER_23_264 +*15037 FILLER_23_2645 +*15038 FILLER_23_2651 +*15039 FILLER_23_2662 +*15040 FILLER_23_2672 +*15041 FILLER_23_2678 +*15042 FILLER_23_2686 +*15043 FILLER_23_2692 +*15044 FILLER_23_2695 +*15045 FILLER_23_27 +*15046 FILLER_23_2703 +*15047 FILLER_23_276 +*15048 FILLER_23_281 +*15049 FILLER_23_293 +*15050 FILLER_23_3 +*15051 FILLER_23_306 +*15052 FILLER_23_3082 +*15053 FILLER_23_3086 +*15054 FILLER_23_3096 +*15055 FILLER_23_3102 +*15056 FILLER_23_3112 +*15057 FILLER_23_3118 +*15058 FILLER_23_312 +*15059 FILLER_23_3124 +*15060 FILLER_23_3130 +*15061 FILLER_23_3133 +*15062 FILLER_23_3136 +*15063 FILLER_23_3147 +*15064 FILLER_23_3156 +*15065 FILLER_23_3168 +*15066 FILLER_23_3176 +*15067 FILLER_23_3179 +*15068 FILLER_23_318 +*15069 FILLER_23_3189 +*15070 FILLER_23_3192 +*15071 FILLER_23_3196 +*15072 FILLER_23_3208 +*15073 FILLER_23_3220 +*15074 FILLER_23_3226 +*15075 FILLER_23_3232 +*15076 FILLER_23_324 +*15077 FILLER_23_3244 +*15078 FILLER_23_3248 +*15079 FILLER_23_3255 +*15080 FILLER_23_3261 +*15081 FILLER_23_3267 +*15082 FILLER_23_3273 +*15083 FILLER_23_3279 +*15084 FILLER_23_3285 +*15085 FILLER_23_3297 +*15086 FILLER_23_3301 +*15087 FILLER_23_3304 +*15088 FILLER_23_3310 +*15089 FILLER_23_3316 +*15090 FILLER_23_3322 +*15091 FILLER_23_3330 +*15092 FILLER_23_3334 +*15093 FILLER_23_3342 +*15094 FILLER_23_3350 +*15095 FILLER_23_3353 +*15096 FILLER_23_3360 +*15097 FILLER_23_337 +*15098 FILLER_23_3372 +*15099 FILLER_23_3384 +*15100 FILLER_23_3396 +*15101 FILLER_23_3408 +*15102 FILLER_23_3411 +*15103 FILLER_23_3416 +*15104 FILLER_23_3428 +*15105 FILLER_23_3440 +*15106 FILLER_23_3445 +*15107 FILLER_23_345 +*15108 FILLER_23_3458 +*15109 FILLER_23_3468 +*15110 FILLER_23_3472 +*15111 FILLER_23_3478 +*15112 FILLER_23_3484 +*15113 FILLER_23_3490 +*15114 FILLER_23_3496 +*15115 FILLER_23_3508 +*15116 FILLER_23_351 +*15117 FILLER_23_3520 +*15118 FILLER_23_3526 +*15119 FILLER_23_3528 +*15120 FILLER_23_3534 +*15121 FILLER_23_3543 +*15122 FILLER_23_3547 +*15123 FILLER_23_3557 +*15124 FILLER_23_3570 +*15125 FILLER_23_3577 +*15126 FILLER_23_3584 +*15127 FILLER_23_3596 +*15128 FILLER_23_3608 +*15129 FILLER_23_3616 +*15130 FILLER_23_3621 +*15131 FILLER_23_363 +*15132 FILLER_23_3630 +*15133 FILLER_23_3636 +*15134 FILLER_23_3640 +*15135 FILLER_23_3648 +*15136 FILLER_23_3653 +*15137 FILLER_23_3666 +*15138 FILLER_23_3680 +*15139 FILLER_23_3692 +*15140 FILLER_23_3696 +*15141 FILLER_23_3701 +*15142 FILLER_23_3707 +*15143 FILLER_23_3716 +*15144 FILLER_23_3722 +*15145 FILLER_23_3728 +*15146 FILLER_23_3740 +*15147 FILLER_23_3748 +*15148 FILLER_23_375 +*15149 FILLER_23_3752 +*15150 FILLER_23_3764 +*15151 FILLER_23_3776 +*15152 FILLER_23_3788 +*15153 FILLER_23_3800 +*15154 FILLER_23_3805 +*15155 FILLER_23_3808 +*15156 FILLER_23_3813 +*15157 FILLER_23_3819 +*15158 FILLER_23_3830 +*15159 FILLER_23_3838 +*15160 FILLER_23_3843 +*15161 FILLER_23_3851 +*15162 FILLER_23_3864 +*15163 FILLER_23_387 +*15164 FILLER_23_3876 +*15165 FILLER_23_3888 +*15166 FILLER_23_3891 +*15167 FILLER_23_3899 +*15168 FILLER_23_39 +*15169 FILLER_23_391 +*15170 FILLER_23_3911 +*15171 FILLER_23_3915 +*15172 FILLER_23_3920 +*15173 FILLER_23_3928 +*15174 FILLER_23_393 +*15175 FILLER_23_3933 +*15176 FILLER_23_3941 +*15177 FILLER_23_3955 +*15178 FILLER_23_3967 +*15179 FILLER_23_3976 +*15180 FILLER_23_3985 +*15181 FILLER_23_399 +*15182 FILLER_23_3997 +*15183 FILLER_23_4009 +*15184 FILLER_23_4017 +*15185 FILLER_23_4021 +*15186 FILLER_23_4029 +*15187 FILLER_23_4032 +*15188 FILLER_23_4040 +*15189 FILLER_23_4044 +*15190 FILLER_23_405 +*15191 FILLER_23_4050 +*15192 FILLER_23_4062 +*15193 FILLER_23_4074 +*15194 FILLER_23_4086 +*15195 FILLER_23_4088 +*15196 FILLER_23_4100 +*15197 FILLER_23_411 +*15198 FILLER_23_423 +*15199 FILLER_23_435 +*15200 FILLER_23_447 +*15201 FILLER_23_449 +*15202 FILLER_23_45 +*15203 FILLER_23_453 +*15204 FILLER_23_461 +*15205 FILLER_23_471 +*15206 FILLER_23_479 +*15207 FILLER_23_49 +*15208 FILLER_23_491 +*15209 FILLER_23_503 +*15210 FILLER_23_505 +*15211 FILLER_23_513 +*15212 FILLER_23_518 +*15213 FILLER_23_524 +*15214 FILLER_23_535 +*15215 FILLER_23_541 +*15216 FILLER_23_55 +*15217 FILLER_23_551 +*15218 FILLER_23_557 +*15219 FILLER_23_561 +*15220 FILLER_23_57 +*15221 FILLER_23_570 +*15222 FILLER_23_576 +*15223 FILLER_23_588 +*15224 FILLER_23_596 +*15225 FILLER_23_599 +*15226 FILLER_23_603 +*15227 FILLER_23_606 +*15228 FILLER_23_614 +*15229 FILLER_23_617 +*15230 FILLER_23_628 +*15231 FILLER_23_634 +*15232 FILLER_23_64 +*15233 FILLER_23_644 +*15234 FILLER_23_650 +*15235 FILLER_23_658 +*15236 FILLER_23_667 +*15237 FILLER_23_671 +*15238 FILLER_23_673 +*15239 FILLER_23_677 +*15240 FILLER_23_683 +*15241 FILLER_23_695 +*15242 FILLER_23_699 +*15243 FILLER_23_70 +*15244 FILLER_23_705 +*15245 FILLER_23_711 +*15246 FILLER_23_720 +*15247 FILLER_23_726 +*15248 FILLER_23_729 +*15249 FILLER_23_738 +*15250 FILLER_23_751 +*15251 FILLER_23_757 +*15252 FILLER_23_763 +*15253 FILLER_23_768 +*15254 FILLER_23_774 +*15255 FILLER_23_782 +*15256 FILLER_23_785 +*15257 FILLER_23_79 +*15258 FILLER_23_797 +*15259 FILLER_23_809 +*15260 FILLER_23_817 +*15261 FILLER_23_822 +*15262 FILLER_23_828 +*15263 FILLER_23_836 +*15264 FILLER_23_841 +*15265 FILLER_23_845 +*15266 FILLER_23_85 +*15267 FILLER_23_857 +*15268 FILLER_23_869 +*15269 FILLER_23_872 +*15270 FILLER_23_880 +*15271 FILLER_23_891 +*15272 FILLER_23_895 +*15273 FILLER_23_897 +*15274 FILLER_23_903 +*15275 FILLER_23_909 +*15276 FILLER_23_915 +*15277 FILLER_23_927 +*15278 FILLER_23_933 +*15279 FILLER_23_939 +*15280 FILLER_23_945 +*15281 FILLER_23_951 +*15282 FILLER_23_953 +*15283 FILLER_23_964 +*15284 FILLER_23_97 +*15285 FILLER_23_970 +*15286 FILLER_23_982 +*15287 FILLER_23_994 +*15288 FILLER_24_1003 +*15289 FILLER_24_1007 +*15290 FILLER_24_1009 +*15291 FILLER_24_1017 +*15292 FILLER_24_1023 +*15293 FILLER_24_1035 +*15294 FILLER_24_1037 +*15295 FILLER_24_1043 +*15296 FILLER_24_1049 +*15297 FILLER_24_1057 +*15298 FILLER_24_1062 +*15299 FILLER_24_1065 +*15300 FILLER_24_1070 +*15301 FILLER_24_1078 +*15302 FILLER_24_109 +*15303 FILLER_24_1090 +*15304 FILLER_24_1093 +*15305 FILLER_24_1101 +*15306 FILLER_24_1107 +*15307 FILLER_24_1117 +*15308 FILLER_24_1121 +*15309 FILLER_24_1129 +*15310 FILLER_24_113 +*15311 FILLER_24_1135 +*15312 FILLER_24_1143 +*15313 FILLER_24_1147 +*15314 FILLER_24_1149 +*15315 FILLER_24_1155 +*15316 FILLER_24_1162 +*15317 FILLER_24_1168 +*15318 FILLER_24_1177 +*15319 FILLER_24_1185 +*15320 FILLER_24_1193 +*15321 FILLER_24_1197 +*15322 FILLER_24_1202 +*15323 FILLER_24_1205 +*15324 FILLER_24_1216 +*15325 FILLER_24_1229 +*15326 FILLER_24_1233 +*15327 FILLER_24_1242 +*15328 FILLER_24_125 +*15329 FILLER_24_1250 +*15330 FILLER_24_1257 +*15331 FILLER_24_1261 +*15332 FILLER_24_1267 +*15333 FILLER_24_1275 +*15334 FILLER_24_1278 +*15335 FILLER_24_1286 +*15336 FILLER_24_1289 +*15337 FILLER_24_1295 +*15338 FILLER_24_1299 +*15339 FILLER_24_1304 +*15340 FILLER_24_1308 +*15341 FILLER_24_1314 +*15342 FILLER_24_1317 +*15343 FILLER_24_1324 +*15344 FILLER_24_1330 +*15345 FILLER_24_1342 +*15346 FILLER_24_1345 +*15347 FILLER_24_1357 +*15348 FILLER_24_1364 +*15349 FILLER_24_137 +*15350 FILLER_24_1370 +*15351 FILLER_24_1373 +*15352 FILLER_24_1383 +*15353 FILLER_24_1387 +*15354 FILLER_24_1390 +*15355 FILLER_24_1398 +*15356 FILLER_24_1401 +*15357 FILLER_24_141 +*15358 FILLER_24_1413 +*15359 FILLER_24_1421 +*15360 FILLER_24_1426 +*15361 FILLER_24_1429 +*15362 FILLER_24_1436 +*15363 FILLER_24_15 +*15364 FILLER_24_153 +*15365 FILLER_24_166 +*15366 FILLER_24_169 +*15367 FILLER_24_173 +*15368 FILLER_24_179 +*15369 FILLER_24_191 +*15370 FILLER_24_195 +*15371 FILLER_24_197 +*15372 FILLER_24_209 +*15373 FILLER_24_221 +*15374 FILLER_24_2249 +*15375 FILLER_24_225 +*15376 FILLER_24_2255 +*15377 FILLER_24_2263 +*15378 FILLER_24_2271 +*15379 FILLER_24_2275 +*15380 FILLER_24_2281 +*15381 FILLER_24_2287 +*15382 FILLER_24_2293 +*15383 FILLER_24_2298 +*15384 FILLER_24_2303 +*15385 FILLER_24_2310 +*15386 FILLER_24_2314 +*15387 FILLER_24_2319 +*15388 FILLER_24_2327 +*15389 FILLER_24_2331 +*15390 FILLER_24_2339 +*15391 FILLER_24_2347 +*15392 FILLER_24_2353 +*15393 FILLER_24_2357 +*15394 FILLER_24_2359 +*15395 FILLER_24_2365 +*15396 FILLER_24_237 +*15397 FILLER_24_2373 +*15398 FILLER_24_2379 +*15399 FILLER_24_2385 +*15400 FILLER_24_2387 +*15401 FILLER_24_2393 +*15402 FILLER_24_2401 +*15403 FILLER_24_2409 +*15404 FILLER_24_2412 +*15405 FILLER_24_2415 +*15406 FILLER_24_2422 +*15407 FILLER_24_2430 +*15408 FILLER_24_2434 +*15409 FILLER_24_2439 +*15410 FILLER_24_2443 +*15411 FILLER_24_2451 +*15412 FILLER_24_2455 +*15413 FILLER_24_2463 +*15414 FILLER_24_2469 +*15415 FILLER_24_2471 +*15416 FILLER_24_2479 +*15417 FILLER_24_2488 +*15418 FILLER_24_249 +*15419 FILLER_24_2494 +*15420 FILLER_24_2499 +*15421 FILLER_24_2507 +*15422 FILLER_24_2510 +*15423 FILLER_24_2518 +*15424 FILLER_24_2524 +*15425 FILLER_24_2527 +*15426 FILLER_24_253 +*15427 FILLER_24_2533 +*15428 FILLER_24_2539 +*15429 FILLER_24_2548 +*15430 FILLER_24_2555 +*15431 FILLER_24_2559 +*15432 FILLER_24_2565 +*15433 FILLER_24_2570 +*15434 FILLER_24_2583 +*15435 FILLER_24_259 +*15436 FILLER_24_2591 +*15437 FILLER_24_2599 +*15438 FILLER_24_2607 +*15439 FILLER_24_2611 +*15440 FILLER_24_2619 +*15441 FILLER_24_262 +*15442 FILLER_24_2625 +*15443 FILLER_24_2636 +*15444 FILLER_24_2639 +*15445 FILLER_24_2646 +*15446 FILLER_24_2652 +*15447 FILLER_24_2658 +*15448 FILLER_24_2664 +*15449 FILLER_24_2667 +*15450 FILLER_24_2673 +*15451 FILLER_24_2681 +*15452 FILLER_24_2689 +*15453 FILLER_24_2692 +*15454 FILLER_24_2695 +*15455 FILLER_24_27 +*15456 FILLER_24_270 +*15457 FILLER_24_2701 +*15458 FILLER_24_2711 +*15459 FILLER_24_2719 +*15460 FILLER_24_2723 +*15461 FILLER_24_2731 +*15462 FILLER_24_2740 +*15463 FILLER_24_2748 +*15464 FILLER_24_2751 +*15465 FILLER_24_2758 +*15466 FILLER_24_2768 +*15467 FILLER_24_277 +*15468 FILLER_24_2776 +*15469 FILLER_24_2779 +*15470 FILLER_24_2790 +*15471 FILLER_24_2794 +*15472 FILLER_24_2804 +*15473 FILLER_24_2807 +*15474 FILLER_24_281 +*15475 FILLER_24_2818 +*15476 FILLER_24_2831 +*15477 FILLER_24_2835 +*15478 FILLER_24_2843 +*15479 FILLER_24_2847 +*15480 FILLER_24_2857 +*15481 FILLER_24_2861 +*15482 FILLER_24_2863 +*15483 FILLER_24_2869 +*15484 FILLER_24_2873 +*15485 FILLER_24_2883 +*15486 FILLER_24_2889 +*15487 FILLER_24_2891 +*15488 FILLER_24_29 +*15489 FILLER_24_2902 +*15490 FILLER_24_2906 +*15491 FILLER_24_2916 +*15492 FILLER_24_2919 +*15493 FILLER_24_293 +*15494 FILLER_24_2930 +*15495 FILLER_24_2943 +*15496 FILLER_24_2947 +*15497 FILLER_24_2958 +*15498 FILLER_24_2966 +*15499 FILLER_24_2972 +*15500 FILLER_24_2975 +*15501 FILLER_24_2986 +*15502 FILLER_24_2990 +*15503 FILLER_24_3 +*15504 FILLER_24_3000 +*15505 FILLER_24_3003 +*15506 FILLER_24_3007 +*15507 FILLER_24_3011 +*15508 FILLER_24_3021 +*15509 FILLER_24_3027 +*15510 FILLER_24_3031 +*15511 FILLER_24_3042 +*15512 FILLER_24_305 +*15513 FILLER_24_3052 +*15514 FILLER_24_3059 +*15515 FILLER_24_3071 +*15516 FILLER_24_3081 +*15517 FILLER_24_3085 +*15518 FILLER_24_3087 +*15519 FILLER_24_309 +*15520 FILLER_24_3091 +*15521 FILLER_24_3095 +*15522 FILLER_24_3102 +*15523 FILLER_24_3108 +*15524 FILLER_24_3115 +*15525 FILLER_24_3122 +*15526 FILLER_24_3130 +*15527 FILLER_24_3140 +*15528 FILLER_24_3143 +*15529 FILLER_24_3149 +*15530 FILLER_24_315 +*15531 FILLER_24_3161 +*15532 FILLER_24_3165 +*15533 FILLER_24_3168 +*15534 FILLER_24_3171 +*15535 FILLER_24_3177 +*15536 FILLER_24_3189 +*15537 FILLER_24_3193 +*15538 FILLER_24_3197 +*15539 FILLER_24_3199 +*15540 FILLER_24_3211 +*15541 FILLER_24_322 +*15542 FILLER_24_3223 +*15543 FILLER_24_3227 +*15544 FILLER_24_3233 +*15545 FILLER_24_3246 +*15546 FILLER_24_3252 +*15547 FILLER_24_3255 +*15548 FILLER_24_3266 +*15549 FILLER_24_3277 +*15550 FILLER_24_3281 +*15551 FILLER_24_3283 +*15552 FILLER_24_3297 +*15553 FILLER_24_3306 +*15554 FILLER_24_3311 +*15555 FILLER_24_3315 +*15556 FILLER_24_3327 +*15557 FILLER_24_3335 +*15558 FILLER_24_3339 +*15559 FILLER_24_334 +*15560 FILLER_24_3351 +*15561 FILLER_24_3363 +*15562 FILLER_24_3367 +*15563 FILLER_24_337 +*15564 FILLER_24_3373 +*15565 FILLER_24_3385 +*15566 FILLER_24_3393 +*15567 FILLER_24_3395 +*15568 FILLER_24_3409 +*15569 FILLER_24_3420 +*15570 FILLER_24_3423 +*15571 FILLER_24_3429 +*15572 FILLER_24_343 +*15573 FILLER_24_3441 +*15574 FILLER_24_3449 +*15575 FILLER_24_3451 +*15576 FILLER_24_3459 +*15577 FILLER_24_3462 +*15578 FILLER_24_3474 +*15579 FILLER_24_3479 +*15580 FILLER_24_3485 +*15581 FILLER_24_3490 +*15582 FILLER_24_3502 +*15583 FILLER_24_3507 +*15584 FILLER_24_3519 +*15585 FILLER_24_3527 +*15586 FILLER_24_3533 +*15587 FILLER_24_3535 +*15588 FILLER_24_3541 +*15589 FILLER_24_3548 +*15590 FILLER_24_355 +*15591 FILLER_24_3554 +*15592 FILLER_24_3563 +*15593 FILLER_24_3567 +*15594 FILLER_24_3580 +*15595 FILLER_24_3588 +*15596 FILLER_24_3591 +*15597 FILLER_24_3603 +*15598 FILLER_24_3615 +*15599 FILLER_24_3619 +*15600 FILLER_24_3626 +*15601 FILLER_24_363 +*15602 FILLER_24_3632 +*15603 FILLER_24_3638 +*15604 FILLER_24_3642 +*15605 FILLER_24_3647 +*15606 FILLER_24_365 +*15607 FILLER_24_3659 +*15608 FILLER_24_3671 +*15609 FILLER_24_3675 +*15610 FILLER_24_3679 +*15611 FILLER_24_3684 +*15612 FILLER_24_3696 +*15613 FILLER_24_3703 +*15614 FILLER_24_3715 +*15615 FILLER_24_3728 +*15616 FILLER_24_3731 +*15617 FILLER_24_3745 +*15618 FILLER_24_3757 +*15619 FILLER_24_3759 +*15620 FILLER_24_377 +*15621 FILLER_24_3771 +*15622 FILLER_24_3783 +*15623 FILLER_24_3787 +*15624 FILLER_24_3799 +*15625 FILLER_24_3804 +*15626 FILLER_24_3811 +*15627 FILLER_24_3815 +*15628 FILLER_24_3827 +*15629 FILLER_24_3833 +*15630 FILLER_24_3839 +*15631 FILLER_24_3843 +*15632 FILLER_24_385 +*15633 FILLER_24_3855 +*15634 FILLER_24_3867 +*15635 FILLER_24_3871 +*15636 FILLER_24_3883 +*15637 FILLER_24_3895 +*15638 FILLER_24_3899 +*15639 FILLER_24_390 +*15640 FILLER_24_3904 +*15641 FILLER_24_3912 +*15642 FILLER_24_3924 +*15643 FILLER_24_3927 +*15644 FILLER_24_393 +*15645 FILLER_24_3932 +*15646 FILLER_24_3938 +*15647 FILLER_24_3950 +*15648 FILLER_24_3955 +*15649 FILLER_24_3967 +*15650 FILLER_24_3979 +*15651 FILLER_24_3983 +*15652 FILLER_24_399 +*15653 FILLER_24_3998 +*15654 FILLER_24_4011 +*15655 FILLER_24_4023 +*15656 FILLER_24_4026 +*15657 FILLER_24_4030 +*15658 FILLER_24_4033 +*15659 FILLER_24_4037 +*15660 FILLER_24_4039 +*15661 FILLER_24_4045 +*15662 FILLER_24_4057 +*15663 FILLER_24_4065 +*15664 FILLER_24_4067 +*15665 FILLER_24_4079 +*15666 FILLER_24_4091 +*15667 FILLER_24_4095 +*15668 FILLER_24_41 +*15669 FILLER_24_411 +*15670 FILLER_24_419 +*15671 FILLER_24_421 +*15672 FILLER_24_433 +*15673 FILLER_24_445 +*15674 FILLER_24_449 +*15675 FILLER_24_455 +*15676 FILLER_24_466 +*15677 FILLER_24_472 +*15678 FILLER_24_477 +*15679 FILLER_24_489 +*15680 FILLER_24_501 +*15681 FILLER_24_505 +*15682 FILLER_24_511 +*15683 FILLER_24_514 +*15684 FILLER_24_524 +*15685 FILLER_24_53 +*15686 FILLER_24_533 +*15687 FILLER_24_539 +*15688 FILLER_24_544 +*15689 FILLER_24_556 +*15690 FILLER_24_561 +*15691 FILLER_24_566 +*15692 FILLER_24_57 +*15693 FILLER_24_572 +*15694 FILLER_24_584 +*15695 FILLER_24_589 +*15696 FILLER_24_601 +*15697 FILLER_24_605 +*15698 FILLER_24_614 +*15699 FILLER_24_617 +*15700 FILLER_24_628 +*15701 FILLER_24_632 +*15702 FILLER_24_642 +*15703 FILLER_24_645 +*15704 FILLER_24_653 +*15705 FILLER_24_661 +*15706 FILLER_24_670 +*15707 FILLER_24_673 +*15708 FILLER_24_679 +*15709 FILLER_24_687 +*15710 FILLER_24_69 +*15711 FILLER_24_699 +*15712 FILLER_24_701 +*15713 FILLER_24_709 +*15714 FILLER_24_721 +*15715 FILLER_24_727 +*15716 FILLER_24_729 +*15717 FILLER_24_737 +*15718 FILLER_24_740 +*15719 FILLER_24_746 +*15720 FILLER_24_754 +*15721 FILLER_24_757 +*15722 FILLER_24_767 +*15723 FILLER_24_782 +*15724 FILLER_24_785 +*15725 FILLER_24_789 +*15726 FILLER_24_801 +*15727 FILLER_24_809 +*15728 FILLER_24_81 +*15729 FILLER_24_813 +*15730 FILLER_24_819 +*15731 FILLER_24_825 +*15732 FILLER_24_829 +*15733 FILLER_24_835 +*15734 FILLER_24_839 +*15735 FILLER_24_841 +*15736 FILLER_24_845 +*15737 FILLER_24_85 +*15738 FILLER_24_851 +*15739 FILLER_24_863 +*15740 FILLER_24_867 +*15741 FILLER_24_869 +*15742 FILLER_24_873 +*15743 FILLER_24_876 +*15744 FILLER_24_883 +*15745 FILLER_24_893 +*15746 FILLER_24_897 +*15747 FILLER_24_911 +*15748 FILLER_24_923 +*15749 FILLER_24_925 +*15750 FILLER_24_932 +*15751 FILLER_24_941 +*15752 FILLER_24_945 +*15753 FILLER_24_950 +*15754 FILLER_24_953 +*15755 FILLER_24_957 +*15756 FILLER_24_960 +*15757 FILLER_24_968 +*15758 FILLER_24_97 +*15759 FILLER_24_974 +*15760 FILLER_24_981 +*15761 FILLER_24_985 +*15762 FILLER_24_991 +*15763 FILLER_24_997 +*15764 FILLER_25_1007 +*15765 FILLER_25_1009 +*15766 FILLER_25_1019 +*15767 FILLER_25_1031 +*15768 FILLER_25_1043 +*15769 FILLER_25_1046 +*15770 FILLER_25_105 +*15771 FILLER_25_1058 +*15772 FILLER_25_1065 +*15773 FILLER_25_1071 +*15774 FILLER_25_1079 +*15775 FILLER_25_1083 +*15776 FILLER_25_1090 +*15777 FILLER_25_1096 +*15778 FILLER_25_1102 +*15779 FILLER_25_111 +*15780 FILLER_25_1114 +*15781 FILLER_25_1121 +*15782 FILLER_25_1125 +*15783 FILLER_25_113 +*15784 FILLER_25_1144 +*15785 FILLER_25_1150 +*15786 FILLER_25_1156 +*15787 FILLER_25_1168 +*15788 FILLER_25_1177 +*15789 FILLER_25_118 +*15790 FILLER_25_1189 +*15791 FILLER_25_1193 +*15792 FILLER_25_1196 +*15793 FILLER_25_1202 +*15794 FILLER_25_1208 +*15795 FILLER_25_1214 +*15796 FILLER_25_1222 +*15797 FILLER_25_1230 +*15798 FILLER_25_1233 +*15799 FILLER_25_124 +*15800 FILLER_25_1241 +*15801 FILLER_25_1247 +*15802 FILLER_25_1253 +*15803 FILLER_25_1259 +*15804 FILLER_25_1271 +*15805 FILLER_25_1274 +*15806 FILLER_25_1283 +*15807 FILLER_25_1287 +*15808 FILLER_25_1289 +*15809 FILLER_25_1295 +*15810 FILLER_25_1305 +*15811 FILLER_25_1309 +*15812 FILLER_25_1312 +*15813 FILLER_25_1318 +*15814 FILLER_25_1326 +*15815 FILLER_25_1330 +*15816 FILLER_25_1333 +*15817 FILLER_25_1340 +*15818 FILLER_25_1345 +*15819 FILLER_25_1349 +*15820 FILLER_25_136 +*15821 FILLER_25_1361 +*15822 FILLER_25_1373 +*15823 FILLER_25_1381 +*15824 FILLER_25_1385 +*15825 FILLER_25_1389 +*15826 FILLER_25_1392 +*15827 FILLER_25_1398 +*15828 FILLER_25_1401 +*15829 FILLER_25_1407 +*15830 FILLER_25_1417 +*15831 FILLER_25_1425 +*15832 FILLER_25_1435 +*15833 FILLER_25_148 +*15834 FILLER_25_15 +*15835 FILLER_25_154 +*15836 FILLER_25_160 +*15837 FILLER_25_166 +*15838 FILLER_25_169 +*15839 FILLER_25_178 +*15840 FILLER_25_190 +*15841 FILLER_25_202 +*15842 FILLER_25_214 +*15843 FILLER_25_222 +*15844 FILLER_25_2249 +*15845 FILLER_25_225 +*15846 FILLER_25_2255 +*15847 FILLER_25_2263 +*15848 FILLER_25_2269 +*15849 FILLER_25_2275 +*15850 FILLER_25_2281 +*15851 FILLER_25_2287 +*15852 FILLER_25_2293 +*15853 FILLER_25_2299 +*15854 FILLER_25_2303 +*15855 FILLER_25_2309 +*15856 FILLER_25_2315 +*15857 FILLER_25_2321 +*15858 FILLER_25_2324 +*15859 FILLER_25_2332 +*15860 FILLER_25_2338 +*15861 FILLER_25_2344 +*15862 FILLER_25_2349 +*15863 FILLER_25_2355 +*15864 FILLER_25_2359 +*15865 FILLER_25_2363 +*15866 FILLER_25_2369 +*15867 FILLER_25_237 +*15868 FILLER_25_2377 +*15869 FILLER_25_2381 +*15870 FILLER_25_2389 +*15871 FILLER_25_2401 +*15872 FILLER_25_2409 +*15873 FILLER_25_2412 +*15874 FILLER_25_2415 +*15875 FILLER_25_2421 +*15876 FILLER_25_2427 +*15877 FILLER_25_2435 +*15878 FILLER_25_2447 +*15879 FILLER_25_2455 +*15880 FILLER_25_2461 +*15881 FILLER_25_2465 +*15882 FILLER_25_2468 +*15883 FILLER_25_2471 +*15884 FILLER_25_2477 +*15885 FILLER_25_2483 +*15886 FILLER_25_249 +*15887 FILLER_25_2495 +*15888 FILLER_25_2504 +*15889 FILLER_25_2510 +*15890 FILLER_25_2522 +*15891 FILLER_25_2527 +*15892 FILLER_25_253 +*15893 FILLER_25_2532 +*15894 FILLER_25_2538 +*15895 FILLER_25_2549 +*15896 FILLER_25_2555 +*15897 FILLER_25_2561 +*15898 FILLER_25_2566 +*15899 FILLER_25_257 +*15900 FILLER_25_2572 +*15901 FILLER_25_2580 +*15902 FILLER_25_2583 +*15903 FILLER_25_2587 +*15904 FILLER_25_2595 +*15905 FILLER_25_2601 +*15906 FILLER_25_2605 +*15907 FILLER_25_2609 +*15908 FILLER_25_2615 +*15909 FILLER_25_2625 +*15910 FILLER_25_263 +*15911 FILLER_25_2631 +*15912 FILLER_25_2637 +*15913 FILLER_25_2639 +*15914 FILLER_25_2643 +*15915 FILLER_25_2655 +*15916 FILLER_25_2663 +*15917 FILLER_25_2674 +*15918 FILLER_25_2680 +*15919 FILLER_25_2686 +*15920 FILLER_25_2692 +*15921 FILLER_25_2695 +*15922 FILLER_25_27 +*15923 FILLER_25_2702 +*15924 FILLER_25_2708 +*15925 FILLER_25_2716 +*15926 FILLER_25_2725 +*15927 FILLER_25_2733 +*15928 FILLER_25_2739 +*15929 FILLER_25_2743 +*15930 FILLER_25_2748 +*15931 FILLER_25_275 +*15932 FILLER_25_2751 +*15933 FILLER_25_2755 +*15934 FILLER_25_2759 +*15935 FILLER_25_2765 +*15936 FILLER_25_2773 +*15937 FILLER_25_2780 +*15938 FILLER_25_279 +*15939 FILLER_25_2791 +*15940 FILLER_25_2797 +*15941 FILLER_25_2804 +*15942 FILLER_25_2807 +*15943 FILLER_25_281 +*15944 FILLER_25_2818 +*15945 FILLER_25_2822 +*15946 FILLER_25_2830 +*15947 FILLER_25_2842 +*15948 FILLER_25_2848 +*15949 FILLER_25_2858 +*15950 FILLER_25_2863 +*15951 FILLER_25_2871 +*15952 FILLER_25_2881 +*15953 FILLER_25_2894 +*15954 FILLER_25_2903 +*15955 FILLER_25_2911 +*15956 FILLER_25_2917 +*15957 FILLER_25_2919 +*15958 FILLER_25_293 +*15959 FILLER_25_2930 +*15960 FILLER_25_2938 +*15961 FILLER_25_2951 +*15962 FILLER_25_2959 +*15963 FILLER_25_2971 +*15964 FILLER_25_2975 +*15965 FILLER_25_2983 +*15966 FILLER_25_2991 +*15967 FILLER_25_3 +*15968 FILLER_25_3002 +*15969 FILLER_25_3015 +*15970 FILLER_25_3028 +*15971 FILLER_25_3031 +*15972 FILLER_25_3039 +*15973 FILLER_25_3043 +*15974 FILLER_25_305 +*15975 FILLER_25_3050 +*15976 FILLER_25_3062 +*15977 FILLER_25_3070 +*15978 FILLER_25_3078 +*15979 FILLER_25_3084 +*15980 FILLER_25_3087 +*15981 FILLER_25_3098 +*15982 FILLER_25_3104 +*15983 FILLER_25_3112 +*15984 FILLER_25_3117 +*15985 FILLER_25_3123 +*15986 FILLER_25_3126 +*15987 FILLER_25_313 +*15988 FILLER_25_3132 +*15989 FILLER_25_3139 +*15990 FILLER_25_3143 +*15991 FILLER_25_3150 +*15992 FILLER_25_3156 +*15993 FILLER_25_3168 +*15994 FILLER_25_3182 +*15995 FILLER_25_319 +*15996 FILLER_25_3191 +*15997 FILLER_25_3197 +*15998 FILLER_25_3199 +*15999 FILLER_25_3211 +*16000 FILLER_25_3223 +*16001 FILLER_25_3237 +*16002 FILLER_25_3249 +*16003 FILLER_25_325 +*16004 FILLER_25_3252 +*16005 FILLER_25_3255 +*16006 FILLER_25_3261 +*16007 FILLER_25_3269 +*16008 FILLER_25_3282 +*16009 FILLER_25_3294 +*16010 FILLER_25_3298 +*16011 FILLER_25_3302 +*16012 FILLER_25_3308 +*16013 FILLER_25_3311 +*16014 FILLER_25_3323 +*16015 FILLER_25_3327 +*16016 FILLER_25_333 +*16017 FILLER_25_3339 +*16018 FILLER_25_3353 +*16019 FILLER_25_3362 +*16020 FILLER_25_3367 +*16021 FILLER_25_337 +*16022 FILLER_25_3373 +*16023 FILLER_25_3378 +*16024 FILLER_25_3390 +*16025 FILLER_25_3402 +*16026 FILLER_25_3410 +*16027 FILLER_25_3413 +*16028 FILLER_25_3421 +*16029 FILLER_25_3423 +*16030 FILLER_25_3435 +*16031 FILLER_25_3449 +*16032 FILLER_25_3458 +*16033 FILLER_25_3464 +*16034 FILLER_25_3476 +*16035 FILLER_25_3479 +*16036 FILLER_25_3484 +*16037 FILLER_25_349 +*16038 FILLER_25_3490 +*16039 FILLER_25_3502 +*16040 FILLER_25_3514 +*16041 FILLER_25_3520 +*16042 FILLER_25_3523 +*16043 FILLER_25_3532 +*16044 FILLER_25_3535 +*16045 FILLER_25_3542 +*16046 FILLER_25_3548 +*16047 FILLER_25_3554 +*16048 FILLER_25_3564 +*16049 FILLER_25_357 +*16050 FILLER_25_3576 +*16051 FILLER_25_3588 +*16052 FILLER_25_3591 +*16053 FILLER_25_3603 +*16054 FILLER_25_361 +*16055 FILLER_25_3615 +*16056 FILLER_25_3627 +*16057 FILLER_25_3635 +*16058 FILLER_25_3639 +*16059 FILLER_25_3645 +*16060 FILLER_25_3647 +*16061 FILLER_25_3659 +*16062 FILLER_25_3669 +*16063 FILLER_25_3675 +*16064 FILLER_25_3687 +*16065 FILLER_25_369 +*16066 FILLER_25_3699 +*16067 FILLER_25_3703 +*16068 FILLER_25_3708 +*16069 FILLER_25_3718 +*16070 FILLER_25_372 +*16071 FILLER_25_3724 +*16072 FILLER_25_3733 +*16073 FILLER_25_3741 +*16074 FILLER_25_3750 +*16075 FILLER_25_3759 +*16076 FILLER_25_3771 +*16077 FILLER_25_3783 +*16078 FILLER_25_3791 +*16079 FILLER_25_3803 +*16080 FILLER_25_3811 +*16081 FILLER_25_3815 +*16082 FILLER_25_3827 +*16083 FILLER_25_3839 +*16084 FILLER_25_384 +*16085 FILLER_25_3851 +*16086 FILLER_25_3863 +*16087 FILLER_25_3869 +*16088 FILLER_25_3871 +*16089 FILLER_25_3883 +*16090 FILLER_25_3895 +*16091 FILLER_25_39 +*16092 FILLER_25_3907 +*16093 FILLER_25_3919 +*16094 FILLER_25_3925 +*16095 FILLER_25_3927 +*16096 FILLER_25_393 +*16097 FILLER_25_3934 +*16098 FILLER_25_3946 +*16099 FILLER_25_3954 +*16100 FILLER_25_3963 +*16101 FILLER_25_3975 +*16102 FILLER_25_3981 +*16103 FILLER_25_3983 +*16104 FILLER_25_3995 +*16105 FILLER_25_4007 +*16106 FILLER_25_4019 +*16107 FILLER_25_4022 +*16108 FILLER_25_4031 +*16109 FILLER_25_4037 +*16110 FILLER_25_4039 +*16111 FILLER_25_4044 +*16112 FILLER_25_405 +*16113 FILLER_25_4050 +*16114 FILLER_25_4062 +*16115 FILLER_25_4074 +*16116 FILLER_25_4086 +*16117 FILLER_25_4095 +*16118 FILLER_25_417 +*16119 FILLER_25_429 +*16120 FILLER_25_441 +*16121 FILLER_25_447 +*16122 FILLER_25_449 +*16123 FILLER_25_457 +*16124 FILLER_25_465 +*16125 FILLER_25_471 +*16126 FILLER_25_478 +*16127 FILLER_25_490 +*16128 FILLER_25_498 +*16129 FILLER_25_501 +*16130 FILLER_25_505 +*16131 FILLER_25_51 +*16132 FILLER_25_512 +*16133 FILLER_25_518 +*16134 FILLER_25_522 +*16135 FILLER_25_529 +*16136 FILLER_25_535 +*16137 FILLER_25_547 +*16138 FILLER_25_55 +*16139 FILLER_25_559 +*16140 FILLER_25_561 +*16141 FILLER_25_57 +*16142 FILLER_25_573 +*16143 FILLER_25_585 +*16144 FILLER_25_597 +*16145 FILLER_25_608 +*16146 FILLER_25_614 +*16147 FILLER_25_617 +*16148 FILLER_25_621 +*16149 FILLER_25_627 +*16150 FILLER_25_630 +*16151 FILLER_25_638 +*16152 FILLER_25_644 +*16153 FILLER_25_656 +*16154 FILLER_25_669 +*16155 FILLER_25_673 +*16156 FILLER_25_679 +*16157 FILLER_25_685 +*16158 FILLER_25_69 +*16159 FILLER_25_691 +*16160 FILLER_25_703 +*16161 FILLER_25_715 +*16162 FILLER_25_723 +*16163 FILLER_25_727 +*16164 FILLER_25_729 +*16165 FILLER_25_738 +*16166 FILLER_25_744 +*16167 FILLER_25_756 +*16168 FILLER_25_763 +*16169 FILLER_25_771 +*16170 FILLER_25_775 +*16171 FILLER_25_781 +*16172 FILLER_25_785 +*16173 FILLER_25_789 +*16174 FILLER_25_801 +*16175 FILLER_25_81 +*16176 FILLER_25_813 +*16177 FILLER_25_825 +*16178 FILLER_25_836 +*16179 FILLER_25_841 +*16180 FILLER_25_845 +*16181 FILLER_25_851 +*16182 FILLER_25_856 +*16183 FILLER_25_868 +*16184 FILLER_25_876 +*16185 FILLER_25_880 +*16186 FILLER_25_892 +*16187 FILLER_25_897 +*16188 FILLER_25_902 +*16189 FILLER_25_914 +*16190 FILLER_25_922 +*16191 FILLER_25_928 +*16192 FILLER_25_93 +*16193 FILLER_25_934 +*16194 FILLER_25_944 +*16195 FILLER_25_950 +*16196 FILLER_25_953 +*16197 FILLER_25_964 +*16198 FILLER_25_972 +*16199 FILLER_25_976 +*16200 FILLER_25_982 +*16201 FILLER_25_988 +*16202 FILLER_25_996 +*16203 FILLER_25_999 +*16204 FILLER_26_1005 +*16205 FILLER_26_1011 +*16206 FILLER_26_1015 +*16207 FILLER_26_1023 +*16208 FILLER_26_1035 +*16209 FILLER_26_1037 +*16210 FILLER_26_1044 +*16211 FILLER_26_1050 +*16212 FILLER_26_1062 +*16213 FILLER_26_1074 +*16214 FILLER_26_1086 +*16215 FILLER_26_109 +*16216 FILLER_26_1093 +*16217 FILLER_26_1105 +*16218 FILLER_26_1117 +*16219 FILLER_26_1129 +*16220 FILLER_26_1141 +*16221 FILLER_26_1146 +*16222 FILLER_26_1149 +*16223 FILLER_26_1161 +*16224 FILLER_26_1173 +*16225 FILLER_26_1185 +*16226 FILLER_26_1197 +*16227 FILLER_26_1201 +*16228 FILLER_26_1205 +*16229 FILLER_26_121 +*16230 FILLER_26_1210 +*16231 FILLER_26_1219 +*16232 FILLER_26_1223 +*16233 FILLER_26_1228 +*16234 FILLER_26_1234 +*16235 FILLER_26_1240 +*16236 FILLER_26_1243 +*16237 FILLER_26_1249 +*16238 FILLER_26_1257 +*16239 FILLER_26_1261 +*16240 FILLER_26_1273 +*16241 FILLER_26_1281 +*16242 FILLER_26_1285 +*16243 FILLER_26_1291 +*16244 FILLER_26_1303 +*16245 FILLER_26_1307 +*16246 FILLER_26_1315 +*16247 FILLER_26_1317 +*16248 FILLER_26_1324 +*16249 FILLER_26_133 +*16250 FILLER_26_1330 +*16251 FILLER_26_1342 +*16252 FILLER_26_1354 +*16253 FILLER_26_1366 +*16254 FILLER_26_1373 +*16255 FILLER_26_1381 +*16256 FILLER_26_1385 +*16257 FILLER_26_139 +*16258 FILLER_26_1393 +*16259 FILLER_26_1399 +*16260 FILLER_26_1405 +*16261 FILLER_26_141 +*16262 FILLER_26_1413 +*16263 FILLER_26_1421 +*16264 FILLER_26_1426 +*16265 FILLER_26_1429 +*16266 FILLER_26_1436 +*16267 FILLER_26_15 +*16268 FILLER_26_153 +*16269 FILLER_26_159 +*16270 FILLER_26_162 +*16271 FILLER_26_171 +*16272 FILLER_26_183 +*16273 FILLER_26_195 +*16274 FILLER_26_197 +*16275 FILLER_26_209 +*16276 FILLER_26_221 +*16277 FILLER_26_2249 +*16278 FILLER_26_2255 +*16279 FILLER_26_2261 +*16280 FILLER_26_2267 +*16281 FILLER_26_2273 +*16282 FILLER_26_2275 +*16283 FILLER_26_2282 +*16284 FILLER_26_2288 +*16285 FILLER_26_2300 +*16286 FILLER_26_2305 +*16287 FILLER_26_2317 +*16288 FILLER_26_2329 +*16289 FILLER_26_233 +*16290 FILLER_26_2331 +*16291 FILLER_26_2345 +*16292 FILLER_26_2357 +*16293 FILLER_26_2369 +*16294 FILLER_26_2381 +*16295 FILLER_26_2385 +*16296 FILLER_26_2387 +*16297 FILLER_26_2395 +*16298 FILLER_26_2401 +*16299 FILLER_26_2407 +*16300 FILLER_26_2419 +*16301 FILLER_26_2431 +*16302 FILLER_26_2439 +*16303 FILLER_26_2443 +*16304 FILLER_26_245 +*16305 FILLER_26_2455 +*16306 FILLER_26_2467 +*16307 FILLER_26_2479 +*16308 FILLER_26_2491 +*16309 FILLER_26_2497 +*16310 FILLER_26_2499 +*16311 FILLER_26_251 +*16312 FILLER_26_2511 +*16313 FILLER_26_2519 +*16314 FILLER_26_2525 +*16315 FILLER_26_253 +*16316 FILLER_26_2537 +*16317 FILLER_26_2549 +*16318 FILLER_26_2553 +*16319 FILLER_26_2555 +*16320 FILLER_26_2563 +*16321 FILLER_26_2569 +*16322 FILLER_26_2577 +*16323 FILLER_26_2581 +*16324 FILLER_26_2589 +*16325 FILLER_26_2599 +*16326 FILLER_26_2605 +*16327 FILLER_26_2609 +*16328 FILLER_26_2611 +*16329 FILLER_26_2621 +*16330 FILLER_26_2627 +*16331 FILLER_26_2632 +*16332 FILLER_26_2644 +*16333 FILLER_26_265 +*16334 FILLER_26_2656 +*16335 FILLER_26_2664 +*16336 FILLER_26_2667 +*16337 FILLER_26_2672 +*16338 FILLER_26_2681 +*16339 FILLER_26_2687 +*16340 FILLER_26_2693 +*16341 FILLER_26_2696 +*16342 FILLER_26_27 +*16343 FILLER_26_2710 +*16344 FILLER_26_2716 +*16345 FILLER_26_2723 +*16346 FILLER_26_2727 +*16347 FILLER_26_2733 +*16348 FILLER_26_2741 +*16349 FILLER_26_2747 +*16350 FILLER_26_2753 +*16351 FILLER_26_2761 +*16352 FILLER_26_277 +*16353 FILLER_26_2770 +*16354 FILLER_26_2776 +*16355 FILLER_26_2779 +*16356 FILLER_26_2787 +*16357 FILLER_26_2796 +*16358 FILLER_26_2806 +*16359 FILLER_26_2816 +*16360 FILLER_26_2822 +*16361 FILLER_26_2826 +*16362 FILLER_26_2832 +*16363 FILLER_26_2835 +*16364 FILLER_26_2842 +*16365 FILLER_26_2848 +*16366 FILLER_26_2852 +*16367 FILLER_26_2859 +*16368 FILLER_26_2867 +*16369 FILLER_26_2875 +*16370 FILLER_26_2879 +*16371 FILLER_26_2884 +*16372 FILLER_26_289 +*16373 FILLER_26_2891 +*16374 FILLER_26_2897 +*16375 FILLER_26_29 +*16376 FILLER_26_2905 +*16377 FILLER_26_2911 +*16378 FILLER_26_2917 +*16379 FILLER_26_2928 +*16380 FILLER_26_2936 +*16381 FILLER_26_2942 +*16382 FILLER_26_2947 +*16383 FILLER_26_2953 +*16384 FILLER_26_2961 +*16385 FILLER_26_2967 +*16386 FILLER_26_2972 +*16387 FILLER_26_2980 +*16388 FILLER_26_2990 +*16389 FILLER_26_3 +*16390 FILLER_26_3000 +*16391 FILLER_26_3003 +*16392 FILLER_26_301 +*16393 FILLER_26_3015 +*16394 FILLER_26_3025 +*16395 FILLER_26_3034 +*16396 FILLER_26_3038 +*16397 FILLER_26_3043 +*16398 FILLER_26_3049 +*16399 FILLER_26_3055 +*16400 FILLER_26_3059 +*16401 FILLER_26_3065 +*16402 FILLER_26_307 +*16403 FILLER_26_3071 +*16404 FILLER_26_3079 +*16405 FILLER_26_3083 +*16406 FILLER_26_3089 +*16407 FILLER_26_309 +*16408 FILLER_26_3095 +*16409 FILLER_26_3101 +*16410 FILLER_26_3113 +*16411 FILLER_26_3115 +*16412 FILLER_26_3127 +*16413 FILLER_26_3139 +*16414 FILLER_26_3151 +*16415 FILLER_26_3163 +*16416 FILLER_26_3169 +*16417 FILLER_26_317 +*16418 FILLER_26_3171 +*16419 FILLER_26_3183 +*16420 FILLER_26_3195 +*16421 FILLER_26_3207 +*16422 FILLER_26_3219 +*16423 FILLER_26_3225 +*16424 FILLER_26_3227 +*16425 FILLER_26_3239 +*16426 FILLER_26_3247 +*16427 FILLER_26_3252 +*16428 FILLER_26_3256 +*16429 FILLER_26_3259 +*16430 FILLER_26_3268 +*16431 FILLER_26_3275 +*16432 FILLER_26_3281 +*16433 FILLER_26_3283 +*16434 FILLER_26_3289 +*16435 FILLER_26_329 +*16436 FILLER_26_3294 +*16437 FILLER_26_3300 +*16438 FILLER_26_3316 +*16439 FILLER_26_3322 +*16440 FILLER_26_3326 +*16441 FILLER_26_3336 +*16442 FILLER_26_3339 +*16443 FILLER_26_3351 +*16444 FILLER_26_3363 +*16445 FILLER_26_3375 +*16446 FILLER_26_3387 +*16447 FILLER_26_3393 +*16448 FILLER_26_3395 +*16449 FILLER_26_3401 +*16450 FILLER_26_341 +*16451 FILLER_26_3413 +*16452 FILLER_26_3425 +*16453 FILLER_26_3432 +*16454 FILLER_26_3444 +*16455 FILLER_26_3451 +*16456 FILLER_26_3456 +*16457 FILLER_26_3468 +*16458 FILLER_26_3480 +*16459 FILLER_26_3486 +*16460 FILLER_26_3498 +*16461 FILLER_26_3507 +*16462 FILLER_26_3519 +*16463 FILLER_26_353 +*16464 FILLER_26_3531 +*16465 FILLER_26_3537 +*16466 FILLER_26_3549 +*16467 FILLER_26_3555 +*16468 FILLER_26_3561 +*16469 FILLER_26_3563 +*16470 FILLER_26_3575 +*16471 FILLER_26_3583 +*16472 FILLER_26_3587 +*16473 FILLER_26_359 +*16474 FILLER_26_3599 +*16475 FILLER_26_3611 +*16476 FILLER_26_3617 +*16477 FILLER_26_3619 +*16478 FILLER_26_363 +*16479 FILLER_26_3631 +*16480 FILLER_26_3643 +*16481 FILLER_26_365 +*16482 FILLER_26_3655 +*16483 FILLER_26_3663 +*16484 FILLER_26_3669 +*16485 FILLER_26_3673 +*16486 FILLER_26_3675 +*16487 FILLER_26_3687 +*16488 FILLER_26_3697 +*16489 FILLER_26_370 +*16490 FILLER_26_3706 +*16491 FILLER_26_3714 +*16492 FILLER_26_3719 +*16493 FILLER_26_3723 +*16494 FILLER_26_3727 +*16495 FILLER_26_3731 +*16496 FILLER_26_374 +*16497 FILLER_26_3743 +*16498 FILLER_26_3755 +*16499 FILLER_26_3767 +*16500 FILLER_26_3772 +*16501 FILLER_26_3778 +*16502 FILLER_26_378 +*16503 FILLER_26_3787 +*16504 FILLER_26_3795 +*16505 FILLER_26_3807 +*16506 FILLER_26_3819 +*16507 FILLER_26_3824 +*16508 FILLER_26_3830 +*16509 FILLER_26_384 +*16510 FILLER_26_3843 +*16511 FILLER_26_3855 +*16512 FILLER_26_3867 +*16513 FILLER_26_3879 +*16514 FILLER_26_3891 +*16515 FILLER_26_3897 +*16516 FILLER_26_3899 +*16517 FILLER_26_3911 +*16518 FILLER_26_3923 +*16519 FILLER_26_3927 +*16520 FILLER_26_3930 +*16521 FILLER_26_3942 +*16522 FILLER_26_3955 +*16523 FILLER_26_396 +*16524 FILLER_26_3967 +*16525 FILLER_26_3979 +*16526 FILLER_26_3991 +*16527 FILLER_26_3995 +*16528 FILLER_26_4004 +*16529 FILLER_26_4011 +*16530 FILLER_26_4023 +*16531 FILLER_26_4035 +*16532 FILLER_26_4050 +*16533 FILLER_26_4056 +*16534 FILLER_26_4064 +*16535 FILLER_26_4067 +*16536 FILLER_26_408 +*16537 FILLER_26_4081 +*16538 FILLER_26_41 +*16539 FILLER_26_4101 +*16540 FILLER_26_421 +*16541 FILLER_26_429 +*16542 FILLER_26_432 +*16543 FILLER_26_440 +*16544 FILLER_26_452 +*16545 FILLER_26_464 +*16546 FILLER_26_477 +*16547 FILLER_26_489 +*16548 FILLER_26_499 +*16549 FILLER_26_505 +*16550 FILLER_26_517 +*16551 FILLER_26_529 +*16552 FILLER_26_53 +*16553 FILLER_26_533 +*16554 FILLER_26_545 +*16555 FILLER_26_557 +*16556 FILLER_26_562 +*16557 FILLER_26_574 +*16558 FILLER_26_586 +*16559 FILLER_26_589 +*16560 FILLER_26_601 +*16561 FILLER_26_607 +*16562 FILLER_26_610 +*16563 FILLER_26_622 +*16564 FILLER_26_634 +*16565 FILLER_26_642 +*16566 FILLER_26_645 +*16567 FILLER_26_65 +*16568 FILLER_26_657 +*16569 FILLER_26_669 +*16570 FILLER_26_673 +*16571 FILLER_26_679 +*16572 FILLER_26_691 +*16573 FILLER_26_699 +*16574 FILLER_26_701 +*16575 FILLER_26_712 +*16576 FILLER_26_718 +*16577 FILLER_26_726 +*16578 FILLER_26_733 +*16579 FILLER_26_740 +*16580 FILLER_26_752 +*16581 FILLER_26_757 +*16582 FILLER_26_765 +*16583 FILLER_26_77 +*16584 FILLER_26_777 +*16585 FILLER_26_783 +*16586 FILLER_26_795 +*16587 FILLER_26_807 +*16588 FILLER_26_811 +*16589 FILLER_26_813 +*16590 FILLER_26_821 +*16591 FILLER_26_826 +*16592 FILLER_26_83 +*16593 FILLER_26_832 +*16594 FILLER_26_840 +*16595 FILLER_26_85 +*16596 FILLER_26_852 +*16597 FILLER_26_864 +*16598 FILLER_26_869 +*16599 FILLER_26_878 +*16600 FILLER_26_885 +*16601 FILLER_26_891 +*16602 FILLER_26_903 +*16603 FILLER_26_915 +*16604 FILLER_26_923 +*16605 FILLER_26_925 +*16606 FILLER_26_929 +*16607 FILLER_26_941 +*16608 FILLER_26_953 +*16609 FILLER_26_959 +*16610 FILLER_26_962 +*16611 FILLER_26_97 +*16612 FILLER_26_972 +*16613 FILLER_26_978 +*16614 FILLER_26_981 +*16615 FILLER_26_993 +*16616 FILLER_27_1003 +*16617 FILLER_27_1007 +*16618 FILLER_27_1009 +*16619 FILLER_27_1021 +*16620 FILLER_27_1029 +*16621 FILLER_27_1037 +*16622 FILLER_27_1049 +*16623 FILLER_27_105 +*16624 FILLER_27_1061 +*16625 FILLER_27_1065 +*16626 FILLER_27_1077 +*16627 FILLER_27_1089 +*16628 FILLER_27_1101 +*16629 FILLER_27_111 +*16630 FILLER_27_1113 +*16631 FILLER_27_1119 +*16632 FILLER_27_1121 +*16633 FILLER_27_113 +*16634 FILLER_27_1133 +*16635 FILLER_27_1145 +*16636 FILLER_27_1157 +*16637 FILLER_27_1169 +*16638 FILLER_27_1175 +*16639 FILLER_27_1177 +*16640 FILLER_27_1189 +*16641 FILLER_27_1194 +*16642 FILLER_27_1202 +*16643 FILLER_27_1209 +*16644 FILLER_27_1218 +*16645 FILLER_27_1224 +*16646 FILLER_27_1230 +*16647 FILLER_27_1233 +*16648 FILLER_27_1245 +*16649 FILLER_27_125 +*16650 FILLER_27_1257 +*16651 FILLER_27_1269 +*16652 FILLER_27_1281 +*16653 FILLER_27_1287 +*16654 FILLER_27_1289 +*16655 FILLER_27_1301 +*16656 FILLER_27_1313 +*16657 FILLER_27_1325 +*16658 FILLER_27_1337 +*16659 FILLER_27_1343 +*16660 FILLER_27_1345 +*16661 FILLER_27_1357 +*16662 FILLER_27_1369 +*16663 FILLER_27_137 +*16664 FILLER_27_1381 +*16665 FILLER_27_1393 +*16666 FILLER_27_1398 +*16667 FILLER_27_1401 +*16668 FILLER_27_1409 +*16669 FILLER_27_1415 +*16670 FILLER_27_1423 +*16671 FILLER_27_1426 +*16672 FILLER_27_1434 +*16673 FILLER_27_149 +*16674 FILLER_27_15 +*16675 FILLER_27_161 +*16676 FILLER_27_167 +*16677 FILLER_27_169 +*16678 FILLER_27_181 +*16679 FILLER_27_184 +*16680 FILLER_27_188 +*16681 FILLER_27_191 +*16682 FILLER_27_203 +*16683 FILLER_27_215 +*16684 FILLER_27_223 +*16685 FILLER_27_2249 +*16686 FILLER_27_225 +*16687 FILLER_27_2253 +*16688 FILLER_27_2265 +*16689 FILLER_27_2278 +*16690 FILLER_27_2284 +*16691 FILLER_27_2296 +*16692 FILLER_27_2303 +*16693 FILLER_27_2315 +*16694 FILLER_27_2327 +*16695 FILLER_27_2339 +*16696 FILLER_27_2343 +*16697 FILLER_27_2346 +*16698 FILLER_27_2355 +*16699 FILLER_27_2359 +*16700 FILLER_27_2363 +*16701 FILLER_27_237 +*16702 FILLER_27_2375 +*16703 FILLER_27_2387 +*16704 FILLER_27_2399 +*16705 FILLER_27_2411 +*16706 FILLER_27_2415 +*16707 FILLER_27_2427 +*16708 FILLER_27_2439 +*16709 FILLER_27_2445 +*16710 FILLER_27_2448 +*16711 FILLER_27_2456 +*16712 FILLER_27_2468 +*16713 FILLER_27_2471 +*16714 FILLER_27_2483 +*16715 FILLER_27_249 +*16716 FILLER_27_2495 +*16717 FILLER_27_2507 +*16718 FILLER_27_2517 +*16719 FILLER_27_2523 +*16720 FILLER_27_2527 +*16721 FILLER_27_2539 +*16722 FILLER_27_2551 +*16723 FILLER_27_2563 +*16724 FILLER_27_2575 +*16725 FILLER_27_2581 +*16726 FILLER_27_2583 +*16727 FILLER_27_2595 +*16728 FILLER_27_2607 +*16729 FILLER_27_261 +*16730 FILLER_27_2619 +*16731 FILLER_27_2631 +*16732 FILLER_27_2637 +*16733 FILLER_27_2639 +*16734 FILLER_27_2651 +*16735 FILLER_27_2663 +*16736 FILLER_27_2675 +*16737 FILLER_27_2687 +*16738 FILLER_27_2692 +*16739 FILLER_27_2695 +*16740 FILLER_27_2699 +*16741 FILLER_27_27 +*16742 FILLER_27_2705 +*16743 FILLER_27_2715 +*16744 FILLER_27_2727 +*16745 FILLER_27_273 +*16746 FILLER_27_2733 +*16747 FILLER_27_2739 +*16748 FILLER_27_2745 +*16749 FILLER_27_2749 +*16750 FILLER_27_2751 +*16751 FILLER_27_2755 +*16752 FILLER_27_2758 +*16753 FILLER_27_2767 +*16754 FILLER_27_2777 +*16755 FILLER_27_2787 +*16756 FILLER_27_279 +*16757 FILLER_27_2797 +*16758 FILLER_27_2803 +*16759 FILLER_27_2807 +*16760 FILLER_27_281 +*16761 FILLER_27_2814 +*16762 FILLER_27_2822 +*16763 FILLER_27_2829 +*16764 FILLER_27_2837 +*16765 FILLER_27_2849 +*16766 FILLER_27_2857 +*16767 FILLER_27_2861 +*16768 FILLER_27_2863 +*16769 FILLER_27_2867 +*16770 FILLER_27_2880 +*16771 FILLER_27_2884 +*16772 FILLER_27_2889 +*16773 FILLER_27_2897 +*16774 FILLER_27_2903 +*16775 FILLER_27_2909 +*16776 FILLER_27_2915 +*16777 FILLER_27_2919 +*16778 FILLER_27_2923 +*16779 FILLER_27_2929 +*16780 FILLER_27_293 +*16781 FILLER_27_2934 +*16782 FILLER_27_2940 +*16783 FILLER_27_2946 +*16784 FILLER_27_2952 +*16785 FILLER_27_2958 +*16786 FILLER_27_2964 +*16787 FILLER_27_2969 +*16788 FILLER_27_2973 +*16789 FILLER_27_2975 +*16790 FILLER_27_2982 +*16791 FILLER_27_2986 +*16792 FILLER_27_2991 +*16793 FILLER_27_2995 +*16794 FILLER_27_3 +*16795 FILLER_27_3001 +*16796 FILLER_27_3011 +*16797 FILLER_27_3023 +*16798 FILLER_27_3029 +*16799 FILLER_27_3031 +*16800 FILLER_27_3037 +*16801 FILLER_27_3045 +*16802 FILLER_27_305 +*16803 FILLER_27_3051 +*16804 FILLER_27_3055 +*16805 FILLER_27_3061 +*16806 FILLER_27_3067 +*16807 FILLER_27_3073 +*16808 FILLER_27_3079 +*16809 FILLER_27_3084 +*16810 FILLER_27_3087 +*16811 FILLER_27_3093 +*16812 FILLER_27_3105 +*16813 FILLER_27_311 +*16814 FILLER_27_3117 +*16815 FILLER_27_3129 +*16816 FILLER_27_314 +*16817 FILLER_27_3141 +*16818 FILLER_27_3143 +*16819 FILLER_27_3155 +*16820 FILLER_27_3167 +*16821 FILLER_27_3179 +*16822 FILLER_27_3191 +*16823 FILLER_27_3197 +*16824 FILLER_27_3199 +*16825 FILLER_27_3211 +*16826 FILLER_27_322 +*16827 FILLER_27_3223 +*16828 FILLER_27_3235 +*16829 FILLER_27_3247 +*16830 FILLER_27_3253 +*16831 FILLER_27_3255 +*16832 FILLER_27_3267 +*16833 FILLER_27_3270 +*16834 FILLER_27_3276 +*16835 FILLER_27_3288 +*16836 FILLER_27_3300 +*16837 FILLER_27_3308 +*16838 FILLER_27_3311 +*16839 FILLER_27_3323 +*16840 FILLER_27_3335 +*16841 FILLER_27_334 +*16842 FILLER_27_3347 +*16843 FILLER_27_3359 +*16844 FILLER_27_3365 +*16845 FILLER_27_3367 +*16846 FILLER_27_337 +*16847 FILLER_27_3379 +*16848 FILLER_27_3391 +*16849 FILLER_27_3403 +*16850 FILLER_27_3415 +*16851 FILLER_27_3421 +*16852 FILLER_27_3423 +*16853 FILLER_27_343 +*16854 FILLER_27_3435 +*16855 FILLER_27_3447 +*16856 FILLER_27_3459 +*16857 FILLER_27_3471 +*16858 FILLER_27_3477 +*16859 FILLER_27_3479 +*16860 FILLER_27_3484 +*16861 FILLER_27_3490 +*16862 FILLER_27_3502 +*16863 FILLER_27_3514 +*16864 FILLER_27_3526 +*16865 FILLER_27_3535 +*16866 FILLER_27_3547 +*16867 FILLER_27_355 +*16868 FILLER_27_3559 +*16869 FILLER_27_3571 +*16870 FILLER_27_3583 +*16871 FILLER_27_3589 +*16872 FILLER_27_3591 +*16873 FILLER_27_3603 +*16874 FILLER_27_3615 +*16875 FILLER_27_3627 +*16876 FILLER_27_3639 +*16877 FILLER_27_3645 +*16878 FILLER_27_3647 +*16879 FILLER_27_3659 +*16880 FILLER_27_367 +*16881 FILLER_27_3671 +*16882 FILLER_27_3679 +*16883 FILLER_27_3685 +*16884 FILLER_27_3691 +*16885 FILLER_27_3699 +*16886 FILLER_27_3703 +*16887 FILLER_27_3715 +*16888 FILLER_27_3727 +*16889 FILLER_27_3739 +*16890 FILLER_27_3751 +*16891 FILLER_27_3757 +*16892 FILLER_27_3759 +*16893 FILLER_27_3771 +*16894 FILLER_27_3783 +*16895 FILLER_27_3789 +*16896 FILLER_27_379 +*16897 FILLER_27_3792 +*16898 FILLER_27_3801 +*16899 FILLER_27_3813 +*16900 FILLER_27_3815 +*16901 FILLER_27_3827 +*16902 FILLER_27_3835 +*16903 FILLER_27_3839 +*16904 FILLER_27_3848 +*16905 FILLER_27_3860 +*16906 FILLER_27_3868 +*16907 FILLER_27_3871 +*16908 FILLER_27_3883 +*16909 FILLER_27_3887 +*16910 FILLER_27_3899 +*16911 FILLER_27_39 +*16912 FILLER_27_3903 +*16913 FILLER_27_3907 +*16914 FILLER_27_391 +*16915 FILLER_27_3911 +*16916 FILLER_27_3917 +*16917 FILLER_27_3925 +*16918 FILLER_27_3927 +*16919 FILLER_27_393 +*16920 FILLER_27_3939 +*16921 FILLER_27_3951 +*16922 FILLER_27_3963 +*16923 FILLER_27_3975 +*16924 FILLER_27_3981 +*16925 FILLER_27_3983 +*16926 FILLER_27_3995 +*16927 FILLER_27_4007 +*16928 FILLER_27_4019 +*16929 FILLER_27_4031 +*16930 FILLER_27_4037 +*16931 FILLER_27_4039 +*16932 FILLER_27_4045 +*16933 FILLER_27_4048 +*16934 FILLER_27_405 +*16935 FILLER_27_4057 +*16936 FILLER_27_4069 +*16937 FILLER_27_4081 +*16938 FILLER_27_4093 +*16939 FILLER_27_4095 +*16940 FILLER_27_417 +*16941 FILLER_27_421 +*16942 FILLER_27_429 +*16943 FILLER_27_437 +*16944 FILLER_27_445 +*16945 FILLER_27_449 +*16946 FILLER_27_461 +*16947 FILLER_27_473 +*16948 FILLER_27_485 +*16949 FILLER_27_497 +*16950 FILLER_27_503 +*16951 FILLER_27_505 +*16952 FILLER_27_51 +*16953 FILLER_27_517 +*16954 FILLER_27_529 +*16955 FILLER_27_541 +*16956 FILLER_27_549 +*16957 FILLER_27_55 +*16958 FILLER_27_555 +*16959 FILLER_27_559 +*16960 FILLER_27_561 +*16961 FILLER_27_57 +*16962 FILLER_27_573 +*16963 FILLER_27_585 +*16964 FILLER_27_597 +*16965 FILLER_27_609 +*16966 FILLER_27_615 +*16967 FILLER_27_617 +*16968 FILLER_27_629 +*16969 FILLER_27_641 +*16970 FILLER_27_653 +*16971 FILLER_27_664 +*16972 FILLER_27_670 +*16973 FILLER_27_673 +*16974 FILLER_27_685 +*16975 FILLER_27_69 +*16976 FILLER_27_697 +*16977 FILLER_27_705 +*16978 FILLER_27_709 +*16979 FILLER_27_717 +*16980 FILLER_27_725 +*16981 FILLER_27_729 +*16982 FILLER_27_738 +*16983 FILLER_27_750 +*16984 FILLER_27_762 +*16985 FILLER_27_768 +*16986 FILLER_27_772 +*16987 FILLER_27_778 +*16988 FILLER_27_785 +*16989 FILLER_27_790 +*16990 FILLER_27_802 +*16991 FILLER_27_81 +*16992 FILLER_27_814 +*16993 FILLER_27_826 +*16994 FILLER_27_838 +*16995 FILLER_27_841 +*16996 FILLER_27_853 +*16997 FILLER_27_859 +*16998 FILLER_27_862 +*16999 FILLER_27_874 +*17000 FILLER_27_886 +*17001 FILLER_27_894 +*17002 FILLER_27_897 +*17003 FILLER_27_913 +*17004 FILLER_27_921 +*17005 FILLER_27_929 +*17006 FILLER_27_93 +*17007 FILLER_27_941 +*17008 FILLER_27_949 +*17009 FILLER_27_953 +*17010 FILLER_27_965 +*17011 FILLER_27_971 +*17012 FILLER_27_974 +*17013 FILLER_27_986 +*17014 FILLER_27_991 +*17015 FILLER_27_999 +*17016 FILLER_28_1001 +*17017 FILLER_28_1007 +*17018 FILLER_28_1009 +*17019 FILLER_28_1014 +*17020 FILLER_28_1020 +*17021 FILLER_28_1032 +*17022 FILLER_28_1037 +*17023 FILLER_28_1049 +*17024 FILLER_28_1061 +*17025 FILLER_28_1065 +*17026 FILLER_28_1077 +*17027 FILLER_28_1089 +*17028 FILLER_28_109 +*17029 FILLER_28_1093 +*17030 FILLER_28_1101 +*17031 FILLER_28_1104 +*17032 FILLER_28_1118 +*17033 FILLER_28_1121 +*17034 FILLER_28_113 +*17035 FILLER_28_1133 +*17036 FILLER_28_1141 +*17037 FILLER_28_1146 +*17038 FILLER_28_1149 +*17039 FILLER_28_1155 +*17040 FILLER_28_1169 +*17041 FILLER_28_1175 +*17042 FILLER_28_1177 +*17043 FILLER_28_1189 +*17044 FILLER_28_1201 +*17045 FILLER_28_1205 +*17046 FILLER_28_1211 +*17047 FILLER_28_1217 +*17048 FILLER_28_1229 +*17049 FILLER_28_1233 +*17050 FILLER_28_1245 +*17051 FILLER_28_125 +*17052 FILLER_28_1257 +*17053 FILLER_28_1261 +*17054 FILLER_28_1273 +*17055 FILLER_28_1285 +*17056 FILLER_28_1289 +*17057 FILLER_28_1301 +*17058 FILLER_28_1313 +*17059 FILLER_28_1317 +*17060 FILLER_28_1329 +*17061 FILLER_28_1341 +*17062 FILLER_28_1345 +*17063 FILLER_28_1357 +*17064 FILLER_28_1365 +*17065 FILLER_28_137 +*17066 FILLER_28_1370 +*17067 FILLER_28_1373 +*17068 FILLER_28_1385 +*17069 FILLER_28_1390 +*17070 FILLER_28_1398 +*17071 FILLER_28_1401 +*17072 FILLER_28_1407 +*17073 FILLER_28_141 +*17074 FILLER_28_1413 +*17075 FILLER_28_1418 +*17076 FILLER_28_1426 +*17077 FILLER_28_1429 +*17078 FILLER_28_1435 +*17079 FILLER_28_1439 +*17080 FILLER_28_1442 +*17081 FILLER_28_1450 +*17082 FILLER_28_1457 +*17083 FILLER_28_1467 +*17084 FILLER_28_1477 +*17085 FILLER_28_1483 +*17086 FILLER_28_1485 +*17087 FILLER_28_1493 +*17088 FILLER_28_15 +*17089 FILLER_28_1503 +*17090 FILLER_28_1507 +*17091 FILLER_28_1510 +*17092 FILLER_28_1513 +*17093 FILLER_28_1519 +*17094 FILLER_28_1525 +*17095 FILLER_28_153 +*17096 FILLER_28_1533 +*17097 FILLER_28_1538 +*17098 FILLER_28_1541 +*17099 FILLER_28_1547 +*17100 FILLER_28_1551 +*17101 FILLER_28_1557 +*17102 FILLER_28_1561 +*17103 FILLER_28_1566 +*17104 FILLER_28_1569 +*17105 FILLER_28_1577 +*17106 FILLER_28_1585 +*17107 FILLER_28_1593 +*17108 FILLER_28_1597 +*17109 FILLER_28_1604 +*17110 FILLER_28_1614 +*17111 FILLER_28_1622 +*17112 FILLER_28_1625 +*17113 FILLER_28_1629 +*17114 FILLER_28_1638 +*17115 FILLER_28_1646 +*17116 FILLER_28_165 +*17117 FILLER_28_1653 +*17118 FILLER_28_1661 +*17119 FILLER_28_1669 +*17120 FILLER_28_1673 +*17121 FILLER_28_1678 +*17122 FILLER_28_1681 +*17123 FILLER_28_1687 +*17124 FILLER_28_169 +*17125 FILLER_28_1695 +*17126 FILLER_28_1703 +*17127 FILLER_28_1707 +*17128 FILLER_28_1709 +*17129 FILLER_28_1718 +*17130 FILLER_28_1729 +*17131 FILLER_28_1735 +*17132 FILLER_28_1737 +*17133 FILLER_28_1741 +*17134 FILLER_28_1747 +*17135 FILLER_28_1753 +*17136 FILLER_28_1761 +*17137 FILLER_28_1765 +*17138 FILLER_28_177 +*17139 FILLER_28_1772 +*17140 FILLER_28_1780 +*17141 FILLER_28_1788 +*17142 FILLER_28_1793 +*17143 FILLER_28_1797 +*17144 FILLER_28_1801 +*17145 FILLER_28_1818 +*17146 FILLER_28_182 +*17147 FILLER_28_1821 +*17148 FILLER_28_1827 +*17149 FILLER_28_1833 +*17150 FILLER_28_1841 +*17151 FILLER_28_1846 +*17152 FILLER_28_1849 +*17153 FILLER_28_1860 +*17154 FILLER_28_1866 +*17155 FILLER_28_1874 +*17156 FILLER_28_1877 +*17157 FILLER_28_1886 +*17158 FILLER_28_189 +*17159 FILLER_28_1892 +*17160 FILLER_28_1902 +*17161 FILLER_28_1905 +*17162 FILLER_28_1911 +*17163 FILLER_28_1919 +*17164 FILLER_28_1925 +*17165 FILLER_28_1930 +*17166 FILLER_28_1933 +*17167 FILLER_28_1939 +*17168 FILLER_28_1947 +*17169 FILLER_28_195 +*17170 FILLER_28_1956 +*17171 FILLER_28_1961 +*17172 FILLER_28_1968 +*17173 FILLER_28_197 +*17174 FILLER_28_1978 +*17175 FILLER_28_1986 +*17176 FILLER_28_1989 +*17177 FILLER_28_1993 +*17178 FILLER_28_2006 +*17179 FILLER_28_2014 +*17180 FILLER_28_2017 +*17181 FILLER_28_2028 +*17182 FILLER_28_2034 +*17183 FILLER_28_2042 +*17184 FILLER_28_2045 +*17185 FILLER_28_2056 +*17186 FILLER_28_2062 +*17187 FILLER_28_2070 +*17188 FILLER_28_2073 +*17189 FILLER_28_2079 +*17190 FILLER_28_2085 +*17191 FILLER_28_209 +*17192 FILLER_28_2098 +*17193 FILLER_28_2101 +*17194 FILLER_28_2107 +*17195 FILLER_28_2121 +*17196 FILLER_28_2127 +*17197 FILLER_28_2129 +*17198 FILLER_28_2137 +*17199 FILLER_28_2143 +*17200 FILLER_28_2147 +*17201 FILLER_28_2154 +*17202 FILLER_28_2157 +*17203 FILLER_28_2169 +*17204 FILLER_28_2182 +*17205 FILLER_28_2185 +*17206 FILLER_28_2196 +*17207 FILLER_28_2205 +*17208 FILLER_28_221 +*17209 FILLER_28_2211 +*17210 FILLER_28_2213 +*17211 FILLER_28_2220 +*17212 FILLER_28_2232 +*17213 FILLER_28_2238 +*17214 FILLER_28_2241 +*17215 FILLER_28_2247 +*17216 FILLER_28_225 +*17217 FILLER_28_2257 +*17218 FILLER_28_2263 +*17219 FILLER_28_2267 +*17220 FILLER_28_2269 +*17221 FILLER_28_2281 +*17222 FILLER_28_2293 +*17223 FILLER_28_2297 +*17224 FILLER_28_2309 +*17225 FILLER_28_2321 +*17226 FILLER_28_2325 +*17227 FILLER_28_2337 +*17228 FILLER_28_2349 +*17229 FILLER_28_2353 +*17230 FILLER_28_2364 +*17231 FILLER_28_237 +*17232 FILLER_28_2370 +*17233 FILLER_28_2378 +*17234 FILLER_28_2381 +*17235 FILLER_28_2393 +*17236 FILLER_28_2405 +*17237 FILLER_28_2409 +*17238 FILLER_28_2414 +*17239 FILLER_28_2420 +*17240 FILLER_28_2432 +*17241 FILLER_28_2437 +*17242 FILLER_28_2449 +*17243 FILLER_28_2457 +*17244 FILLER_28_2462 +*17245 FILLER_28_2465 +*17246 FILLER_28_2477 +*17247 FILLER_28_2483 +*17248 FILLER_28_2488 +*17249 FILLER_28_249 +*17250 FILLER_28_2493 +*17251 FILLER_28_2505 +*17252 FILLER_28_2508 +*17253 FILLER_28_2516 +*17254 FILLER_28_2521 +*17255 FILLER_28_253 +*17256 FILLER_28_2533 +*17257 FILLER_28_2545 +*17258 FILLER_28_2549 +*17259 FILLER_28_2561 +*17260 FILLER_28_2565 +*17261 FILLER_28_2570 +*17262 FILLER_28_2577 +*17263 FILLER_28_2581 +*17264 FILLER_28_2586 +*17265 FILLER_28_2598 +*17266 FILLER_28_2605 +*17267 FILLER_28_2613 +*17268 FILLER_28_2623 +*17269 FILLER_28_2629 +*17270 FILLER_28_2633 +*17271 FILLER_28_2645 +*17272 FILLER_28_265 +*17273 FILLER_28_2657 +*17274 FILLER_28_2661 +*17275 FILLER_28_2673 +*17276 FILLER_28_2685 +*17277 FILLER_28_2689 +*17278 FILLER_28_27 +*17279 FILLER_28_2701 +*17280 FILLER_28_2713 +*17281 FILLER_28_2717 +*17282 FILLER_28_2729 +*17283 FILLER_28_2737 +*17284 FILLER_28_2742 +*17285 FILLER_28_2745 +*17286 FILLER_28_2751 +*17287 FILLER_28_2756 +*17288 FILLER_28_2762 +*17289 FILLER_28_2768 +*17290 FILLER_28_277 +*17291 FILLER_28_2773 +*17292 FILLER_28_2779 +*17293 FILLER_28_2787 +*17294 FILLER_28_2795 +*17295 FILLER_28_2799 +*17296 FILLER_28_2801 +*17297 FILLER_28_2808 +*17298 FILLER_28_281 +*17299 FILLER_28_2814 +*17300 FILLER_28_2820 +*17301 FILLER_28_2825 +*17302 FILLER_28_2829 +*17303 FILLER_28_2835 +*17304 FILLER_28_2843 +*17305 FILLER_28_2853 +*17306 FILLER_28_2857 +*17307 FILLER_28_2863 +*17308 FILLER_28_2869 +*17309 FILLER_28_2875 +*17310 FILLER_28_2881 +*17311 FILLER_28_2885 +*17312 FILLER_28_2889 +*17313 FILLER_28_2895 +*17314 FILLER_28_29 +*17315 FILLER_28_2901 +*17316 FILLER_28_2907 +*17317 FILLER_28_2911 +*17318 FILLER_28_2913 +*17319 FILLER_28_2919 +*17320 FILLER_28_2925 +*17321 FILLER_28_2929 +*17322 FILLER_28_293 +*17323 FILLER_28_2932 +*17324 FILLER_28_2938 +*17325 FILLER_28_2941 +*17326 FILLER_28_2945 +*17327 FILLER_28_2951 +*17328 FILLER_28_2957 +*17329 FILLER_28_2963 +*17330 FILLER_28_2967 +*17331 FILLER_28_2969 +*17332 FILLER_28_2973 +*17333 FILLER_28_2979 +*17334 FILLER_28_2985 +*17335 FILLER_28_2989 +*17336 FILLER_28_2994 +*17337 FILLER_28_2997 +*17338 FILLER_28_3 +*17339 FILLER_28_3003 +*17340 FILLER_28_3009 +*17341 FILLER_28_3019 +*17342 FILLER_28_3023 +*17343 FILLER_28_3025 +*17344 FILLER_28_3029 +*17345 FILLER_28_3035 +*17346 FILLER_28_3041 +*17347 FILLER_28_3047 +*17348 FILLER_28_305 +*17349 FILLER_28_3051 +*17350 FILLER_28_3053 +*17351 FILLER_28_3057 +*17352 FILLER_28_3063 +*17353 FILLER_28_3069 +*17354 FILLER_28_3075 +*17355 FILLER_28_3079 +*17356 FILLER_28_3081 +*17357 FILLER_28_3085 +*17358 FILLER_28_309 +*17359 FILLER_28_3091 +*17360 FILLER_28_3103 +*17361 FILLER_28_3107 +*17362 FILLER_28_3109 +*17363 FILLER_28_3121 +*17364 FILLER_28_3133 +*17365 FILLER_28_3137 +*17366 FILLER_28_3149 +*17367 FILLER_28_3161 +*17368 FILLER_28_3165 +*17369 FILLER_28_3177 +*17370 FILLER_28_3189 +*17371 FILLER_28_3193 +*17372 FILLER_28_3205 +*17373 FILLER_28_321 +*17374 FILLER_28_3217 +*17375 FILLER_28_3221 +*17376 FILLER_28_3233 +*17377 FILLER_28_3245 +*17378 FILLER_28_3249 +*17379 FILLER_28_3261 +*17380 FILLER_28_3273 +*17381 FILLER_28_3277 +*17382 FILLER_28_3289 +*17383 FILLER_28_329 +*17384 FILLER_28_3301 +*17385 FILLER_28_3305 +*17386 FILLER_28_3317 +*17387 FILLER_28_3329 +*17388 FILLER_28_3333 +*17389 FILLER_28_334 +*17390 FILLER_28_3345 +*17391 FILLER_28_3357 +*17392 FILLER_28_3361 +*17393 FILLER_28_337 +*17394 FILLER_28_3373 +*17395 FILLER_28_3385 +*17396 FILLER_28_3389 +*17397 FILLER_28_3401 +*17398 FILLER_28_3413 +*17399 FILLER_28_3417 +*17400 FILLER_28_3429 +*17401 FILLER_28_343 +*17402 FILLER_28_3441 +*17403 FILLER_28_3445 +*17404 FILLER_28_3457 +*17405 FILLER_28_3469 +*17406 FILLER_28_3473 +*17407 FILLER_28_3485 +*17408 FILLER_28_3497 +*17409 FILLER_28_3501 +*17410 FILLER_28_3517 +*17411 FILLER_28_3525 +*17412 FILLER_28_3529 +*17413 FILLER_28_3541 +*17414 FILLER_28_355 +*17415 FILLER_28_3553 +*17416 FILLER_28_3557 +*17417 FILLER_28_3569 +*17418 FILLER_28_3581 +*17419 FILLER_28_3585 +*17420 FILLER_28_3597 +*17421 FILLER_28_3609 +*17422 FILLER_28_3613 +*17423 FILLER_28_3625 +*17424 FILLER_28_363 +*17425 FILLER_28_3637 +*17426 FILLER_28_3641 +*17427 FILLER_28_3646 +*17428 FILLER_28_365 +*17429 FILLER_28_3658 +*17430 FILLER_28_3666 +*17431 FILLER_28_3669 +*17432 FILLER_28_3681 +*17433 FILLER_28_3688 +*17434 FILLER_28_3697 +*17435 FILLER_28_3709 +*17436 FILLER_28_3721 +*17437 FILLER_28_3725 +*17438 FILLER_28_3737 +*17439 FILLER_28_3749 +*17440 FILLER_28_3753 +*17441 FILLER_28_3765 +*17442 FILLER_28_377 +*17443 FILLER_28_3777 +*17444 FILLER_28_3781 +*17445 FILLER_28_3793 +*17446 FILLER_28_3796 +*17447 FILLER_28_3809 +*17448 FILLER_28_3821 +*17449 FILLER_28_383 +*17450 FILLER_28_3833 +*17451 FILLER_28_3837 +*17452 FILLER_28_3841 +*17453 FILLER_28_3844 +*17454 FILLER_28_3853 +*17455 FILLER_28_3861 +*17456 FILLER_28_3865 +*17457 FILLER_28_387 +*17458 FILLER_28_3877 +*17459 FILLER_28_3889 +*17460 FILLER_28_3893 +*17461 FILLER_28_3905 +*17462 FILLER_28_391 +*17463 FILLER_28_3917 +*17464 FILLER_28_3921 +*17465 FILLER_28_393 +*17466 FILLER_28_3933 +*17467 FILLER_28_3945 +*17468 FILLER_28_3949 +*17469 FILLER_28_3961 +*17470 FILLER_28_397 +*17471 FILLER_28_3973 +*17472 FILLER_28_3977 +*17473 FILLER_28_3989 +*17474 FILLER_28_4001 +*17475 FILLER_28_4005 +*17476 FILLER_28_4017 +*17477 FILLER_28_4029 +*17478 FILLER_28_4033 +*17479 FILLER_28_4045 +*17480 FILLER_28_4057 +*17481 FILLER_28_4061 +*17482 FILLER_28_4073 +*17483 FILLER_28_4085 +*17484 FILLER_28_4089 +*17485 FILLER_28_409 +*17486 FILLER_28_41 +*17487 FILLER_28_4101 +*17488 FILLER_28_417 +*17489 FILLER_28_421 +*17490 FILLER_28_433 +*17491 FILLER_28_445 +*17492 FILLER_28_449 +*17493 FILLER_28_461 +*17494 FILLER_28_473 +*17495 FILLER_28_477 +*17496 FILLER_28_489 +*17497 FILLER_28_501 +*17498 FILLER_28_505 +*17499 FILLER_28_517 +*17500 FILLER_28_529 +*17501 FILLER_28_53 +*17502 FILLER_28_533 +*17503 FILLER_28_545 +*17504 FILLER_28_557 +*17505 FILLER_28_561 +*17506 FILLER_28_57 +*17507 FILLER_28_573 +*17508 FILLER_28_585 +*17509 FILLER_28_589 +*17510 FILLER_28_601 +*17511 FILLER_28_613 +*17512 FILLER_28_617 +*17513 FILLER_28_629 +*17514 FILLER_28_641 +*17515 FILLER_28_645 +*17516 FILLER_28_650 +*17517 FILLER_28_662 +*17518 FILLER_28_670 +*17519 FILLER_28_673 +*17520 FILLER_28_685 +*17521 FILLER_28_69 +*17522 FILLER_28_697 +*17523 FILLER_28_701 +*17524 FILLER_28_713 +*17525 FILLER_28_725 +*17526 FILLER_28_729 +*17527 FILLER_28_741 +*17528 FILLER_28_744 +*17529 FILLER_28_751 +*17530 FILLER_28_755 +*17531 FILLER_28_757 +*17532 FILLER_28_769 +*17533 FILLER_28_781 +*17534 FILLER_28_785 +*17535 FILLER_28_797 +*17536 FILLER_28_809 +*17537 FILLER_28_81 +*17538 FILLER_28_813 +*17539 FILLER_28_825 +*17540 FILLER_28_832 +*17541 FILLER_28_838 +*17542 FILLER_28_841 +*17543 FILLER_28_85 +*17544 FILLER_28_853 +*17545 FILLER_28_861 +*17546 FILLER_28_866 +*17547 FILLER_28_869 +*17548 FILLER_28_881 +*17549 FILLER_28_893 +*17550 FILLER_28_897 +*17551 FILLER_28_909 +*17552 FILLER_28_917 +*17553 FILLER_28_922 +*17554 FILLER_28_925 +*17555 FILLER_28_937 +*17556 FILLER_28_949 +*17557 FILLER_28_953 +*17558 FILLER_28_965 +*17559 FILLER_28_97 +*17560 FILLER_28_977 +*17561 FILLER_28_981 +*17562 FILLER_28_986 +*17563 FILLER_29_1002 +*17564 FILLER_29_1009 +*17565 FILLER_29_1021 +*17566 FILLER_29_1033 +*17567 FILLER_29_1045 +*17568 FILLER_29_105 +*17569 FILLER_29_1057 +*17570 FILLER_29_1063 +*17571 FILLER_29_1065 +*17572 FILLER_29_1077 +*17573 FILLER_29_1089 +*17574 FILLER_29_1101 +*17575 FILLER_29_111 +*17576 FILLER_29_1113 +*17577 FILLER_29_1119 +*17578 FILLER_29_1121 +*17579 FILLER_29_113 +*17580 FILLER_29_1133 +*17581 FILLER_29_1145 +*17582 FILLER_29_1157 +*17583 FILLER_29_1169 +*17584 FILLER_29_1175 +*17585 FILLER_29_1177 +*17586 FILLER_29_1189 +*17587 FILLER_29_1201 +*17588 FILLER_29_1213 +*17589 FILLER_29_1225 +*17590 FILLER_29_1231 +*17591 FILLER_29_1233 +*17592 FILLER_29_1245 +*17593 FILLER_29_125 +*17594 FILLER_29_1257 +*17595 FILLER_29_1270 +*17596 FILLER_29_1282 +*17597 FILLER_29_1289 +*17598 FILLER_29_1301 +*17599 FILLER_29_1313 +*17600 FILLER_29_1325 +*17601 FILLER_29_1337 +*17602 FILLER_29_1343 +*17603 FILLER_29_1345 +*17604 FILLER_29_1357 +*17605 FILLER_29_1368 +*17606 FILLER_29_137 +*17607 FILLER_29_1374 +*17608 FILLER_29_1386 +*17609 FILLER_29_1394 +*17610 FILLER_29_1398 +*17611 FILLER_29_1401 +*17612 FILLER_29_1405 +*17613 FILLER_29_1413 +*17614 FILLER_29_1425 +*17615 FILLER_29_1429 +*17616 FILLER_29_1432 +*17617 FILLER_29_1438 +*17618 FILLER_29_1444 +*17619 FILLER_29_1454 +*17620 FILLER_29_1457 +*17621 FILLER_29_1465 +*17622 FILLER_29_1473 +*17623 FILLER_29_1483 +*17624 FILLER_29_149 +*17625 FILLER_29_1491 +*17626 FILLER_29_1499 +*17627 FILLER_29_15 +*17628 FILLER_29_1508 +*17629 FILLER_29_1513 +*17630 FILLER_29_1523 +*17631 FILLER_29_1531 +*17632 FILLER_29_1539 +*17633 FILLER_29_1545 +*17634 FILLER_29_1550 +*17635 FILLER_29_1558 +*17636 FILLER_29_1566 +*17637 FILLER_29_1569 +*17638 FILLER_29_1577 +*17639 FILLER_29_1585 +*17640 FILLER_29_1593 +*17641 FILLER_29_1601 +*17642 FILLER_29_1609 +*17643 FILLER_29_161 +*17644 FILLER_29_1617 +*17645 FILLER_29_1623 +*17646 FILLER_29_1625 +*17647 FILLER_29_1633 +*17648 FILLER_29_1641 +*17649 FILLER_29_1649 +*17650 FILLER_29_1657 +*17651 FILLER_29_1665 +*17652 FILLER_29_167 +*17653 FILLER_29_1670 +*17654 FILLER_29_1678 +*17655 FILLER_29_1681 +*17656 FILLER_29_1687 +*17657 FILLER_29_169 +*17658 FILLER_29_1695 +*17659 FILLER_29_1705 +*17660 FILLER_29_1715 +*17661 FILLER_29_1719 +*17662 FILLER_29_1722 +*17663 FILLER_29_1731 +*17664 FILLER_29_1735 +*17665 FILLER_29_1737 +*17666 FILLER_29_1747 +*17667 FILLER_29_1753 +*17668 FILLER_29_1759 +*17669 FILLER_29_1767 +*17670 FILLER_29_1773 +*17671 FILLER_29_1778 +*17672 FILLER_29_1784 +*17673 FILLER_29_1790 +*17674 FILLER_29_1793 +*17675 FILLER_29_1803 +*17676 FILLER_29_181 +*17677 FILLER_29_1814 +*17678 FILLER_29_1820 +*17679 FILLER_29_1826 +*17680 FILLER_29_1835 +*17681 FILLER_29_1841 +*17682 FILLER_29_1847 +*17683 FILLER_29_1849 +*17684 FILLER_29_1856 +*17685 FILLER_29_1864 +*17686 FILLER_29_1872 +*17687 FILLER_29_1878 +*17688 FILLER_29_1886 +*17689 FILLER_29_1894 +*17690 FILLER_29_1902 +*17691 FILLER_29_1905 +*17692 FILLER_29_1911 +*17693 FILLER_29_1917 +*17694 FILLER_29_1923 +*17695 FILLER_29_193 +*17696 FILLER_29_1931 +*17697 FILLER_29_1935 +*17698 FILLER_29_1941 +*17699 FILLER_29_1945 +*17700 FILLER_29_1950 +*17701 FILLER_29_1958 +*17702 FILLER_29_1961 +*17703 FILLER_29_1967 +*17704 FILLER_29_1975 +*17705 FILLER_29_1985 +*17706 FILLER_29_1991 +*17707 FILLER_29_1996 +*17708 FILLER_29_2009 +*17709 FILLER_29_2015 +*17710 FILLER_29_2017 +*17711 FILLER_29_2023 +*17712 FILLER_29_2028 +*17713 FILLER_29_2036 +*17714 FILLER_29_2044 +*17715 FILLER_29_205 +*17716 FILLER_29_2052 +*17717 FILLER_29_2065 +*17718 FILLER_29_2071 +*17719 FILLER_29_2073 +*17720 FILLER_29_2079 +*17721 FILLER_29_2087 +*17722 FILLER_29_2095 +*17723 FILLER_29_2103 +*17724 FILLER_29_2111 +*17725 FILLER_29_2119 +*17726 FILLER_29_2125 +*17727 FILLER_29_2129 +*17728 FILLER_29_2136 +*17729 FILLER_29_2144 +*17730 FILLER_29_2148 +*17731 FILLER_29_2153 +*17732 FILLER_29_2161 +*17733 FILLER_29_2169 +*17734 FILLER_29_217 +*17735 FILLER_29_2177 +*17736 FILLER_29_2183 +*17737 FILLER_29_2185 +*17738 FILLER_29_2191 +*17739 FILLER_29_2195 +*17740 FILLER_29_2201 +*17741 FILLER_29_2209 +*17742 FILLER_29_2215 +*17743 FILLER_29_2221 +*17744 FILLER_29_223 +*17745 FILLER_29_2233 +*17746 FILLER_29_2239 +*17747 FILLER_29_2241 +*17748 FILLER_29_225 +*17749 FILLER_29_2253 +*17750 FILLER_29_2265 +*17751 FILLER_29_2277 +*17752 FILLER_29_2289 +*17753 FILLER_29_2295 +*17754 FILLER_29_2297 +*17755 FILLER_29_2309 +*17756 FILLER_29_2321 +*17757 FILLER_29_2333 +*17758 FILLER_29_2345 +*17759 FILLER_29_2351 +*17760 FILLER_29_2353 +*17761 FILLER_29_2365 +*17762 FILLER_29_237 +*17763 FILLER_29_2377 +*17764 FILLER_29_2381 +*17765 FILLER_29_2391 +*17766 FILLER_29_2397 +*17767 FILLER_29_2405 +*17768 FILLER_29_2409 +*17769 FILLER_29_2414 +*17770 FILLER_29_2420 +*17771 FILLER_29_2426 +*17772 FILLER_29_2438 +*17773 FILLER_29_2450 +*17774 FILLER_29_2462 +*17775 FILLER_29_2465 +*17776 FILLER_29_2477 +*17777 FILLER_29_2485 +*17778 FILLER_29_249 +*17779 FILLER_29_2491 +*17780 FILLER_29_2503 +*17781 FILLER_29_2515 +*17782 FILLER_29_2518 +*17783 FILLER_29_2521 +*17784 FILLER_29_2527 +*17785 FILLER_29_2539 +*17786 FILLER_29_2551 +*17787 FILLER_29_2563 +*17788 FILLER_29_2575 +*17789 FILLER_29_2577 +*17790 FILLER_29_2589 +*17791 FILLER_29_2601 +*17792 FILLER_29_261 +*17793 FILLER_29_2613 +*17794 FILLER_29_2625 +*17795 FILLER_29_2631 +*17796 FILLER_29_2633 +*17797 FILLER_29_2645 +*17798 FILLER_29_2657 +*17799 FILLER_29_2669 +*17800 FILLER_29_2681 +*17801 FILLER_29_2687 +*17802 FILLER_29_2689 +*17803 FILLER_29_27 +*17804 FILLER_29_2701 +*17805 FILLER_29_2709 +*17806 FILLER_29_2721 +*17807 FILLER_29_273 +*17808 FILLER_29_2733 +*17809 FILLER_29_2741 +*17810 FILLER_29_2745 +*17811 FILLER_29_2751 +*17812 FILLER_29_2754 +*17813 FILLER_29_2760 +*17814 FILLER_29_2763 +*17815 FILLER_29_2769 +*17816 FILLER_29_2775 +*17817 FILLER_29_2779 +*17818 FILLER_29_2784 +*17819 FILLER_29_279 +*17820 FILLER_29_2792 +*17821 FILLER_29_2798 +*17822 FILLER_29_2801 +*17823 FILLER_29_2807 +*17824 FILLER_29_281 +*17825 FILLER_29_2813 +*17826 FILLER_29_2819 +*17827 FILLER_29_2825 +*17828 FILLER_29_2831 +*17829 FILLER_29_2837 +*17830 FILLER_29_2845 +*17831 FILLER_29_2851 +*17832 FILLER_29_2855 +*17833 FILLER_29_2857 +*17834 FILLER_29_2861 +*17835 FILLER_29_2867 +*17836 FILLER_29_2875 +*17837 FILLER_29_2879 +*17838 FILLER_29_2882 +*17839 FILLER_29_2888 +*17840 FILLER_29_2894 +*17841 FILLER_29_2900 +*17842 FILLER_29_2904 +*17843 FILLER_29_2907 +*17844 FILLER_29_2911 +*17845 FILLER_29_2913 +*17846 FILLER_29_2921 +*17847 FILLER_29_293 +*17848 FILLER_29_2930 +*17849 FILLER_29_2936 +*17850 FILLER_29_2941 +*17851 FILLER_29_2947 +*17852 FILLER_29_2953 +*17853 FILLER_29_2959 +*17854 FILLER_29_2965 +*17855 FILLER_29_2969 +*17856 FILLER_29_2974 +*17857 FILLER_29_2980 +*17858 FILLER_29_2990 +*17859 FILLER_29_2996 +*17860 FILLER_29_3 +*17861 FILLER_29_3002 +*17862 FILLER_29_3008 +*17863 FILLER_29_3014 +*17864 FILLER_29_3020 +*17865 FILLER_29_3025 +*17866 FILLER_29_3029 +*17867 FILLER_29_3039 +*17868 FILLER_29_3048 +*17869 FILLER_29_305 +*17870 FILLER_29_3054 +*17871 FILLER_29_3060 +*17872 FILLER_29_3072 +*17873 FILLER_29_3081 +*17874 FILLER_29_3093 +*17875 FILLER_29_3105 +*17876 FILLER_29_3117 +*17877 FILLER_29_3123 +*17878 FILLER_29_3135 +*17879 FILLER_29_3137 +*17880 FILLER_29_3149 +*17881 FILLER_29_3161 +*17882 FILLER_29_317 +*17883 FILLER_29_3173 +*17884 FILLER_29_3185 +*17885 FILLER_29_3191 +*17886 FILLER_29_3193 +*17887 FILLER_29_3205 +*17888 FILLER_29_3217 +*17889 FILLER_29_3229 +*17890 FILLER_29_3241 +*17891 FILLER_29_3247 +*17892 FILLER_29_3249 +*17893 FILLER_29_3261 +*17894 FILLER_29_3273 +*17895 FILLER_29_3285 +*17896 FILLER_29_329 +*17897 FILLER_29_3297 +*17898 FILLER_29_3303 +*17899 FILLER_29_3305 +*17900 FILLER_29_3311 +*17901 FILLER_29_3318 +*17902 FILLER_29_3324 +*17903 FILLER_29_3336 +*17904 FILLER_29_3348 +*17905 FILLER_29_335 +*17906 FILLER_29_3361 +*17907 FILLER_29_337 +*17908 FILLER_29_3373 +*17909 FILLER_29_3385 +*17910 FILLER_29_3397 +*17911 FILLER_29_3409 +*17912 FILLER_29_3415 +*17913 FILLER_29_3417 +*17914 FILLER_29_3429 +*17915 FILLER_29_3441 +*17916 FILLER_29_3453 +*17917 FILLER_29_3465 +*17918 FILLER_29_3471 +*17919 FILLER_29_3473 +*17920 FILLER_29_3485 +*17921 FILLER_29_349 +*17922 FILLER_29_3497 +*17923 FILLER_29_3509 +*17924 FILLER_29_3521 +*17925 FILLER_29_3527 +*17926 FILLER_29_3529 +*17927 FILLER_29_3541 +*17928 FILLER_29_3553 +*17929 FILLER_29_3565 +*17930 FILLER_29_3577 +*17931 FILLER_29_3583 +*17932 FILLER_29_3585 +*17933 FILLER_29_3597 +*17934 FILLER_29_3607 +*17935 FILLER_29_361 +*17936 FILLER_29_3615 +*17937 FILLER_29_3627 +*17938 FILLER_29_3639 +*17939 FILLER_29_3641 +*17940 FILLER_29_3653 +*17941 FILLER_29_3665 +*17942 FILLER_29_3677 +*17943 FILLER_29_3689 +*17944 FILLER_29_3695 +*17945 FILLER_29_3697 +*17946 FILLER_29_3709 +*17947 FILLER_29_3721 +*17948 FILLER_29_373 +*17949 FILLER_29_3733 +*17950 FILLER_29_3745 +*17951 FILLER_29_3751 +*17952 FILLER_29_3753 +*17953 FILLER_29_3765 +*17954 FILLER_29_3777 +*17955 FILLER_29_3789 +*17956 FILLER_29_3801 +*17957 FILLER_29_3807 +*17958 FILLER_29_3809 +*17959 FILLER_29_3821 +*17960 FILLER_29_3833 +*17961 FILLER_29_3845 +*17962 FILLER_29_385 +*17963 FILLER_29_3857 +*17964 FILLER_29_3863 +*17965 FILLER_29_3865 +*17966 FILLER_29_3869 +*17967 FILLER_29_3877 +*17968 FILLER_29_3889 +*17969 FILLER_29_39 +*17970 FILLER_29_3901 +*17971 FILLER_29_391 +*17972 FILLER_29_3913 +*17973 FILLER_29_3919 +*17974 FILLER_29_3921 +*17975 FILLER_29_393 +*17976 FILLER_29_3933 +*17977 FILLER_29_3945 +*17978 FILLER_29_3957 +*17979 FILLER_29_3969 +*17980 FILLER_29_3975 +*17981 FILLER_29_3977 +*17982 FILLER_29_3989 +*17983 FILLER_29_4001 +*17984 FILLER_29_4013 +*17985 FILLER_29_4025 +*17986 FILLER_29_4031 +*17987 FILLER_29_4033 +*17988 FILLER_29_4045 +*17989 FILLER_29_405 +*17990 FILLER_29_4057 +*17991 FILLER_29_4069 +*17992 FILLER_29_4081 +*17993 FILLER_29_4087 +*17994 FILLER_29_4089 +*17995 FILLER_29_4101 +*17996 FILLER_29_417 +*17997 FILLER_29_429 +*17998 FILLER_29_441 +*17999 FILLER_29_447 +*18000 FILLER_29_449 +*18001 FILLER_29_460 +*18002 FILLER_29_466 +*18003 FILLER_29_478 +*18004 FILLER_29_490 +*18005 FILLER_29_502 +*18006 FILLER_29_505 +*18007 FILLER_29_51 +*18008 FILLER_29_513 +*18009 FILLER_29_517 +*18010 FILLER_29_523 +*18011 FILLER_29_535 +*18012 FILLER_29_547 +*18013 FILLER_29_55 +*18014 FILLER_29_559 +*18015 FILLER_29_561 +*18016 FILLER_29_57 +*18017 FILLER_29_573 +*18018 FILLER_29_579 +*18019 FILLER_29_584 +*18020 FILLER_29_596 +*18021 FILLER_29_608 +*18022 FILLER_29_617 +*18023 FILLER_29_625 +*18024 FILLER_29_629 +*18025 FILLER_29_635 +*18026 FILLER_29_647 +*18027 FILLER_29_651 +*18028 FILLER_29_659 +*18029 FILLER_29_671 +*18030 FILLER_29_673 +*18031 FILLER_29_685 +*18032 FILLER_29_69 +*18033 FILLER_29_697 +*18034 FILLER_29_705 +*18035 FILLER_29_711 +*18036 FILLER_29_717 +*18037 FILLER_29_725 +*18038 FILLER_29_729 +*18039 FILLER_29_741 +*18040 FILLER_29_753 +*18041 FILLER_29_765 +*18042 FILLER_29_777 +*18043 FILLER_29_783 +*18044 FILLER_29_785 +*18045 FILLER_29_797 +*18046 FILLER_29_809 +*18047 FILLER_29_81 +*18048 FILLER_29_815 +*18049 FILLER_29_818 +*18050 FILLER_29_828 +*18051 FILLER_29_836 +*18052 FILLER_29_841 +*18053 FILLER_29_853 +*18054 FILLER_29_865 +*18055 FILLER_29_877 +*18056 FILLER_29_889 +*18057 FILLER_29_895 +*18058 FILLER_29_897 +*18059 FILLER_29_903 +*18060 FILLER_29_906 +*18061 FILLER_29_918 +*18062 FILLER_29_93 +*18063 FILLER_29_930 +*18064 FILLER_29_933 +*18065 FILLER_29_945 +*18066 FILLER_29_951 +*18067 FILLER_29_953 +*18068 FILLER_29_965 +*18069 FILLER_29_977 +*18070 FILLER_29_984 +*18071 FILLER_29_990 +*18072 FILLER_2_1005 +*18073 FILLER_2_1013 +*18074 FILLER_2_1019 +*18075 FILLER_2_1025 +*18076 FILLER_2_1028 +*18077 FILLER_2_1034 +*18078 FILLER_2_1037 +*18079 FILLER_2_1045 +*18080 FILLER_2_1051 +*18081 FILLER_2_1055 +*18082 FILLER_2_1059 +*18083 FILLER_2_1066 +*18084 FILLER_2_1074 +*18085 FILLER_2_1078 +*18086 FILLER_2_1086 +*18087 FILLER_2_109 +*18088 FILLER_2_1090 +*18089 FILLER_2_1093 +*18090 FILLER_2_1101 +*18091 FILLER_2_1107 +*18092 FILLER_2_1117 +*18093 FILLER_2_1123 +*18094 FILLER_2_1131 +*18095 FILLER_2_1139 +*18096 FILLER_2_1143 +*18097 FILLER_2_1146 +*18098 FILLER_2_1149 +*18099 FILLER_2_1155 +*18100 FILLER_2_1161 +*18101 FILLER_2_1167 +*18102 FILLER_2_1170 +*18103 FILLER_2_1178 +*18104 FILLER_2_1184 +*18105 FILLER_2_1189 +*18106 FILLER_2_1198 +*18107 FILLER_2_1205 +*18108 FILLER_2_121 +*18109 FILLER_2_1211 +*18110 FILLER_2_1215 +*18111 FILLER_2_1218 +*18112 FILLER_2_1225 +*18113 FILLER_2_1233 +*18114 FILLER_2_1239 +*18115 FILLER_2_1246 +*18116 FILLER_2_1252 +*18117 FILLER_2_1258 +*18118 FILLER_2_1261 +*18119 FILLER_2_1270 +*18120 FILLER_2_1276 +*18121 FILLER_2_1280 +*18122 FILLER_2_1283 +*18123 FILLER_2_1291 +*18124 FILLER_2_1299 +*18125 FILLER_2_1302 +*18126 FILLER_2_1308 +*18127 FILLER_2_1314 +*18128 FILLER_2_1317 +*18129 FILLER_2_1323 +*18130 FILLER_2_133 +*18131 FILLER_2_1331 +*18132 FILLER_2_1341 +*18133 FILLER_2_1347 +*18134 FILLER_2_1360 +*18135 FILLER_2_1368 +*18136 FILLER_2_1373 +*18137 FILLER_2_1379 +*18138 FILLER_2_1384 +*18139 FILLER_2_139 +*18140 FILLER_2_1391 +*18141 FILLER_2_1397 +*18142 FILLER_2_1405 +*18143 FILLER_2_141 +*18144 FILLER_2_1414 +*18145 FILLER_2_1420 +*18146 FILLER_2_1426 +*18147 FILLER_2_1429 +*18148 FILLER_2_1435 +*18149 FILLER_2_1441 +*18150 FILLER_2_1447 +*18151 FILLER_2_1455 +*18152 FILLER_2_1461 +*18153 FILLER_2_1466 +*18154 FILLER_2_1474 +*18155 FILLER_2_1482 +*18156 FILLER_2_1485 +*18157 FILLER_2_1490 +*18158 FILLER_2_1496 +*18159 FILLER_2_15 +*18160 FILLER_2_1508 +*18161 FILLER_2_1514 +*18162 FILLER_2_1520 +*18163 FILLER_2_1528 +*18164 FILLER_2_153 +*18165 FILLER_2_1535 +*18166 FILLER_2_1539 +*18167 FILLER_2_1541 +*18168 FILLER_2_1546 +*18169 FILLER_2_1554 +*18170 FILLER_2_1557 +*18171 FILLER_2_1565 +*18172 FILLER_2_1577 +*18173 FILLER_2_1586 +*18174 FILLER_2_1590 +*18175 FILLER_2_1594 +*18176 FILLER_2_1597 +*18177 FILLER_2_1603 +*18178 FILLER_2_1609 +*18179 FILLER_2_1617 +*18180 FILLER_2_1623 +*18181 FILLER_2_1631 +*18182 FILLER_2_1643 +*18183 FILLER_2_1649 +*18184 FILLER_2_165 +*18185 FILLER_2_1653 +*18186 FILLER_2_1659 +*18187 FILLER_2_1664 +*18188 FILLER_2_1672 +*18189 FILLER_2_1675 +*18190 FILLER_2_1683 +*18191 FILLER_2_1689 +*18192 FILLER_2_1695 +*18193 FILLER_2_1702 +*18194 FILLER_2_1709 +*18195 FILLER_2_1714 +*18196 FILLER_2_1725 +*18197 FILLER_2_1732 +*18198 FILLER_2_1743 +*18199 FILLER_2_1750 +*18200 FILLER_2_1758 +*18201 FILLER_2_1762 +*18202 FILLER_2_1765 +*18203 FILLER_2_177 +*18204 FILLER_2_1774 +*18205 FILLER_2_1780 +*18206 FILLER_2_1783 +*18207 FILLER_2_1789 +*18208 FILLER_2_1792 +*18209 FILLER_2_1804 +*18210 FILLER_2_1810 +*18211 FILLER_2_1815 +*18212 FILLER_2_1819 +*18213 FILLER_2_1821 +*18214 FILLER_2_1827 +*18215 FILLER_2_1833 +*18216 FILLER_2_1845 +*18217 FILLER_2_1848 +*18218 FILLER_2_1852 +*18219 FILLER_2_1855 +*18220 FILLER_2_1863 +*18221 FILLER_2_1867 +*18222 FILLER_2_1874 +*18223 FILLER_2_1877 +*18224 FILLER_2_1882 +*18225 FILLER_2_1888 +*18226 FILLER_2_1894 +*18227 FILLER_2_1901 +*18228 FILLER_2_1907 +*18229 FILLER_2_1911 +*18230 FILLER_2_1915 +*18231 FILLER_2_192 +*18232 FILLER_2_1922 +*18233 FILLER_2_1928 +*18234 FILLER_2_1933 +*18235 FILLER_2_1939 +*18236 FILLER_2_1945 +*18237 FILLER_2_1951 +*18238 FILLER_2_1957 +*18239 FILLER_2_1960 +*18240 FILLER_2_1968 +*18241 FILLER_2_197 +*18242 FILLER_2_1972 +*18243 FILLER_2_1978 +*18244 FILLER_2_1986 +*18245 FILLER_2_1989 +*18246 FILLER_2_1995 +*18247 FILLER_2_2002 +*18248 FILLER_2_2010 +*18249 FILLER_2_2015 +*18250 FILLER_2_2021 +*18251 FILLER_2_2027 +*18252 FILLER_2_2039 +*18253 FILLER_2_2042 +*18254 FILLER_2_2045 +*18255 FILLER_2_2050 +*18256 FILLER_2_2056 +*18257 FILLER_2_2059 +*18258 FILLER_2_2065 +*18259 FILLER_2_2077 +*18260 FILLER_2_2087 +*18261 FILLER_2_209 +*18262 FILLER_2_2093 +*18263 FILLER_2_2099 +*18264 FILLER_2_2101 +*18265 FILLER_2_2105 +*18266 FILLER_2_2113 +*18267 FILLER_2_2117 +*18268 FILLER_2_2121 +*18269 FILLER_2_2129 +*18270 FILLER_2_2137 +*18271 FILLER_2_2147 +*18272 FILLER_2_215 +*18273 FILLER_2_2153 +*18274 FILLER_2_2157 +*18275 FILLER_2_2165 +*18276 FILLER_2_2171 +*18277 FILLER_2_2177 +*18278 FILLER_2_2183 +*18279 FILLER_2_2188 +*18280 FILLER_2_219 +*18281 FILLER_2_2194 +*18282 FILLER_2_2200 +*18283 FILLER_2_2206 +*18284 FILLER_2_2213 +*18285 FILLER_2_2218 +*18286 FILLER_2_2225 +*18287 FILLER_2_2237 +*18288 FILLER_2_2250 +*18289 FILLER_2_2256 +*18290 FILLER_2_2260 +*18291 FILLER_2_2266 +*18292 FILLER_2_2269 +*18293 FILLER_2_2273 +*18294 FILLER_2_2279 +*18295 FILLER_2_2287 +*18296 FILLER_2_2293 +*18297 FILLER_2_2301 +*18298 FILLER_2_2306 +*18299 FILLER_2_2310 +*18300 FILLER_2_2320 +*18301 FILLER_2_2325 +*18302 FILLER_2_233 +*18303 FILLER_2_2331 +*18304 FILLER_2_2337 +*18305 FILLER_2_2345 +*18306 FILLER_2_2349 +*18307 FILLER_2_2356 +*18308 FILLER_2_2362 +*18309 FILLER_2_2368 +*18310 FILLER_2_2374 +*18311 FILLER_2_2381 +*18312 FILLER_2_2386 +*18313 FILLER_2_2394 +*18314 FILLER_2_2398 +*18315 FILLER_2_2405 +*18316 FILLER_2_2409 +*18317 FILLER_2_2414 +*18318 FILLER_2_2423 +*18319 FILLER_2_2430 +*18320 FILLER_2_2437 +*18321 FILLER_2_244 +*18322 FILLER_2_2441 +*18323 FILLER_2_2452 +*18324 FILLER_2_2458 +*18325 FILLER_2_2464 +*18326 FILLER_2_2470 +*18327 FILLER_2_2476 +*18328 FILLER_2_2479 +*18329 FILLER_2_2487 +*18330 FILLER_2_2491 +*18331 FILLER_2_2493 +*18332 FILLER_2_250 +*18333 FILLER_2_2501 +*18334 FILLER_2_2508 +*18335 FILLER_2_2514 +*18336 FILLER_2_2520 +*18337 FILLER_2_2524 +*18338 FILLER_2_253 +*18339 FILLER_2_2531 +*18340 FILLER_2_2539 +*18341 FILLER_2_2546 +*18342 FILLER_2_2549 +*18343 FILLER_2_2554 +*18344 FILLER_2_2560 +*18345 FILLER_2_2568 +*18346 FILLER_2_2572 +*18347 FILLER_2_2578 +*18348 FILLER_2_2583 +*18349 FILLER_2_2589 +*18350 FILLER_2_2596 +*18351 FILLER_2_2602 +*18352 FILLER_2_2605 +*18353 FILLER_2_261 +*18354 FILLER_2_2610 +*18355 FILLER_2_2616 +*18356 FILLER_2_2620 +*18357 FILLER_2_2626 +*18358 FILLER_2_2631 +*18359 FILLER_2_2635 +*18360 FILLER_2_2640 +*18361 FILLER_2_2646 +*18362 FILLER_2_2651 +*18363 FILLER_2_2657 +*18364 FILLER_2_2661 +*18365 FILLER_2_2665 +*18366 FILLER_2_2671 +*18367 FILLER_2_2679 +*18368 FILLER_2_268 +*18369 FILLER_2_2685 +*18370 FILLER_2_2693 +*18371 FILLER_2_27 +*18372 FILLER_2_2701 +*18373 FILLER_2_2708 +*18374 FILLER_2_2714 +*18375 FILLER_2_2717 +*18376 FILLER_2_2721 +*18377 FILLER_2_2727 +*18378 FILLER_2_2733 +*18379 FILLER_2_2740 +*18380 FILLER_2_2747 +*18381 FILLER_2_2753 +*18382 FILLER_2_2757 +*18383 FILLER_2_276 +*18384 FILLER_2_2762 +*18385 FILLER_2_2768 +*18386 FILLER_2_2773 +*18387 FILLER_2_2779 +*18388 FILLER_2_2788 +*18389 FILLER_2_279 +*18390 FILLER_2_2794 +*18391 FILLER_2_2800 +*18392 FILLER_2_2806 +*18393 FILLER_2_2813 +*18394 FILLER_2_2819 +*18395 FILLER_2_2825 +*18396 FILLER_2_2829 +*18397 FILLER_2_2834 +*18398 FILLER_2_2838 +*18399 FILLER_2_2843 +*18400 FILLER_2_2850 +*18401 FILLER_2_2854 +*18402 FILLER_2_2858 +*18403 FILLER_2_2865 +*18404 FILLER_2_2872 +*18405 FILLER_2_2879 +*18406 FILLER_2_2883 +*18407 FILLER_2_2885 +*18408 FILLER_2_2890 +*18409 FILLER_2_2896 +*18410 FILLER_2_29 +*18411 FILLER_2_2904 +*18412 FILLER_2_2908 +*18413 FILLER_2_2915 +*18414 FILLER_2_2925 +*18415 FILLER_2_293 +*18416 FILLER_2_2933 +*18417 FILLER_2_2939 +*18418 FILLER_2_2941 +*18419 FILLER_2_2947 +*18420 FILLER_2_2963 +*18421 FILLER_2_2973 +*18422 FILLER_2_2981 +*18423 FILLER_2_2989 +*18424 FILLER_2_2995 +*18425 FILLER_2_2997 +*18426 FILLER_2_3 +*18427 FILLER_2_3003 +*18428 FILLER_2_301 +*18429 FILLER_2_3013 +*18430 FILLER_2_3021 +*18431 FILLER_2_3028 +*18432 FILLER_2_3032 +*18433 FILLER_2_3037 +*18434 FILLER_2_3043 +*18435 FILLER_2_3050 +*18436 FILLER_2_3053 +*18437 FILLER_2_3057 +*18438 FILLER_2_3065 +*18439 FILLER_2_307 +*18440 FILLER_2_3073 +*18441 FILLER_2_3079 +*18442 FILLER_2_3084 +*18443 FILLER_2_3088 +*18444 FILLER_2_309 +*18445 FILLER_2_3093 +*18446 FILLER_2_3100 +*18447 FILLER_2_3106 +*18448 FILLER_2_3109 +*18449 FILLER_2_3115 +*18450 FILLER_2_3122 +*18451 FILLER_2_3130 +*18452 FILLER_2_3137 +*18453 FILLER_2_3144 +*18454 FILLER_2_315 +*18455 FILLER_2_3154 +*18456 FILLER_2_3162 +*18457 FILLER_2_3165 +*18458 FILLER_2_3177 +*18459 FILLER_2_3185 +*18460 FILLER_2_3191 +*18461 FILLER_2_3197 +*18462 FILLER_2_3201 +*18463 FILLER_2_3209 +*18464 FILLER_2_321 +*18465 FILLER_2_3217 +*18466 FILLER_2_3221 +*18467 FILLER_2_3229 +*18468 FILLER_2_3238 +*18469 FILLER_2_3245 +*18470 FILLER_2_3252 +*18471 FILLER_2_3260 +*18472 FILLER_2_3268 +*18473 FILLER_2_327 +*18474 FILLER_2_3274 +*18475 FILLER_2_3277 +*18476 FILLER_2_3284 +*18477 FILLER_2_3294 +*18478 FILLER_2_3301 +*18479 FILLER_2_3308 +*18480 FILLER_2_3314 +*18481 FILLER_2_3318 +*18482 FILLER_2_3322 +*18483 FILLER_2_3326 +*18484 FILLER_2_3330 +*18485 FILLER_2_3333 +*18486 FILLER_2_3338 +*18487 FILLER_2_334 +*18488 FILLER_2_3342 +*18489 FILLER_2_3346 +*18490 FILLER_2_3354 +*18491 FILLER_2_3362 +*18492 FILLER_2_3369 +*18493 FILLER_2_3382 +*18494 FILLER_2_3389 +*18495 FILLER_2_3399 +*18496 FILLER_2_3405 +*18497 FILLER_2_3415 +*18498 FILLER_2_342 +*18499 FILLER_2_3425 +*18500 FILLER_2_3433 +*18501 FILLER_2_3440 +*18502 FILLER_2_3445 +*18503 FILLER_2_3450 +*18504 FILLER_2_3454 +*18505 FILLER_2_3458 +*18506 FILLER_2_3465 +*18507 FILLER_2_3478 +*18508 FILLER_2_348 +*18509 FILLER_2_3485 +*18510 FILLER_2_3492 +*18511 FILLER_2_3498 +*18512 FILLER_2_3501 +*18513 FILLER_2_3509 +*18514 FILLER_2_3521 +*18515 FILLER_2_3527 +*18516 FILLER_2_3532 +*18517 FILLER_2_3539 +*18518 FILLER_2_354 +*18519 FILLER_2_3546 +*18520 FILLER_2_3553 +*18521 FILLER_2_3557 +*18522 FILLER_2_3568 +*18523 FILLER_2_3582 +*18524 FILLER_2_3589 +*18525 FILLER_2_3600 +*18526 FILLER_2_3610 +*18527 FILLER_2_3613 +*18528 FILLER_2_362 +*18529 FILLER_2_3621 +*18530 FILLER_2_3627 +*18531 FILLER_2_3632 +*18532 FILLER_2_3638 +*18533 FILLER_2_3643 +*18534 FILLER_2_365 +*18535 FILLER_2_3653 +*18536 FILLER_2_3665 +*18537 FILLER_2_3669 +*18538 FILLER_2_3674 +*18539 FILLER_2_3681 +*18540 FILLER_2_3694 +*18541 FILLER_2_3702 +*18542 FILLER_2_3709 +*18543 FILLER_2_371 +*18544 FILLER_2_3716 +*18545 FILLER_2_3722 +*18546 FILLER_2_3725 +*18547 FILLER_2_3730 +*18548 FILLER_2_3737 +*18549 FILLER_2_3744 +*18550 FILLER_2_3750 +*18551 FILLER_2_3756 +*18552 FILLER_2_3766 +*18553 FILLER_2_3777 +*18554 FILLER_2_3781 +*18555 FILLER_2_3797 +*18556 FILLER_2_381 +*18557 FILLER_2_3815 +*18558 FILLER_2_3833 +*18559 FILLER_2_3837 +*18560 FILLER_2_3843 +*18561 FILLER_2_3850 +*18562 FILLER_2_3856 +*18563 FILLER_2_3861 +*18564 FILLER_2_3874 +*18565 FILLER_2_3887 +*18566 FILLER_2_389 +*18567 FILLER_2_3891 +*18568 FILLER_2_3893 +*18569 FILLER_2_3899 +*18570 FILLER_2_3906 +*18571 FILLER_2_3912 +*18572 FILLER_2_3918 +*18573 FILLER_2_3930 +*18574 FILLER_2_3938 +*18575 FILLER_2_3946 +*18576 FILLER_2_3949 +*18577 FILLER_2_3961 +*18578 FILLER_2_3973 +*18579 FILLER_2_398 +*18580 FILLER_2_3984 +*18581 FILLER_2_3990 +*18582 FILLER_2_4002 +*18583 FILLER_2_4005 +*18584 FILLER_2_4017 +*18585 FILLER_2_402 +*18586 FILLER_2_4029 +*18587 FILLER_2_4041 +*18588 FILLER_2_4053 +*18589 FILLER_2_4059 +*18590 FILLER_2_406 +*18591 FILLER_2_4061 +*18592 FILLER_2_4073 +*18593 FILLER_2_4085 +*18594 FILLER_2_4097 +*18595 FILLER_2_41 +*18596 FILLER_2_412 +*18597 FILLER_2_418 +*18598 FILLER_2_421 +*18599 FILLER_2_427 +*18600 FILLER_2_435 +*18601 FILLER_2_441 +*18602 FILLER_2_447 +*18603 FILLER_2_455 +*18604 FILLER_2_461 +*18605 FILLER_2_467 +*18606 FILLER_2_471 +*18607 FILLER_2_474 +*18608 FILLER_2_477 +*18609 FILLER_2_483 +*18610 FILLER_2_487 +*18611 FILLER_2_491 +*18612 FILLER_2_495 +*18613 FILLER_2_498 +*18614 FILLER_2_504 +*18615 FILLER_2_510 +*18616 FILLER_2_516 +*18617 FILLER_2_53 +*18618 FILLER_2_530 +*18619 FILLER_2_533 +*18620 FILLER_2_538 +*18621 FILLER_2_547 +*18622 FILLER_2_556 +*18623 FILLER_2_564 +*18624 FILLER_2_567 +*18625 FILLER_2_575 +*18626 FILLER_2_583 +*18627 FILLER_2_586 +*18628 FILLER_2_589 +*18629 FILLER_2_598 +*18630 FILLER_2_604 +*18631 FILLER_2_607 +*18632 FILLER_2_613 +*18633 FILLER_2_616 +*18634 FILLER_2_624 +*18635 FILLER_2_627 +*18636 FILLER_2_633 +*18637 FILLER_2_641 +*18638 FILLER_2_645 +*18639 FILLER_2_65 +*18640 FILLER_2_650 +*18641 FILLER_2_654 +*18642 FILLER_2_657 +*18643 FILLER_2_663 +*18644 FILLER_2_669 +*18645 FILLER_2_683 +*18646 FILLER_2_691 +*18647 FILLER_2_698 +*18648 FILLER_2_701 +*18649 FILLER_2_706 +*18650 FILLER_2_714 +*18651 FILLER_2_717 +*18652 FILLER_2_724 +*18653 FILLER_2_732 +*18654 FILLER_2_735 +*18655 FILLER_2_749 +*18656 FILLER_2_755 +*18657 FILLER_2_757 +*18658 FILLER_2_763 +*18659 FILLER_2_77 +*18660 FILLER_2_770 +*18661 FILLER_2_778 +*18662 FILLER_2_781 +*18663 FILLER_2_787 +*18664 FILLER_2_795 +*18665 FILLER_2_798 +*18666 FILLER_2_804 +*18667 FILLER_2_810 +*18668 FILLER_2_813 +*18669 FILLER_2_825 +*18670 FILLER_2_83 +*18671 FILLER_2_833 +*18672 FILLER_2_845 +*18673 FILLER_2_85 +*18674 FILLER_2_855 +*18675 FILLER_2_862 +*18676 FILLER_2_869 +*18677 FILLER_2_874 +*18678 FILLER_2_880 +*18679 FILLER_2_887 +*18680 FILLER_2_895 +*18681 FILLER_2_903 +*18682 FILLER_2_909 +*18683 FILLER_2_917 +*18684 FILLER_2_923 +*18685 FILLER_2_925 +*18686 FILLER_2_933 +*18687 FILLER_2_939 +*18688 FILLER_2_946 +*18689 FILLER_2_954 +*18690 FILLER_2_958 +*18691 FILLER_2_966 +*18692 FILLER_2_97 +*18693 FILLER_2_970 +*18694 FILLER_2_978 +*18695 FILLER_2_981 +*18696 FILLER_2_987 +*18697 FILLER_2_995 +*18698 FILLER_30_1005 +*18699 FILLER_30_1017 +*18700 FILLER_30_1029 +*18701 FILLER_30_1035 +*18702 FILLER_30_1037 +*18703 FILLER_30_1052 +*18704 FILLER_30_1064 +*18705 FILLER_30_1071 +*18706 FILLER_30_1083 +*18707 FILLER_30_109 +*18708 FILLER_30_1091 +*18709 FILLER_30_1093 +*18710 FILLER_30_1105 +*18711 FILLER_30_1117 +*18712 FILLER_30_1129 +*18713 FILLER_30_1141 +*18714 FILLER_30_1147 +*18715 FILLER_30_1149 +*18716 FILLER_30_1161 +*18717 FILLER_30_1173 +*18718 FILLER_30_1185 +*18719 FILLER_30_1197 +*18720 FILLER_30_1203 +*18721 FILLER_30_1205 +*18722 FILLER_30_121 +*18723 FILLER_30_1217 +*18724 FILLER_30_1229 +*18725 FILLER_30_1241 +*18726 FILLER_30_1253 +*18727 FILLER_30_1259 +*18728 FILLER_30_1261 +*18729 FILLER_30_1267 +*18730 FILLER_30_1270 +*18731 FILLER_30_1278 +*18732 FILLER_30_1290 +*18733 FILLER_30_1302 +*18734 FILLER_30_1314 +*18735 FILLER_30_1317 +*18736 FILLER_30_1329 +*18737 FILLER_30_133 +*18738 FILLER_30_1341 +*18739 FILLER_30_1353 +*18740 FILLER_30_1365 +*18741 FILLER_30_1370 +*18742 FILLER_30_1373 +*18743 FILLER_30_1379 +*18744 FILLER_30_139 +*18745 FILLER_30_1393 +*18746 FILLER_30_1400 +*18747 FILLER_30_1406 +*18748 FILLER_30_141 +*18749 FILLER_30_1418 +*18750 FILLER_30_1426 +*18751 FILLER_30_1429 +*18752 FILLER_30_1441 +*18753 FILLER_30_1453 +*18754 FILLER_30_1459 +*18755 FILLER_30_1466 +*18756 FILLER_30_1476 +*18757 FILLER_30_1482 +*18758 FILLER_30_1485 +*18759 FILLER_30_1489 +*18760 FILLER_30_1497 +*18761 FILLER_30_15 +*18762 FILLER_30_1505 +*18763 FILLER_30_1509 +*18764 FILLER_30_1514 +*18765 FILLER_30_1522 +*18766 FILLER_30_1526 +*18767 FILLER_30_1529 +*18768 FILLER_30_153 +*18769 FILLER_30_1537 +*18770 FILLER_30_1541 +*18771 FILLER_30_1545 +*18772 FILLER_30_1550 +*18773 FILLER_30_1554 +*18774 FILLER_30_1559 +*18775 FILLER_30_1567 +*18776 FILLER_30_1575 +*18777 FILLER_30_1585 +*18778 FILLER_30_1593 +*18779 FILLER_30_1597 +*18780 FILLER_30_1603 +*18781 FILLER_30_1608 +*18782 FILLER_30_1616 +*18783 FILLER_30_1626 +*18784 FILLER_30_1632 +*18785 FILLER_30_1641 +*18786 FILLER_30_1649 +*18787 FILLER_30_165 +*18788 FILLER_30_1653 +*18789 FILLER_30_1657 +*18790 FILLER_30_1665 +*18791 FILLER_30_1671 +*18792 FILLER_30_1677 +*18793 FILLER_30_1683 +*18794 FILLER_30_1689 +*18795 FILLER_30_1695 +*18796 FILLER_30_1703 +*18797 FILLER_30_1707 +*18798 FILLER_30_1709 +*18799 FILLER_30_1713 +*18800 FILLER_30_1717 +*18801 FILLER_30_1720 +*18802 FILLER_30_1724 +*18803 FILLER_30_1729 +*18804 FILLER_30_1735 +*18805 FILLER_30_1739 +*18806 FILLER_30_1744 +*18807 FILLER_30_1750 +*18808 FILLER_30_1762 +*18809 FILLER_30_1765 +*18810 FILLER_30_177 +*18811 FILLER_30_1770 +*18812 FILLER_30_1776 +*18813 FILLER_30_1788 +*18814 FILLER_30_1800 +*18815 FILLER_30_1812 +*18816 FILLER_30_1821 +*18817 FILLER_30_1833 +*18818 FILLER_30_1845 +*18819 FILLER_30_1855 +*18820 FILLER_30_1862 +*18821 FILLER_30_1868 +*18822 FILLER_30_1874 +*18823 FILLER_30_1877 +*18824 FILLER_30_1883 +*18825 FILLER_30_1888 +*18826 FILLER_30_189 +*18827 FILLER_30_1896 +*18828 FILLER_30_1902 +*18829 FILLER_30_1910 +*18830 FILLER_30_1913 +*18831 FILLER_30_1917 +*18832 FILLER_30_1920 +*18833 FILLER_30_1928 +*18834 FILLER_30_1933 +*18835 FILLER_30_1939 +*18836 FILLER_30_1948 +*18837 FILLER_30_195 +*18838 FILLER_30_1956 +*18839 FILLER_30_1964 +*18840 FILLER_30_1969 +*18841 FILLER_30_197 +*18842 FILLER_30_1977 +*18843 FILLER_30_1983 +*18844 FILLER_30_1987 +*18845 FILLER_30_1989 +*18846 FILLER_30_1995 +*18847 FILLER_30_2008 +*18848 FILLER_30_2020 +*18849 FILLER_30_2028 +*18850 FILLER_30_2036 +*18851 FILLER_30_2041 +*18852 FILLER_30_2045 +*18853 FILLER_30_2051 +*18854 FILLER_30_2061 +*18855 FILLER_30_2069 +*18856 FILLER_30_2077 +*18857 FILLER_30_2085 +*18858 FILLER_30_209 +*18859 FILLER_30_2093 +*18860 FILLER_30_2099 +*18861 FILLER_30_2101 +*18862 FILLER_30_2107 +*18863 FILLER_30_2119 +*18864 FILLER_30_2127 +*18865 FILLER_30_2135 +*18866 FILLER_30_2143 +*18867 FILLER_30_2151 +*18868 FILLER_30_2155 +*18869 FILLER_30_2157 +*18870 FILLER_30_2163 +*18871 FILLER_30_2171 +*18872 FILLER_30_2181 +*18873 FILLER_30_2189 +*18874 FILLER_30_2195 +*18875 FILLER_30_2201 +*18876 FILLER_30_2207 +*18877 FILLER_30_221 +*18878 FILLER_30_2211 +*18879 FILLER_30_2213 +*18880 FILLER_30_2225 +*18881 FILLER_30_2237 +*18882 FILLER_30_2249 +*18883 FILLER_30_2261 +*18884 FILLER_30_2267 +*18885 FILLER_30_2269 +*18886 FILLER_30_2275 +*18887 FILLER_30_2281 +*18888 FILLER_30_2289 +*18889 FILLER_30_2300 +*18890 FILLER_30_2306 +*18891 FILLER_30_2318 +*18892 FILLER_30_2325 +*18893 FILLER_30_233 +*18894 FILLER_30_2337 +*18895 FILLER_30_2349 +*18896 FILLER_30_2361 +*18897 FILLER_30_2373 +*18898 FILLER_30_2379 +*18899 FILLER_30_2381 +*18900 FILLER_30_2393 +*18901 FILLER_30_2405 +*18902 FILLER_30_2417 +*18903 FILLER_30_2429 +*18904 FILLER_30_2435 +*18905 FILLER_30_2437 +*18906 FILLER_30_2449 +*18907 FILLER_30_245 +*18908 FILLER_30_2461 +*18909 FILLER_30_2473 +*18910 FILLER_30_2485 +*18911 FILLER_30_2491 +*18912 FILLER_30_2493 +*18913 FILLER_30_2505 +*18914 FILLER_30_251 +*18915 FILLER_30_2517 +*18916 FILLER_30_2529 +*18917 FILLER_30_253 +*18918 FILLER_30_2541 +*18919 FILLER_30_2547 +*18920 FILLER_30_2549 +*18921 FILLER_30_2561 +*18922 FILLER_30_2573 +*18923 FILLER_30_2585 +*18924 FILLER_30_2597 +*18925 FILLER_30_2603 +*18926 FILLER_30_2605 +*18927 FILLER_30_2613 +*18928 FILLER_30_2620 +*18929 FILLER_30_2626 +*18930 FILLER_30_2638 +*18931 FILLER_30_2646 +*18932 FILLER_30_265 +*18933 FILLER_30_2650 +*18934 FILLER_30_2658 +*18935 FILLER_30_2661 +*18936 FILLER_30_2673 +*18937 FILLER_30_2685 +*18938 FILLER_30_2697 +*18939 FILLER_30_27 +*18940 FILLER_30_2709 +*18941 FILLER_30_2715 +*18942 FILLER_30_2717 +*18943 FILLER_30_2729 +*18944 FILLER_30_2741 +*18945 FILLER_30_2753 +*18946 FILLER_30_2757 +*18947 FILLER_30_2760 +*18948 FILLER_30_2764 +*18949 FILLER_30_2767 +*18950 FILLER_30_277 +*18951 FILLER_30_2771 +*18952 FILLER_30_2773 +*18953 FILLER_30_2777 +*18954 FILLER_30_2783 +*18955 FILLER_30_2786 +*18956 FILLER_30_2790 +*18957 FILLER_30_2795 +*18958 FILLER_30_2801 +*18959 FILLER_30_2807 +*18960 FILLER_30_2813 +*18961 FILLER_30_2819 +*18962 FILLER_30_2825 +*18963 FILLER_30_2829 +*18964 FILLER_30_2833 +*18965 FILLER_30_2841 +*18966 FILLER_30_2847 +*18967 FILLER_30_2853 +*18968 FILLER_30_2859 +*18969 FILLER_30_2865 +*18970 FILLER_30_2871 +*18971 FILLER_30_2877 +*18972 FILLER_30_2883 +*18973 FILLER_30_2885 +*18974 FILLER_30_289 +*18975 FILLER_30_2897 +*18976 FILLER_30_29 +*18977 FILLER_30_2909 +*18978 FILLER_30_2921 +*18979 FILLER_30_2933 +*18980 FILLER_30_2939 +*18981 FILLER_30_2941 +*18982 FILLER_30_2957 +*18983 FILLER_30_2963 +*18984 FILLER_30_2975 +*18985 FILLER_30_2981 +*18986 FILLER_30_2984 +*18987 FILLER_30_2990 +*18988 FILLER_30_2997 +*18989 FILLER_30_3 +*18990 FILLER_30_3001 +*18991 FILLER_30_3007 +*18992 FILLER_30_301 +*18993 FILLER_30_3013 +*18994 FILLER_30_3019 +*18995 FILLER_30_3031 +*18996 FILLER_30_3043 +*18997 FILLER_30_3051 +*18998 FILLER_30_3053 +*18999 FILLER_30_3065 +*19000 FILLER_30_307 +*19001 FILLER_30_3077 +*19002 FILLER_30_3089 +*19003 FILLER_30_309 +*19004 FILLER_30_3101 +*19005 FILLER_30_3107 +*19006 FILLER_30_3109 +*19007 FILLER_30_3121 +*19008 FILLER_30_3133 +*19009 FILLER_30_3145 +*19010 FILLER_30_3157 +*19011 FILLER_30_3163 +*19012 FILLER_30_3165 +*19013 FILLER_30_3177 +*19014 FILLER_30_3189 +*19015 FILLER_30_3201 +*19016 FILLER_30_321 +*19017 FILLER_30_3213 +*19018 FILLER_30_3219 +*19019 FILLER_30_3221 +*19020 FILLER_30_3233 +*19021 FILLER_30_3245 +*19022 FILLER_30_3257 +*19023 FILLER_30_3269 +*19024 FILLER_30_3275 +*19025 FILLER_30_3277 +*19026 FILLER_30_3289 +*19027 FILLER_30_3301 +*19028 FILLER_30_3313 +*19029 FILLER_30_3325 +*19030 FILLER_30_333 +*19031 FILLER_30_3331 +*19032 FILLER_30_3333 +*19033 FILLER_30_3345 +*19034 FILLER_30_3357 +*19035 FILLER_30_3369 +*19036 FILLER_30_3376 +*19037 FILLER_30_3389 +*19038 FILLER_30_3401 +*19039 FILLER_30_3413 +*19040 FILLER_30_3425 +*19041 FILLER_30_3437 +*19042 FILLER_30_3443 +*19043 FILLER_30_3445 +*19044 FILLER_30_345 +*19045 FILLER_30_3457 +*19046 FILLER_30_3469 +*19047 FILLER_30_3477 +*19048 FILLER_30_3489 +*19049 FILLER_30_3497 +*19050 FILLER_30_3501 +*19051 FILLER_30_3513 +*19052 FILLER_30_3525 +*19053 FILLER_30_3536 +*19054 FILLER_30_3542 +*19055 FILLER_30_3554 +*19056 FILLER_30_3557 +*19057 FILLER_30_3569 +*19058 FILLER_30_357 +*19059 FILLER_30_3581 +*19060 FILLER_30_3593 +*19061 FILLER_30_3605 +*19062 FILLER_30_3611 +*19063 FILLER_30_3613 +*19064 FILLER_30_3625 +*19065 FILLER_30_363 +*19066 FILLER_30_3637 +*19067 FILLER_30_3649 +*19068 FILLER_30_365 +*19069 FILLER_30_3661 +*19070 FILLER_30_3667 +*19071 FILLER_30_3669 +*19072 FILLER_30_3681 +*19073 FILLER_30_3693 +*19074 FILLER_30_3705 +*19075 FILLER_30_371 +*19076 FILLER_30_3717 +*19077 FILLER_30_3723 +*19078 FILLER_30_3725 +*19079 FILLER_30_3737 +*19080 FILLER_30_3749 +*19081 FILLER_30_3761 +*19082 FILLER_30_377 +*19083 FILLER_30_3773 +*19084 FILLER_30_3779 +*19085 FILLER_30_3781 +*19086 FILLER_30_3793 +*19087 FILLER_30_3805 +*19088 FILLER_30_3817 +*19089 FILLER_30_3829 +*19090 FILLER_30_3835 +*19091 FILLER_30_3837 +*19092 FILLER_30_3849 +*19093 FILLER_30_3861 +*19094 FILLER_30_3873 +*19095 FILLER_30_3885 +*19096 FILLER_30_389 +*19097 FILLER_30_3891 +*19098 FILLER_30_3893 +*19099 FILLER_30_3905 +*19100 FILLER_30_3917 +*19101 FILLER_30_3929 +*19102 FILLER_30_3941 +*19103 FILLER_30_3947 +*19104 FILLER_30_3949 +*19105 FILLER_30_3961 +*19106 FILLER_30_3973 +*19107 FILLER_30_3985 +*19108 FILLER_30_3997 +*19109 FILLER_30_4003 +*19110 FILLER_30_4005 +*19111 FILLER_30_401 +*19112 FILLER_30_4017 +*19113 FILLER_30_4029 +*19114 FILLER_30_4041 +*19115 FILLER_30_4053 +*19116 FILLER_30_4059 +*19117 FILLER_30_4061 +*19118 FILLER_30_4073 +*19119 FILLER_30_4085 +*19120 FILLER_30_4097 +*19121 FILLER_30_41 +*19122 FILLER_30_413 +*19123 FILLER_30_419 +*19124 FILLER_30_421 +*19125 FILLER_30_433 +*19126 FILLER_30_445 +*19127 FILLER_30_457 +*19128 FILLER_30_469 +*19129 FILLER_30_475 +*19130 FILLER_30_477 +*19131 FILLER_30_489 +*19132 FILLER_30_505 +*19133 FILLER_30_517 +*19134 FILLER_30_529 +*19135 FILLER_30_53 +*19136 FILLER_30_533 +*19137 FILLER_30_545 +*19138 FILLER_30_557 +*19139 FILLER_30_569 +*19140 FILLER_30_581 +*19141 FILLER_30_587 +*19142 FILLER_30_589 +*19143 FILLER_30_601 +*19144 FILLER_30_613 +*19145 FILLER_30_625 +*19146 FILLER_30_637 +*19147 FILLER_30_643 +*19148 FILLER_30_645 +*19149 FILLER_30_657 +*19150 FILLER_30_669 +*19151 FILLER_30_68 +*19152 FILLER_30_681 +*19153 FILLER_30_693 +*19154 FILLER_30_698 +*19155 FILLER_30_701 +*19156 FILLER_30_707 +*19157 FILLER_30_719 +*19158 FILLER_30_731 +*19159 FILLER_30_74 +*19160 FILLER_30_743 +*19161 FILLER_30_755 +*19162 FILLER_30_757 +*19163 FILLER_30_769 +*19164 FILLER_30_781 +*19165 FILLER_30_793 +*19166 FILLER_30_805 +*19167 FILLER_30_811 +*19168 FILLER_30_813 +*19169 FILLER_30_817 +*19170 FILLER_30_82 +*19171 FILLER_30_822 +*19172 FILLER_30_826 +*19173 FILLER_30_829 +*19174 FILLER_30_841 +*19175 FILLER_30_85 +*19176 FILLER_30_853 +*19177 FILLER_30_865 +*19178 FILLER_30_869 +*19179 FILLER_30_873 +*19180 FILLER_30_881 +*19181 FILLER_30_887 +*19182 FILLER_30_890 +*19183 FILLER_30_898 +*19184 FILLER_30_910 +*19185 FILLER_30_922 +*19186 FILLER_30_925 +*19187 FILLER_30_931 +*19188 FILLER_30_937 +*19189 FILLER_30_942 +*19190 FILLER_30_948 +*19191 FILLER_30_960 +*19192 FILLER_30_97 +*19193 FILLER_30_972 +*19194 FILLER_30_981 +*19195 FILLER_30_993 +*19196 FILLER_31_1001 +*19197 FILLER_31_1007 +*19198 FILLER_31_1009 +*19199 FILLER_31_1022 +*19200 FILLER_31_1028 +*19201 FILLER_31_1032 +*19202 FILLER_31_1037 +*19203 FILLER_31_1043 +*19204 FILLER_31_105 +*19205 FILLER_31_1055 +*19206 FILLER_31_1063 +*19207 FILLER_31_1065 +*19208 FILLER_31_1077 +*19209 FILLER_31_1089 +*19210 FILLER_31_1101 +*19211 FILLER_31_111 +*19212 FILLER_31_1113 +*19213 FILLER_31_1119 +*19214 FILLER_31_1121 +*19215 FILLER_31_1129 +*19216 FILLER_31_113 +*19217 FILLER_31_1134 +*19218 FILLER_31_1142 +*19219 FILLER_31_1154 +*19220 FILLER_31_1166 +*19221 FILLER_31_1174 +*19222 FILLER_31_1177 +*19223 FILLER_31_1189 +*19224 FILLER_31_1201 +*19225 FILLER_31_1213 +*19226 FILLER_31_1225 +*19227 FILLER_31_1231 +*19228 FILLER_31_1233 +*19229 FILLER_31_1245 +*19230 FILLER_31_125 +*19231 FILLER_31_1251 +*19232 FILLER_31_1256 +*19233 FILLER_31_1268 +*19234 FILLER_31_1280 +*19235 FILLER_31_1289 +*19236 FILLER_31_1301 +*19237 FILLER_31_1313 +*19238 FILLER_31_1317 +*19239 FILLER_31_1320 +*19240 FILLER_31_1328 +*19241 FILLER_31_1340 +*19242 FILLER_31_1345 +*19243 FILLER_31_1357 +*19244 FILLER_31_1369 +*19245 FILLER_31_137 +*19246 FILLER_31_1381 +*19247 FILLER_31_1393 +*19248 FILLER_31_1399 +*19249 FILLER_31_1401 +*19250 FILLER_31_1413 +*19251 FILLER_31_1425 +*19252 FILLER_31_1430 +*19253 FILLER_31_1438 +*19254 FILLER_31_1450 +*19255 FILLER_31_1457 +*19256 FILLER_31_1467 +*19257 FILLER_31_1475 +*19258 FILLER_31_1479 +*19259 FILLER_31_1485 +*19260 FILLER_31_149 +*19261 FILLER_31_1491 +*19262 FILLER_31_1497 +*19263 FILLER_31_15 +*19264 FILLER_31_1505 +*19265 FILLER_31_1511 +*19266 FILLER_31_1513 +*19267 FILLER_31_1517 +*19268 FILLER_31_1525 +*19269 FILLER_31_1531 +*19270 FILLER_31_1538 +*19271 FILLER_31_1546 +*19272 FILLER_31_1554 +*19273 FILLER_31_1560 +*19274 FILLER_31_1566 +*19275 FILLER_31_1569 +*19276 FILLER_31_1575 +*19277 FILLER_31_1581 +*19278 FILLER_31_1587 +*19279 FILLER_31_1593 +*19280 FILLER_31_1600 +*19281 FILLER_31_1608 +*19282 FILLER_31_161 +*19283 FILLER_31_1611 +*19284 FILLER_31_1619 +*19285 FILLER_31_1622 +*19286 FILLER_31_1625 +*19287 FILLER_31_1631 +*19288 FILLER_31_1639 +*19289 FILLER_31_1642 +*19290 FILLER_31_1648 +*19291 FILLER_31_1654 +*19292 FILLER_31_1662 +*19293 FILLER_31_167 +*19294 FILLER_31_1670 +*19295 FILLER_31_1674 +*19296 FILLER_31_1681 +*19297 FILLER_31_1689 +*19298 FILLER_31_169 +*19299 FILLER_31_1699 +*19300 FILLER_31_1707 +*19301 FILLER_31_1711 +*19302 FILLER_31_1723 +*19303 FILLER_31_1731 +*19304 FILLER_31_1735 +*19305 FILLER_31_1737 +*19306 FILLER_31_1749 +*19307 FILLER_31_1761 +*19308 FILLER_31_1769 +*19309 FILLER_31_1772 +*19310 FILLER_31_1784 +*19311 FILLER_31_1793 +*19312 FILLER_31_1805 +*19313 FILLER_31_181 +*19314 FILLER_31_1817 +*19315 FILLER_31_1829 +*19316 FILLER_31_1841 +*19317 FILLER_31_1847 +*19318 FILLER_31_1849 +*19319 FILLER_31_1855 +*19320 FILLER_31_1858 +*19321 FILLER_31_1864 +*19322 FILLER_31_1872 +*19323 FILLER_31_1876 +*19324 FILLER_31_1884 +*19325 FILLER_31_1892 +*19326 FILLER_31_1902 +*19327 FILLER_31_1905 +*19328 FILLER_31_1909 +*19329 FILLER_31_1915 +*19330 FILLER_31_1927 +*19331 FILLER_31_193 +*19332 FILLER_31_1932 +*19333 FILLER_31_1938 +*19334 FILLER_31_1942 +*19335 FILLER_31_1945 +*19336 FILLER_31_1953 +*19337 FILLER_31_1959 +*19338 FILLER_31_1961 +*19339 FILLER_31_1967 +*19340 FILLER_31_1973 +*19341 FILLER_31_1979 +*19342 FILLER_31_1982 +*19343 FILLER_31_1992 +*19344 FILLER_31_2002 +*19345 FILLER_31_2008 +*19346 FILLER_31_2014 +*19347 FILLER_31_2017 +*19348 FILLER_31_2022 +*19349 FILLER_31_2030 +*19350 FILLER_31_2040 +*19351 FILLER_31_2048 +*19352 FILLER_31_205 +*19353 FILLER_31_2052 +*19354 FILLER_31_2058 +*19355 FILLER_31_2062 +*19356 FILLER_31_2067 +*19357 FILLER_31_2071 +*19358 FILLER_31_2073 +*19359 FILLER_31_2079 +*19360 FILLER_31_2091 +*19361 FILLER_31_2097 +*19362 FILLER_31_2103 +*19363 FILLER_31_2119 +*19364 FILLER_31_2123 +*19365 FILLER_31_2126 +*19366 FILLER_31_2129 +*19367 FILLER_31_2135 +*19368 FILLER_31_2140 +*19369 FILLER_31_2148 +*19370 FILLER_31_2153 +*19371 FILLER_31_2161 +*19372 FILLER_31_2167 +*19373 FILLER_31_217 +*19374 FILLER_31_2173 +*19375 FILLER_31_2179 +*19376 FILLER_31_2183 +*19377 FILLER_31_2185 +*19378 FILLER_31_2189 +*19379 FILLER_31_2195 +*19380 FILLER_31_2198 +*19381 FILLER_31_2204 +*19382 FILLER_31_2216 +*19383 FILLER_31_2228 +*19384 FILLER_31_223 +*19385 FILLER_31_2241 +*19386 FILLER_31_225 +*19387 FILLER_31_2253 +*19388 FILLER_31_2265 +*19389 FILLER_31_2277 +*19390 FILLER_31_2289 +*19391 FILLER_31_2295 +*19392 FILLER_31_2297 +*19393 FILLER_31_2309 +*19394 FILLER_31_2321 +*19395 FILLER_31_2333 +*19396 FILLER_31_2345 +*19397 FILLER_31_2351 +*19398 FILLER_31_2353 +*19399 FILLER_31_2365 +*19400 FILLER_31_237 +*19401 FILLER_31_2377 +*19402 FILLER_31_2389 +*19403 FILLER_31_2401 +*19404 FILLER_31_2407 +*19405 FILLER_31_2409 +*19406 FILLER_31_2421 +*19407 FILLER_31_2433 +*19408 FILLER_31_2445 +*19409 FILLER_31_2457 +*19410 FILLER_31_2463 +*19411 FILLER_31_2465 +*19412 FILLER_31_2477 +*19413 FILLER_31_2489 +*19414 FILLER_31_249 +*19415 FILLER_31_2501 +*19416 FILLER_31_2513 +*19417 FILLER_31_2519 +*19418 FILLER_31_2521 +*19419 FILLER_31_2533 +*19420 FILLER_31_2545 +*19421 FILLER_31_2557 +*19422 FILLER_31_2569 +*19423 FILLER_31_2575 +*19424 FILLER_31_2577 +*19425 FILLER_31_2593 +*19426 FILLER_31_2605 +*19427 FILLER_31_261 +*19428 FILLER_31_2613 +*19429 FILLER_31_2618 +*19430 FILLER_31_2626 +*19431 FILLER_31_2633 +*19432 FILLER_31_2645 +*19433 FILLER_31_2657 +*19434 FILLER_31_2669 +*19435 FILLER_31_2681 +*19436 FILLER_31_2686 +*19437 FILLER_31_2689 +*19438 FILLER_31_2693 +*19439 FILLER_31_27 +*19440 FILLER_31_2705 +*19441 FILLER_31_2717 +*19442 FILLER_31_2729 +*19443 FILLER_31_273 +*19444 FILLER_31_2741 +*19445 FILLER_31_2745 +*19446 FILLER_31_2757 +*19447 FILLER_31_2765 +*19448 FILLER_31_2770 +*19449 FILLER_31_2778 +*19450 FILLER_31_2784 +*19451 FILLER_31_2788 +*19452 FILLER_31_279 +*19453 FILLER_31_2791 +*19454 FILLER_31_2797 +*19455 FILLER_31_2801 +*19456 FILLER_31_2805 +*19457 FILLER_31_281 +*19458 FILLER_31_2811 +*19459 FILLER_31_2817 +*19460 FILLER_31_2823 +*19461 FILLER_31_2829 +*19462 FILLER_31_2835 +*19463 FILLER_31_2841 +*19464 FILLER_31_2847 +*19465 FILLER_31_2853 +*19466 FILLER_31_2857 +*19467 FILLER_31_2869 +*19468 FILLER_31_2881 +*19469 FILLER_31_2893 +*19470 FILLER_31_2897 +*19471 FILLER_31_2900 +*19472 FILLER_31_2910 +*19473 FILLER_31_2913 +*19474 FILLER_31_2925 +*19475 FILLER_31_293 +*19476 FILLER_31_2937 +*19477 FILLER_31_2949 +*19478 FILLER_31_2961 +*19479 FILLER_31_2967 +*19480 FILLER_31_2969 +*19481 FILLER_31_2981 +*19482 FILLER_31_2987 +*19483 FILLER_31_2993 +*19484 FILLER_31_2999 +*19485 FILLER_31_3 +*19486 FILLER_31_3011 +*19487 FILLER_31_3023 +*19488 FILLER_31_3025 +*19489 FILLER_31_3037 +*19490 FILLER_31_3049 +*19491 FILLER_31_305 +*19492 FILLER_31_3057 +*19493 FILLER_31_3063 +*19494 FILLER_31_3069 +*19495 FILLER_31_3077 +*19496 FILLER_31_3081 +*19497 FILLER_31_3093 +*19498 FILLER_31_3105 +*19499 FILLER_31_3117 +*19500 FILLER_31_3129 +*19501 FILLER_31_3135 +*19502 FILLER_31_3137 +*19503 FILLER_31_3149 +*19504 FILLER_31_3161 +*19505 FILLER_31_317 +*19506 FILLER_31_3173 +*19507 FILLER_31_3185 +*19508 FILLER_31_3191 +*19509 FILLER_31_3193 +*19510 FILLER_31_3205 +*19511 FILLER_31_3217 +*19512 FILLER_31_3229 +*19513 FILLER_31_3241 +*19514 FILLER_31_3247 +*19515 FILLER_31_3249 +*19516 FILLER_31_3255 +*19517 FILLER_31_3261 +*19518 FILLER_31_3273 +*19519 FILLER_31_3285 +*19520 FILLER_31_329 +*19521 FILLER_31_3297 +*19522 FILLER_31_3303 +*19523 FILLER_31_3305 +*19524 FILLER_31_3317 +*19525 FILLER_31_3329 +*19526 FILLER_31_3341 +*19527 FILLER_31_335 +*19528 FILLER_31_3353 +*19529 FILLER_31_3359 +*19530 FILLER_31_3361 +*19531 FILLER_31_337 +*19532 FILLER_31_3373 +*19533 FILLER_31_3385 +*19534 FILLER_31_3397 +*19535 FILLER_31_3409 +*19536 FILLER_31_3415 +*19537 FILLER_31_3417 +*19538 FILLER_31_3429 +*19539 FILLER_31_3441 +*19540 FILLER_31_3453 +*19541 FILLER_31_3465 +*19542 FILLER_31_3471 +*19543 FILLER_31_3473 +*19544 FILLER_31_3485 +*19545 FILLER_31_349 +*19546 FILLER_31_3497 +*19547 FILLER_31_3509 +*19548 FILLER_31_3521 +*19549 FILLER_31_3527 +*19550 FILLER_31_3529 +*19551 FILLER_31_3541 +*19552 FILLER_31_3553 +*19553 FILLER_31_3565 +*19554 FILLER_31_3577 +*19555 FILLER_31_3583 +*19556 FILLER_31_3585 +*19557 FILLER_31_3597 +*19558 FILLER_31_3609 +*19559 FILLER_31_361 +*19560 FILLER_31_3621 +*19561 FILLER_31_3633 +*19562 FILLER_31_3639 +*19563 FILLER_31_3641 +*19564 FILLER_31_3653 +*19565 FILLER_31_3665 +*19566 FILLER_31_3677 +*19567 FILLER_31_3689 +*19568 FILLER_31_3695 +*19569 FILLER_31_3697 +*19570 FILLER_31_3709 +*19571 FILLER_31_3721 +*19572 FILLER_31_3733 +*19573 FILLER_31_3745 +*19574 FILLER_31_3751 +*19575 FILLER_31_3753 +*19576 FILLER_31_3765 +*19577 FILLER_31_3777 +*19578 FILLER_31_3789 +*19579 FILLER_31_379 +*19580 FILLER_31_3801 +*19581 FILLER_31_3807 +*19582 FILLER_31_3809 +*19583 FILLER_31_3821 +*19584 FILLER_31_3833 +*19585 FILLER_31_3845 +*19586 FILLER_31_3857 +*19587 FILLER_31_3863 +*19588 FILLER_31_3865 +*19589 FILLER_31_3877 +*19590 FILLER_31_3889 +*19591 FILLER_31_39 +*19592 FILLER_31_3901 +*19593 FILLER_31_391 +*19594 FILLER_31_3913 +*19595 FILLER_31_3919 +*19596 FILLER_31_3921 +*19597 FILLER_31_393 +*19598 FILLER_31_3933 +*19599 FILLER_31_3945 +*19600 FILLER_31_3957 +*19601 FILLER_31_3969 +*19602 FILLER_31_3975 +*19603 FILLER_31_3977 +*19604 FILLER_31_3989 +*19605 FILLER_31_4001 +*19606 FILLER_31_4013 +*19607 FILLER_31_4025 +*19608 FILLER_31_4031 +*19609 FILLER_31_4033 +*19610 FILLER_31_4045 +*19611 FILLER_31_405 +*19612 FILLER_31_4057 +*19613 FILLER_31_4069 +*19614 FILLER_31_4081 +*19615 FILLER_31_4087 +*19616 FILLER_31_4089 +*19617 FILLER_31_4101 +*19618 FILLER_31_417 +*19619 FILLER_31_429 +*19620 FILLER_31_441 +*19621 FILLER_31_447 +*19622 FILLER_31_449 +*19623 FILLER_31_461 +*19624 FILLER_31_473 +*19625 FILLER_31_476 +*19626 FILLER_31_484 +*19627 FILLER_31_496 +*19628 FILLER_31_505 +*19629 FILLER_31_51 +*19630 FILLER_31_517 +*19631 FILLER_31_529 +*19632 FILLER_31_541 +*19633 FILLER_31_55 +*19634 FILLER_31_553 +*19635 FILLER_31_559 +*19636 FILLER_31_561 +*19637 FILLER_31_57 +*19638 FILLER_31_573 +*19639 FILLER_31_585 +*19640 FILLER_31_597 +*19641 FILLER_31_609 +*19642 FILLER_31_615 +*19643 FILLER_31_617 +*19644 FILLER_31_629 +*19645 FILLER_31_641 +*19646 FILLER_31_653 +*19647 FILLER_31_665 +*19648 FILLER_31_671 +*19649 FILLER_31_673 +*19650 FILLER_31_685 +*19651 FILLER_31_69 +*19652 FILLER_31_697 +*19653 FILLER_31_709 +*19654 FILLER_31_721 +*19655 FILLER_31_727 +*19656 FILLER_31_729 +*19657 FILLER_31_741 +*19658 FILLER_31_753 +*19659 FILLER_31_765 +*19660 FILLER_31_777 +*19661 FILLER_31_783 +*19662 FILLER_31_785 +*19663 FILLER_31_797 +*19664 FILLER_31_809 +*19665 FILLER_31_81 +*19666 FILLER_31_815 +*19667 FILLER_31_818 +*19668 FILLER_31_825 +*19669 FILLER_31_833 +*19670 FILLER_31_839 +*19671 FILLER_31_841 +*19672 FILLER_31_853 +*19673 FILLER_31_865 +*19674 FILLER_31_877 +*19675 FILLER_31_883 +*19676 FILLER_31_895 +*19677 FILLER_31_897 +*19678 FILLER_31_909 +*19679 FILLER_31_921 +*19680 FILLER_31_93 +*19681 FILLER_31_933 +*19682 FILLER_31_945 +*19683 FILLER_31_951 +*19684 FILLER_31_953 +*19685 FILLER_31_965 +*19686 FILLER_31_977 +*19687 FILLER_31_989 +*19688 FILLER_32_1005 +*19689 FILLER_32_1017 +*19690 FILLER_32_1029 +*19691 FILLER_32_1035 +*19692 FILLER_32_1037 +*19693 FILLER_32_1049 +*19694 FILLER_32_1061 +*19695 FILLER_32_1073 +*19696 FILLER_32_1085 +*19697 FILLER_32_109 +*19698 FILLER_32_1091 +*19699 FILLER_32_1093 +*19700 FILLER_32_1105 +*19701 FILLER_32_1117 +*19702 FILLER_32_1129 +*19703 FILLER_32_1141 +*19704 FILLER_32_1147 +*19705 FILLER_32_1149 +*19706 FILLER_32_1161 +*19707 FILLER_32_1173 +*19708 FILLER_32_1185 +*19709 FILLER_32_1197 +*19710 FILLER_32_1203 +*19711 FILLER_32_1205 +*19712 FILLER_32_121 +*19713 FILLER_32_1217 +*19714 FILLER_32_1229 +*19715 FILLER_32_1241 +*19716 FILLER_32_1253 +*19717 FILLER_32_1258 +*19718 FILLER_32_1261 +*19719 FILLER_32_1267 +*19720 FILLER_32_1281 +*19721 FILLER_32_1289 +*19722 FILLER_32_129 +*19723 FILLER_32_1301 +*19724 FILLER_32_1313 +*19725 FILLER_32_1317 +*19726 FILLER_32_1329 +*19727 FILLER_32_1341 +*19728 FILLER_32_1353 +*19729 FILLER_32_136 +*19730 FILLER_32_1365 +*19731 FILLER_32_1371 +*19732 FILLER_32_1373 +*19733 FILLER_32_1385 +*19734 FILLER_32_1397 +*19735 FILLER_32_1409 +*19736 FILLER_32_141 +*19737 FILLER_32_1421 +*19738 FILLER_32_1427 +*19739 FILLER_32_1429 +*19740 FILLER_32_1441 +*19741 FILLER_32_1453 +*19742 FILLER_32_1465 +*19743 FILLER_32_1468 +*19744 FILLER_32_1482 +*19745 FILLER_32_1485 +*19746 FILLER_32_1493 +*19747 FILLER_32_1497 +*19748 FILLER_32_15 +*19749 FILLER_32_1503 +*19750 FILLER_32_1509 +*19751 FILLER_32_1521 +*19752 FILLER_32_1527 +*19753 FILLER_32_153 +*19754 FILLER_32_1535 +*19755 FILLER_32_1538 +*19756 FILLER_32_1541 +*19757 FILLER_32_1545 +*19758 FILLER_32_1551 +*19759 FILLER_32_1561 +*19760 FILLER_32_1569 +*19761 FILLER_32_1577 +*19762 FILLER_32_1581 +*19763 FILLER_32_1589 +*19764 FILLER_32_1594 +*19765 FILLER_32_1597 +*19766 FILLER_32_1603 +*19767 FILLER_32_1606 +*19768 FILLER_32_1616 +*19769 FILLER_32_1627 +*19770 FILLER_32_1633 +*19771 FILLER_32_1637 +*19772 FILLER_32_1640 +*19773 FILLER_32_165 +*19774 FILLER_32_1653 +*19775 FILLER_32_1661 +*19776 FILLER_32_1666 +*19777 FILLER_32_1678 +*19778 FILLER_32_1690 +*19779 FILLER_32_1702 +*19780 FILLER_32_1706 +*19781 FILLER_32_1709 +*19782 FILLER_32_1715 +*19783 FILLER_32_1727 +*19784 FILLER_32_1739 +*19785 FILLER_32_1751 +*19786 FILLER_32_1763 +*19787 FILLER_32_1765 +*19788 FILLER_32_177 +*19789 FILLER_32_1777 +*19790 FILLER_32_1789 +*19791 FILLER_32_1801 +*19792 FILLER_32_1813 +*19793 FILLER_32_1819 +*19794 FILLER_32_1821 +*19795 FILLER_32_1833 +*19796 FILLER_32_1841 +*19797 FILLER_32_1849 +*19798 FILLER_32_1863 +*19799 FILLER_32_1869 +*19800 FILLER_32_1875 +*19801 FILLER_32_1877 +*19802 FILLER_32_1881 +*19803 FILLER_32_1887 +*19804 FILLER_32_189 +*19805 FILLER_32_1890 +*19806 FILLER_32_1898 +*19807 FILLER_32_1906 +*19808 FILLER_32_1918 +*19809 FILLER_32_1930 +*19810 FILLER_32_1933 +*19811 FILLER_32_1945 +*19812 FILLER_32_195 +*19813 FILLER_32_1951 +*19814 FILLER_32_1957 +*19815 FILLER_32_1963 +*19816 FILLER_32_1969 +*19817 FILLER_32_197 +*19818 FILLER_32_1981 +*19819 FILLER_32_1987 +*19820 FILLER_32_1989 +*19821 FILLER_32_1997 +*19822 FILLER_32_2000 +*19823 FILLER_32_2008 +*19824 FILLER_32_2018 +*19825 FILLER_32_2024 +*19826 FILLER_32_2032 +*19827 FILLER_32_2035 +*19828 FILLER_32_2042 +*19829 FILLER_32_2045 +*19830 FILLER_32_2051 +*19831 FILLER_32_2057 +*19832 FILLER_32_2065 +*19833 FILLER_32_2071 +*19834 FILLER_32_2077 +*19835 FILLER_32_2083 +*19836 FILLER_32_2089 +*19837 FILLER_32_209 +*19838 FILLER_32_2097 +*19839 FILLER_32_2101 +*19840 FILLER_32_2113 +*19841 FILLER_32_2124 +*19842 FILLER_32_2128 +*19843 FILLER_32_2131 +*19844 FILLER_32_2135 +*19845 FILLER_32_2138 +*19846 FILLER_32_2146 +*19847 FILLER_32_2149 +*19848 FILLER_32_2155 +*19849 FILLER_32_2157 +*19850 FILLER_32_2161 +*19851 FILLER_32_2167 +*19852 FILLER_32_2173 +*19853 FILLER_32_2181 +*19854 FILLER_32_2185 +*19855 FILLER_32_2197 +*19856 FILLER_32_2209 +*19857 FILLER_32_221 +*19858 FILLER_32_2213 +*19859 FILLER_32_2217 +*19860 FILLER_32_2224 +*19861 FILLER_32_2230 +*19862 FILLER_32_2242 +*19863 FILLER_32_2254 +*19864 FILLER_32_2266 +*19865 FILLER_32_2269 +*19866 FILLER_32_2281 +*19867 FILLER_32_2293 +*19868 FILLER_32_2305 +*19869 FILLER_32_2317 +*19870 FILLER_32_2323 +*19871 FILLER_32_2325 +*19872 FILLER_32_233 +*19873 FILLER_32_2339 +*19874 FILLER_32_2351 +*19875 FILLER_32_2359 +*19876 FILLER_32_2366 +*19877 FILLER_32_2372 +*19878 FILLER_32_2381 +*19879 FILLER_32_2393 +*19880 FILLER_32_2397 +*19881 FILLER_32_2402 +*19882 FILLER_32_2408 +*19883 FILLER_32_2420 +*19884 FILLER_32_2432 +*19885 FILLER_32_2437 +*19886 FILLER_32_2449 +*19887 FILLER_32_245 +*19888 FILLER_32_2461 +*19889 FILLER_32_2473 +*19890 FILLER_32_2479 +*19891 FILLER_32_2483 +*19892 FILLER_32_2489 +*19893 FILLER_32_2493 +*19894 FILLER_32_2505 +*19895 FILLER_32_251 +*19896 FILLER_32_2517 +*19897 FILLER_32_2529 +*19898 FILLER_32_253 +*19899 FILLER_32_2536 +*19900 FILLER_32_2542 +*19901 FILLER_32_2549 +*19902 FILLER_32_2555 +*19903 FILLER_32_2561 +*19904 FILLER_32_2573 +*19905 FILLER_32_2585 +*19906 FILLER_32_2597 +*19907 FILLER_32_2603 +*19908 FILLER_32_2605 +*19909 FILLER_32_2617 +*19910 FILLER_32_2629 +*19911 FILLER_32_2641 +*19912 FILLER_32_265 +*19913 FILLER_32_2653 +*19914 FILLER_32_2659 +*19915 FILLER_32_2661 +*19916 FILLER_32_2673 +*19917 FILLER_32_2685 +*19918 FILLER_32_2697 +*19919 FILLER_32_27 +*19920 FILLER_32_2709 +*19921 FILLER_32_2715 +*19922 FILLER_32_2717 +*19923 FILLER_32_2729 +*19924 FILLER_32_2741 +*19925 FILLER_32_2753 +*19926 FILLER_32_2765 +*19927 FILLER_32_277 +*19928 FILLER_32_2771 +*19929 FILLER_32_2773 +*19930 FILLER_32_2777 +*19931 FILLER_32_2780 +*19932 FILLER_32_2786 +*19933 FILLER_32_2792 +*19934 FILLER_32_2798 +*19935 FILLER_32_2806 +*19936 FILLER_32_2811 +*19937 FILLER_32_2817 +*19938 FILLER_32_2823 +*19939 FILLER_32_2827 +*19940 FILLER_32_2829 +*19941 FILLER_32_2833 +*19942 FILLER_32_2845 +*19943 FILLER_32_2853 +*19944 FILLER_32_2864 +*19945 FILLER_32_2870 +*19946 FILLER_32_2882 +*19947 FILLER_32_2885 +*19948 FILLER_32_289 +*19949 FILLER_32_2897 +*19950 FILLER_32_29 +*19951 FILLER_32_2909 +*19952 FILLER_32_2921 +*19953 FILLER_32_2933 +*19954 FILLER_32_2939 +*19955 FILLER_32_2941 +*19956 FILLER_32_2953 +*19957 FILLER_32_2957 +*19958 FILLER_32_2960 +*19959 FILLER_32_2970 +*19960 FILLER_32_2982 +*19961 FILLER_32_2994 +*19962 FILLER_32_2997 +*19963 FILLER_32_3 +*19964 FILLER_32_3009 +*19965 FILLER_32_301 +*19966 FILLER_32_3021 +*19967 FILLER_32_3033 +*19968 FILLER_32_3045 +*19969 FILLER_32_3051 +*19970 FILLER_32_3053 +*19971 FILLER_32_3065 +*19972 FILLER_32_307 +*19973 FILLER_32_3077 +*19974 FILLER_32_3089 +*19975 FILLER_32_309 +*19976 FILLER_32_3101 +*19977 FILLER_32_3107 +*19978 FILLER_32_3109 +*19979 FILLER_32_3121 +*19980 FILLER_32_3133 +*19981 FILLER_32_3145 +*19982 FILLER_32_3157 +*19983 FILLER_32_3163 +*19984 FILLER_32_3165 +*19985 FILLER_32_3177 +*19986 FILLER_32_3189 +*19987 FILLER_32_3201 +*19988 FILLER_32_321 +*19989 FILLER_32_3213 +*19990 FILLER_32_3219 +*19991 FILLER_32_3221 +*19992 FILLER_32_3233 +*19993 FILLER_32_3245 +*19994 FILLER_32_3257 +*19995 FILLER_32_3269 +*19996 FILLER_32_3275 +*19997 FILLER_32_3277 +*19998 FILLER_32_3289 +*19999 FILLER_32_3301 +*20000 FILLER_32_3313 +*20001 FILLER_32_3325 +*20002 FILLER_32_333 +*20003 FILLER_32_3331 +*20004 FILLER_32_3333 +*20005 FILLER_32_3345 +*20006 FILLER_32_3357 +*20007 FILLER_32_3369 +*20008 FILLER_32_3381 +*20009 FILLER_32_3387 +*20010 FILLER_32_3389 +*20011 FILLER_32_3401 +*20012 FILLER_32_3413 +*20013 FILLER_32_3425 +*20014 FILLER_32_3437 +*20015 FILLER_32_3443 +*20016 FILLER_32_3445 +*20017 FILLER_32_345 +*20018 FILLER_32_3457 +*20019 FILLER_32_3469 +*20020 FILLER_32_3481 +*20021 FILLER_32_3493 +*20022 FILLER_32_3499 +*20023 FILLER_32_3501 +*20024 FILLER_32_3513 +*20025 FILLER_32_3525 +*20026 FILLER_32_3537 +*20027 FILLER_32_3549 +*20028 FILLER_32_3555 +*20029 FILLER_32_3557 +*20030 FILLER_32_3563 +*20031 FILLER_32_3569 +*20032 FILLER_32_357 +*20033 FILLER_32_3581 +*20034 FILLER_32_3586 +*20035 FILLER_32_3592 +*20036 FILLER_32_3604 +*20037 FILLER_32_3613 +*20038 FILLER_32_3625 +*20039 FILLER_32_363 +*20040 FILLER_32_3637 +*20041 FILLER_32_3649 +*20042 FILLER_32_365 +*20043 FILLER_32_3661 +*20044 FILLER_32_3667 +*20045 FILLER_32_3669 +*20046 FILLER_32_3674 +*20047 FILLER_32_3680 +*20048 FILLER_32_3692 +*20049 FILLER_32_3704 +*20050 FILLER_32_3716 +*20051 FILLER_32_3725 +*20052 FILLER_32_3737 +*20053 FILLER_32_3749 +*20054 FILLER_32_3761 +*20055 FILLER_32_377 +*20056 FILLER_32_3773 +*20057 FILLER_32_3779 +*20058 FILLER_32_3781 +*20059 FILLER_32_3793 +*20060 FILLER_32_3805 +*20061 FILLER_32_3817 +*20062 FILLER_32_3829 +*20063 FILLER_32_3835 +*20064 FILLER_32_3837 +*20065 FILLER_32_3849 +*20066 FILLER_32_3861 +*20067 FILLER_32_3873 +*20068 FILLER_32_3885 +*20069 FILLER_32_389 +*20070 FILLER_32_3891 +*20071 FILLER_32_3893 +*20072 FILLER_32_3905 +*20073 FILLER_32_3917 +*20074 FILLER_32_3929 +*20075 FILLER_32_3941 +*20076 FILLER_32_3947 +*20077 FILLER_32_3949 +*20078 FILLER_32_3961 +*20079 FILLER_32_3973 +*20080 FILLER_32_3985 +*20081 FILLER_32_3997 +*20082 FILLER_32_4003 +*20083 FILLER_32_4005 +*20084 FILLER_32_401 +*20085 FILLER_32_4017 +*20086 FILLER_32_4029 +*20087 FILLER_32_4041 +*20088 FILLER_32_4053 +*20089 FILLER_32_4059 +*20090 FILLER_32_4061 +*20091 FILLER_32_4073 +*20092 FILLER_32_41 +*20093 FILLER_32_4101 +*20094 FILLER_32_413 +*20095 FILLER_32_419 +*20096 FILLER_32_421 +*20097 FILLER_32_433 +*20098 FILLER_32_445 +*20099 FILLER_32_457 +*20100 FILLER_32_469 +*20101 FILLER_32_475 +*20102 FILLER_32_477 +*20103 FILLER_32_489 +*20104 FILLER_32_501 +*20105 FILLER_32_513 +*20106 FILLER_32_525 +*20107 FILLER_32_53 +*20108 FILLER_32_531 +*20109 FILLER_32_533 +*20110 FILLER_32_545 +*20111 FILLER_32_557 +*20112 FILLER_32_569 +*20113 FILLER_32_581 +*20114 FILLER_32_587 +*20115 FILLER_32_589 +*20116 FILLER_32_601 +*20117 FILLER_32_613 +*20118 FILLER_32_625 +*20119 FILLER_32_637 +*20120 FILLER_32_643 +*20121 FILLER_32_645 +*20122 FILLER_32_65 +*20123 FILLER_32_657 +*20124 FILLER_32_669 +*20125 FILLER_32_681 +*20126 FILLER_32_693 +*20127 FILLER_32_699 +*20128 FILLER_32_701 +*20129 FILLER_32_713 +*20130 FILLER_32_725 +*20131 FILLER_32_737 +*20132 FILLER_32_749 +*20133 FILLER_32_755 +*20134 FILLER_32_757 +*20135 FILLER_32_763 +*20136 FILLER_32_77 +*20137 FILLER_32_775 +*20138 FILLER_32_787 +*20139 FILLER_32_803 +*20140 FILLER_32_811 +*20141 FILLER_32_813 +*20142 FILLER_32_825 +*20143 FILLER_32_83 +*20144 FILLER_32_837 +*20145 FILLER_32_849 +*20146 FILLER_32_85 +*20147 FILLER_32_861 +*20148 FILLER_32_867 +*20149 FILLER_32_869 +*20150 FILLER_32_881 +*20151 FILLER_32_893 +*20152 FILLER_32_905 +*20153 FILLER_32_917 +*20154 FILLER_32_923 +*20155 FILLER_32_925 +*20156 FILLER_32_937 +*20157 FILLER_32_951 +*20158 FILLER_32_959 +*20159 FILLER_32_97 +*20160 FILLER_32_971 +*20161 FILLER_32_979 +*20162 FILLER_32_981 +*20163 FILLER_32_993 +*20164 FILLER_33_1001 +*20165 FILLER_33_1007 +*20166 FILLER_33_1009 +*20167 FILLER_33_1021 +*20168 FILLER_33_1026 +*20169 FILLER_33_1034 +*20170 FILLER_33_1046 +*20171 FILLER_33_105 +*20172 FILLER_33_1058 +*20173 FILLER_33_1065 +*20174 FILLER_33_1077 +*20175 FILLER_33_1089 +*20176 FILLER_33_1101 +*20177 FILLER_33_111 +*20178 FILLER_33_1113 +*20179 FILLER_33_1119 +*20180 FILLER_33_1121 +*20181 FILLER_33_113 +*20182 FILLER_33_1133 +*20183 FILLER_33_1145 +*20184 FILLER_33_1157 +*20185 FILLER_33_1169 +*20186 FILLER_33_1175 +*20187 FILLER_33_1177 +*20188 FILLER_33_1189 +*20189 FILLER_33_1201 +*20190 FILLER_33_1213 +*20191 FILLER_33_1225 +*20192 FILLER_33_1231 +*20193 FILLER_33_1233 +*20194 FILLER_33_1245 +*20195 FILLER_33_125 +*20196 FILLER_33_1257 +*20197 FILLER_33_1269 +*20198 FILLER_33_1281 +*20199 FILLER_33_1287 +*20200 FILLER_33_1289 +*20201 FILLER_33_1301 +*20202 FILLER_33_1313 +*20203 FILLER_33_1325 +*20204 FILLER_33_1337 +*20205 FILLER_33_1343 +*20206 FILLER_33_1345 +*20207 FILLER_33_1357 +*20208 FILLER_33_1369 +*20209 FILLER_33_137 +*20210 FILLER_33_1381 +*20211 FILLER_33_1393 +*20212 FILLER_33_1399 +*20213 FILLER_33_1401 +*20214 FILLER_33_1413 +*20215 FILLER_33_1425 +*20216 FILLER_33_1437 +*20217 FILLER_33_1449 +*20218 FILLER_33_1455 +*20219 FILLER_33_1457 +*20220 FILLER_33_1469 +*20221 FILLER_33_1481 +*20222 FILLER_33_149 +*20223 FILLER_33_1493 +*20224 FILLER_33_15 +*20225 FILLER_33_1501 +*20226 FILLER_33_1507 +*20227 FILLER_33_1511 +*20228 FILLER_33_1513 +*20229 FILLER_33_1525 +*20230 FILLER_33_1537 +*20231 FILLER_33_1549 +*20232 FILLER_33_1561 +*20233 FILLER_33_1567 +*20234 FILLER_33_1569 +*20235 FILLER_33_1581 +*20236 FILLER_33_1591 +*20237 FILLER_33_1597 +*20238 FILLER_33_1609 +*20239 FILLER_33_161 +*20240 FILLER_33_1621 +*20241 FILLER_33_1625 +*20242 FILLER_33_1629 +*20243 FILLER_33_1641 +*20244 FILLER_33_1653 +*20245 FILLER_33_1665 +*20246 FILLER_33_167 +*20247 FILLER_33_1677 +*20248 FILLER_33_1681 +*20249 FILLER_33_169 +*20250 FILLER_33_1693 +*20251 FILLER_33_1705 +*20252 FILLER_33_1717 +*20253 FILLER_33_1729 +*20254 FILLER_33_1735 +*20255 FILLER_33_1737 +*20256 FILLER_33_1749 +*20257 FILLER_33_1761 +*20258 FILLER_33_1773 +*20259 FILLER_33_1785 +*20260 FILLER_33_1791 +*20261 FILLER_33_1793 +*20262 FILLER_33_1805 +*20263 FILLER_33_181 +*20264 FILLER_33_1817 +*20265 FILLER_33_1827 +*20266 FILLER_33_1835 +*20267 FILLER_33_1843 +*20268 FILLER_33_1846 +*20269 FILLER_33_1849 +*20270 FILLER_33_1856 +*20271 FILLER_33_1870 +*20272 FILLER_33_1882 +*20273 FILLER_33_1890 +*20274 FILLER_33_1897 +*20275 FILLER_33_1903 +*20276 FILLER_33_1905 +*20277 FILLER_33_1917 +*20278 FILLER_33_1929 +*20279 FILLER_33_193 +*20280 FILLER_33_1941 +*20281 FILLER_33_1953 +*20282 FILLER_33_1959 +*20283 FILLER_33_1961 +*20284 FILLER_33_1965 +*20285 FILLER_33_1973 +*20286 FILLER_33_1977 +*20287 FILLER_33_1991 +*20288 FILLER_33_1999 +*20289 FILLER_33_2004 +*20290 FILLER_33_2010 +*20291 FILLER_33_2017 +*20292 FILLER_33_2023 +*20293 FILLER_33_2035 +*20294 FILLER_33_2043 +*20295 FILLER_33_2047 +*20296 FILLER_33_205 +*20297 FILLER_33_2063 +*20298 FILLER_33_2069 +*20299 FILLER_33_2073 +*20300 FILLER_33_2085 +*20301 FILLER_33_2095 +*20302 FILLER_33_2107 +*20303 FILLER_33_2119 +*20304 FILLER_33_2123 +*20305 FILLER_33_2126 +*20306 FILLER_33_2129 +*20307 FILLER_33_2141 +*20308 FILLER_33_2147 +*20309 FILLER_33_2159 +*20310 FILLER_33_217 +*20311 FILLER_33_2171 +*20312 FILLER_33_2183 +*20313 FILLER_33_2185 +*20314 FILLER_33_2197 +*20315 FILLER_33_2209 +*20316 FILLER_33_2221 +*20317 FILLER_33_223 +*20318 FILLER_33_2233 +*20319 FILLER_33_2239 +*20320 FILLER_33_2241 +*20321 FILLER_33_225 +*20322 FILLER_33_2253 +*20323 FILLER_33_2265 +*20324 FILLER_33_2277 +*20325 FILLER_33_2289 +*20326 FILLER_33_2295 +*20327 FILLER_33_2297 +*20328 FILLER_33_2309 +*20329 FILLER_33_2321 +*20330 FILLER_33_2325 +*20331 FILLER_33_2329 +*20332 FILLER_33_2335 +*20333 FILLER_33_2343 +*20334 FILLER_33_2351 +*20335 FILLER_33_2353 +*20336 FILLER_33_2365 +*20337 FILLER_33_237 +*20338 FILLER_33_2377 +*20339 FILLER_33_2389 +*20340 FILLER_33_2401 +*20341 FILLER_33_2407 +*20342 FILLER_33_2409 +*20343 FILLER_33_2415 +*20344 FILLER_33_2427 +*20345 FILLER_33_2439 +*20346 FILLER_33_2451 +*20347 FILLER_33_2463 +*20348 FILLER_33_2465 +*20349 FILLER_33_2471 +*20350 FILLER_33_2481 +*20351 FILLER_33_2487 +*20352 FILLER_33_249 +*20353 FILLER_33_2499 +*20354 FILLER_33_2511 +*20355 FILLER_33_2519 +*20356 FILLER_33_2521 +*20357 FILLER_33_2533 +*20358 FILLER_33_2545 +*20359 FILLER_33_2557 +*20360 FILLER_33_2569 +*20361 FILLER_33_2575 +*20362 FILLER_33_2577 +*20363 FILLER_33_2589 +*20364 FILLER_33_2601 +*20365 FILLER_33_261 +*20366 FILLER_33_2613 +*20367 FILLER_33_2625 +*20368 FILLER_33_2631 +*20369 FILLER_33_2633 +*20370 FILLER_33_2639 +*20371 FILLER_33_2645 +*20372 FILLER_33_2657 +*20373 FILLER_33_2669 +*20374 FILLER_33_2681 +*20375 FILLER_33_2687 +*20376 FILLER_33_2689 +*20377 FILLER_33_27 +*20378 FILLER_33_2701 +*20379 FILLER_33_2713 +*20380 FILLER_33_2725 +*20381 FILLER_33_273 +*20382 FILLER_33_2737 +*20383 FILLER_33_2743 +*20384 FILLER_33_2745 +*20385 FILLER_33_2757 +*20386 FILLER_33_2769 +*20387 FILLER_33_2781 +*20388 FILLER_33_279 +*20389 FILLER_33_2793 +*20390 FILLER_33_2799 +*20391 FILLER_33_2801 +*20392 FILLER_33_281 +*20393 FILLER_33_2813 +*20394 FILLER_33_2825 +*20395 FILLER_33_2837 +*20396 FILLER_33_2849 +*20397 FILLER_33_2855 +*20398 FILLER_33_2857 +*20399 FILLER_33_2869 +*20400 FILLER_33_2881 +*20401 FILLER_33_2893 +*20402 FILLER_33_2905 +*20403 FILLER_33_2911 +*20404 FILLER_33_2913 +*20405 FILLER_33_2925 +*20406 FILLER_33_293 +*20407 FILLER_33_2937 +*20408 FILLER_33_2949 +*20409 FILLER_33_2961 +*20410 FILLER_33_2967 +*20411 FILLER_33_2969 +*20412 FILLER_33_2978 +*20413 FILLER_33_2990 +*20414 FILLER_33_3 +*20415 FILLER_33_3002 +*20416 FILLER_33_3014 +*20417 FILLER_33_3022 +*20418 FILLER_33_3025 +*20419 FILLER_33_3037 +*20420 FILLER_33_3049 +*20421 FILLER_33_305 +*20422 FILLER_33_3061 +*20423 FILLER_33_3073 +*20424 FILLER_33_3079 +*20425 FILLER_33_3081 +*20426 FILLER_33_3093 +*20427 FILLER_33_3105 +*20428 FILLER_33_3117 +*20429 FILLER_33_3129 +*20430 FILLER_33_3135 +*20431 FILLER_33_3137 +*20432 FILLER_33_3149 +*20433 FILLER_33_3161 +*20434 FILLER_33_317 +*20435 FILLER_33_3173 +*20436 FILLER_33_3185 +*20437 FILLER_33_3191 +*20438 FILLER_33_3193 +*20439 FILLER_33_3205 +*20440 FILLER_33_3217 +*20441 FILLER_33_3229 +*20442 FILLER_33_3241 +*20443 FILLER_33_3247 +*20444 FILLER_33_3249 +*20445 FILLER_33_3261 +*20446 FILLER_33_3273 +*20447 FILLER_33_3285 +*20448 FILLER_33_329 +*20449 FILLER_33_3297 +*20450 FILLER_33_3303 +*20451 FILLER_33_3305 +*20452 FILLER_33_3317 +*20453 FILLER_33_3329 +*20454 FILLER_33_3341 +*20455 FILLER_33_335 +*20456 FILLER_33_3353 +*20457 FILLER_33_3359 +*20458 FILLER_33_3361 +*20459 FILLER_33_337 +*20460 FILLER_33_3373 +*20461 FILLER_33_3385 +*20462 FILLER_33_3397 +*20463 FILLER_33_3409 +*20464 FILLER_33_3415 +*20465 FILLER_33_3417 +*20466 FILLER_33_3423 +*20467 FILLER_33_3429 +*20468 FILLER_33_3441 +*20469 FILLER_33_3453 +*20470 FILLER_33_3465 +*20471 FILLER_33_3471 +*20472 FILLER_33_3473 +*20473 FILLER_33_3485 +*20474 FILLER_33_349 +*20475 FILLER_33_3497 +*20476 FILLER_33_3509 +*20477 FILLER_33_3521 +*20478 FILLER_33_3527 +*20479 FILLER_33_3529 +*20480 FILLER_33_3541 +*20481 FILLER_33_3553 +*20482 FILLER_33_3565 +*20483 FILLER_33_3577 +*20484 FILLER_33_3583 +*20485 FILLER_33_3585 +*20486 FILLER_33_3597 +*20487 FILLER_33_3609 +*20488 FILLER_33_361 +*20489 FILLER_33_3621 +*20490 FILLER_33_3633 +*20491 FILLER_33_3639 +*20492 FILLER_33_3641 +*20493 FILLER_33_3653 +*20494 FILLER_33_3665 +*20495 FILLER_33_3677 +*20496 FILLER_33_3689 +*20497 FILLER_33_3695 +*20498 FILLER_33_3697 +*20499 FILLER_33_3709 +*20500 FILLER_33_3721 +*20501 FILLER_33_373 +*20502 FILLER_33_3733 +*20503 FILLER_33_3745 +*20504 FILLER_33_3751 +*20505 FILLER_33_3753 +*20506 FILLER_33_3765 +*20507 FILLER_33_3777 +*20508 FILLER_33_3789 +*20509 FILLER_33_3801 +*20510 FILLER_33_3807 +*20511 FILLER_33_3809 +*20512 FILLER_33_3821 +*20513 FILLER_33_3833 +*20514 FILLER_33_3845 +*20515 FILLER_33_385 +*20516 FILLER_33_3857 +*20517 FILLER_33_3863 +*20518 FILLER_33_3865 +*20519 FILLER_33_3877 +*20520 FILLER_33_3889 +*20521 FILLER_33_39 +*20522 FILLER_33_3901 +*20523 FILLER_33_391 +*20524 FILLER_33_3913 +*20525 FILLER_33_3919 +*20526 FILLER_33_3921 +*20527 FILLER_33_393 +*20528 FILLER_33_3933 +*20529 FILLER_33_3945 +*20530 FILLER_33_3957 +*20531 FILLER_33_3969 +*20532 FILLER_33_3975 +*20533 FILLER_33_3977 +*20534 FILLER_33_3989 +*20535 FILLER_33_4001 +*20536 FILLER_33_4013 +*20537 FILLER_33_4025 +*20538 FILLER_33_4031 +*20539 FILLER_33_4033 +*20540 FILLER_33_4045 +*20541 FILLER_33_405 +*20542 FILLER_33_4057 +*20543 FILLER_33_4069 +*20544 FILLER_33_4081 +*20545 FILLER_33_4087 +*20546 FILLER_33_4089 +*20547 FILLER_33_4101 +*20548 FILLER_33_417 +*20549 FILLER_33_429 +*20550 FILLER_33_441 +*20551 FILLER_33_447 +*20552 FILLER_33_449 +*20553 FILLER_33_461 +*20554 FILLER_33_473 +*20555 FILLER_33_485 +*20556 FILLER_33_497 +*20557 FILLER_33_503 +*20558 FILLER_33_505 +*20559 FILLER_33_51 +*20560 FILLER_33_517 +*20561 FILLER_33_529 +*20562 FILLER_33_541 +*20563 FILLER_33_549 +*20564 FILLER_33_55 +*20565 FILLER_33_554 +*20566 FILLER_33_561 +*20567 FILLER_33_565 +*20568 FILLER_33_57 +*20569 FILLER_33_577 +*20570 FILLER_33_589 +*20571 FILLER_33_601 +*20572 FILLER_33_613 +*20573 FILLER_33_617 +*20574 FILLER_33_629 +*20575 FILLER_33_641 +*20576 FILLER_33_653 +*20577 FILLER_33_665 +*20578 FILLER_33_671 +*20579 FILLER_33_673 +*20580 FILLER_33_685 +*20581 FILLER_33_69 +*20582 FILLER_33_697 +*20583 FILLER_33_709 +*20584 FILLER_33_721 +*20585 FILLER_33_727 +*20586 FILLER_33_729 +*20587 FILLER_33_741 +*20588 FILLER_33_753 +*20589 FILLER_33_765 +*20590 FILLER_33_777 +*20591 FILLER_33_782 +*20592 FILLER_33_785 +*20593 FILLER_33_791 +*20594 FILLER_33_803 +*20595 FILLER_33_81 +*20596 FILLER_33_815 +*20597 FILLER_33_827 +*20598 FILLER_33_839 +*20599 FILLER_33_841 +*20600 FILLER_33_853 +*20601 FILLER_33_865 +*20602 FILLER_33_877 +*20603 FILLER_33_889 +*20604 FILLER_33_895 +*20605 FILLER_33_897 +*20606 FILLER_33_903 +*20607 FILLER_33_915 +*20608 FILLER_33_927 +*20609 FILLER_33_93 +*20610 FILLER_33_939 +*20611 FILLER_33_951 +*20612 FILLER_33_953 +*20613 FILLER_33_959 +*20614 FILLER_33_965 +*20615 FILLER_33_977 +*20616 FILLER_33_989 +*20617 FILLER_34_1005 +*20618 FILLER_34_1017 +*20619 FILLER_34_1029 +*20620 FILLER_34_1035 +*20621 FILLER_34_1037 +*20622 FILLER_34_1049 +*20623 FILLER_34_1061 +*20624 FILLER_34_1073 +*20625 FILLER_34_1085 +*20626 FILLER_34_109 +*20627 FILLER_34_1091 +*20628 FILLER_34_1093 +*20629 FILLER_34_1105 +*20630 FILLER_34_1117 +*20631 FILLER_34_1129 +*20632 FILLER_34_1133 +*20633 FILLER_34_1136 +*20634 FILLER_34_1144 +*20635 FILLER_34_1149 +*20636 FILLER_34_1161 +*20637 FILLER_34_1173 +*20638 FILLER_34_1185 +*20639 FILLER_34_1197 +*20640 FILLER_34_1203 +*20641 FILLER_34_1205 +*20642 FILLER_34_121 +*20643 FILLER_34_1217 +*20644 FILLER_34_1229 +*20645 FILLER_34_1241 +*20646 FILLER_34_1253 +*20647 FILLER_34_1259 +*20648 FILLER_34_1261 +*20649 FILLER_34_1273 +*20650 FILLER_34_1285 +*20651 FILLER_34_1297 +*20652 FILLER_34_1309 +*20653 FILLER_34_1315 +*20654 FILLER_34_1317 +*20655 FILLER_34_1329 +*20656 FILLER_34_133 +*20657 FILLER_34_1341 +*20658 FILLER_34_1353 +*20659 FILLER_34_1365 +*20660 FILLER_34_1371 +*20661 FILLER_34_1373 +*20662 FILLER_34_1381 +*20663 FILLER_34_1385 +*20664 FILLER_34_139 +*20665 FILLER_34_1392 +*20666 FILLER_34_1398 +*20667 FILLER_34_141 +*20668 FILLER_34_1410 +*20669 FILLER_34_1422 +*20670 FILLER_34_1429 +*20671 FILLER_34_1443 +*20672 FILLER_34_1457 +*20673 FILLER_34_1469 +*20674 FILLER_34_1481 +*20675 FILLER_34_1485 +*20676 FILLER_34_1497 +*20677 FILLER_34_15 +*20678 FILLER_34_1509 +*20679 FILLER_34_1523 +*20680 FILLER_34_153 +*20681 FILLER_34_1532 +*20682 FILLER_34_1538 +*20683 FILLER_34_1541 +*20684 FILLER_34_1553 +*20685 FILLER_34_1565 +*20686 FILLER_34_1577 +*20687 FILLER_34_1585 +*20688 FILLER_34_1591 +*20689 FILLER_34_1595 +*20690 FILLER_34_1597 +*20691 FILLER_34_1609 +*20692 FILLER_34_1621 +*20693 FILLER_34_1628 +*20694 FILLER_34_1640 +*20695 FILLER_34_165 +*20696 FILLER_34_1653 +*20697 FILLER_34_1659 +*20698 FILLER_34_1665 +*20699 FILLER_34_1677 +*20700 FILLER_34_1685 +*20701 FILLER_34_1692 +*20702 FILLER_34_1704 +*20703 FILLER_34_1709 +*20704 FILLER_34_1715 +*20705 FILLER_34_1721 +*20706 FILLER_34_1733 +*20707 FILLER_34_1745 +*20708 FILLER_34_1757 +*20709 FILLER_34_1763 +*20710 FILLER_34_1765 +*20711 FILLER_34_177 +*20712 FILLER_34_1777 +*20713 FILLER_34_1789 +*20714 FILLER_34_1799 +*20715 FILLER_34_1811 +*20716 FILLER_34_1819 +*20717 FILLER_34_1821 +*20718 FILLER_34_1833 +*20719 FILLER_34_1845 +*20720 FILLER_34_1853 +*20721 FILLER_34_1861 +*20722 FILLER_34_1866 +*20723 FILLER_34_1874 +*20724 FILLER_34_1877 +*20725 FILLER_34_1883 +*20726 FILLER_34_1889 +*20727 FILLER_34_189 +*20728 FILLER_34_1899 +*20729 FILLER_34_1911 +*20730 FILLER_34_1923 +*20731 FILLER_34_1931 +*20732 FILLER_34_1933 +*20733 FILLER_34_1945 +*20734 FILLER_34_195 +*20735 FILLER_34_1957 +*20736 FILLER_34_1969 +*20737 FILLER_34_197 +*20738 FILLER_34_1981 +*20739 FILLER_34_1986 +*20740 FILLER_34_1989 +*20741 FILLER_34_1993 +*20742 FILLER_34_2005 +*20743 FILLER_34_2019 +*20744 FILLER_34_2031 +*20745 FILLER_34_2043 +*20746 FILLER_34_2045 +*20747 FILLER_34_2051 +*20748 FILLER_34_2057 +*20749 FILLER_34_2065 +*20750 FILLER_34_2073 +*20751 FILLER_34_2085 +*20752 FILLER_34_209 +*20753 FILLER_34_2093 +*20754 FILLER_34_2099 +*20755 FILLER_34_2101 +*20756 FILLER_34_2113 +*20757 FILLER_34_2125 +*20758 FILLER_34_2137 +*20759 FILLER_34_2143 +*20760 FILLER_34_2155 +*20761 FILLER_34_2157 +*20762 FILLER_34_2165 +*20763 FILLER_34_2170 +*20764 FILLER_34_2182 +*20765 FILLER_34_2194 +*20766 FILLER_34_2206 +*20767 FILLER_34_221 +*20768 FILLER_34_2213 +*20769 FILLER_34_2225 +*20770 FILLER_34_2237 +*20771 FILLER_34_2242 +*20772 FILLER_34_2250 +*20773 FILLER_34_2255 +*20774 FILLER_34_2262 +*20775 FILLER_34_2269 +*20776 FILLER_34_2273 +*20777 FILLER_34_2285 +*20778 FILLER_34_2297 +*20779 FILLER_34_2309 +*20780 FILLER_34_2321 +*20781 FILLER_34_2325 +*20782 FILLER_34_233 +*20783 FILLER_34_2339 +*20784 FILLER_34_2351 +*20785 FILLER_34_2363 +*20786 FILLER_34_2375 +*20787 FILLER_34_2379 +*20788 FILLER_34_2381 +*20789 FILLER_34_2393 +*20790 FILLER_34_2405 +*20791 FILLER_34_2417 +*20792 FILLER_34_2429 +*20793 FILLER_34_2435 +*20794 FILLER_34_2437 +*20795 FILLER_34_2449 +*20796 FILLER_34_245 +*20797 FILLER_34_2461 +*20798 FILLER_34_2473 +*20799 FILLER_34_2485 +*20800 FILLER_34_2491 +*20801 FILLER_34_2493 +*20802 FILLER_34_2505 +*20803 FILLER_34_251 +*20804 FILLER_34_2517 +*20805 FILLER_34_2529 +*20806 FILLER_34_253 +*20807 FILLER_34_2541 +*20808 FILLER_34_2547 +*20809 FILLER_34_2549 +*20810 FILLER_34_2561 +*20811 FILLER_34_2573 +*20812 FILLER_34_2585 +*20813 FILLER_34_2597 +*20814 FILLER_34_2603 +*20815 FILLER_34_2605 +*20816 FILLER_34_2617 +*20817 FILLER_34_2629 +*20818 FILLER_34_2641 +*20819 FILLER_34_265 +*20820 FILLER_34_2653 +*20821 FILLER_34_2659 +*20822 FILLER_34_2661 +*20823 FILLER_34_2673 +*20824 FILLER_34_2685 +*20825 FILLER_34_2697 +*20826 FILLER_34_27 +*20827 FILLER_34_2709 +*20828 FILLER_34_2715 +*20829 FILLER_34_2717 +*20830 FILLER_34_2729 +*20831 FILLER_34_2741 +*20832 FILLER_34_2753 +*20833 FILLER_34_2765 +*20834 FILLER_34_277 +*20835 FILLER_34_2771 +*20836 FILLER_34_2773 +*20837 FILLER_34_2785 +*20838 FILLER_34_2797 +*20839 FILLER_34_2809 +*20840 FILLER_34_2821 +*20841 FILLER_34_2827 +*20842 FILLER_34_2829 +*20843 FILLER_34_2841 +*20844 FILLER_34_2853 +*20845 FILLER_34_2865 +*20846 FILLER_34_2877 +*20847 FILLER_34_2883 +*20848 FILLER_34_2885 +*20849 FILLER_34_289 +*20850 FILLER_34_2897 +*20851 FILLER_34_29 +*20852 FILLER_34_2909 +*20853 FILLER_34_2921 +*20854 FILLER_34_2933 +*20855 FILLER_34_2939 +*20856 FILLER_34_2941 +*20857 FILLER_34_2953 +*20858 FILLER_34_2965 +*20859 FILLER_34_2969 +*20860 FILLER_34_2972 +*20861 FILLER_34_2984 +*20862 FILLER_34_2997 +*20863 FILLER_34_3 +*20864 FILLER_34_3009 +*20865 FILLER_34_301 +*20866 FILLER_34_3021 +*20867 FILLER_34_3033 +*20868 FILLER_34_3045 +*20869 FILLER_34_3051 +*20870 FILLER_34_3053 +*20871 FILLER_34_3065 +*20872 FILLER_34_307 +*20873 FILLER_34_3077 +*20874 FILLER_34_3089 +*20875 FILLER_34_309 +*20876 FILLER_34_3101 +*20877 FILLER_34_3107 +*20878 FILLER_34_3109 +*20879 FILLER_34_3121 +*20880 FILLER_34_3133 +*20881 FILLER_34_3145 +*20882 FILLER_34_3157 +*20883 FILLER_34_3163 +*20884 FILLER_34_3165 +*20885 FILLER_34_3177 +*20886 FILLER_34_3189 +*20887 FILLER_34_3201 +*20888 FILLER_34_321 +*20889 FILLER_34_3213 +*20890 FILLER_34_3219 +*20891 FILLER_34_3221 +*20892 FILLER_34_3233 +*20893 FILLER_34_3245 +*20894 FILLER_34_3257 +*20895 FILLER_34_3269 +*20896 FILLER_34_3275 +*20897 FILLER_34_3277 +*20898 FILLER_34_3289 +*20899 FILLER_34_3301 +*20900 FILLER_34_3313 +*20901 FILLER_34_3325 +*20902 FILLER_34_333 +*20903 FILLER_34_3331 +*20904 FILLER_34_3333 +*20905 FILLER_34_3345 +*20906 FILLER_34_3351 +*20907 FILLER_34_3354 +*20908 FILLER_34_3367 +*20909 FILLER_34_3379 +*20910 FILLER_34_3387 +*20911 FILLER_34_3389 +*20912 FILLER_34_3401 +*20913 FILLER_34_3413 +*20914 FILLER_34_3425 +*20915 FILLER_34_3437 +*20916 FILLER_34_3443 +*20917 FILLER_34_3445 +*20918 FILLER_34_345 +*20919 FILLER_34_3457 +*20920 FILLER_34_3469 +*20921 FILLER_34_3481 +*20922 FILLER_34_3493 +*20923 FILLER_34_3499 +*20924 FILLER_34_3501 +*20925 FILLER_34_3513 +*20926 FILLER_34_3525 +*20927 FILLER_34_3537 +*20928 FILLER_34_3549 +*20929 FILLER_34_3555 +*20930 FILLER_34_3557 +*20931 FILLER_34_3569 +*20932 FILLER_34_357 +*20933 FILLER_34_3581 +*20934 FILLER_34_3593 +*20935 FILLER_34_3605 +*20936 FILLER_34_3611 +*20937 FILLER_34_3613 +*20938 FILLER_34_3625 +*20939 FILLER_34_363 +*20940 FILLER_34_3637 +*20941 FILLER_34_3649 +*20942 FILLER_34_365 +*20943 FILLER_34_3661 +*20944 FILLER_34_3667 +*20945 FILLER_34_3669 +*20946 FILLER_34_3681 +*20947 FILLER_34_3693 +*20948 FILLER_34_3705 +*20949 FILLER_34_3717 +*20950 FILLER_34_3723 +*20951 FILLER_34_3725 +*20952 FILLER_34_3737 +*20953 FILLER_34_3749 +*20954 FILLER_34_3761 +*20955 FILLER_34_377 +*20956 FILLER_34_3773 +*20957 FILLER_34_3779 +*20958 FILLER_34_3781 +*20959 FILLER_34_3793 +*20960 FILLER_34_3805 +*20961 FILLER_34_3817 +*20962 FILLER_34_3829 +*20963 FILLER_34_3835 +*20964 FILLER_34_3837 +*20965 FILLER_34_3849 +*20966 FILLER_34_3861 +*20967 FILLER_34_3873 +*20968 FILLER_34_3885 +*20969 FILLER_34_389 +*20970 FILLER_34_3891 +*20971 FILLER_34_3893 +*20972 FILLER_34_3905 +*20973 FILLER_34_3917 +*20974 FILLER_34_3929 +*20975 FILLER_34_3941 +*20976 FILLER_34_3947 +*20977 FILLER_34_3949 +*20978 FILLER_34_3961 +*20979 FILLER_34_3973 +*20980 FILLER_34_3985 +*20981 FILLER_34_3997 +*20982 FILLER_34_4003 +*20983 FILLER_34_4005 +*20984 FILLER_34_401 +*20985 FILLER_34_4017 +*20986 FILLER_34_4029 +*20987 FILLER_34_4041 +*20988 FILLER_34_4053 +*20989 FILLER_34_4059 +*20990 FILLER_34_4061 +*20991 FILLER_34_4073 +*20992 FILLER_34_4085 +*20993 FILLER_34_4097 +*20994 FILLER_34_41 +*20995 FILLER_34_413 +*20996 FILLER_34_419 +*20997 FILLER_34_421 +*20998 FILLER_34_433 +*20999 FILLER_34_445 +*21000 FILLER_34_457 +*21001 FILLER_34_469 +*21002 FILLER_34_475 +*21003 FILLER_34_477 +*21004 FILLER_34_489 +*21005 FILLER_34_501 +*21006 FILLER_34_513 +*21007 FILLER_34_525 +*21008 FILLER_34_53 +*21009 FILLER_34_531 +*21010 FILLER_34_533 +*21011 FILLER_34_545 +*21012 FILLER_34_557 +*21013 FILLER_34_569 +*21014 FILLER_34_581 +*21015 FILLER_34_587 +*21016 FILLER_34_589 +*21017 FILLER_34_601 +*21018 FILLER_34_613 +*21019 FILLER_34_625 +*21020 FILLER_34_637 +*21021 FILLER_34_643 +*21022 FILLER_34_645 +*21023 FILLER_34_65 +*21024 FILLER_34_657 +*21025 FILLER_34_669 +*21026 FILLER_34_679 +*21027 FILLER_34_691 +*21028 FILLER_34_699 +*21029 FILLER_34_701 +*21030 FILLER_34_713 +*21031 FILLER_34_725 +*21032 FILLER_34_737 +*21033 FILLER_34_742 +*21034 FILLER_34_750 +*21035 FILLER_34_757 +*21036 FILLER_34_769 +*21037 FILLER_34_77 +*21038 FILLER_34_781 +*21039 FILLER_34_793 +*21040 FILLER_34_805 +*21041 FILLER_34_811 +*21042 FILLER_34_813 +*21043 FILLER_34_825 +*21044 FILLER_34_83 +*21045 FILLER_34_837 +*21046 FILLER_34_849 +*21047 FILLER_34_85 +*21048 FILLER_34_857 +*21049 FILLER_34_865 +*21050 FILLER_34_869 +*21051 FILLER_34_881 +*21052 FILLER_34_893 +*21053 FILLER_34_905 +*21054 FILLER_34_917 +*21055 FILLER_34_923 +*21056 FILLER_34_925 +*21057 FILLER_34_937 +*21058 FILLER_34_949 +*21059 FILLER_34_961 +*21060 FILLER_34_97 +*21061 FILLER_34_973 +*21062 FILLER_34_979 +*21063 FILLER_34_981 +*21064 FILLER_34_993 +*21065 FILLER_35_1001 +*21066 FILLER_35_1007 +*21067 FILLER_35_1009 +*21068 FILLER_35_1023 +*21069 FILLER_35_1031 +*21070 FILLER_35_1043 +*21071 FILLER_35_105 +*21072 FILLER_35_1055 +*21073 FILLER_35_1063 +*21074 FILLER_35_1065 +*21075 FILLER_35_1077 +*21076 FILLER_35_1082 +*21077 FILLER_35_1094 +*21078 FILLER_35_1106 +*21079 FILLER_35_111 +*21080 FILLER_35_1118 +*21081 FILLER_35_1121 +*21082 FILLER_35_113 +*21083 FILLER_35_1133 +*21084 FILLER_35_1145 +*21085 FILLER_35_1157 +*21086 FILLER_35_1169 +*21087 FILLER_35_1174 +*21088 FILLER_35_1177 +*21089 FILLER_35_1183 +*21090 FILLER_35_1195 +*21091 FILLER_35_1207 +*21092 FILLER_35_1219 +*21093 FILLER_35_1231 +*21094 FILLER_35_1233 +*21095 FILLER_35_1245 +*21096 FILLER_35_125 +*21097 FILLER_35_1257 +*21098 FILLER_35_1269 +*21099 FILLER_35_1281 +*21100 FILLER_35_1287 +*21101 FILLER_35_1289 +*21102 FILLER_35_1301 +*21103 FILLER_35_1313 +*21104 FILLER_35_1325 +*21105 FILLER_35_1337 +*21106 FILLER_35_1343 +*21107 FILLER_35_1345 +*21108 FILLER_35_1357 +*21109 FILLER_35_1369 +*21110 FILLER_35_137 +*21111 FILLER_35_1381 +*21112 FILLER_35_1393 +*21113 FILLER_35_1399 +*21114 FILLER_35_1401 +*21115 FILLER_35_1413 +*21116 FILLER_35_1425 +*21117 FILLER_35_1435 +*21118 FILLER_35_1443 +*21119 FILLER_35_1455 +*21120 FILLER_35_1457 +*21121 FILLER_35_1469 +*21122 FILLER_35_1481 +*21123 FILLER_35_149 +*21124 FILLER_35_1493 +*21125 FILLER_35_1496 +*21126 FILLER_35_15 +*21127 FILLER_35_1510 +*21128 FILLER_35_1513 +*21129 FILLER_35_1525 +*21130 FILLER_35_1537 +*21131 FILLER_35_1549 +*21132 FILLER_35_1561 +*21133 FILLER_35_1567 +*21134 FILLER_35_1569 +*21135 FILLER_35_1581 +*21136 FILLER_35_1593 +*21137 FILLER_35_1605 +*21138 FILLER_35_161 +*21139 FILLER_35_1617 +*21140 FILLER_35_1623 +*21141 FILLER_35_1625 +*21142 FILLER_35_1631 +*21143 FILLER_35_1636 +*21144 FILLER_35_1648 +*21145 FILLER_35_1660 +*21146 FILLER_35_167 +*21147 FILLER_35_1672 +*21148 FILLER_35_1681 +*21149 FILLER_35_169 +*21150 FILLER_35_1693 +*21151 FILLER_35_1705 +*21152 FILLER_35_1717 +*21153 FILLER_35_1729 +*21154 FILLER_35_1735 +*21155 FILLER_35_1737 +*21156 FILLER_35_1749 +*21157 FILLER_35_1761 +*21158 FILLER_35_1773 +*21159 FILLER_35_1785 +*21160 FILLER_35_1791 +*21161 FILLER_35_1793 +*21162 FILLER_35_1805 +*21163 FILLER_35_181 +*21164 FILLER_35_1817 +*21165 FILLER_35_1829 +*21166 FILLER_35_1843 +*21167 FILLER_35_1847 +*21168 FILLER_35_1849 +*21169 FILLER_35_1857 +*21170 FILLER_35_1862 +*21171 FILLER_35_1874 +*21172 FILLER_35_1886 +*21173 FILLER_35_1892 +*21174 FILLER_35_1896 +*21175 FILLER_35_1905 +*21176 FILLER_35_1917 +*21177 FILLER_35_1929 +*21178 FILLER_35_193 +*21179 FILLER_35_1941 +*21180 FILLER_35_1953 +*21181 FILLER_35_1959 +*21182 FILLER_35_1961 +*21183 FILLER_35_1973 +*21184 FILLER_35_1985 +*21185 FILLER_35_1997 +*21186 FILLER_35_2013 +*21187 FILLER_35_2017 +*21188 FILLER_35_2029 +*21189 FILLER_35_2041 +*21190 FILLER_35_205 +*21191 FILLER_35_2053 +*21192 FILLER_35_2065 +*21193 FILLER_35_2071 +*21194 FILLER_35_2073 +*21195 FILLER_35_2085 +*21196 FILLER_35_2097 +*21197 FILLER_35_2109 +*21198 FILLER_35_2121 +*21199 FILLER_35_2127 +*21200 FILLER_35_2129 +*21201 FILLER_35_2141 +*21202 FILLER_35_2153 +*21203 FILLER_35_2161 +*21204 FILLER_35_2168 +*21205 FILLER_35_217 +*21206 FILLER_35_2181 +*21207 FILLER_35_2185 +*21208 FILLER_35_2189 +*21209 FILLER_35_2201 +*21210 FILLER_35_2213 +*21211 FILLER_35_2225 +*21212 FILLER_35_223 +*21213 FILLER_35_2237 +*21214 FILLER_35_2241 +*21215 FILLER_35_225 +*21216 FILLER_35_2253 +*21217 FILLER_35_2265 +*21218 FILLER_35_2277 +*21219 FILLER_35_2289 +*21220 FILLER_35_2295 +*21221 FILLER_35_2297 +*21222 FILLER_35_2309 +*21223 FILLER_35_2321 +*21224 FILLER_35_2329 +*21225 FILLER_35_2341 +*21226 FILLER_35_2349 +*21227 FILLER_35_2353 +*21228 FILLER_35_2365 +*21229 FILLER_35_237 +*21230 FILLER_35_2377 +*21231 FILLER_35_2389 +*21232 FILLER_35_2406 +*21233 FILLER_35_2409 +*21234 FILLER_35_2413 +*21235 FILLER_35_2425 +*21236 FILLER_35_2437 +*21237 FILLER_35_2449 +*21238 FILLER_35_2461 +*21239 FILLER_35_2465 +*21240 FILLER_35_2472 +*21241 FILLER_35_2478 +*21242 FILLER_35_249 +*21243 FILLER_35_2490 +*21244 FILLER_35_2494 +*21245 FILLER_35_2498 +*21246 FILLER_35_2504 +*21247 FILLER_35_2516 +*21248 FILLER_35_2521 +*21249 FILLER_35_2533 +*21250 FILLER_35_2545 +*21251 FILLER_35_2557 +*21252 FILLER_35_2569 +*21253 FILLER_35_2575 +*21254 FILLER_35_2577 +*21255 FILLER_35_2589 +*21256 FILLER_35_2601 +*21257 FILLER_35_261 +*21258 FILLER_35_2613 +*21259 FILLER_35_2625 +*21260 FILLER_35_2631 +*21261 FILLER_35_2633 +*21262 FILLER_35_2645 +*21263 FILLER_35_2657 +*21264 FILLER_35_2669 +*21265 FILLER_35_2681 +*21266 FILLER_35_2686 +*21267 FILLER_35_2689 +*21268 FILLER_35_2695 +*21269 FILLER_35_27 +*21270 FILLER_35_2707 +*21271 FILLER_35_2719 +*21272 FILLER_35_273 +*21273 FILLER_35_2731 +*21274 FILLER_35_2743 +*21275 FILLER_35_2745 +*21276 FILLER_35_2757 +*21277 FILLER_35_2769 +*21278 FILLER_35_2781 +*21279 FILLER_35_279 +*21280 FILLER_35_2793 +*21281 FILLER_35_2799 +*21282 FILLER_35_2801 +*21283 FILLER_35_281 +*21284 FILLER_35_2813 +*21285 FILLER_35_2825 +*21286 FILLER_35_2837 +*21287 FILLER_35_2849 +*21288 FILLER_35_2855 +*21289 FILLER_35_2857 +*21290 FILLER_35_2869 +*21291 FILLER_35_2881 +*21292 FILLER_35_2893 +*21293 FILLER_35_2905 +*21294 FILLER_35_2911 +*21295 FILLER_35_2913 +*21296 FILLER_35_2925 +*21297 FILLER_35_293 +*21298 FILLER_35_2937 +*21299 FILLER_35_2949 +*21300 FILLER_35_2961 +*21301 FILLER_35_2967 +*21302 FILLER_35_2969 +*21303 FILLER_35_2981 +*21304 FILLER_35_2993 +*21305 FILLER_35_3 +*21306 FILLER_35_3005 +*21307 FILLER_35_3017 +*21308 FILLER_35_3023 +*21309 FILLER_35_3025 +*21310 FILLER_35_3037 +*21311 FILLER_35_3049 +*21312 FILLER_35_305 +*21313 FILLER_35_3061 +*21314 FILLER_35_3073 +*21315 FILLER_35_3079 +*21316 FILLER_35_3081 +*21317 FILLER_35_3093 +*21318 FILLER_35_3105 +*21319 FILLER_35_3117 +*21320 FILLER_35_3129 +*21321 FILLER_35_3135 +*21322 FILLER_35_3137 +*21323 FILLER_35_3149 +*21324 FILLER_35_3161 +*21325 FILLER_35_317 +*21326 FILLER_35_3173 +*21327 FILLER_35_3185 +*21328 FILLER_35_3191 +*21329 FILLER_35_3193 +*21330 FILLER_35_3205 +*21331 FILLER_35_3217 +*21332 FILLER_35_3229 +*21333 FILLER_35_3241 +*21334 FILLER_35_3247 +*21335 FILLER_35_3249 +*21336 FILLER_35_3261 +*21337 FILLER_35_3273 +*21338 FILLER_35_3285 +*21339 FILLER_35_3289 +*21340 FILLER_35_329 +*21341 FILLER_35_3294 +*21342 FILLER_35_3300 +*21343 FILLER_35_3305 +*21344 FILLER_35_3317 +*21345 FILLER_35_3329 +*21346 FILLER_35_3341 +*21347 FILLER_35_335 +*21348 FILLER_35_3353 +*21349 FILLER_35_3359 +*21350 FILLER_35_3361 +*21351 FILLER_35_337 +*21352 FILLER_35_3373 +*21353 FILLER_35_3385 +*21354 FILLER_35_3397 +*21355 FILLER_35_3409 +*21356 FILLER_35_3415 +*21357 FILLER_35_3417 +*21358 FILLER_35_3429 +*21359 FILLER_35_3441 +*21360 FILLER_35_3453 +*21361 FILLER_35_3465 +*21362 FILLER_35_3471 +*21363 FILLER_35_3473 +*21364 FILLER_35_3485 +*21365 FILLER_35_349 +*21366 FILLER_35_3497 +*21367 FILLER_35_3509 +*21368 FILLER_35_3521 +*21369 FILLER_35_3527 +*21370 FILLER_35_3529 +*21371 FILLER_35_3541 +*21372 FILLER_35_3553 +*21373 FILLER_35_3565 +*21374 FILLER_35_3577 +*21375 FILLER_35_3583 +*21376 FILLER_35_3585 +*21377 FILLER_35_3597 +*21378 FILLER_35_3609 +*21379 FILLER_35_361 +*21380 FILLER_35_3621 +*21381 FILLER_35_3633 +*21382 FILLER_35_3639 +*21383 FILLER_35_3641 +*21384 FILLER_35_3653 +*21385 FILLER_35_3665 +*21386 FILLER_35_3677 +*21387 FILLER_35_3689 +*21388 FILLER_35_3695 +*21389 FILLER_35_3697 +*21390 FILLER_35_3709 +*21391 FILLER_35_3721 +*21392 FILLER_35_373 +*21393 FILLER_35_3733 +*21394 FILLER_35_3745 +*21395 FILLER_35_3751 +*21396 FILLER_35_3753 +*21397 FILLER_35_3765 +*21398 FILLER_35_3777 +*21399 FILLER_35_3789 +*21400 FILLER_35_3801 +*21401 FILLER_35_3807 +*21402 FILLER_35_3809 +*21403 FILLER_35_3821 +*21404 FILLER_35_3833 +*21405 FILLER_35_3845 +*21406 FILLER_35_385 +*21407 FILLER_35_3857 +*21408 FILLER_35_3863 +*21409 FILLER_35_3865 +*21410 FILLER_35_3877 +*21411 FILLER_35_3889 +*21412 FILLER_35_39 +*21413 FILLER_35_3901 +*21414 FILLER_35_391 +*21415 FILLER_35_3913 +*21416 FILLER_35_3919 +*21417 FILLER_35_3921 +*21418 FILLER_35_393 +*21419 FILLER_35_3933 +*21420 FILLER_35_3945 +*21421 FILLER_35_3957 +*21422 FILLER_35_3969 +*21423 FILLER_35_3975 +*21424 FILLER_35_3977 +*21425 FILLER_35_3999 +*21426 FILLER_35_4011 +*21427 FILLER_35_4023 +*21428 FILLER_35_4031 +*21429 FILLER_35_4033 +*21430 FILLER_35_4045 +*21431 FILLER_35_405 +*21432 FILLER_35_4057 +*21433 FILLER_35_4069 +*21434 FILLER_35_4081 +*21435 FILLER_35_4087 +*21436 FILLER_35_4089 +*21437 FILLER_35_4101 +*21438 FILLER_35_417 +*21439 FILLER_35_429 +*21440 FILLER_35_441 +*21441 FILLER_35_447 +*21442 FILLER_35_449 +*21443 FILLER_35_461 +*21444 FILLER_35_473 +*21445 FILLER_35_485 +*21446 FILLER_35_497 +*21447 FILLER_35_503 +*21448 FILLER_35_505 +*21449 FILLER_35_51 +*21450 FILLER_35_517 +*21451 FILLER_35_529 +*21452 FILLER_35_541 +*21453 FILLER_35_549 +*21454 FILLER_35_55 +*21455 FILLER_35_553 +*21456 FILLER_35_559 +*21457 FILLER_35_561 +*21458 FILLER_35_57 +*21459 FILLER_35_573 +*21460 FILLER_35_585 +*21461 FILLER_35_597 +*21462 FILLER_35_609 +*21463 FILLER_35_615 +*21464 FILLER_35_617 +*21465 FILLER_35_629 +*21466 FILLER_35_641 +*21467 FILLER_35_653 +*21468 FILLER_35_665 +*21469 FILLER_35_671 +*21470 FILLER_35_673 +*21471 FILLER_35_683 +*21472 FILLER_35_69 +*21473 FILLER_35_695 +*21474 FILLER_35_707 +*21475 FILLER_35_719 +*21476 FILLER_35_727 +*21477 FILLER_35_729 +*21478 FILLER_35_741 +*21479 FILLER_35_753 +*21480 FILLER_35_761 +*21481 FILLER_35_766 +*21482 FILLER_35_774 +*21483 FILLER_35_782 +*21484 FILLER_35_785 +*21485 FILLER_35_797 +*21486 FILLER_35_809 +*21487 FILLER_35_81 +*21488 FILLER_35_821 +*21489 FILLER_35_833 +*21490 FILLER_35_839 +*21491 FILLER_35_841 +*21492 FILLER_35_853 +*21493 FILLER_35_865 +*21494 FILLER_35_877 +*21495 FILLER_35_889 +*21496 FILLER_35_895 +*21497 FILLER_35_897 +*21498 FILLER_35_909 +*21499 FILLER_35_921 +*21500 FILLER_35_93 +*21501 FILLER_35_933 +*21502 FILLER_35_945 +*21503 FILLER_35_951 +*21504 FILLER_35_953 +*21505 FILLER_35_965 +*21506 FILLER_35_977 +*21507 FILLER_35_989 +*21508 FILLER_36_1003 +*21509 FILLER_36_1015 +*21510 FILLER_36_1027 +*21511 FILLER_36_1035 +*21512 FILLER_36_1037 +*21513 FILLER_36_1049 +*21514 FILLER_36_1061 +*21515 FILLER_36_1069 +*21516 FILLER_36_1077 +*21517 FILLER_36_1081 +*21518 FILLER_36_1086 +*21519 FILLER_36_109 +*21520 FILLER_36_1093 +*21521 FILLER_36_1105 +*21522 FILLER_36_1117 +*21523 FILLER_36_1129 +*21524 FILLER_36_1141 +*21525 FILLER_36_1147 +*21526 FILLER_36_1149 +*21527 FILLER_36_1161 +*21528 FILLER_36_1173 +*21529 FILLER_36_1185 +*21530 FILLER_36_1197 +*21531 FILLER_36_1203 +*21532 FILLER_36_1205 +*21533 FILLER_36_121 +*21534 FILLER_36_1217 +*21535 FILLER_36_1229 +*21536 FILLER_36_1241 +*21537 FILLER_36_1253 +*21538 FILLER_36_1258 +*21539 FILLER_36_1261 +*21540 FILLER_36_1267 +*21541 FILLER_36_1279 +*21542 FILLER_36_1291 +*21543 FILLER_36_1303 +*21544 FILLER_36_1315 +*21545 FILLER_36_1317 +*21546 FILLER_36_1329 +*21547 FILLER_36_133 +*21548 FILLER_36_1341 +*21549 FILLER_36_1353 +*21550 FILLER_36_1365 +*21551 FILLER_36_1371 +*21552 FILLER_36_1373 +*21553 FILLER_36_1385 +*21554 FILLER_36_139 +*21555 FILLER_36_1397 +*21556 FILLER_36_1409 +*21557 FILLER_36_141 +*21558 FILLER_36_1421 +*21559 FILLER_36_1427 +*21560 FILLER_36_1429 +*21561 FILLER_36_1437 +*21562 FILLER_36_1444 +*21563 FILLER_36_1450 +*21564 FILLER_36_1462 +*21565 FILLER_36_1474 +*21566 FILLER_36_1482 +*21567 FILLER_36_1485 +*21568 FILLER_36_1497 +*21569 FILLER_36_15 +*21570 FILLER_36_1509 +*21571 FILLER_36_1521 +*21572 FILLER_36_153 +*21573 FILLER_36_1533 +*21574 FILLER_36_1539 +*21575 FILLER_36_1541 +*21576 FILLER_36_1553 +*21577 FILLER_36_1565 +*21578 FILLER_36_1577 +*21579 FILLER_36_1589 +*21580 FILLER_36_1595 +*21581 FILLER_36_1597 +*21582 FILLER_36_1609 +*21583 FILLER_36_1621 +*21584 FILLER_36_1633 +*21585 FILLER_36_1645 +*21586 FILLER_36_165 +*21587 FILLER_36_1650 +*21588 FILLER_36_1653 +*21589 FILLER_36_1657 +*21590 FILLER_36_1669 +*21591 FILLER_36_1681 +*21592 FILLER_36_1693 +*21593 FILLER_36_1705 +*21594 FILLER_36_1709 +*21595 FILLER_36_1721 +*21596 FILLER_36_1733 +*21597 FILLER_36_1745 +*21598 FILLER_36_1757 +*21599 FILLER_36_1761 +*21600 FILLER_36_1765 +*21601 FILLER_36_177 +*21602 FILLER_36_1777 +*21603 FILLER_36_1789 +*21604 FILLER_36_1801 +*21605 FILLER_36_1813 +*21606 FILLER_36_1819 +*21607 FILLER_36_1821 +*21608 FILLER_36_1829 +*21609 FILLER_36_1841 +*21610 FILLER_36_1849 +*21611 FILLER_36_1855 +*21612 FILLER_36_1867 +*21613 FILLER_36_1875 +*21614 FILLER_36_1877 +*21615 FILLER_36_1889 +*21616 FILLER_36_189 +*21617 FILLER_36_1901 +*21618 FILLER_36_1913 +*21619 FILLER_36_1925 +*21620 FILLER_36_1931 +*21621 FILLER_36_1933 +*21622 FILLER_36_1945 +*21623 FILLER_36_195 +*21624 FILLER_36_1957 +*21625 FILLER_36_1963 +*21626 FILLER_36_197 +*21627 FILLER_36_1975 +*21628 FILLER_36_1987 +*21629 FILLER_36_1989 +*21630 FILLER_36_1997 +*21631 FILLER_36_2009 +*21632 FILLER_36_2021 +*21633 FILLER_36_2033 +*21634 FILLER_36_2041 +*21635 FILLER_36_2045 +*21636 FILLER_36_2057 +*21637 FILLER_36_2069 +*21638 FILLER_36_2081 +*21639 FILLER_36_209 +*21640 FILLER_36_2093 +*21641 FILLER_36_2099 +*21642 FILLER_36_2101 +*21643 FILLER_36_2113 +*21644 FILLER_36_2121 +*21645 FILLER_36_2133 +*21646 FILLER_36_2145 +*21647 FILLER_36_2153 +*21648 FILLER_36_2157 +*21649 FILLER_36_2169 +*21650 FILLER_36_2181 +*21651 FILLER_36_2193 +*21652 FILLER_36_2205 +*21653 FILLER_36_221 +*21654 FILLER_36_2211 +*21655 FILLER_36_2213 +*21656 FILLER_36_2225 +*21657 FILLER_36_2237 +*21658 FILLER_36_2249 +*21659 FILLER_36_2261 +*21660 FILLER_36_2267 +*21661 FILLER_36_2269 +*21662 FILLER_36_2281 +*21663 FILLER_36_2293 +*21664 FILLER_36_2305 +*21665 FILLER_36_2317 +*21666 FILLER_36_2323 +*21667 FILLER_36_2325 +*21668 FILLER_36_233 +*21669 FILLER_36_2337 +*21670 FILLER_36_2349 +*21671 FILLER_36_2361 +*21672 FILLER_36_2373 +*21673 FILLER_36_2379 +*21674 FILLER_36_2381 +*21675 FILLER_36_2393 +*21676 FILLER_36_2405 +*21677 FILLER_36_2417 +*21678 FILLER_36_2429 +*21679 FILLER_36_2435 +*21680 FILLER_36_2437 +*21681 FILLER_36_2449 +*21682 FILLER_36_245 +*21683 FILLER_36_2461 +*21684 FILLER_36_2473 +*21685 FILLER_36_2485 +*21686 FILLER_36_2491 +*21687 FILLER_36_2493 +*21688 FILLER_36_2505 +*21689 FILLER_36_251 +*21690 FILLER_36_2517 +*21691 FILLER_36_2529 +*21692 FILLER_36_253 +*21693 FILLER_36_2541 +*21694 FILLER_36_2547 +*21695 FILLER_36_2549 +*21696 FILLER_36_2561 +*21697 FILLER_36_2573 +*21698 FILLER_36_2585 +*21699 FILLER_36_2597 +*21700 FILLER_36_2603 +*21701 FILLER_36_2605 +*21702 FILLER_36_2617 +*21703 FILLER_36_2629 +*21704 FILLER_36_2641 +*21705 FILLER_36_265 +*21706 FILLER_36_2653 +*21707 FILLER_36_2659 +*21708 FILLER_36_2661 +*21709 FILLER_36_2673 +*21710 FILLER_36_2685 +*21711 FILLER_36_2697 +*21712 FILLER_36_27 +*21713 FILLER_36_2709 +*21714 FILLER_36_2715 +*21715 FILLER_36_2717 +*21716 FILLER_36_2729 +*21717 FILLER_36_2741 +*21718 FILLER_36_2753 +*21719 FILLER_36_2765 +*21720 FILLER_36_277 +*21721 FILLER_36_2771 +*21722 FILLER_36_2773 +*21723 FILLER_36_2785 +*21724 FILLER_36_2797 +*21725 FILLER_36_2801 +*21726 FILLER_36_2808 +*21727 FILLER_36_2814 +*21728 FILLER_36_2826 +*21729 FILLER_36_2829 +*21730 FILLER_36_2841 +*21731 FILLER_36_2853 +*21732 FILLER_36_2865 +*21733 FILLER_36_2877 +*21734 FILLER_36_2883 +*21735 FILLER_36_2885 +*21736 FILLER_36_289 +*21737 FILLER_36_2897 +*21738 FILLER_36_29 +*21739 FILLER_36_2909 +*21740 FILLER_36_2921 +*21741 FILLER_36_2933 +*21742 FILLER_36_2939 +*21743 FILLER_36_2941 +*21744 FILLER_36_2953 +*21745 FILLER_36_2965 +*21746 FILLER_36_2977 +*21747 FILLER_36_2989 +*21748 FILLER_36_2995 +*21749 FILLER_36_2997 +*21750 FILLER_36_3 +*21751 FILLER_36_3009 +*21752 FILLER_36_301 +*21753 FILLER_36_3021 +*21754 FILLER_36_3033 +*21755 FILLER_36_3045 +*21756 FILLER_36_3051 +*21757 FILLER_36_3053 +*21758 FILLER_36_3065 +*21759 FILLER_36_307 +*21760 FILLER_36_3077 +*21761 FILLER_36_3089 +*21762 FILLER_36_309 +*21763 FILLER_36_3101 +*21764 FILLER_36_3107 +*21765 FILLER_36_3109 +*21766 FILLER_36_3121 +*21767 FILLER_36_3133 +*21768 FILLER_36_3145 +*21769 FILLER_36_3157 +*21770 FILLER_36_3163 +*21771 FILLER_36_3165 +*21772 FILLER_36_3177 +*21773 FILLER_36_3189 +*21774 FILLER_36_3201 +*21775 FILLER_36_321 +*21776 FILLER_36_3213 +*21777 FILLER_36_3219 +*21778 FILLER_36_3221 +*21779 FILLER_36_3233 +*21780 FILLER_36_3245 +*21781 FILLER_36_3252 +*21782 FILLER_36_3258 +*21783 FILLER_36_3270 +*21784 FILLER_36_3277 +*21785 FILLER_36_3289 +*21786 FILLER_36_3301 +*21787 FILLER_36_3313 +*21788 FILLER_36_3325 +*21789 FILLER_36_333 +*21790 FILLER_36_3331 +*21791 FILLER_36_3333 +*21792 FILLER_36_3345 +*21793 FILLER_36_3357 +*21794 FILLER_36_3369 +*21795 FILLER_36_3381 +*21796 FILLER_36_3387 +*21797 FILLER_36_3389 +*21798 FILLER_36_3401 +*21799 FILLER_36_3411 +*21800 FILLER_36_3424 +*21801 FILLER_36_3436 +*21802 FILLER_36_3445 +*21803 FILLER_36_345 +*21804 FILLER_36_3457 +*21805 FILLER_36_3469 +*21806 FILLER_36_3481 +*21807 FILLER_36_3493 +*21808 FILLER_36_3499 +*21809 FILLER_36_3501 +*21810 FILLER_36_3513 +*21811 FILLER_36_3525 +*21812 FILLER_36_3537 +*21813 FILLER_36_3549 +*21814 FILLER_36_3555 +*21815 FILLER_36_3557 +*21816 FILLER_36_3563 +*21817 FILLER_36_3569 +*21818 FILLER_36_357 +*21819 FILLER_36_3581 +*21820 FILLER_36_3593 +*21821 FILLER_36_3605 +*21822 FILLER_36_3611 +*21823 FILLER_36_3613 +*21824 FILLER_36_3625 +*21825 FILLER_36_363 +*21826 FILLER_36_3637 +*21827 FILLER_36_3649 +*21828 FILLER_36_365 +*21829 FILLER_36_3661 +*21830 FILLER_36_3667 +*21831 FILLER_36_3669 +*21832 FILLER_36_3681 +*21833 FILLER_36_3693 +*21834 FILLER_36_3705 +*21835 FILLER_36_3717 +*21836 FILLER_36_3723 +*21837 FILLER_36_3725 +*21838 FILLER_36_3737 +*21839 FILLER_36_3749 +*21840 FILLER_36_3761 +*21841 FILLER_36_377 +*21842 FILLER_36_3773 +*21843 FILLER_36_3779 +*21844 FILLER_36_3781 +*21845 FILLER_36_3793 +*21846 FILLER_36_3805 +*21847 FILLER_36_3817 +*21848 FILLER_36_3829 +*21849 FILLER_36_3835 +*21850 FILLER_36_3837 +*21851 FILLER_36_3849 +*21852 FILLER_36_3861 +*21853 FILLER_36_3873 +*21854 FILLER_36_3885 +*21855 FILLER_36_389 +*21856 FILLER_36_3891 +*21857 FILLER_36_3893 +*21858 FILLER_36_3905 +*21859 FILLER_36_3917 +*21860 FILLER_36_3929 +*21861 FILLER_36_3941 +*21862 FILLER_36_3947 +*21863 FILLER_36_3949 +*21864 FILLER_36_3961 +*21865 FILLER_36_3973 +*21866 FILLER_36_3985 +*21867 FILLER_36_3997 +*21868 FILLER_36_4003 +*21869 FILLER_36_4005 +*21870 FILLER_36_401 +*21871 FILLER_36_4017 +*21872 FILLER_36_4029 +*21873 FILLER_36_4041 +*21874 FILLER_36_4053 +*21875 FILLER_36_4059 +*21876 FILLER_36_4061 +*21877 FILLER_36_4073 +*21878 FILLER_36_41 +*21879 FILLER_36_4101 +*21880 FILLER_36_413 +*21881 FILLER_36_419 +*21882 FILLER_36_421 +*21883 FILLER_36_433 +*21884 FILLER_36_445 +*21885 FILLER_36_457 +*21886 FILLER_36_469 +*21887 FILLER_36_475 +*21888 FILLER_36_477 +*21889 FILLER_36_489 +*21890 FILLER_36_501 +*21891 FILLER_36_513 +*21892 FILLER_36_525 +*21893 FILLER_36_53 +*21894 FILLER_36_531 +*21895 FILLER_36_533 +*21896 FILLER_36_545 +*21897 FILLER_36_557 +*21898 FILLER_36_569 +*21899 FILLER_36_581 +*21900 FILLER_36_587 +*21901 FILLER_36_589 +*21902 FILLER_36_601 +*21903 FILLER_36_613 +*21904 FILLER_36_625 +*21905 FILLER_36_637 +*21906 FILLER_36_643 +*21907 FILLER_36_645 +*21908 FILLER_36_65 +*21909 FILLER_36_657 +*21910 FILLER_36_669 +*21911 FILLER_36_681 +*21912 FILLER_36_693 +*21913 FILLER_36_699 +*21914 FILLER_36_701 +*21915 FILLER_36_713 +*21916 FILLER_36_725 +*21917 FILLER_36_735 +*21918 FILLER_36_747 +*21919 FILLER_36_755 +*21920 FILLER_36_757 +*21921 FILLER_36_769 +*21922 FILLER_36_77 +*21923 FILLER_36_781 +*21924 FILLER_36_793 +*21925 FILLER_36_805 +*21926 FILLER_36_811 +*21927 FILLER_36_813 +*21928 FILLER_36_825 +*21929 FILLER_36_83 +*21930 FILLER_36_837 +*21931 FILLER_36_849 +*21932 FILLER_36_85 +*21933 FILLER_36_861 +*21934 FILLER_36_866 +*21935 FILLER_36_869 +*21936 FILLER_36_875 +*21937 FILLER_36_887 +*21938 FILLER_36_899 +*21939 FILLER_36_911 +*21940 FILLER_36_923 +*21941 FILLER_36_925 +*21942 FILLER_36_939 +*21943 FILLER_36_947 +*21944 FILLER_36_959 +*21945 FILLER_36_97 +*21946 FILLER_36_971 +*21947 FILLER_36_979 +*21948 FILLER_36_981 +*21949 FILLER_36_995 +*21950 FILLER_37_1001 +*21951 FILLER_37_1007 +*21952 FILLER_37_1009 +*21953 FILLER_37_1021 +*21954 FILLER_37_1033 +*21955 FILLER_37_1045 +*21956 FILLER_37_105 +*21957 FILLER_37_1057 +*21958 FILLER_37_1063 +*21959 FILLER_37_1065 +*21960 FILLER_37_1077 +*21961 FILLER_37_1089 +*21962 FILLER_37_1101 +*21963 FILLER_37_111 +*21964 FILLER_37_1113 +*21965 FILLER_37_1119 +*21966 FILLER_37_1121 +*21967 FILLER_37_113 +*21968 FILLER_37_1133 +*21969 FILLER_37_1145 +*21970 FILLER_37_1157 +*21971 FILLER_37_1169 +*21972 FILLER_37_1175 +*21973 FILLER_37_1177 +*21974 FILLER_37_1189 +*21975 FILLER_37_1201 +*21976 FILLER_37_1213 +*21977 FILLER_37_1225 +*21978 FILLER_37_1231 +*21979 FILLER_37_1233 +*21980 FILLER_37_1245 +*21981 FILLER_37_125 +*21982 FILLER_37_1257 +*21983 FILLER_37_1269 +*21984 FILLER_37_1281 +*21985 FILLER_37_1286 +*21986 FILLER_37_1289 +*21987 FILLER_37_1301 +*21988 FILLER_37_1313 +*21989 FILLER_37_1325 +*21990 FILLER_37_1337 +*21991 FILLER_37_1343 +*21992 FILLER_37_1345 +*21993 FILLER_37_1357 +*21994 FILLER_37_1369 +*21995 FILLER_37_137 +*21996 FILLER_37_1381 +*21997 FILLER_37_1393 +*21998 FILLER_37_1399 +*21999 FILLER_37_1401 +*22000 FILLER_37_1413 +*22001 FILLER_37_1425 +*22002 FILLER_37_1437 +*22003 FILLER_37_1449 +*22004 FILLER_37_1455 +*22005 FILLER_37_1457 +*22006 FILLER_37_1465 +*22007 FILLER_37_1471 +*22008 FILLER_37_1483 +*22009 FILLER_37_149 +*22010 FILLER_37_1495 +*22011 FILLER_37_15 +*22012 FILLER_37_1507 +*22013 FILLER_37_1511 +*22014 FILLER_37_1513 +*22015 FILLER_37_1525 +*22016 FILLER_37_1537 +*22017 FILLER_37_1549 +*22018 FILLER_37_1561 +*22019 FILLER_37_1567 +*22020 FILLER_37_1569 +*22021 FILLER_37_1581 +*22022 FILLER_37_1593 +*22023 FILLER_37_1605 +*22024 FILLER_37_161 +*22025 FILLER_37_1617 +*22026 FILLER_37_1623 +*22027 FILLER_37_1625 +*22028 FILLER_37_1637 +*22029 FILLER_37_1649 +*22030 FILLER_37_1661 +*22031 FILLER_37_167 +*22032 FILLER_37_1673 +*22033 FILLER_37_1679 +*22034 FILLER_37_1681 +*22035 FILLER_37_169 +*22036 FILLER_37_1693 +*22037 FILLER_37_1699 +*22038 FILLER_37_1702 +*22039 FILLER_37_1710 +*22040 FILLER_37_1722 +*22041 FILLER_37_1734 +*22042 FILLER_37_1737 +*22043 FILLER_37_1743 +*22044 FILLER_37_1755 +*22045 FILLER_37_1767 +*22046 FILLER_37_1779 +*22047 FILLER_37_1791 +*22048 FILLER_37_1793 +*22049 FILLER_37_1805 +*22050 FILLER_37_181 +*22051 FILLER_37_1813 +*22052 FILLER_37_1821 +*22053 FILLER_37_1825 +*22054 FILLER_37_1829 +*22055 FILLER_37_1835 +*22056 FILLER_37_1847 +*22057 FILLER_37_1849 +*22058 FILLER_37_1861 +*22059 FILLER_37_1873 +*22060 FILLER_37_1881 +*22061 FILLER_37_1884 +*22062 FILLER_37_1896 +*22063 FILLER_37_1905 +*22064 FILLER_37_1917 +*22065 FILLER_37_1929 +*22066 FILLER_37_193 +*22067 FILLER_37_1941 +*22068 FILLER_37_1953 +*22069 FILLER_37_1959 +*22070 FILLER_37_1961 +*22071 FILLER_37_1967 +*22072 FILLER_37_1973 +*22073 FILLER_37_1985 +*22074 FILLER_37_1997 +*22075 FILLER_37_2014 +*22076 FILLER_37_2017 +*22077 FILLER_37_2029 +*22078 FILLER_37_2041 +*22079 FILLER_37_2049 +*22080 FILLER_37_205 +*22081 FILLER_37_2052 +*22082 FILLER_37_2064 +*22083 FILLER_37_2073 +*22084 FILLER_37_2081 +*22085 FILLER_37_2086 +*22086 FILLER_37_2100 +*22087 FILLER_37_2112 +*22088 FILLER_37_2124 +*22089 FILLER_37_2129 +*22090 FILLER_37_2136 +*22091 FILLER_37_2142 +*22092 FILLER_37_2150 +*22093 FILLER_37_2161 +*22094 FILLER_37_2167 +*22095 FILLER_37_217 +*22096 FILLER_37_2179 +*22097 FILLER_37_2183 +*22098 FILLER_37_2185 +*22099 FILLER_37_2197 +*22100 FILLER_37_2209 +*22101 FILLER_37_2221 +*22102 FILLER_37_223 +*22103 FILLER_37_2233 +*22104 FILLER_37_2239 +*22105 FILLER_37_2241 +*22106 FILLER_37_225 +*22107 FILLER_37_2253 +*22108 FILLER_37_2265 +*22109 FILLER_37_2277 +*22110 FILLER_37_2289 +*22111 FILLER_37_2295 +*22112 FILLER_37_2297 +*22113 FILLER_37_2309 +*22114 FILLER_37_2321 +*22115 FILLER_37_2333 +*22116 FILLER_37_2345 +*22117 FILLER_37_2351 +*22118 FILLER_37_2353 +*22119 FILLER_37_2365 +*22120 FILLER_37_237 +*22121 FILLER_37_2377 +*22122 FILLER_37_2389 +*22123 FILLER_37_2401 +*22124 FILLER_37_2407 +*22125 FILLER_37_2409 +*22126 FILLER_37_2421 +*22127 FILLER_37_2433 +*22128 FILLER_37_2445 +*22129 FILLER_37_2457 +*22130 FILLER_37_2463 +*22131 FILLER_37_2465 +*22132 FILLER_37_2477 +*22133 FILLER_37_2489 +*22134 FILLER_37_249 +*22135 FILLER_37_2501 +*22136 FILLER_37_2513 +*22137 FILLER_37_2519 +*22138 FILLER_37_2521 +*22139 FILLER_37_2533 +*22140 FILLER_37_2545 +*22141 FILLER_37_2557 +*22142 FILLER_37_2569 +*22143 FILLER_37_2575 +*22144 FILLER_37_2577 +*22145 FILLER_37_2589 +*22146 FILLER_37_2601 +*22147 FILLER_37_261 +*22148 FILLER_37_2613 +*22149 FILLER_37_2625 +*22150 FILLER_37_2631 +*22151 FILLER_37_2633 +*22152 FILLER_37_2645 +*22153 FILLER_37_2657 +*22154 FILLER_37_2669 +*22155 FILLER_37_2681 +*22156 FILLER_37_2687 +*22157 FILLER_37_2689 +*22158 FILLER_37_27 +*22159 FILLER_37_2701 +*22160 FILLER_37_2713 +*22161 FILLER_37_2725 +*22162 FILLER_37_273 +*22163 FILLER_37_2737 +*22164 FILLER_37_2743 +*22165 FILLER_37_2745 +*22166 FILLER_37_2757 +*22167 FILLER_37_2769 +*22168 FILLER_37_2781 +*22169 FILLER_37_279 +*22170 FILLER_37_2793 +*22171 FILLER_37_2799 +*22172 FILLER_37_2801 +*22173 FILLER_37_281 +*22174 FILLER_37_2813 +*22175 FILLER_37_2825 +*22176 FILLER_37_2837 +*22177 FILLER_37_2849 +*22178 FILLER_37_2855 +*22179 FILLER_37_2857 +*22180 FILLER_37_2869 +*22181 FILLER_37_2881 +*22182 FILLER_37_2893 +*22183 FILLER_37_2905 +*22184 FILLER_37_2911 +*22185 FILLER_37_2913 +*22186 FILLER_37_2925 +*22187 FILLER_37_293 +*22188 FILLER_37_2937 +*22189 FILLER_37_2949 +*22190 FILLER_37_2961 +*22191 FILLER_37_2967 +*22192 FILLER_37_2969 +*22193 FILLER_37_2981 +*22194 FILLER_37_2993 +*22195 FILLER_37_3 +*22196 FILLER_37_3005 +*22197 FILLER_37_3021 +*22198 FILLER_37_3025 +*22199 FILLER_37_3029 +*22200 FILLER_37_3041 +*22201 FILLER_37_305 +*22202 FILLER_37_3053 +*22203 FILLER_37_3065 +*22204 FILLER_37_3077 +*22205 FILLER_37_3081 +*22206 FILLER_37_3093 +*22207 FILLER_37_3105 +*22208 FILLER_37_3117 +*22209 FILLER_37_3129 +*22210 FILLER_37_3135 +*22211 FILLER_37_3137 +*22212 FILLER_37_3149 +*22213 FILLER_37_3161 +*22214 FILLER_37_317 +*22215 FILLER_37_3173 +*22216 FILLER_37_3185 +*22217 FILLER_37_3191 +*22218 FILLER_37_3193 +*22219 FILLER_37_3205 +*22220 FILLER_37_3217 +*22221 FILLER_37_3229 +*22222 FILLER_37_3241 +*22223 FILLER_37_3247 +*22224 FILLER_37_3249 +*22225 FILLER_37_3261 +*22226 FILLER_37_3273 +*22227 FILLER_37_3285 +*22228 FILLER_37_329 +*22229 FILLER_37_3297 +*22230 FILLER_37_3303 +*22231 FILLER_37_3305 +*22232 FILLER_37_3317 +*22233 FILLER_37_3329 +*22234 FILLER_37_3341 +*22235 FILLER_37_335 +*22236 FILLER_37_3353 +*22237 FILLER_37_3359 +*22238 FILLER_37_3361 +*22239 FILLER_37_337 +*22240 FILLER_37_3373 +*22241 FILLER_37_3385 +*22242 FILLER_37_3397 +*22243 FILLER_37_3403 +*22244 FILLER_37_3409 +*22245 FILLER_37_3415 +*22246 FILLER_37_3417 +*22247 FILLER_37_3430 +*22248 FILLER_37_3442 +*22249 FILLER_37_3454 +*22250 FILLER_37_3466 +*22251 FILLER_37_3473 +*22252 FILLER_37_3485 +*22253 FILLER_37_349 +*22254 FILLER_37_3497 +*22255 FILLER_37_3509 +*22256 FILLER_37_3521 +*22257 FILLER_37_3527 +*22258 FILLER_37_3529 +*22259 FILLER_37_3537 +*22260 FILLER_37_3544 +*22261 FILLER_37_3550 +*22262 FILLER_37_3562 +*22263 FILLER_37_3574 +*22264 FILLER_37_3582 +*22265 FILLER_37_3585 +*22266 FILLER_37_3597 +*22267 FILLER_37_3609 +*22268 FILLER_37_361 +*22269 FILLER_37_3621 +*22270 FILLER_37_3633 +*22271 FILLER_37_3639 +*22272 FILLER_37_3641 +*22273 FILLER_37_3653 +*22274 FILLER_37_3665 +*22275 FILLER_37_3677 +*22276 FILLER_37_3689 +*22277 FILLER_37_3695 +*22278 FILLER_37_3697 +*22279 FILLER_37_3709 +*22280 FILLER_37_3721 +*22281 FILLER_37_373 +*22282 FILLER_37_3733 +*22283 FILLER_37_3745 +*22284 FILLER_37_3751 +*22285 FILLER_37_3753 +*22286 FILLER_37_3765 +*22287 FILLER_37_3777 +*22288 FILLER_37_3789 +*22289 FILLER_37_3801 +*22290 FILLER_37_3807 +*22291 FILLER_37_3809 +*22292 FILLER_37_3821 +*22293 FILLER_37_3833 +*22294 FILLER_37_3845 +*22295 FILLER_37_385 +*22296 FILLER_37_3857 +*22297 FILLER_37_3863 +*22298 FILLER_37_3865 +*22299 FILLER_37_3877 +*22300 FILLER_37_3889 +*22301 FILLER_37_39 +*22302 FILLER_37_3901 +*22303 FILLER_37_391 +*22304 FILLER_37_3913 +*22305 FILLER_37_3919 +*22306 FILLER_37_3921 +*22307 FILLER_37_393 +*22308 FILLER_37_3933 +*22309 FILLER_37_3945 +*22310 FILLER_37_3957 +*22311 FILLER_37_3969 +*22312 FILLER_37_3975 +*22313 FILLER_37_3977 +*22314 FILLER_37_3989 +*22315 FILLER_37_4001 +*22316 FILLER_37_4013 +*22317 FILLER_37_4025 +*22318 FILLER_37_4031 +*22319 FILLER_37_4033 +*22320 FILLER_37_4045 +*22321 FILLER_37_405 +*22322 FILLER_37_4057 +*22323 FILLER_37_4069 +*22324 FILLER_37_4081 +*22325 FILLER_37_4087 +*22326 FILLER_37_4089 +*22327 FILLER_37_4101 +*22328 FILLER_37_417 +*22329 FILLER_37_429 +*22330 FILLER_37_441 +*22331 FILLER_37_447 +*22332 FILLER_37_449 +*22333 FILLER_37_461 +*22334 FILLER_37_473 +*22335 FILLER_37_485 +*22336 FILLER_37_497 +*22337 FILLER_37_503 +*22338 FILLER_37_505 +*22339 FILLER_37_509 +*22340 FILLER_37_51 +*22341 FILLER_37_514 +*22342 FILLER_37_526 +*22343 FILLER_37_538 +*22344 FILLER_37_55 +*22345 FILLER_37_550 +*22346 FILLER_37_558 +*22347 FILLER_37_561 +*22348 FILLER_37_57 +*22349 FILLER_37_573 +*22350 FILLER_37_585 +*22351 FILLER_37_597 +*22352 FILLER_37_609 +*22353 FILLER_37_615 +*22354 FILLER_37_617 +*22355 FILLER_37_629 +*22356 FILLER_37_641 +*22357 FILLER_37_653 +*22358 FILLER_37_665 +*22359 FILLER_37_671 +*22360 FILLER_37_673 +*22361 FILLER_37_685 +*22362 FILLER_37_69 +*22363 FILLER_37_697 +*22364 FILLER_37_709 +*22365 FILLER_37_721 +*22366 FILLER_37_727 +*22367 FILLER_37_729 +*22368 FILLER_37_739 +*22369 FILLER_37_751 +*22370 FILLER_37_763 +*22371 FILLER_37_775 +*22372 FILLER_37_783 +*22373 FILLER_37_785 +*22374 FILLER_37_797 +*22375 FILLER_37_809 +*22376 FILLER_37_81 +*22377 FILLER_37_821 +*22378 FILLER_37_833 +*22379 FILLER_37_839 +*22380 FILLER_37_841 +*22381 FILLER_37_853 +*22382 FILLER_37_865 +*22383 FILLER_37_877 +*22384 FILLER_37_889 +*22385 FILLER_37_895 +*22386 FILLER_37_897 +*22387 FILLER_37_909 +*22388 FILLER_37_921 +*22389 FILLER_37_93 +*22390 FILLER_37_933 +*22391 FILLER_37_945 +*22392 FILLER_37_951 +*22393 FILLER_37_953 +*22394 FILLER_37_965 +*22395 FILLER_37_977 +*22396 FILLER_37_989 +*22397 FILLER_38_1005 +*22398 FILLER_38_1017 +*22399 FILLER_38_1029 +*22400 FILLER_38_1035 +*22401 FILLER_38_1037 +*22402 FILLER_38_1049 +*22403 FILLER_38_1061 +*22404 FILLER_38_1073 +*22405 FILLER_38_1085 +*22406 FILLER_38_109 +*22407 FILLER_38_1091 +*22408 FILLER_38_1093 +*22409 FILLER_38_1105 +*22410 FILLER_38_1117 +*22411 FILLER_38_1129 +*22412 FILLER_38_1141 +*22413 FILLER_38_1147 +*22414 FILLER_38_1149 +*22415 FILLER_38_1161 +*22416 FILLER_38_1173 +*22417 FILLER_38_1185 +*22418 FILLER_38_1197 +*22419 FILLER_38_1203 +*22420 FILLER_38_1205 +*22421 FILLER_38_121 +*22422 FILLER_38_1217 +*22423 FILLER_38_1229 +*22424 FILLER_38_1241 +*22425 FILLER_38_1253 +*22426 FILLER_38_1259 +*22427 FILLER_38_1261 +*22428 FILLER_38_1273 +*22429 FILLER_38_1285 +*22430 FILLER_38_1297 +*22431 FILLER_38_1309 +*22432 FILLER_38_1315 +*22433 FILLER_38_1317 +*22434 FILLER_38_1329 +*22435 FILLER_38_133 +*22436 FILLER_38_1341 +*22437 FILLER_38_1353 +*22438 FILLER_38_1365 +*22439 FILLER_38_1371 +*22440 FILLER_38_1373 +*22441 FILLER_38_1385 +*22442 FILLER_38_139 +*22443 FILLER_38_1397 +*22444 FILLER_38_1409 +*22445 FILLER_38_141 +*22446 FILLER_38_1421 +*22447 FILLER_38_1427 +*22448 FILLER_38_1429 +*22449 FILLER_38_1441 +*22450 FILLER_38_1446 +*22451 FILLER_38_1452 +*22452 FILLER_38_1464 +*22453 FILLER_38_1476 +*22454 FILLER_38_1485 +*22455 FILLER_38_1497 +*22456 FILLER_38_15 +*22457 FILLER_38_1509 +*22458 FILLER_38_1521 +*22459 FILLER_38_153 +*22460 FILLER_38_1533 +*22461 FILLER_38_1539 +*22462 FILLER_38_1541 +*22463 FILLER_38_1553 +*22464 FILLER_38_1561 +*22465 FILLER_38_1565 +*22466 FILLER_38_1573 +*22467 FILLER_38_1585 +*22468 FILLER_38_1593 +*22469 FILLER_38_1597 +*22470 FILLER_38_1609 +*22471 FILLER_38_1621 +*22472 FILLER_38_1633 +*22473 FILLER_38_1645 +*22474 FILLER_38_165 +*22475 FILLER_38_1651 +*22476 FILLER_38_1653 +*22477 FILLER_38_1665 +*22478 FILLER_38_1677 +*22479 FILLER_38_1689 +*22480 FILLER_38_1701 +*22481 FILLER_38_1707 +*22482 FILLER_38_1709 +*22483 FILLER_38_1717 +*22484 FILLER_38_1721 +*22485 FILLER_38_1727 +*22486 FILLER_38_1739 +*22487 FILLER_38_1751 +*22488 FILLER_38_1763 +*22489 FILLER_38_1765 +*22490 FILLER_38_177 +*22491 FILLER_38_1777 +*22492 FILLER_38_1791 +*22493 FILLER_38_1799 +*22494 FILLER_38_1803 +*22495 FILLER_38_1806 +*22496 FILLER_38_1814 +*22497 FILLER_38_1821 +*22498 FILLER_38_1833 +*22499 FILLER_38_1845 +*22500 FILLER_38_1857 +*22501 FILLER_38_1869 +*22502 FILLER_38_1875 +*22503 FILLER_38_1877 +*22504 FILLER_38_1883 +*22505 FILLER_38_1888 +*22506 FILLER_38_189 +*22507 FILLER_38_1900 +*22508 FILLER_38_1904 +*22509 FILLER_38_1907 +*22510 FILLER_38_1919 +*22511 FILLER_38_1931 +*22512 FILLER_38_1933 +*22513 FILLER_38_1945 +*22514 FILLER_38_195 +*22515 FILLER_38_1957 +*22516 FILLER_38_1969 +*22517 FILLER_38_197 +*22518 FILLER_38_1981 +*22519 FILLER_38_1987 +*22520 FILLER_38_1989 +*22521 FILLER_38_2001 +*22522 FILLER_38_2013 +*22523 FILLER_38_2025 +*22524 FILLER_38_2037 +*22525 FILLER_38_2043 +*22526 FILLER_38_2045 +*22527 FILLER_38_2051 +*22528 FILLER_38_2056 +*22529 FILLER_38_2068 +*22530 FILLER_38_2080 +*22531 FILLER_38_209 +*22532 FILLER_38_2092 +*22533 FILLER_38_2101 +*22534 FILLER_38_2106 +*22535 FILLER_38_2112 +*22536 FILLER_38_2124 +*22537 FILLER_38_2136 +*22538 FILLER_38_2148 +*22539 FILLER_38_2157 +*22540 FILLER_38_2164 +*22541 FILLER_38_2170 +*22542 FILLER_38_2182 +*22543 FILLER_38_2194 +*22544 FILLER_38_2206 +*22545 FILLER_38_221 +*22546 FILLER_38_2213 +*22547 FILLER_38_2225 +*22548 FILLER_38_2237 +*22549 FILLER_38_2249 +*22550 FILLER_38_2261 +*22551 FILLER_38_2267 +*22552 FILLER_38_2269 +*22553 FILLER_38_2281 +*22554 FILLER_38_2293 +*22555 FILLER_38_2305 +*22556 FILLER_38_2317 +*22557 FILLER_38_2323 +*22558 FILLER_38_2325 +*22559 FILLER_38_233 +*22560 FILLER_38_2337 +*22561 FILLER_38_2341 +*22562 FILLER_38_2351 +*22563 FILLER_38_2357 +*22564 FILLER_38_2369 +*22565 FILLER_38_2377 +*22566 FILLER_38_2381 +*22567 FILLER_38_2393 +*22568 FILLER_38_2405 +*22569 FILLER_38_2417 +*22570 FILLER_38_2429 +*22571 FILLER_38_2435 +*22572 FILLER_38_2437 +*22573 FILLER_38_2449 +*22574 FILLER_38_245 +*22575 FILLER_38_2461 +*22576 FILLER_38_2473 +*22577 FILLER_38_2485 +*22578 FILLER_38_2491 +*22579 FILLER_38_2493 +*22580 FILLER_38_2505 +*22581 FILLER_38_251 +*22582 FILLER_38_2517 +*22583 FILLER_38_2529 +*22584 FILLER_38_253 +*22585 FILLER_38_2541 +*22586 FILLER_38_2547 +*22587 FILLER_38_2549 +*22588 FILLER_38_2561 +*22589 FILLER_38_2573 +*22590 FILLER_38_2585 +*22591 FILLER_38_2597 +*22592 FILLER_38_2603 +*22593 FILLER_38_2605 +*22594 FILLER_38_2617 +*22595 FILLER_38_2629 +*22596 FILLER_38_2641 +*22597 FILLER_38_265 +*22598 FILLER_38_2653 +*22599 FILLER_38_2659 +*22600 FILLER_38_2661 +*22601 FILLER_38_2673 +*22602 FILLER_38_2685 +*22603 FILLER_38_2697 +*22604 FILLER_38_27 +*22605 FILLER_38_2709 +*22606 FILLER_38_2715 +*22607 FILLER_38_2717 +*22608 FILLER_38_2729 +*22609 FILLER_38_2741 +*22610 FILLER_38_2753 +*22611 FILLER_38_2765 +*22612 FILLER_38_277 +*22613 FILLER_38_2771 +*22614 FILLER_38_2773 +*22615 FILLER_38_2785 +*22616 FILLER_38_2797 +*22617 FILLER_38_2809 +*22618 FILLER_38_2821 +*22619 FILLER_38_2827 +*22620 FILLER_38_2829 +*22621 FILLER_38_2841 +*22622 FILLER_38_2853 +*22623 FILLER_38_2865 +*22624 FILLER_38_2877 +*22625 FILLER_38_2883 +*22626 FILLER_38_2885 +*22627 FILLER_38_289 +*22628 FILLER_38_2897 +*22629 FILLER_38_29 +*22630 FILLER_38_2909 +*22631 FILLER_38_2921 +*22632 FILLER_38_2933 +*22633 FILLER_38_2939 +*22634 FILLER_38_2941 +*22635 FILLER_38_2953 +*22636 FILLER_38_2965 +*22637 FILLER_38_2977 +*22638 FILLER_38_2989 +*22639 FILLER_38_2995 +*22640 FILLER_38_2997 +*22641 FILLER_38_3 +*22642 FILLER_38_3009 +*22643 FILLER_38_301 +*22644 FILLER_38_3021 +*22645 FILLER_38_3033 +*22646 FILLER_38_3045 +*22647 FILLER_38_3051 +*22648 FILLER_38_3053 +*22649 FILLER_38_3065 +*22650 FILLER_38_307 +*22651 FILLER_38_3077 +*22652 FILLER_38_3089 +*22653 FILLER_38_309 +*22654 FILLER_38_3101 +*22655 FILLER_38_3107 +*22656 FILLER_38_3109 +*22657 FILLER_38_3121 +*22658 FILLER_38_3133 +*22659 FILLER_38_3145 +*22660 FILLER_38_3157 +*22661 FILLER_38_3163 +*22662 FILLER_38_3165 +*22663 FILLER_38_3177 +*22664 FILLER_38_3189 +*22665 FILLER_38_3201 +*22666 FILLER_38_321 +*22667 FILLER_38_3213 +*22668 FILLER_38_3219 +*22669 FILLER_38_3221 +*22670 FILLER_38_3233 +*22671 FILLER_38_3239 +*22672 FILLER_38_3244 +*22673 FILLER_38_3250 +*22674 FILLER_38_3262 +*22675 FILLER_38_3274 +*22676 FILLER_38_3277 +*22677 FILLER_38_3289 +*22678 FILLER_38_3301 +*22679 FILLER_38_3313 +*22680 FILLER_38_3325 +*22681 FILLER_38_333 +*22682 FILLER_38_3331 +*22683 FILLER_38_3333 +*22684 FILLER_38_3345 +*22685 FILLER_38_3357 +*22686 FILLER_38_3369 +*22687 FILLER_38_3381 +*22688 FILLER_38_3387 +*22689 FILLER_38_3389 +*22690 FILLER_38_3401 +*22691 FILLER_38_3413 +*22692 FILLER_38_3421 +*22693 FILLER_38_3433 +*22694 FILLER_38_3441 +*22695 FILLER_38_3445 +*22696 FILLER_38_345 +*22697 FILLER_38_3457 +*22698 FILLER_38_3469 +*22699 FILLER_38_3481 +*22700 FILLER_38_3493 +*22701 FILLER_38_3499 +*22702 FILLER_38_3501 +*22703 FILLER_38_3513 +*22704 FILLER_38_3525 +*22705 FILLER_38_3537 +*22706 FILLER_38_3549 +*22707 FILLER_38_3555 +*22708 FILLER_38_3557 +*22709 FILLER_38_3569 +*22710 FILLER_38_357 +*22711 FILLER_38_3581 +*22712 FILLER_38_3593 +*22713 FILLER_38_3605 +*22714 FILLER_38_3611 +*22715 FILLER_38_3613 +*22716 FILLER_38_3625 +*22717 FILLER_38_363 +*22718 FILLER_38_3637 +*22719 FILLER_38_3649 +*22720 FILLER_38_365 +*22721 FILLER_38_3661 +*22722 FILLER_38_3667 +*22723 FILLER_38_3669 +*22724 FILLER_38_3681 +*22725 FILLER_38_3693 +*22726 FILLER_38_3705 +*22727 FILLER_38_3717 +*22728 FILLER_38_3723 +*22729 FILLER_38_3725 +*22730 FILLER_38_3737 +*22731 FILLER_38_3749 +*22732 FILLER_38_3761 +*22733 FILLER_38_377 +*22734 FILLER_38_3773 +*22735 FILLER_38_3779 +*22736 FILLER_38_3781 +*22737 FILLER_38_3793 +*22738 FILLER_38_3807 +*22739 FILLER_38_3816 +*22740 FILLER_38_3822 +*22741 FILLER_38_3834 +*22742 FILLER_38_3837 +*22743 FILLER_38_3849 +*22744 FILLER_38_3861 +*22745 FILLER_38_3873 +*22746 FILLER_38_3885 +*22747 FILLER_38_389 +*22748 FILLER_38_3891 +*22749 FILLER_38_3893 +*22750 FILLER_38_3905 +*22751 FILLER_38_3911 +*22752 FILLER_38_3917 +*22753 FILLER_38_3929 +*22754 FILLER_38_3941 +*22755 FILLER_38_3947 +*22756 FILLER_38_3949 +*22757 FILLER_38_3961 +*22758 FILLER_38_3973 +*22759 FILLER_38_3985 +*22760 FILLER_38_3997 +*22761 FILLER_38_4003 +*22762 FILLER_38_4005 +*22763 FILLER_38_401 +*22764 FILLER_38_4017 +*22765 FILLER_38_4029 +*22766 FILLER_38_4038 +*22767 FILLER_38_4050 +*22768 FILLER_38_4058 +*22769 FILLER_38_4061 +*22770 FILLER_38_4073 +*22771 FILLER_38_4085 +*22772 FILLER_38_4097 +*22773 FILLER_38_41 +*22774 FILLER_38_413 +*22775 FILLER_38_419 +*22776 FILLER_38_421 +*22777 FILLER_38_433 +*22778 FILLER_38_445 +*22779 FILLER_38_457 +*22780 FILLER_38_469 +*22781 FILLER_38_474 +*22782 FILLER_38_477 +*22783 FILLER_38_489 +*22784 FILLER_38_501 +*22785 FILLER_38_513 +*22786 FILLER_38_525 +*22787 FILLER_38_53 +*22788 FILLER_38_531 +*22789 FILLER_38_533 +*22790 FILLER_38_539 +*22791 FILLER_38_542 +*22792 FILLER_38_550 +*22793 FILLER_38_562 +*22794 FILLER_38_574 +*22795 FILLER_38_586 +*22796 FILLER_38_589 +*22797 FILLER_38_601 +*22798 FILLER_38_613 +*22799 FILLER_38_625 +*22800 FILLER_38_637 +*22801 FILLER_38_643 +*22802 FILLER_38_645 +*22803 FILLER_38_65 +*22804 FILLER_38_657 +*22805 FILLER_38_669 +*22806 FILLER_38_681 +*22807 FILLER_38_693 +*22808 FILLER_38_699 +*22809 FILLER_38_701 +*22810 FILLER_38_713 +*22811 FILLER_38_725 +*22812 FILLER_38_737 +*22813 FILLER_38_749 +*22814 FILLER_38_755 +*22815 FILLER_38_757 +*22816 FILLER_38_769 +*22817 FILLER_38_77 +*22818 FILLER_38_781 +*22819 FILLER_38_793 +*22820 FILLER_38_805 +*22821 FILLER_38_811 +*22822 FILLER_38_813 +*22823 FILLER_38_825 +*22824 FILLER_38_83 +*22825 FILLER_38_839 +*22826 FILLER_38_847 +*22827 FILLER_38_85 +*22828 FILLER_38_855 +*22829 FILLER_38_858 +*22830 FILLER_38_866 +*22831 FILLER_38_869 +*22832 FILLER_38_881 +*22833 FILLER_38_893 +*22834 FILLER_38_905 +*22835 FILLER_38_917 +*22836 FILLER_38_923 +*22837 FILLER_38_925 +*22838 FILLER_38_937 +*22839 FILLER_38_949 +*22840 FILLER_38_961 +*22841 FILLER_38_97 +*22842 FILLER_38_973 +*22843 FILLER_38_979 +*22844 FILLER_38_981 +*22845 FILLER_38_993 +*22846 FILLER_39_1001 +*22847 FILLER_39_1007 +*22848 FILLER_39_1009 +*22849 FILLER_39_1021 +*22850 FILLER_39_1033 +*22851 FILLER_39_1045 +*22852 FILLER_39_105 +*22853 FILLER_39_1057 +*22854 FILLER_39_1063 +*22855 FILLER_39_1065 +*22856 FILLER_39_1077 +*22857 FILLER_39_1089 +*22858 FILLER_39_1101 +*22859 FILLER_39_111 +*22860 FILLER_39_1113 +*22861 FILLER_39_1119 +*22862 FILLER_39_1121 +*22863 FILLER_39_113 +*22864 FILLER_39_1133 +*22865 FILLER_39_1145 +*22866 FILLER_39_1157 +*22867 FILLER_39_1169 +*22868 FILLER_39_1175 +*22869 FILLER_39_1177 +*22870 FILLER_39_1189 +*22871 FILLER_39_1201 +*22872 FILLER_39_1213 +*22873 FILLER_39_1225 +*22874 FILLER_39_1228 +*22875 FILLER_39_1233 +*22876 FILLER_39_1245 +*22877 FILLER_39_125 +*22878 FILLER_39_1257 +*22879 FILLER_39_1269 +*22880 FILLER_39_1281 +*22881 FILLER_39_1287 +*22882 FILLER_39_1289 +*22883 FILLER_39_1301 +*22884 FILLER_39_1313 +*22885 FILLER_39_1317 +*22886 FILLER_39_1320 +*22887 FILLER_39_1328 +*22888 FILLER_39_1340 +*22889 FILLER_39_1345 +*22890 FILLER_39_1357 +*22891 FILLER_39_1369 +*22892 FILLER_39_137 +*22893 FILLER_39_1381 +*22894 FILLER_39_1393 +*22895 FILLER_39_1399 +*22896 FILLER_39_1401 +*22897 FILLER_39_1413 +*22898 FILLER_39_1425 +*22899 FILLER_39_1437 +*22900 FILLER_39_1449 +*22901 FILLER_39_1455 +*22902 FILLER_39_1457 +*22903 FILLER_39_1469 +*22904 FILLER_39_1481 +*22905 FILLER_39_149 +*22906 FILLER_39_1493 +*22907 FILLER_39_15 +*22908 FILLER_39_1505 +*22909 FILLER_39_1511 +*22910 FILLER_39_1513 +*22911 FILLER_39_1525 +*22912 FILLER_39_1537 +*22913 FILLER_39_1549 +*22914 FILLER_39_1555 +*22915 FILLER_39_1561 +*22916 FILLER_39_1567 +*22917 FILLER_39_1569 +*22918 FILLER_39_1581 +*22919 FILLER_39_1593 +*22920 FILLER_39_1605 +*22921 FILLER_39_161 +*22922 FILLER_39_1617 +*22923 FILLER_39_1623 +*22924 FILLER_39_1625 +*22925 FILLER_39_1629 +*22926 FILLER_39_1632 +*22927 FILLER_39_1644 +*22928 FILLER_39_1656 +*22929 FILLER_39_1668 +*22930 FILLER_39_167 +*22931 FILLER_39_1681 +*22932 FILLER_39_169 +*22933 FILLER_39_1693 +*22934 FILLER_39_1705 +*22935 FILLER_39_1715 +*22936 FILLER_39_1723 +*22937 FILLER_39_1735 +*22938 FILLER_39_1737 +*22939 FILLER_39_1749 +*22940 FILLER_39_1758 +*22941 FILLER_39_1770 +*22942 FILLER_39_1782 +*22943 FILLER_39_1788 +*22944 FILLER_39_1793 +*22945 FILLER_39_1797 +*22946 FILLER_39_1809 +*22947 FILLER_39_181 +*22948 FILLER_39_1815 +*22949 FILLER_39_1827 +*22950 FILLER_39_1839 +*22951 FILLER_39_1847 +*22952 FILLER_39_1849 +*22953 FILLER_39_1861 +*22954 FILLER_39_1873 +*22955 FILLER_39_1885 +*22956 FILLER_39_1891 +*22957 FILLER_39_1894 +*22958 FILLER_39_1902 +*22959 FILLER_39_1905 +*22960 FILLER_39_1917 +*22961 FILLER_39_1929 +*22962 FILLER_39_193 +*22963 FILLER_39_1941 +*22964 FILLER_39_1953 +*22965 FILLER_39_1959 +*22966 FILLER_39_1961 +*22967 FILLER_39_1973 +*22968 FILLER_39_1985 +*22969 FILLER_39_1997 +*22970 FILLER_39_2009 +*22971 FILLER_39_2015 +*22972 FILLER_39_2017 +*22973 FILLER_39_2029 +*22974 FILLER_39_2041 +*22975 FILLER_39_205 +*22976 FILLER_39_2053 +*22977 FILLER_39_2065 +*22978 FILLER_39_2071 +*22979 FILLER_39_2073 +*22980 FILLER_39_2085 +*22981 FILLER_39_2097 +*22982 FILLER_39_2109 +*22983 FILLER_39_2121 +*22984 FILLER_39_2127 +*22985 FILLER_39_2129 +*22986 FILLER_39_2141 +*22987 FILLER_39_2153 +*22988 FILLER_39_2165 +*22989 FILLER_39_217 +*22990 FILLER_39_2177 +*22991 FILLER_39_2183 +*22992 FILLER_39_2185 +*22993 FILLER_39_2197 +*22994 FILLER_39_2209 +*22995 FILLER_39_2221 +*22996 FILLER_39_223 +*22997 FILLER_39_2233 +*22998 FILLER_39_2239 +*22999 FILLER_39_2241 +*23000 FILLER_39_225 +*23001 FILLER_39_2253 +*23002 FILLER_39_2265 +*23003 FILLER_39_2277 +*23004 FILLER_39_2289 +*23005 FILLER_39_2295 +*23006 FILLER_39_2297 +*23007 FILLER_39_2309 +*23008 FILLER_39_2321 +*23009 FILLER_39_2324 +*23010 FILLER_39_2336 +*23011 FILLER_39_2348 +*23012 FILLER_39_2353 +*23013 FILLER_39_2360 +*23014 FILLER_39_2366 +*23015 FILLER_39_237 +*23016 FILLER_39_2372 +*23017 FILLER_39_2382 +*23018 FILLER_39_2388 +*23019 FILLER_39_2400 +*23020 FILLER_39_2409 +*23021 FILLER_39_2421 +*23022 FILLER_39_2433 +*23023 FILLER_39_2445 +*23024 FILLER_39_2457 +*23025 FILLER_39_2463 +*23026 FILLER_39_2465 +*23027 FILLER_39_2477 +*23028 FILLER_39_2489 +*23029 FILLER_39_249 +*23030 FILLER_39_2501 +*23031 FILLER_39_2513 +*23032 FILLER_39_2519 +*23033 FILLER_39_2521 +*23034 FILLER_39_2533 +*23035 FILLER_39_2545 +*23036 FILLER_39_2557 +*23037 FILLER_39_2569 +*23038 FILLER_39_2575 +*23039 FILLER_39_2577 +*23040 FILLER_39_2589 +*23041 FILLER_39_2601 +*23042 FILLER_39_261 +*23043 FILLER_39_2613 +*23044 FILLER_39_2625 +*23045 FILLER_39_2631 +*23046 FILLER_39_2633 +*23047 FILLER_39_2645 +*23048 FILLER_39_2657 +*23049 FILLER_39_2669 +*23050 FILLER_39_2681 +*23051 FILLER_39_2687 +*23052 FILLER_39_2689 +*23053 FILLER_39_27 +*23054 FILLER_39_2701 +*23055 FILLER_39_2713 +*23056 FILLER_39_2725 +*23057 FILLER_39_273 +*23058 FILLER_39_2737 +*23059 FILLER_39_2743 +*23060 FILLER_39_2745 +*23061 FILLER_39_2757 +*23062 FILLER_39_2769 +*23063 FILLER_39_2773 +*23064 FILLER_39_2781 +*23065 FILLER_39_279 +*23066 FILLER_39_2793 +*23067 FILLER_39_2799 +*23068 FILLER_39_2801 +*23069 FILLER_39_281 +*23070 FILLER_39_2813 +*23071 FILLER_39_2825 +*23072 FILLER_39_2837 +*23073 FILLER_39_2845 +*23074 FILLER_39_2851 +*23075 FILLER_39_2855 +*23076 FILLER_39_2857 +*23077 FILLER_39_2861 +*23078 FILLER_39_2873 +*23079 FILLER_39_2885 +*23080 FILLER_39_2897 +*23081 FILLER_39_2909 +*23082 FILLER_39_2913 +*23083 FILLER_39_2925 +*23084 FILLER_39_293 +*23085 FILLER_39_2935 +*23086 FILLER_39_2947 +*23087 FILLER_39_2959 +*23088 FILLER_39_2967 +*23089 FILLER_39_2969 +*23090 FILLER_39_2981 +*23091 FILLER_39_2993 +*23092 FILLER_39_3 +*23093 FILLER_39_3005 +*23094 FILLER_39_3017 +*23095 FILLER_39_3023 +*23096 FILLER_39_3025 +*23097 FILLER_39_3037 +*23098 FILLER_39_3049 +*23099 FILLER_39_305 +*23100 FILLER_39_3061 +*23101 FILLER_39_3073 +*23102 FILLER_39_3079 +*23103 FILLER_39_3081 +*23104 FILLER_39_3093 +*23105 FILLER_39_3105 +*23106 FILLER_39_3117 +*23107 FILLER_39_3129 +*23108 FILLER_39_3135 +*23109 FILLER_39_3137 +*23110 FILLER_39_3149 +*23111 FILLER_39_3161 +*23112 FILLER_39_317 +*23113 FILLER_39_3173 +*23114 FILLER_39_3185 +*23115 FILLER_39_3191 +*23116 FILLER_39_3193 +*23117 FILLER_39_3205 +*23118 FILLER_39_3217 +*23119 FILLER_39_3229 +*23120 FILLER_39_3237 +*23121 FILLER_39_3243 +*23122 FILLER_39_3247 +*23123 FILLER_39_3249 +*23124 FILLER_39_3253 +*23125 FILLER_39_3265 +*23126 FILLER_39_3277 +*23127 FILLER_39_3289 +*23128 FILLER_39_329 +*23129 FILLER_39_3297 +*23130 FILLER_39_3302 +*23131 FILLER_39_3305 +*23132 FILLER_39_3317 +*23133 FILLER_39_3329 +*23134 FILLER_39_3341 +*23135 FILLER_39_335 +*23136 FILLER_39_3353 +*23137 FILLER_39_3359 +*23138 FILLER_39_3361 +*23139 FILLER_39_3367 +*23140 FILLER_39_337 +*23141 FILLER_39_3373 +*23142 FILLER_39_3385 +*23143 FILLER_39_3397 +*23144 FILLER_39_3409 +*23145 FILLER_39_3415 +*23146 FILLER_39_3417 +*23147 FILLER_39_3429 +*23148 FILLER_39_3441 +*23149 FILLER_39_3453 +*23150 FILLER_39_3465 +*23151 FILLER_39_3471 +*23152 FILLER_39_3473 +*23153 FILLER_39_3485 +*23154 FILLER_39_349 +*23155 FILLER_39_3497 +*23156 FILLER_39_3509 +*23157 FILLER_39_3521 +*23158 FILLER_39_3527 +*23159 FILLER_39_3529 +*23160 FILLER_39_3541 +*23161 FILLER_39_3553 +*23162 FILLER_39_3565 +*23163 FILLER_39_3577 +*23164 FILLER_39_3583 +*23165 FILLER_39_3585 +*23166 FILLER_39_3597 +*23167 FILLER_39_3609 +*23168 FILLER_39_361 +*23169 FILLER_39_3621 +*23170 FILLER_39_3633 +*23171 FILLER_39_3639 +*23172 FILLER_39_3641 +*23173 FILLER_39_3653 +*23174 FILLER_39_3665 +*23175 FILLER_39_3677 +*23176 FILLER_39_3689 +*23177 FILLER_39_3695 +*23178 FILLER_39_3697 +*23179 FILLER_39_3709 +*23180 FILLER_39_3721 +*23181 FILLER_39_373 +*23182 FILLER_39_3733 +*23183 FILLER_39_3745 +*23184 FILLER_39_3751 +*23185 FILLER_39_3753 +*23186 FILLER_39_3765 +*23187 FILLER_39_3777 +*23188 FILLER_39_3789 +*23189 FILLER_39_3801 +*23190 FILLER_39_3807 +*23191 FILLER_39_3809 +*23192 FILLER_39_3821 +*23193 FILLER_39_3833 +*23194 FILLER_39_3845 +*23195 FILLER_39_385 +*23196 FILLER_39_3857 +*23197 FILLER_39_3862 +*23198 FILLER_39_3865 +*23199 FILLER_39_3872 +*23200 FILLER_39_3878 +*23201 FILLER_39_3884 +*23202 FILLER_39_3888 +*23203 FILLER_39_39 +*23204 FILLER_39_3900 +*23205 FILLER_39_391 +*23206 FILLER_39_3912 +*23207 FILLER_39_3921 +*23208 FILLER_39_393 +*23209 FILLER_39_3933 +*23210 FILLER_39_3945 +*23211 FILLER_39_3957 +*23212 FILLER_39_3969 +*23213 FILLER_39_3975 +*23214 FILLER_39_3977 +*23215 FILLER_39_3989 +*23216 FILLER_39_4001 +*23217 FILLER_39_4013 +*23218 FILLER_39_4025 +*23219 FILLER_39_4031 +*23220 FILLER_39_4033 +*23221 FILLER_39_4040 +*23222 FILLER_39_405 +*23223 FILLER_39_4052 +*23224 FILLER_39_4064 +*23225 FILLER_39_4076 +*23226 FILLER_39_4089 +*23227 FILLER_39_4101 +*23228 FILLER_39_417 +*23229 FILLER_39_429 +*23230 FILLER_39_441 +*23231 FILLER_39_447 +*23232 FILLER_39_449 +*23233 FILLER_39_461 +*23234 FILLER_39_473 +*23235 FILLER_39_485 +*23236 FILLER_39_497 +*23237 FILLER_39_503 +*23238 FILLER_39_505 +*23239 FILLER_39_51 +*23240 FILLER_39_517 +*23241 FILLER_39_529 +*23242 FILLER_39_541 +*23243 FILLER_39_55 +*23244 FILLER_39_557 +*23245 FILLER_39_561 +*23246 FILLER_39_565 +*23247 FILLER_39_57 +*23248 FILLER_39_577 +*23249 FILLER_39_585 +*23250 FILLER_39_588 +*23251 FILLER_39_596 +*23252 FILLER_39_608 +*23253 FILLER_39_617 +*23254 FILLER_39_629 +*23255 FILLER_39_641 +*23256 FILLER_39_655 +*23257 FILLER_39_663 +*23258 FILLER_39_671 +*23259 FILLER_39_673 +*23260 FILLER_39_685 +*23261 FILLER_39_69 +*23262 FILLER_39_697 +*23263 FILLER_39_709 +*23264 FILLER_39_721 +*23265 FILLER_39_727 +*23266 FILLER_39_729 +*23267 FILLER_39_741 +*23268 FILLER_39_753 +*23269 FILLER_39_765 +*23270 FILLER_39_777 +*23271 FILLER_39_782 +*23272 FILLER_39_785 +*23273 FILLER_39_791 +*23274 FILLER_39_803 +*23275 FILLER_39_81 +*23276 FILLER_39_815 +*23277 FILLER_39_827 +*23278 FILLER_39_839 +*23279 FILLER_39_841 +*23280 FILLER_39_853 +*23281 FILLER_39_865 +*23282 FILLER_39_877 +*23283 FILLER_39_889 +*23284 FILLER_39_895 +*23285 FILLER_39_897 +*23286 FILLER_39_909 +*23287 FILLER_39_921 +*23288 FILLER_39_93 +*23289 FILLER_39_933 +*23290 FILLER_39_945 +*23291 FILLER_39_951 +*23292 FILLER_39_953 +*23293 FILLER_39_965 +*23294 FILLER_39_977 +*23295 FILLER_39_989 +*23296 FILLER_3_1000 +*23297 FILLER_3_1009 +*23298 FILLER_3_1021 +*23299 FILLER_3_1033 +*23300 FILLER_3_1037 +*23301 FILLER_3_1049 +*23302 FILLER_3_105 +*23303 FILLER_3_1052 +*23304 FILLER_3_1058 +*23305 FILLER_3_1061 +*23306 FILLER_3_1065 +*23307 FILLER_3_1069 +*23308 FILLER_3_1075 +*23309 FILLER_3_1083 +*23310 FILLER_3_1087 +*23311 FILLER_3_1095 +*23312 FILLER_3_1103 +*23313 FILLER_3_111 +*23314 FILLER_3_1115 +*23315 FILLER_3_1118 +*23316 FILLER_3_1121 +*23317 FILLER_3_1125 +*23318 FILLER_3_113 +*23319 FILLER_3_1137 +*23320 FILLER_3_1141 +*23321 FILLER_3_1153 +*23322 FILLER_3_1165 +*23323 FILLER_3_1171 +*23324 FILLER_3_1174 +*23325 FILLER_3_1177 +*23326 FILLER_3_1182 +*23327 FILLER_3_1188 +*23328 FILLER_3_1194 +*23329 FILLER_3_1202 +*23330 FILLER_3_1208 +*23331 FILLER_3_1218 +*23332 FILLER_3_1230 +*23333 FILLER_3_1233 +*23334 FILLER_3_1237 +*23335 FILLER_3_1243 +*23336 FILLER_3_125 +*23337 FILLER_3_1253 +*23338 FILLER_3_1267 +*23339 FILLER_3_1279 +*23340 FILLER_3_1287 +*23341 FILLER_3_1289 +*23342 FILLER_3_1301 +*23343 FILLER_3_1311 +*23344 FILLER_3_1321 +*23345 FILLER_3_1327 +*23346 FILLER_3_1333 +*23347 FILLER_3_1339 +*23348 FILLER_3_1342 +*23349 FILLER_3_1345 +*23350 FILLER_3_1354 +*23351 FILLER_3_1361 +*23352 FILLER_3_137 +*23353 FILLER_3_1372 +*23354 FILLER_3_1382 +*23355 FILLER_3_1388 +*23356 FILLER_3_1398 +*23357 FILLER_3_1401 +*23358 FILLER_3_1407 +*23359 FILLER_3_1413 +*23360 FILLER_3_1421 +*23361 FILLER_3_1433 +*23362 FILLER_3_1445 +*23363 FILLER_3_1451 +*23364 FILLER_3_1455 +*23365 FILLER_3_1457 +*23366 FILLER_3_1461 +*23367 FILLER_3_1467 +*23368 FILLER_3_1470 +*23369 FILLER_3_1474 +*23370 FILLER_3_1477 +*23371 FILLER_3_1481 +*23372 FILLER_3_1484 +*23373 FILLER_3_149 +*23374 FILLER_3_1494 +*23375 FILLER_3_15 +*23376 FILLER_3_1506 +*23377 FILLER_3_1513 +*23378 FILLER_3_1517 +*23379 FILLER_3_1523 +*23380 FILLER_3_1529 +*23381 FILLER_3_1532 +*23382 FILLER_3_1540 +*23383 FILLER_3_1543 +*23384 FILLER_3_1549 +*23385 FILLER_3_1557 +*23386 FILLER_3_1560 +*23387 FILLER_3_1569 +*23388 FILLER_3_1573 +*23389 FILLER_3_1579 +*23390 FILLER_3_1586 +*23391 FILLER_3_1592 +*23392 FILLER_3_1598 +*23393 FILLER_3_161 +*23394 FILLER_3_1610 +*23395 FILLER_3_1614 +*23396 FILLER_3_1617 +*23397 FILLER_3_1623 +*23398 FILLER_3_1625 +*23399 FILLER_3_1637 +*23400 FILLER_3_1649 +*23401 FILLER_3_1652 +*23402 FILLER_3_1656 +*23403 FILLER_3_1659 +*23404 FILLER_3_1665 +*23405 FILLER_3_167 +*23406 FILLER_3_1677 +*23407 FILLER_3_1681 +*23408 FILLER_3_169 +*23409 FILLER_3_1693 +*23410 FILLER_3_1705 +*23411 FILLER_3_1711 +*23412 FILLER_3_1719 +*23413 FILLER_3_1722 +*23414 FILLER_3_1728 +*23415 FILLER_3_1734 +*23416 FILLER_3_1737 +*23417 FILLER_3_1741 +*23418 FILLER_3_1744 +*23419 FILLER_3_1752 +*23420 FILLER_3_1758 +*23421 FILLER_3_1762 +*23422 FILLER_3_1768 +*23423 FILLER_3_1771 +*23424 FILLER_3_1781 +*23425 FILLER_3_1789 +*23426 FILLER_3_1793 +*23427 FILLER_3_1805 +*23428 FILLER_3_181 +*23429 FILLER_3_1815 +*23430 FILLER_3_1823 +*23431 FILLER_3_1829 +*23432 FILLER_3_1841 +*23433 FILLER_3_1847 +*23434 FILLER_3_1849 +*23435 FILLER_3_1853 +*23436 FILLER_3_1865 +*23437 FILLER_3_1873 +*23438 FILLER_3_1876 +*23439 FILLER_3_1888 +*23440 FILLER_3_1892 +*23441 FILLER_3_1895 +*23442 FILLER_3_1903 +*23443 FILLER_3_1905 +*23444 FILLER_3_1909 +*23445 FILLER_3_1917 +*23446 FILLER_3_1927 +*23447 FILLER_3_193 +*23448 FILLER_3_1933 +*23449 FILLER_3_1945 +*23450 FILLER_3_1957 +*23451 FILLER_3_1961 +*23452 FILLER_3_1969 +*23453 FILLER_3_1972 +*23454 FILLER_3_1976 +*23455 FILLER_3_1979 +*23456 FILLER_3_1985 +*23457 FILLER_3_1988 +*23458 FILLER_3_1996 +*23459 FILLER_3_1999 +*23460 FILLER_3_2005 +*23461 FILLER_3_2011 +*23462 FILLER_3_2015 +*23463 FILLER_3_2017 +*23464 FILLER_3_2029 +*23465 FILLER_3_2041 +*23466 FILLER_3_2049 +*23467 FILLER_3_205 +*23468 FILLER_3_2054 +*23469 FILLER_3_2060 +*23470 FILLER_3_2073 +*23471 FILLER_3_2079 +*23472 FILLER_3_2085 +*23473 FILLER_3_2097 +*23474 FILLER_3_2109 +*23475 FILLER_3_2123 +*23476 FILLER_3_2127 +*23477 FILLER_3_2129 +*23478 FILLER_3_2143 +*23479 FILLER_3_2151 +*23480 FILLER_3_2159 +*23481 FILLER_3_2164 +*23482 FILLER_3_217 +*23483 FILLER_3_2170 +*23484 FILLER_3_2182 +*23485 FILLER_3_2185 +*23486 FILLER_3_2191 +*23487 FILLER_3_2203 +*23488 FILLER_3_221 +*23489 FILLER_3_2211 +*23490 FILLER_3_2215 +*23491 FILLER_3_2221 +*23492 FILLER_3_2227 +*23493 FILLER_3_2233 +*23494 FILLER_3_2239 +*23495 FILLER_3_2241 +*23496 FILLER_3_2247 +*23497 FILLER_3_225 +*23498 FILLER_3_2253 +*23499 FILLER_3_2259 +*23500 FILLER_3_2265 +*23501 FILLER_3_2277 +*23502 FILLER_3_229 +*23503 FILLER_3_2291 +*23504 FILLER_3_2295 +*23505 FILLER_3_2297 +*23506 FILLER_3_2301 +*23507 FILLER_3_2309 +*23508 FILLER_3_2315 +*23509 FILLER_3_2323 +*23510 FILLER_3_2327 +*23511 FILLER_3_2333 +*23512 FILLER_3_2345 +*23513 FILLER_3_2351 +*23514 FILLER_3_2353 +*23515 FILLER_3_2357 +*23516 FILLER_3_2364 +*23517 FILLER_3_237 +*23518 FILLER_3_2370 +*23519 FILLER_3_2376 +*23520 FILLER_3_2388 +*23521 FILLER_3_2392 +*23522 FILLER_3_2395 +*23523 FILLER_3_2401 +*23524 FILLER_3_2407 +*23525 FILLER_3_2409 +*23526 FILLER_3_241 +*23527 FILLER_3_2413 +*23528 FILLER_3_2419 +*23529 FILLER_3_2425 +*23530 FILLER_3_2431 +*23531 FILLER_3_2437 +*23532 FILLER_3_2443 +*23533 FILLER_3_2446 +*23534 FILLER_3_2454 +*23535 FILLER_3_2459 +*23536 FILLER_3_2463 +*23537 FILLER_3_2465 +*23538 FILLER_3_247 +*23539 FILLER_3_2477 +*23540 FILLER_3_2483 +*23541 FILLER_3_2489 +*23542 FILLER_3_2495 +*23543 FILLER_3_2507 +*23544 FILLER_3_2515 +*23545 FILLER_3_2518 +*23546 FILLER_3_2521 +*23547 FILLER_3_2525 +*23548 FILLER_3_2538 +*23549 FILLER_3_2544 +*23550 FILLER_3_255 +*23551 FILLER_3_2550 +*23552 FILLER_3_2556 +*23553 FILLER_3_2560 +*23554 FILLER_3_2563 +*23555 FILLER_3_2569 +*23556 FILLER_3_2575 +*23557 FILLER_3_2577 +*23558 FILLER_3_2581 +*23559 FILLER_3_2589 +*23560 FILLER_3_259 +*23561 FILLER_3_2593 +*23562 FILLER_3_2607 +*23563 FILLER_3_2617 +*23564 FILLER_3_2626 +*23565 FILLER_3_2633 +*23566 FILLER_3_2637 +*23567 FILLER_3_2641 +*23568 FILLER_3_2645 +*23569 FILLER_3_2651 +*23570 FILLER_3_2657 +*23571 FILLER_3_2669 +*23572 FILLER_3_2673 +*23573 FILLER_3_2679 +*23574 FILLER_3_268 +*23575 FILLER_3_2686 +*23576 FILLER_3_2689 +*23577 FILLER_3_2697 +*23578 FILLER_3_27 +*23579 FILLER_3_2703 +*23580 FILLER_3_2710 +*23581 FILLER_3_2716 +*23582 FILLER_3_2726 +*23583 FILLER_3_2736 +*23584 FILLER_3_274 +*23585 FILLER_3_2742 +*23586 FILLER_3_2745 +*23587 FILLER_3_2749 +*23588 FILLER_3_2753 +*23589 FILLER_3_2756 +*23590 FILLER_3_2762 +*23591 FILLER_3_2768 +*23592 FILLER_3_2774 +*23593 FILLER_3_278 +*23594 FILLER_3_2782 +*23595 FILLER_3_2786 +*23596 FILLER_3_2792 +*23597 FILLER_3_2798 +*23598 FILLER_3_2801 +*23599 FILLER_3_2805 +*23600 FILLER_3_281 +*23601 FILLER_3_2811 +*23602 FILLER_3_2817 +*23603 FILLER_3_2823 +*23604 FILLER_3_2829 +*23605 FILLER_3_2835 +*23606 FILLER_3_2841 +*23607 FILLER_3_2847 +*23608 FILLER_3_2853 +*23609 FILLER_3_2857 +*23610 FILLER_3_2861 +*23611 FILLER_3_2867 +*23612 FILLER_3_287 +*23613 FILLER_3_2873 +*23614 FILLER_3_2879 +*23615 FILLER_3_2885 +*23616 FILLER_3_2891 +*23617 FILLER_3_2897 +*23618 FILLER_3_2903 +*23619 FILLER_3_2909 +*23620 FILLER_3_2913 +*23621 FILLER_3_2919 +*23622 FILLER_3_292 +*23623 FILLER_3_2925 +*23624 FILLER_3_2931 +*23625 FILLER_3_2937 +*23626 FILLER_3_2943 +*23627 FILLER_3_2947 +*23628 FILLER_3_2952 +*23629 FILLER_3_2960 +*23630 FILLER_3_2966 +*23631 FILLER_3_2969 +*23632 FILLER_3_2975 +*23633 FILLER_3_2979 +*23634 FILLER_3_298 +*23635 FILLER_3_2984 +*23636 FILLER_3_2992 +*23637 FILLER_3_3 +*23638 FILLER_3_3000 +*23639 FILLER_3_3006 +*23640 FILLER_3_3014 +*23641 FILLER_3_3018 +*23642 FILLER_3_3025 +*23643 FILLER_3_3034 +*23644 FILLER_3_3040 +*23645 FILLER_3_3046 +*23646 FILLER_3_3052 +*23647 FILLER_3_3058 +*23648 FILLER_3_3062 +*23649 FILLER_3_3067 +*23650 FILLER_3_3074 +*23651 FILLER_3_308 +*23652 FILLER_3_3081 +*23653 FILLER_3_3085 +*23654 FILLER_3_3093 +*23655 FILLER_3_3100 +*23656 FILLER_3_3109 +*23657 FILLER_3_3117 +*23658 FILLER_3_3123 +*23659 FILLER_3_3129 +*23660 FILLER_3_3135 +*23661 FILLER_3_3137 +*23662 FILLER_3_3141 +*23663 FILLER_3_3149 +*23664 FILLER_3_3153 +*23665 FILLER_3_316 +*23666 FILLER_3_3161 +*23667 FILLER_3_3167 +*23668 FILLER_3_3179 +*23669 FILLER_3_3187 +*23670 FILLER_3_3191 +*23671 FILLER_3_3193 +*23672 FILLER_3_3198 +*23673 FILLER_3_3208 +*23674 FILLER_3_3216 +*23675 FILLER_3_3224 +*23676 FILLER_3_3232 +*23677 FILLER_3_3238 +*23678 FILLER_3_3246 +*23679 FILLER_3_3249 +*23680 FILLER_3_3255 +*23681 FILLER_3_3262 +*23682 FILLER_3_3271 +*23683 FILLER_3_3279 +*23684 FILLER_3_328 +*23685 FILLER_3_3287 +*23686 FILLER_3_3295 +*23687 FILLER_3_3302 +*23688 FILLER_3_3305 +*23689 FILLER_3_3310 +*23690 FILLER_3_3316 +*23691 FILLER_3_3322 +*23692 FILLER_3_3328 +*23693 FILLER_3_3334 +*23694 FILLER_3_3338 +*23695 FILLER_3_3342 +*23696 FILLER_3_3347 +*23697 FILLER_3_3355 +*23698 FILLER_3_3359 +*23699 FILLER_3_3361 +*23700 FILLER_3_3367 +*23701 FILLER_3_337 +*23702 FILLER_3_3375 +*23703 FILLER_3_3383 +*23704 FILLER_3_3387 +*23705 FILLER_3_3395 +*23706 FILLER_3_3401 +*23707 FILLER_3_3405 +*23708 FILLER_3_341 +*23709 FILLER_3_3411 +*23710 FILLER_3_3415 +*23711 FILLER_3_3417 +*23712 FILLER_3_3423 +*23713 FILLER_3_3428 +*23714 FILLER_3_3436 +*23715 FILLER_3_3441 +*23716 FILLER_3_3447 +*23717 FILLER_3_3453 +*23718 FILLER_3_3457 +*23719 FILLER_3_3464 +*23720 FILLER_3_3470 +*23721 FILLER_3_3473 +*23722 FILLER_3_3477 +*23723 FILLER_3_3483 +*23724 FILLER_3_349 +*23725 FILLER_3_3495 +*23726 FILLER_3_3501 +*23727 FILLER_3_3507 +*23728 FILLER_3_3513 +*23729 FILLER_3_3519 +*23730 FILLER_3_3525 +*23731 FILLER_3_3529 +*23732 FILLER_3_353 +*23733 FILLER_3_3539 +*23734 FILLER_3_3547 +*23735 FILLER_3_3555 +*23736 FILLER_3_3562 +*23737 FILLER_3_3568 +*23738 FILLER_3_3580 +*23739 FILLER_3_3585 +*23740 FILLER_3_359 +*23741 FILLER_3_3591 +*23742 FILLER_3_3597 +*23743 FILLER_3_3604 +*23744 FILLER_3_3612 +*23745 FILLER_3_3616 +*23746 FILLER_3_3624 +*23747 FILLER_3_3631 +*23748 FILLER_3_3638 +*23749 FILLER_3_3641 +*23750 FILLER_3_3650 +*23751 FILLER_3_3658 +*23752 FILLER_3_3664 +*23753 FILLER_3_3672 +*23754 FILLER_3_3679 +*23755 FILLER_3_368 +*23756 FILLER_3_3687 +*23757 FILLER_3_3693 +*23758 FILLER_3_3697 +*23759 FILLER_3_3702 +*23760 FILLER_3_3708 +*23761 FILLER_3_3714 +*23762 FILLER_3_3720 +*23763 FILLER_3_3724 +*23764 FILLER_3_3727 +*23765 FILLER_3_3736 +*23766 FILLER_3_3742 +*23767 FILLER_3_3748 +*23768 FILLER_3_3753 +*23769 FILLER_3_3757 +*23770 FILLER_3_376 +*23771 FILLER_3_3763 +*23772 FILLER_3_3771 +*23773 FILLER_3_3784 +*23774 FILLER_3_3792 +*23775 FILLER_3_3798 +*23776 FILLER_3_3803 +*23777 FILLER_3_3807 +*23778 FILLER_3_3809 +*23779 FILLER_3_382 +*23780 FILLER_3_3820 +*23781 FILLER_3_3827 +*23782 FILLER_3_3834 +*23783 FILLER_3_3838 +*23784 FILLER_3_3843 +*23785 FILLER_3_3854 +*23786 FILLER_3_386 +*23787 FILLER_3_3861 +*23788 FILLER_3_3865 +*23789 FILLER_3_3871 +*23790 FILLER_3_3877 +*23791 FILLER_3_3884 +*23792 FILLER_3_3891 +*23793 FILLER_3_3897 +*23794 FILLER_3_39 +*23795 FILLER_3_390 +*23796 FILLER_3_3901 +*23797 FILLER_3_3906 +*23798 FILLER_3_3918 +*23799 FILLER_3_3921 +*23800 FILLER_3_393 +*23801 FILLER_3_3933 +*23802 FILLER_3_3945 +*23803 FILLER_3_3957 +*23804 FILLER_3_3969 +*23805 FILLER_3_3975 +*23806 FILLER_3_3977 +*23807 FILLER_3_398 +*23808 FILLER_3_3982 +*23809 FILLER_3_3988 +*23810 FILLER_3_4000 +*23811 FILLER_3_4012 +*23812 FILLER_3_4024 +*23813 FILLER_3_4033 +*23814 FILLER_3_404 +*23815 FILLER_3_4045 +*23816 FILLER_3_4057 +*23817 FILLER_3_4069 +*23818 FILLER_3_4081 +*23819 FILLER_3_4087 +*23820 FILLER_3_4089 +*23821 FILLER_3_410 +*23822 FILLER_3_4101 +*23823 FILLER_3_422 +*23824 FILLER_3_425 +*23825 FILLER_3_437 +*23826 FILLER_3_443 +*23827 FILLER_3_447 +*23828 FILLER_3_449 +*23829 FILLER_3_461 +*23830 FILLER_3_469 +*23831 FILLER_3_481 +*23832 FILLER_3_489 +*23833 FILLER_3_500 +*23834 FILLER_3_505 +*23835 FILLER_3_51 +*23836 FILLER_3_511 +*23837 FILLER_3_517 +*23838 FILLER_3_521 +*23839 FILLER_3_524 +*23840 FILLER_3_530 +*23841 FILLER_3_537 +*23842 FILLER_3_547 +*23843 FILLER_3_55 +*23844 FILLER_3_553 +*23845 FILLER_3_559 +*23846 FILLER_3_561 +*23847 FILLER_3_565 +*23848 FILLER_3_57 +*23849 FILLER_3_571 +*23850 FILLER_3_579 +*23851 FILLER_3_587 +*23852 FILLER_3_595 +*23853 FILLER_3_600 +*23854 FILLER_3_606 +*23855 FILLER_3_614 +*23856 FILLER_3_617 +*23857 FILLER_3_627 +*23858 FILLER_3_635 +*23859 FILLER_3_639 +*23860 FILLER_3_648 +*23861 FILLER_3_654 +*23862 FILLER_3_660 +*23863 FILLER_3_670 +*23864 FILLER_3_673 +*23865 FILLER_3_678 +*23866 FILLER_3_686 +*23867 FILLER_3_69 +*23868 FILLER_3_692 +*23869 FILLER_3_700 +*23870 FILLER_3_703 +*23871 FILLER_3_711 +*23872 FILLER_3_716 +*23873 FILLER_3_729 +*23874 FILLER_3_733 +*23875 FILLER_3_739 +*23876 FILLER_3_745 +*23877 FILLER_3_753 +*23878 FILLER_3_759 +*23879 FILLER_3_767 +*23880 FILLER_3_773 +*23881 FILLER_3_776 +*23882 FILLER_3_782 +*23883 FILLER_3_785 +*23884 FILLER_3_791 +*23885 FILLER_3_803 +*23886 FILLER_3_807 +*23887 FILLER_3_81 +*23888 FILLER_3_815 +*23889 FILLER_3_819 +*23890 FILLER_3_825 +*23891 FILLER_3_834 +*23892 FILLER_3_841 +*23893 FILLER_3_845 +*23894 FILLER_3_851 +*23895 FILLER_3_857 +*23896 FILLER_3_865 +*23897 FILLER_3_871 +*23898 FILLER_3_877 +*23899 FILLER_3_883 +*23900 FILLER_3_891 +*23901 FILLER_3_894 +*23902 FILLER_3_897 +*23903 FILLER_3_903 +*23904 FILLER_3_910 +*23905 FILLER_3_916 +*23906 FILLER_3_922 +*23907 FILLER_3_928 +*23908 FILLER_3_93 +*23909 FILLER_3_932 +*23910 FILLER_3_935 +*23911 FILLER_3_947 +*23912 FILLER_3_950 +*23913 FILLER_3_953 +*23914 FILLER_3_957 +*23915 FILLER_3_967 +*23916 FILLER_3_973 +*23917 FILLER_3_979 +*23918 FILLER_3_985 +*23919 FILLER_3_991 +*23920 FILLER_3_997 +*23921 FILLER_40_1001 +*23922 FILLER_40_1013 +*23923 FILLER_40_1025 +*23924 FILLER_40_1033 +*23925 FILLER_40_1037 +*23926 FILLER_40_1049 +*23927 FILLER_40_1061 +*23928 FILLER_40_1073 +*23929 FILLER_40_1085 +*23930 FILLER_40_109 +*23931 FILLER_40_1091 +*23932 FILLER_40_1093 +*23933 FILLER_40_1105 +*23934 FILLER_40_1117 +*23935 FILLER_40_1129 +*23936 FILLER_40_1141 +*23937 FILLER_40_1147 +*23938 FILLER_40_1149 +*23939 FILLER_40_1161 +*23940 FILLER_40_1173 +*23941 FILLER_40_1185 +*23942 FILLER_40_1197 +*23943 FILLER_40_1203 +*23944 FILLER_40_1205 +*23945 FILLER_40_121 +*23946 FILLER_40_1217 +*23947 FILLER_40_1224 +*23948 FILLER_40_1232 +*23949 FILLER_40_1240 +*23950 FILLER_40_1243 +*23951 FILLER_40_1255 +*23952 FILLER_40_1259 +*23953 FILLER_40_1261 +*23954 FILLER_40_1273 +*23955 FILLER_40_1285 +*23956 FILLER_40_1297 +*23957 FILLER_40_1309 +*23958 FILLER_40_1315 +*23959 FILLER_40_1317 +*23960 FILLER_40_1329 +*23961 FILLER_40_133 +*23962 FILLER_40_1341 +*23963 FILLER_40_1353 +*23964 FILLER_40_1365 +*23965 FILLER_40_1371 +*23966 FILLER_40_1373 +*23967 FILLER_40_1385 +*23968 FILLER_40_139 +*23969 FILLER_40_1397 +*23970 FILLER_40_1409 +*23971 FILLER_40_141 +*23972 FILLER_40_1416 +*23973 FILLER_40_1429 +*23974 FILLER_40_1441 +*23975 FILLER_40_1447 +*23976 FILLER_40_1455 +*23977 FILLER_40_1464 +*23978 FILLER_40_1470 +*23979 FILLER_40_1482 +*23980 FILLER_40_1485 +*23981 FILLER_40_1497 +*23982 FILLER_40_15 +*23983 FILLER_40_1509 +*23984 FILLER_40_1521 +*23985 FILLER_40_153 +*23986 FILLER_40_1533 +*23987 FILLER_40_1539 +*23988 FILLER_40_1541 +*23989 FILLER_40_1553 +*23990 FILLER_40_1565 +*23991 FILLER_40_1577 +*23992 FILLER_40_1589 +*23993 FILLER_40_1595 +*23994 FILLER_40_1597 +*23995 FILLER_40_1601 +*23996 FILLER_40_1613 +*23997 FILLER_40_1621 +*23998 FILLER_40_1630 +*23999 FILLER_40_1638 +*24000 FILLER_40_165 +*24001 FILLER_40_1650 +*24002 FILLER_40_1653 +*24003 FILLER_40_1665 +*24004 FILLER_40_1672 +*24005 FILLER_40_1678 +*24006 FILLER_40_1690 +*24007 FILLER_40_1702 +*24008 FILLER_40_1709 +*24009 FILLER_40_1721 +*24010 FILLER_40_1727 +*24011 FILLER_40_1739 +*24012 FILLER_40_1751 +*24013 FILLER_40_1763 +*24014 FILLER_40_1765 +*24015 FILLER_40_177 +*24016 FILLER_40_1777 +*24017 FILLER_40_1789 +*24018 FILLER_40_1794 +*24019 FILLER_40_1800 +*24020 FILLER_40_1812 +*24021 FILLER_40_1818 +*24022 FILLER_40_1821 +*24023 FILLER_40_1827 +*24024 FILLER_40_1839 +*24025 FILLER_40_1851 +*24026 FILLER_40_1863 +*24027 FILLER_40_1875 +*24028 FILLER_40_1877 +*24029 FILLER_40_1889 +*24030 FILLER_40_189 +*24031 FILLER_40_1901 +*24032 FILLER_40_1913 +*24033 FILLER_40_1925 +*24034 FILLER_40_1931 +*24035 FILLER_40_1933 +*24036 FILLER_40_1945 +*24037 FILLER_40_195 +*24038 FILLER_40_1957 +*24039 FILLER_40_1969 +*24040 FILLER_40_197 +*24041 FILLER_40_1981 +*24042 FILLER_40_1987 +*24043 FILLER_40_1989 +*24044 FILLER_40_2001 +*24045 FILLER_40_2013 +*24046 FILLER_40_2025 +*24047 FILLER_40_2030 +*24048 FILLER_40_2042 +*24049 FILLER_40_2045 +*24050 FILLER_40_2057 +*24051 FILLER_40_2069 +*24052 FILLER_40_2081 +*24053 FILLER_40_209 +*24054 FILLER_40_2092 +*24055 FILLER_40_2098 +*24056 FILLER_40_2101 +*24057 FILLER_40_2113 +*24058 FILLER_40_2125 +*24059 FILLER_40_2137 +*24060 FILLER_40_2149 +*24061 FILLER_40_2155 +*24062 FILLER_40_2157 +*24063 FILLER_40_2169 +*24064 FILLER_40_2181 +*24065 FILLER_40_2193 +*24066 FILLER_40_2205 +*24067 FILLER_40_221 +*24068 FILLER_40_2211 +*24069 FILLER_40_2213 +*24070 FILLER_40_2219 +*24071 FILLER_40_2229 +*24072 FILLER_40_2235 +*24073 FILLER_40_2247 +*24074 FILLER_40_2259 +*24075 FILLER_40_2267 +*24076 FILLER_40_2269 +*24077 FILLER_40_2273 +*24078 FILLER_40_2276 +*24079 FILLER_40_2288 +*24080 FILLER_40_2294 +*24081 FILLER_40_2297 +*24082 FILLER_40_2311 +*24083 FILLER_40_2322 +*24084 FILLER_40_2325 +*24085 FILLER_40_233 +*24086 FILLER_40_2337 +*24087 FILLER_40_2349 +*24088 FILLER_40_2364 +*24089 FILLER_40_2370 +*24090 FILLER_40_2378 +*24091 FILLER_40_2381 +*24092 FILLER_40_2393 +*24093 FILLER_40_2405 +*24094 FILLER_40_2417 +*24095 FILLER_40_2429 +*24096 FILLER_40_2435 +*24097 FILLER_40_2437 +*24098 FILLER_40_2449 +*24099 FILLER_40_245 +*24100 FILLER_40_2461 +*24101 FILLER_40_2473 +*24102 FILLER_40_2485 +*24103 FILLER_40_2491 +*24104 FILLER_40_2493 +*24105 FILLER_40_2505 +*24106 FILLER_40_251 +*24107 FILLER_40_2517 +*24108 FILLER_40_2529 +*24109 FILLER_40_253 +*24110 FILLER_40_2541 +*24111 FILLER_40_2547 +*24112 FILLER_40_2549 +*24113 FILLER_40_2561 +*24114 FILLER_40_2573 +*24115 FILLER_40_2585 +*24116 FILLER_40_2597 +*24117 FILLER_40_2603 +*24118 FILLER_40_2605 +*24119 FILLER_40_2617 +*24120 FILLER_40_2629 +*24121 FILLER_40_2641 +*24122 FILLER_40_265 +*24123 FILLER_40_2653 +*24124 FILLER_40_2659 +*24125 FILLER_40_2661 +*24126 FILLER_40_2673 +*24127 FILLER_40_2685 +*24128 FILLER_40_2697 +*24129 FILLER_40_27 +*24130 FILLER_40_2709 +*24131 FILLER_40_2715 +*24132 FILLER_40_2717 +*24133 FILLER_40_2729 +*24134 FILLER_40_2741 +*24135 FILLER_40_2753 +*24136 FILLER_40_2765 +*24137 FILLER_40_277 +*24138 FILLER_40_2771 +*24139 FILLER_40_2773 +*24140 FILLER_40_2785 +*24141 FILLER_40_2797 +*24142 FILLER_40_2809 +*24143 FILLER_40_2821 +*24144 FILLER_40_2827 +*24145 FILLER_40_2829 +*24146 FILLER_40_2841 +*24147 FILLER_40_2853 +*24148 FILLER_40_2865 +*24149 FILLER_40_2877 +*24150 FILLER_40_2883 +*24151 FILLER_40_2885 +*24152 FILLER_40_289 +*24153 FILLER_40_2897 +*24154 FILLER_40_29 +*24155 FILLER_40_2907 +*24156 FILLER_40_2917 +*24157 FILLER_40_2933 +*24158 FILLER_40_2939 +*24159 FILLER_40_2941 +*24160 FILLER_40_2953 +*24161 FILLER_40_2965 +*24162 FILLER_40_2977 +*24163 FILLER_40_2989 +*24164 FILLER_40_2995 +*24165 FILLER_40_2997 +*24166 FILLER_40_3 +*24167 FILLER_40_3009 +*24168 FILLER_40_301 +*24169 FILLER_40_3021 +*24170 FILLER_40_3026 +*24171 FILLER_40_3034 +*24172 FILLER_40_3046 +*24173 FILLER_40_3053 +*24174 FILLER_40_3065 +*24175 FILLER_40_307 +*24176 FILLER_40_3073 +*24177 FILLER_40_3078 +*24178 FILLER_40_309 +*24179 FILLER_40_3090 +*24180 FILLER_40_3102 +*24181 FILLER_40_3109 +*24182 FILLER_40_3121 +*24183 FILLER_40_3133 +*24184 FILLER_40_3145 +*24185 FILLER_40_3157 +*24186 FILLER_40_3163 +*24187 FILLER_40_3165 +*24188 FILLER_40_3177 +*24189 FILLER_40_3183 +*24190 FILLER_40_3195 +*24191 FILLER_40_3207 +*24192 FILLER_40_321 +*24193 FILLER_40_3219 +*24194 FILLER_40_3221 +*24195 FILLER_40_3233 +*24196 FILLER_40_3245 +*24197 FILLER_40_3257 +*24198 FILLER_40_3269 +*24199 FILLER_40_3275 +*24200 FILLER_40_3277 +*24201 FILLER_40_3289 +*24202 FILLER_40_3295 +*24203 FILLER_40_3301 +*24204 FILLER_40_3313 +*24205 FILLER_40_3325 +*24206 FILLER_40_333 +*24207 FILLER_40_3331 +*24208 FILLER_40_3333 +*24209 FILLER_40_3345 +*24210 FILLER_40_3357 +*24211 FILLER_40_3369 +*24212 FILLER_40_3381 +*24213 FILLER_40_3387 +*24214 FILLER_40_3389 +*24215 FILLER_40_3401 +*24216 FILLER_40_3413 +*24217 FILLER_40_3425 +*24218 FILLER_40_3437 +*24219 FILLER_40_3443 +*24220 FILLER_40_3445 +*24221 FILLER_40_345 +*24222 FILLER_40_3457 +*24223 FILLER_40_3469 +*24224 FILLER_40_3481 +*24225 FILLER_40_3493 +*24226 FILLER_40_3499 +*24227 FILLER_40_3501 +*24228 FILLER_40_3513 +*24229 FILLER_40_3525 +*24230 FILLER_40_3537 +*24231 FILLER_40_3549 +*24232 FILLER_40_3555 +*24233 FILLER_40_3557 +*24234 FILLER_40_3569 +*24235 FILLER_40_357 +*24236 FILLER_40_3581 +*24237 FILLER_40_3593 +*24238 FILLER_40_3605 +*24239 FILLER_40_3611 +*24240 FILLER_40_3613 +*24241 FILLER_40_3625 +*24242 FILLER_40_363 +*24243 FILLER_40_3637 +*24244 FILLER_40_3649 +*24245 FILLER_40_365 +*24246 FILLER_40_3661 +*24247 FILLER_40_3667 +*24248 FILLER_40_3669 +*24249 FILLER_40_3681 +*24250 FILLER_40_3693 +*24251 FILLER_40_3708 +*24252 FILLER_40_3720 +*24253 FILLER_40_3725 +*24254 FILLER_40_3737 +*24255 FILLER_40_3749 +*24256 FILLER_40_3761 +*24257 FILLER_40_377 +*24258 FILLER_40_3773 +*24259 FILLER_40_3779 +*24260 FILLER_40_3781 +*24261 FILLER_40_3793 +*24262 FILLER_40_3805 +*24263 FILLER_40_3817 +*24264 FILLER_40_3829 +*24265 FILLER_40_3835 +*24266 FILLER_40_3837 +*24267 FILLER_40_3849 +*24268 FILLER_40_3863 +*24269 FILLER_40_3869 +*24270 FILLER_40_3881 +*24271 FILLER_40_3889 +*24272 FILLER_40_389 +*24273 FILLER_40_3893 +*24274 FILLER_40_3898 +*24275 FILLER_40_3904 +*24276 FILLER_40_3916 +*24277 FILLER_40_3928 +*24278 FILLER_40_3940 +*24279 FILLER_40_3949 +*24280 FILLER_40_3961 +*24281 FILLER_40_3973 +*24282 FILLER_40_3985 +*24283 FILLER_40_3997 +*24284 FILLER_40_4003 +*24285 FILLER_40_4005 +*24286 FILLER_40_401 +*24287 FILLER_40_4017 +*24288 FILLER_40_4029 +*24289 FILLER_40_4041 +*24290 FILLER_40_4053 +*24291 FILLER_40_4059 +*24292 FILLER_40_4061 +*24293 FILLER_40_4073 +*24294 FILLER_40_41 +*24295 FILLER_40_4101 +*24296 FILLER_40_413 +*24297 FILLER_40_419 +*24298 FILLER_40_421 +*24299 FILLER_40_433 +*24300 FILLER_40_445 +*24301 FILLER_40_457 +*24302 FILLER_40_469 +*24303 FILLER_40_475 +*24304 FILLER_40_477 +*24305 FILLER_40_489 +*24306 FILLER_40_501 +*24307 FILLER_40_513 +*24308 FILLER_40_525 +*24309 FILLER_40_53 +*24310 FILLER_40_531 +*24311 FILLER_40_533 +*24312 FILLER_40_545 +*24313 FILLER_40_557 +*24314 FILLER_40_569 +*24315 FILLER_40_581 +*24316 FILLER_40_587 +*24317 FILLER_40_589 +*24318 FILLER_40_601 +*24319 FILLER_40_605 +*24320 FILLER_40_613 +*24321 FILLER_40_625 +*24322 FILLER_40_637 +*24323 FILLER_40_643 +*24324 FILLER_40_645 +*24325 FILLER_40_65 +*24326 FILLER_40_657 +*24327 FILLER_40_669 +*24328 FILLER_40_673 +*24329 FILLER_40_676 +*24330 FILLER_40_684 +*24331 FILLER_40_696 +*24332 FILLER_40_701 +*24333 FILLER_40_713 +*24334 FILLER_40_725 +*24335 FILLER_40_737 +*24336 FILLER_40_749 +*24337 FILLER_40_755 +*24338 FILLER_40_757 +*24339 FILLER_40_769 +*24340 FILLER_40_77 +*24341 FILLER_40_781 +*24342 FILLER_40_793 +*24343 FILLER_40_805 +*24344 FILLER_40_811 +*24345 FILLER_40_813 +*24346 FILLER_40_825 +*24347 FILLER_40_83 +*24348 FILLER_40_837 +*24349 FILLER_40_849 +*24350 FILLER_40_85 +*24351 FILLER_40_861 +*24352 FILLER_40_867 +*24353 FILLER_40_869 +*24354 FILLER_40_881 +*24355 FILLER_40_893 +*24356 FILLER_40_905 +*24357 FILLER_40_917 +*24358 FILLER_40_923 +*24359 FILLER_40_925 +*24360 FILLER_40_937 +*24361 FILLER_40_949 +*24362 FILLER_40_961 +*24363 FILLER_40_97 +*24364 FILLER_40_973 +*24365 FILLER_40_979 +*24366 FILLER_40_981 +*24367 FILLER_40_991 +*24368 FILLER_41_1001 +*24369 FILLER_41_1007 +*24370 FILLER_41_1009 +*24371 FILLER_41_1021 +*24372 FILLER_41_1033 +*24373 FILLER_41_1045 +*24374 FILLER_41_105 +*24375 FILLER_41_1057 +*24376 FILLER_41_1063 +*24377 FILLER_41_1065 +*24378 FILLER_41_1077 +*24379 FILLER_41_1089 +*24380 FILLER_41_1097 +*24381 FILLER_41_1101 +*24382 FILLER_41_111 +*24383 FILLER_41_1115 +*24384 FILLER_41_1119 +*24385 FILLER_41_1121 +*24386 FILLER_41_113 +*24387 FILLER_41_1133 +*24388 FILLER_41_1145 +*24389 FILLER_41_1149 +*24390 FILLER_41_1156 +*24391 FILLER_41_1162 +*24392 FILLER_41_1174 +*24393 FILLER_41_1177 +*24394 FILLER_41_1189 +*24395 FILLER_41_1201 +*24396 FILLER_41_1213 +*24397 FILLER_41_1221 +*24398 FILLER_41_1224 +*24399 FILLER_41_1230 +*24400 FILLER_41_1233 +*24401 FILLER_41_1238 +*24402 FILLER_41_1242 +*24403 FILLER_41_1247 +*24404 FILLER_41_125 +*24405 FILLER_41_1259 +*24406 FILLER_41_1267 +*24407 FILLER_41_1276 +*24408 FILLER_41_1282 +*24409 FILLER_41_1289 +*24410 FILLER_41_1293 +*24411 FILLER_41_1305 +*24412 FILLER_41_1317 +*24413 FILLER_41_1329 +*24414 FILLER_41_1341 +*24415 FILLER_41_1345 +*24416 FILLER_41_1357 +*24417 FILLER_41_1369 +*24418 FILLER_41_137 +*24419 FILLER_41_1381 +*24420 FILLER_41_1393 +*24421 FILLER_41_1399 +*24422 FILLER_41_1401 +*24423 FILLER_41_1413 +*24424 FILLER_41_1425 +*24425 FILLER_41_1437 +*24426 FILLER_41_1449 +*24427 FILLER_41_1455 +*24428 FILLER_41_1457 +*24429 FILLER_41_1469 +*24430 FILLER_41_1481 +*24431 FILLER_41_149 +*24432 FILLER_41_1493 +*24433 FILLER_41_15 +*24434 FILLER_41_1505 +*24435 FILLER_41_1511 +*24436 FILLER_41_1513 +*24437 FILLER_41_1521 +*24438 FILLER_41_1527 +*24439 FILLER_41_1535 +*24440 FILLER_41_1540 +*24441 FILLER_41_1552 +*24442 FILLER_41_1564 +*24443 FILLER_41_1569 +*24444 FILLER_41_1577 +*24445 FILLER_41_1583 +*24446 FILLER_41_1599 +*24447 FILLER_41_1605 +*24448 FILLER_41_161 +*24449 FILLER_41_1612 +*24450 FILLER_41_1618 +*24451 FILLER_41_1625 +*24452 FILLER_41_1637 +*24453 FILLER_41_1649 +*24454 FILLER_41_1658 +*24455 FILLER_41_1664 +*24456 FILLER_41_167 +*24457 FILLER_41_1676 +*24458 FILLER_41_1681 +*24459 FILLER_41_169 +*24460 FILLER_41_1693 +*24461 FILLER_41_1705 +*24462 FILLER_41_1717 +*24463 FILLER_41_1725 +*24464 FILLER_41_1732 +*24465 FILLER_41_1737 +*24466 FILLER_41_1741 +*24467 FILLER_41_1753 +*24468 FILLER_41_1765 +*24469 FILLER_41_1773 +*24470 FILLER_41_1779 +*24471 FILLER_41_1791 +*24472 FILLER_41_1793 +*24473 FILLER_41_1805 +*24474 FILLER_41_181 +*24475 FILLER_41_1817 +*24476 FILLER_41_1829 +*24477 FILLER_41_1841 +*24478 FILLER_41_1847 +*24479 FILLER_41_1849 +*24480 FILLER_41_1861 +*24481 FILLER_41_1873 +*24482 FILLER_41_1883 +*24483 FILLER_41_1895 +*24484 FILLER_41_1903 +*24485 FILLER_41_1905 +*24486 FILLER_41_1917 +*24487 FILLER_41_1929 +*24488 FILLER_41_193 +*24489 FILLER_41_1941 +*24490 FILLER_41_1953 +*24491 FILLER_41_1959 +*24492 FILLER_41_1961 +*24493 FILLER_41_1973 +*24494 FILLER_41_1985 +*24495 FILLER_41_1997 +*24496 FILLER_41_2009 +*24497 FILLER_41_2015 +*24498 FILLER_41_2017 +*24499 FILLER_41_2023 +*24500 FILLER_41_2029 +*24501 FILLER_41_2041 +*24502 FILLER_41_205 +*24503 FILLER_41_2053 +*24504 FILLER_41_2065 +*24505 FILLER_41_2071 +*24506 FILLER_41_2073 +*24507 FILLER_41_2085 +*24508 FILLER_41_2097 +*24509 FILLER_41_2109 +*24510 FILLER_41_2121 +*24511 FILLER_41_2127 +*24512 FILLER_41_2129 +*24513 FILLER_41_2134 +*24514 FILLER_41_2140 +*24515 FILLER_41_2152 +*24516 FILLER_41_2164 +*24517 FILLER_41_217 +*24518 FILLER_41_2176 +*24519 FILLER_41_2185 +*24520 FILLER_41_2197 +*24521 FILLER_41_2205 +*24522 FILLER_41_2211 +*24523 FILLER_41_2217 +*24524 FILLER_41_2229 +*24525 FILLER_41_223 +*24526 FILLER_41_2237 +*24527 FILLER_41_2241 +*24528 FILLER_41_2249 +*24529 FILLER_41_225 +*24530 FILLER_41_2254 +*24531 FILLER_41_2268 +*24532 FILLER_41_2286 +*24533 FILLER_41_2294 +*24534 FILLER_41_2297 +*24535 FILLER_41_2309 +*24536 FILLER_41_2321 +*24537 FILLER_41_2327 +*24538 FILLER_41_2339 +*24539 FILLER_41_2351 +*24540 FILLER_41_2353 +*24541 FILLER_41_2365 +*24542 FILLER_41_237 +*24543 FILLER_41_2377 +*24544 FILLER_41_2389 +*24545 FILLER_41_2401 +*24546 FILLER_41_2407 +*24547 FILLER_41_2409 +*24548 FILLER_41_2421 +*24549 FILLER_41_2433 +*24550 FILLER_41_2445 +*24551 FILLER_41_2457 +*24552 FILLER_41_2463 +*24553 FILLER_41_2465 +*24554 FILLER_41_2477 +*24555 FILLER_41_2489 +*24556 FILLER_41_249 +*24557 FILLER_41_2493 +*24558 FILLER_41_2497 +*24559 FILLER_41_2503 +*24560 FILLER_41_2515 +*24561 FILLER_41_2519 +*24562 FILLER_41_2521 +*24563 FILLER_41_2533 +*24564 FILLER_41_2545 +*24565 FILLER_41_2557 +*24566 FILLER_41_2569 +*24567 FILLER_41_2575 +*24568 FILLER_41_2577 +*24569 FILLER_41_2589 +*24570 FILLER_41_2601 +*24571 FILLER_41_261 +*24572 FILLER_41_2613 +*24573 FILLER_41_2625 +*24574 FILLER_41_2631 +*24575 FILLER_41_2633 +*24576 FILLER_41_2645 +*24577 FILLER_41_2655 +*24578 FILLER_41_2661 +*24579 FILLER_41_2669 +*24580 FILLER_41_2681 +*24581 FILLER_41_2687 +*24582 FILLER_41_2689 +*24583 FILLER_41_27 +*24584 FILLER_41_2701 +*24585 FILLER_41_2713 +*24586 FILLER_41_2725 +*24587 FILLER_41_273 +*24588 FILLER_41_2737 +*24589 FILLER_41_2743 +*24590 FILLER_41_2745 +*24591 FILLER_41_2757 +*24592 FILLER_41_2769 +*24593 FILLER_41_2781 +*24594 FILLER_41_279 +*24595 FILLER_41_2793 +*24596 FILLER_41_2799 +*24597 FILLER_41_2801 +*24598 FILLER_41_281 +*24599 FILLER_41_2813 +*24600 FILLER_41_2825 +*24601 FILLER_41_2837 +*24602 FILLER_41_2849 +*24603 FILLER_41_2855 +*24604 FILLER_41_2857 +*24605 FILLER_41_2869 +*24606 FILLER_41_2879 +*24607 FILLER_41_2889 +*24608 FILLER_41_2901 +*24609 FILLER_41_2909 +*24610 FILLER_41_2913 +*24611 FILLER_41_2925 +*24612 FILLER_41_293 +*24613 FILLER_41_2937 +*24614 FILLER_41_2949 +*24615 FILLER_41_2961 +*24616 FILLER_41_2967 +*24617 FILLER_41_2969 +*24618 FILLER_41_2981 +*24619 FILLER_41_2993 +*24620 FILLER_41_3 +*24621 FILLER_41_3005 +*24622 FILLER_41_3017 +*24623 FILLER_41_3023 +*24624 FILLER_41_3025 +*24625 FILLER_41_3037 +*24626 FILLER_41_3049 +*24627 FILLER_41_305 +*24628 FILLER_41_3061 +*24629 FILLER_41_3073 +*24630 FILLER_41_3079 +*24631 FILLER_41_3081 +*24632 FILLER_41_3093 +*24633 FILLER_41_3105 +*24634 FILLER_41_3117 +*24635 FILLER_41_3129 +*24636 FILLER_41_3135 +*24637 FILLER_41_3137 +*24638 FILLER_41_3149 +*24639 FILLER_41_3161 +*24640 FILLER_41_317 +*24641 FILLER_41_3173 +*24642 FILLER_41_3185 +*24643 FILLER_41_3191 +*24644 FILLER_41_3193 +*24645 FILLER_41_3205 +*24646 FILLER_41_3217 +*24647 FILLER_41_3229 +*24648 FILLER_41_3241 +*24649 FILLER_41_3247 +*24650 FILLER_41_3249 +*24651 FILLER_41_3261 +*24652 FILLER_41_3273 +*24653 FILLER_41_3285 +*24654 FILLER_41_329 +*24655 FILLER_41_3297 +*24656 FILLER_41_3303 +*24657 FILLER_41_3305 +*24658 FILLER_41_3317 +*24659 FILLER_41_3329 +*24660 FILLER_41_3341 +*24661 FILLER_41_335 +*24662 FILLER_41_3353 +*24663 FILLER_41_3359 +*24664 FILLER_41_3361 +*24665 FILLER_41_337 +*24666 FILLER_41_3373 +*24667 FILLER_41_3385 +*24668 FILLER_41_3397 +*24669 FILLER_41_3409 +*24670 FILLER_41_3415 +*24671 FILLER_41_3417 +*24672 FILLER_41_3429 +*24673 FILLER_41_3441 +*24674 FILLER_41_3453 +*24675 FILLER_41_3465 +*24676 FILLER_41_3471 +*24677 FILLER_41_3473 +*24678 FILLER_41_3485 +*24679 FILLER_41_349 +*24680 FILLER_41_3497 +*24681 FILLER_41_3509 +*24682 FILLER_41_3521 +*24683 FILLER_41_3527 +*24684 FILLER_41_3529 +*24685 FILLER_41_3541 +*24686 FILLER_41_3553 +*24687 FILLER_41_3565 +*24688 FILLER_41_3577 +*24689 FILLER_41_3583 +*24690 FILLER_41_3585 +*24691 FILLER_41_3597 +*24692 FILLER_41_3609 +*24693 FILLER_41_361 +*24694 FILLER_41_3621 +*24695 FILLER_41_3633 +*24696 FILLER_41_3639 +*24697 FILLER_41_3641 +*24698 FILLER_41_3653 +*24699 FILLER_41_3665 +*24700 FILLER_41_3677 +*24701 FILLER_41_3689 +*24702 FILLER_41_3695 +*24703 FILLER_41_3697 +*24704 FILLER_41_3709 +*24705 FILLER_41_3721 +*24706 FILLER_41_373 +*24707 FILLER_41_3733 +*24708 FILLER_41_3745 +*24709 FILLER_41_3751 +*24710 FILLER_41_3753 +*24711 FILLER_41_3765 +*24712 FILLER_41_3777 +*24713 FILLER_41_3789 +*24714 FILLER_41_3801 +*24715 FILLER_41_3807 +*24716 FILLER_41_3809 +*24717 FILLER_41_3821 +*24718 FILLER_41_3833 +*24719 FILLER_41_3845 +*24720 FILLER_41_385 +*24721 FILLER_41_3857 +*24722 FILLER_41_3861 +*24723 FILLER_41_3865 +*24724 FILLER_41_3874 +*24725 FILLER_41_3880 +*24726 FILLER_41_3892 +*24727 FILLER_41_39 +*24728 FILLER_41_3904 +*24729 FILLER_41_3908 +*24730 FILLER_41_391 +*24731 FILLER_41_3921 +*24732 FILLER_41_393 +*24733 FILLER_41_3933 +*24734 FILLER_41_3945 +*24735 FILLER_41_3957 +*24736 FILLER_41_3969 +*24737 FILLER_41_3975 +*24738 FILLER_41_3977 +*24739 FILLER_41_3989 +*24740 FILLER_41_4001 +*24741 FILLER_41_4013 +*24742 FILLER_41_4025 +*24743 FILLER_41_4031 +*24744 FILLER_41_4033 +*24745 FILLER_41_4045 +*24746 FILLER_41_405 +*24747 FILLER_41_4057 +*24748 FILLER_41_4069 +*24749 FILLER_41_4081 +*24750 FILLER_41_4087 +*24751 FILLER_41_4089 +*24752 FILLER_41_4101 +*24753 FILLER_41_417 +*24754 FILLER_41_429 +*24755 FILLER_41_441 +*24756 FILLER_41_447 +*24757 FILLER_41_449 +*24758 FILLER_41_461 +*24759 FILLER_41_473 +*24760 FILLER_41_485 +*24761 FILLER_41_497 +*24762 FILLER_41_503 +*24763 FILLER_41_505 +*24764 FILLER_41_51 +*24765 FILLER_41_517 +*24766 FILLER_41_529 +*24767 FILLER_41_541 +*24768 FILLER_41_55 +*24769 FILLER_41_553 +*24770 FILLER_41_559 +*24771 FILLER_41_561 +*24772 FILLER_41_57 +*24773 FILLER_41_573 +*24774 FILLER_41_585 +*24775 FILLER_41_597 +*24776 FILLER_41_607 +*24777 FILLER_41_613 +*24778 FILLER_41_617 +*24779 FILLER_41_629 +*24780 FILLER_41_641 +*24781 FILLER_41_653 +*24782 FILLER_41_665 +*24783 FILLER_41_671 +*24784 FILLER_41_673 +*24785 FILLER_41_685 +*24786 FILLER_41_69 +*24787 FILLER_41_697 +*24788 FILLER_41_709 +*24789 FILLER_41_721 +*24790 FILLER_41_727 +*24791 FILLER_41_729 +*24792 FILLER_41_741 +*24793 FILLER_41_753 +*24794 FILLER_41_763 +*24795 FILLER_41_771 +*24796 FILLER_41_783 +*24797 FILLER_41_785 +*24798 FILLER_41_797 +*24799 FILLER_41_81 +*24800 FILLER_41_811 +*24801 FILLER_41_819 +*24802 FILLER_41_831 +*24803 FILLER_41_839 +*24804 FILLER_41_841 +*24805 FILLER_41_853 +*24806 FILLER_41_865 +*24807 FILLER_41_877 +*24808 FILLER_41_889 +*24809 FILLER_41_895 +*24810 FILLER_41_897 +*24811 FILLER_41_909 +*24812 FILLER_41_921 +*24813 FILLER_41_93 +*24814 FILLER_41_933 +*24815 FILLER_41_945 +*24816 FILLER_41_951 +*24817 FILLER_41_953 +*24818 FILLER_41_965 +*24819 FILLER_41_979 +*24820 FILLER_41_989 +*24821 FILLER_42_1006 +*24822 FILLER_42_1012 +*24823 FILLER_42_1024 +*24824 FILLER_42_1037 +*24825 FILLER_42_1042 +*24826 FILLER_42_1048 +*24827 FILLER_42_1060 +*24828 FILLER_42_1072 +*24829 FILLER_42_1084 +*24830 FILLER_42_109 +*24831 FILLER_42_1093 +*24832 FILLER_42_1105 +*24833 FILLER_42_1117 +*24834 FILLER_42_1129 +*24835 FILLER_42_1141 +*24836 FILLER_42_1147 +*24837 FILLER_42_1149 +*24838 FILLER_42_1161 +*24839 FILLER_42_1173 +*24840 FILLER_42_1185 +*24841 FILLER_42_1193 +*24842 FILLER_42_1198 +*24843 FILLER_42_1205 +*24844 FILLER_42_1209 +*24845 FILLER_42_121 +*24846 FILLER_42_1221 +*24847 FILLER_42_1233 +*24848 FILLER_42_1245 +*24849 FILLER_42_1257 +*24850 FILLER_42_1261 +*24851 FILLER_42_1273 +*24852 FILLER_42_1278 +*24853 FILLER_42_1285 +*24854 FILLER_42_1295 +*24855 FILLER_42_1307 +*24856 FILLER_42_1315 +*24857 FILLER_42_1317 +*24858 FILLER_42_1329 +*24859 FILLER_42_133 +*24860 FILLER_42_1341 +*24861 FILLER_42_1353 +*24862 FILLER_42_1365 +*24863 FILLER_42_1371 +*24864 FILLER_42_1373 +*24865 FILLER_42_1385 +*24866 FILLER_42_139 +*24867 FILLER_42_1393 +*24868 FILLER_42_1402 +*24869 FILLER_42_1408 +*24870 FILLER_42_141 +*24871 FILLER_42_1420 +*24872 FILLER_42_1429 +*24873 FILLER_42_1441 +*24874 FILLER_42_1453 +*24875 FILLER_42_1465 +*24876 FILLER_42_1477 +*24877 FILLER_42_1483 +*24878 FILLER_42_1485 +*24879 FILLER_42_1497 +*24880 FILLER_42_15 +*24881 FILLER_42_1502 +*24882 FILLER_42_1514 +*24883 FILLER_42_1526 +*24884 FILLER_42_153 +*24885 FILLER_42_1538 +*24886 FILLER_42_1541 +*24887 FILLER_42_1548 +*24888 FILLER_42_1554 +*24889 FILLER_42_1566 +*24890 FILLER_42_1578 +*24891 FILLER_42_1590 +*24892 FILLER_42_1597 +*24893 FILLER_42_1609 +*24894 FILLER_42_1612 +*24895 FILLER_42_1620 +*24896 FILLER_42_1632 +*24897 FILLER_42_1644 +*24898 FILLER_42_165 +*24899 FILLER_42_1653 +*24900 FILLER_42_1665 +*24901 FILLER_42_1677 +*24902 FILLER_42_1689 +*24903 FILLER_42_1701 +*24904 FILLER_42_1707 +*24905 FILLER_42_1709 +*24906 FILLER_42_1721 +*24907 FILLER_42_1733 +*24908 FILLER_42_1745 +*24909 FILLER_42_1757 +*24910 FILLER_42_1763 +*24911 FILLER_42_1765 +*24912 FILLER_42_177 +*24913 FILLER_42_1777 +*24914 FILLER_42_1789 +*24915 FILLER_42_1796 +*24916 FILLER_42_1802 +*24917 FILLER_42_1814 +*24918 FILLER_42_1821 +*24919 FILLER_42_1833 +*24920 FILLER_42_1845 +*24921 FILLER_42_1857 +*24922 FILLER_42_1869 +*24923 FILLER_42_1875 +*24924 FILLER_42_1877 +*24925 FILLER_42_1889 +*24926 FILLER_42_189 +*24927 FILLER_42_1893 +*24928 FILLER_42_1898 +*24929 FILLER_42_1904 +*24930 FILLER_42_1916 +*24931 FILLER_42_1928 +*24932 FILLER_42_1933 +*24933 FILLER_42_1945 +*24934 FILLER_42_195 +*24935 FILLER_42_1957 +*24936 FILLER_42_1964 +*24937 FILLER_42_197 +*24938 FILLER_42_1970 +*24939 FILLER_42_1978 +*24940 FILLER_42_1986 +*24941 FILLER_42_1989 +*24942 FILLER_42_2001 +*24943 FILLER_42_2013 +*24944 FILLER_42_2025 +*24945 FILLER_42_2033 +*24946 FILLER_42_2041 +*24947 FILLER_42_2045 +*24948 FILLER_42_2057 +*24949 FILLER_42_2065 +*24950 FILLER_42_2070 +*24951 FILLER_42_2082 +*24952 FILLER_42_2088 +*24953 FILLER_42_209 +*24954 FILLER_42_2094 +*24955 FILLER_42_2101 +*24956 FILLER_42_2113 +*24957 FILLER_42_2125 +*24958 FILLER_42_2137 +*24959 FILLER_42_2149 +*24960 FILLER_42_2155 +*24961 FILLER_42_2157 +*24962 FILLER_42_2169 +*24963 FILLER_42_2181 +*24964 FILLER_42_2193 +*24965 FILLER_42_2205 +*24966 FILLER_42_221 +*24967 FILLER_42_2211 +*24968 FILLER_42_2213 +*24969 FILLER_42_2225 +*24970 FILLER_42_2237 +*24971 FILLER_42_2249 +*24972 FILLER_42_2261 +*24973 FILLER_42_2267 +*24974 FILLER_42_2269 +*24975 FILLER_42_2281 +*24976 FILLER_42_2293 +*24977 FILLER_42_2305 +*24978 FILLER_42_2317 +*24979 FILLER_42_2323 +*24980 FILLER_42_2325 +*24981 FILLER_42_233 +*24982 FILLER_42_2337 +*24983 FILLER_42_2349 +*24984 FILLER_42_2361 +*24985 FILLER_42_2373 +*24986 FILLER_42_2379 +*24987 FILLER_42_2381 +*24988 FILLER_42_2393 +*24989 FILLER_42_2405 +*24990 FILLER_42_2417 +*24991 FILLER_42_2429 +*24992 FILLER_42_2435 +*24993 FILLER_42_2437 +*24994 FILLER_42_2449 +*24995 FILLER_42_245 +*24996 FILLER_42_2461 +*24997 FILLER_42_2473 +*24998 FILLER_42_2485 +*24999 FILLER_42_2491 +*25000 FILLER_42_2493 +*25001 FILLER_42_2505 +*25002 FILLER_42_251 +*25003 FILLER_42_2517 +*25004 FILLER_42_2529 +*25005 FILLER_42_253 +*25006 FILLER_42_2541 +*25007 FILLER_42_2547 +*25008 FILLER_42_2549 +*25009 FILLER_42_2561 +*25010 FILLER_42_2573 +*25011 FILLER_42_2585 +*25012 FILLER_42_2597 +*25013 FILLER_42_2603 +*25014 FILLER_42_2605 +*25015 FILLER_42_2617 +*25016 FILLER_42_2629 +*25017 FILLER_42_2641 +*25018 FILLER_42_2649 +*25019 FILLER_42_265 +*25020 FILLER_42_2653 +*25021 FILLER_42_2659 +*25022 FILLER_42_2661 +*25023 FILLER_42_2673 +*25024 FILLER_42_2685 +*25025 FILLER_42_2689 +*25026 FILLER_42_2692 +*25027 FILLER_42_27 +*25028 FILLER_42_2704 +*25029 FILLER_42_2717 +*25030 FILLER_42_2729 +*25031 FILLER_42_2741 +*25032 FILLER_42_2753 +*25033 FILLER_42_2765 +*25034 FILLER_42_277 +*25035 FILLER_42_2771 +*25036 FILLER_42_2773 +*25037 FILLER_42_2785 +*25038 FILLER_42_2797 +*25039 FILLER_42_2809 +*25040 FILLER_42_2821 +*25041 FILLER_42_2827 +*25042 FILLER_42_2829 +*25043 FILLER_42_2841 +*25044 FILLER_42_2853 +*25045 FILLER_42_2865 +*25046 FILLER_42_2877 +*25047 FILLER_42_2883 +*25048 FILLER_42_2885 +*25049 FILLER_42_289 +*25050 FILLER_42_2897 +*25051 FILLER_42_29 +*25052 FILLER_42_2909 +*25053 FILLER_42_2921 +*25054 FILLER_42_2933 +*25055 FILLER_42_2939 +*25056 FILLER_42_2941 +*25057 FILLER_42_2953 +*25058 FILLER_42_2965 +*25059 FILLER_42_2977 +*25060 FILLER_42_2989 +*25061 FILLER_42_2995 +*25062 FILLER_42_2997 +*25063 FILLER_42_3 +*25064 FILLER_42_3009 +*25065 FILLER_42_301 +*25066 FILLER_42_3021 +*25067 FILLER_42_3033 +*25068 FILLER_42_3045 +*25069 FILLER_42_3051 +*25070 FILLER_42_3053 +*25071 FILLER_42_3065 +*25072 FILLER_42_307 +*25073 FILLER_42_3077 +*25074 FILLER_42_3089 +*25075 FILLER_42_309 +*25076 FILLER_42_3101 +*25077 FILLER_42_3107 +*25078 FILLER_42_3109 +*25079 FILLER_42_3121 +*25080 FILLER_42_3133 +*25081 FILLER_42_3145 +*25082 FILLER_42_3157 +*25083 FILLER_42_3163 +*25084 FILLER_42_3165 +*25085 FILLER_42_3170 +*25086 FILLER_42_3176 +*25087 FILLER_42_3188 +*25088 FILLER_42_3200 +*25089 FILLER_42_321 +*25090 FILLER_42_3212 +*25091 FILLER_42_3221 +*25092 FILLER_42_3233 +*25093 FILLER_42_3245 +*25094 FILLER_42_3257 +*25095 FILLER_42_3269 +*25096 FILLER_42_3275 +*25097 FILLER_42_3277 +*25098 FILLER_42_3289 +*25099 FILLER_42_3301 +*25100 FILLER_42_3313 +*25101 FILLER_42_3325 +*25102 FILLER_42_333 +*25103 FILLER_42_3331 +*25104 FILLER_42_3333 +*25105 FILLER_42_3345 +*25106 FILLER_42_3357 +*25107 FILLER_42_3369 +*25108 FILLER_42_3381 +*25109 FILLER_42_3387 +*25110 FILLER_42_3389 +*25111 FILLER_42_3401 +*25112 FILLER_42_3413 +*25113 FILLER_42_3425 +*25114 FILLER_42_3437 +*25115 FILLER_42_3443 +*25116 FILLER_42_3445 +*25117 FILLER_42_345 +*25118 FILLER_42_3457 +*25119 FILLER_42_3469 +*25120 FILLER_42_3481 +*25121 FILLER_42_3493 +*25122 FILLER_42_3499 +*25123 FILLER_42_3501 +*25124 FILLER_42_3513 +*25125 FILLER_42_3525 +*25126 FILLER_42_3537 +*25127 FILLER_42_3549 +*25128 FILLER_42_3555 +*25129 FILLER_42_3557 +*25130 FILLER_42_3569 +*25131 FILLER_42_357 +*25132 FILLER_42_3581 +*25133 FILLER_42_3593 +*25134 FILLER_42_3605 +*25135 FILLER_42_3611 +*25136 FILLER_42_3613 +*25137 FILLER_42_3625 +*25138 FILLER_42_363 +*25139 FILLER_42_3637 +*25140 FILLER_42_3649 +*25141 FILLER_42_365 +*25142 FILLER_42_3661 +*25143 FILLER_42_3667 +*25144 FILLER_42_3669 +*25145 FILLER_42_3681 +*25146 FILLER_42_3693 +*25147 FILLER_42_3705 +*25148 FILLER_42_3717 +*25149 FILLER_42_3723 +*25150 FILLER_42_3725 +*25151 FILLER_42_3737 +*25152 FILLER_42_3749 +*25153 FILLER_42_3761 +*25154 FILLER_42_377 +*25155 FILLER_42_3773 +*25156 FILLER_42_3779 +*25157 FILLER_42_3781 +*25158 FILLER_42_3793 +*25159 FILLER_42_3805 +*25160 FILLER_42_3817 +*25161 FILLER_42_3829 +*25162 FILLER_42_3835 +*25163 FILLER_42_3837 +*25164 FILLER_42_3849 +*25165 FILLER_42_3861 +*25166 FILLER_42_3873 +*25167 FILLER_42_3885 +*25168 FILLER_42_389 +*25169 FILLER_42_3891 +*25170 FILLER_42_3893 +*25171 FILLER_42_3905 +*25172 FILLER_42_3917 +*25173 FILLER_42_3929 +*25174 FILLER_42_3941 +*25175 FILLER_42_3947 +*25176 FILLER_42_3949 +*25177 FILLER_42_3961 +*25178 FILLER_42_3973 +*25179 FILLER_42_3985 +*25180 FILLER_42_3997 +*25181 FILLER_42_4003 +*25182 FILLER_42_4005 +*25183 FILLER_42_401 +*25184 FILLER_42_4017 +*25185 FILLER_42_4029 +*25186 FILLER_42_4041 +*25187 FILLER_42_4045 +*25188 FILLER_42_4049 +*25189 FILLER_42_4057 +*25190 FILLER_42_4061 +*25191 FILLER_42_4073 +*25192 FILLER_42_4085 +*25193 FILLER_42_4097 +*25194 FILLER_42_41 +*25195 FILLER_42_413 +*25196 FILLER_42_419 +*25197 FILLER_42_421 +*25198 FILLER_42_433 +*25199 FILLER_42_445 +*25200 FILLER_42_457 +*25201 FILLER_42_469 +*25202 FILLER_42_475 +*25203 FILLER_42_477 +*25204 FILLER_42_489 +*25205 FILLER_42_501 +*25206 FILLER_42_513 +*25207 FILLER_42_525 +*25208 FILLER_42_53 +*25209 FILLER_42_531 +*25210 FILLER_42_533 +*25211 FILLER_42_545 +*25212 FILLER_42_557 +*25213 FILLER_42_569 +*25214 FILLER_42_581 +*25215 FILLER_42_587 +*25216 FILLER_42_589 +*25217 FILLER_42_595 +*25218 FILLER_42_598 +*25219 FILLER_42_606 +*25220 FILLER_42_614 +*25221 FILLER_42_617 +*25222 FILLER_42_625 +*25223 FILLER_42_633 +*25224 FILLER_42_639 +*25225 FILLER_42_643 +*25226 FILLER_42_645 +*25227 FILLER_42_649 +*25228 FILLER_42_65 +*25229 FILLER_42_661 +*25230 FILLER_42_673 +*25231 FILLER_42_685 +*25232 FILLER_42_697 +*25233 FILLER_42_701 +*25234 FILLER_42_713 +*25235 FILLER_42_725 +*25236 FILLER_42_737 +*25237 FILLER_42_749 +*25238 FILLER_42_755 +*25239 FILLER_42_757 +*25240 FILLER_42_769 +*25241 FILLER_42_77 +*25242 FILLER_42_781 +*25243 FILLER_42_793 +*25244 FILLER_42_805 +*25245 FILLER_42_811 +*25246 FILLER_42_813 +*25247 FILLER_42_825 +*25248 FILLER_42_83 +*25249 FILLER_42_837 +*25250 FILLER_42_845 +*25251 FILLER_42_85 +*25252 FILLER_42_857 +*25253 FILLER_42_865 +*25254 FILLER_42_869 +*25255 FILLER_42_881 +*25256 FILLER_42_893 +*25257 FILLER_42_901 +*25258 FILLER_42_904 +*25259 FILLER_42_914 +*25260 FILLER_42_922 +*25261 FILLER_42_925 +*25262 FILLER_42_937 +*25263 FILLER_42_949 +*25264 FILLER_42_961 +*25265 FILLER_42_97 +*25266 FILLER_42_973 +*25267 FILLER_42_979 +*25268 FILLER_42_981 +*25269 FILLER_42_993 +*25270 FILLER_43_1003 +*25271 FILLER_43_1007 +*25272 FILLER_43_1009 +*25273 FILLER_43_1020 +*25274 FILLER_43_1026 +*25275 FILLER_43_1038 +*25276 FILLER_43_105 +*25277 FILLER_43_1050 +*25278 FILLER_43_1062 +*25279 FILLER_43_1065 +*25280 FILLER_43_1077 +*25281 FILLER_43_1089 +*25282 FILLER_43_1101 +*25283 FILLER_43_111 +*25284 FILLER_43_1113 +*25285 FILLER_43_1119 +*25286 FILLER_43_1121 +*25287 FILLER_43_113 +*25288 FILLER_43_1133 +*25289 FILLER_43_1145 +*25290 FILLER_43_1157 +*25291 FILLER_43_1169 +*25292 FILLER_43_1175 +*25293 FILLER_43_1177 +*25294 FILLER_43_1189 +*25295 FILLER_43_1201 +*25296 FILLER_43_1213 +*25297 FILLER_43_1225 +*25298 FILLER_43_1231 +*25299 FILLER_43_1233 +*25300 FILLER_43_1240 +*25301 FILLER_43_125 +*25302 FILLER_43_1252 +*25303 FILLER_43_1264 +*25304 FILLER_43_1268 +*25305 FILLER_43_1273 +*25306 FILLER_43_1279 +*25307 FILLER_43_1287 +*25308 FILLER_43_1289 +*25309 FILLER_43_1301 +*25310 FILLER_43_1305 +*25311 FILLER_43_1315 +*25312 FILLER_43_1327 +*25313 FILLER_43_1339 +*25314 FILLER_43_1343 +*25315 FILLER_43_1345 +*25316 FILLER_43_1351 +*25317 FILLER_43_1357 +*25318 FILLER_43_1367 +*25319 FILLER_43_137 +*25320 FILLER_43_1379 +*25321 FILLER_43_1391 +*25322 FILLER_43_1399 +*25323 FILLER_43_1401 +*25324 FILLER_43_1413 +*25325 FILLER_43_1425 +*25326 FILLER_43_1437 +*25327 FILLER_43_1440 +*25328 FILLER_43_1454 +*25329 FILLER_43_1457 +*25330 FILLER_43_1469 +*25331 FILLER_43_1481 +*25332 FILLER_43_149 +*25333 FILLER_43_1493 +*25334 FILLER_43_15 +*25335 FILLER_43_1500 +*25336 FILLER_43_1510 +*25337 FILLER_43_1513 +*25338 FILLER_43_1525 +*25339 FILLER_43_1537 +*25340 FILLER_43_1549 +*25341 FILLER_43_1561 +*25342 FILLER_43_1567 +*25343 FILLER_43_1569 +*25344 FILLER_43_1581 +*25345 FILLER_43_1593 +*25346 FILLER_43_1605 +*25347 FILLER_43_161 +*25348 FILLER_43_1617 +*25349 FILLER_43_1623 +*25350 FILLER_43_1625 +*25351 FILLER_43_1637 +*25352 FILLER_43_1645 +*25353 FILLER_43_1648 +*25354 FILLER_43_1662 +*25355 FILLER_43_167 +*25356 FILLER_43_1674 +*25357 FILLER_43_1681 +*25358 FILLER_43_169 +*25359 FILLER_43_1695 +*25360 FILLER_43_1701 +*25361 FILLER_43_1713 +*25362 FILLER_43_1721 +*25363 FILLER_43_1727 +*25364 FILLER_43_1735 +*25365 FILLER_43_1737 +*25366 FILLER_43_1749 +*25367 FILLER_43_1761 +*25368 FILLER_43_1773 +*25369 FILLER_43_1785 +*25370 FILLER_43_1791 +*25371 FILLER_43_1793 +*25372 FILLER_43_1801 +*25373 FILLER_43_181 +*25374 FILLER_43_1813 +*25375 FILLER_43_1821 +*25376 FILLER_43_1825 +*25377 FILLER_43_1837 +*25378 FILLER_43_1845 +*25379 FILLER_43_1849 +*25380 FILLER_43_1861 +*25381 FILLER_43_1873 +*25382 FILLER_43_1885 +*25383 FILLER_43_1897 +*25384 FILLER_43_1903 +*25385 FILLER_43_1905 +*25386 FILLER_43_1917 +*25387 FILLER_43_1929 +*25388 FILLER_43_193 +*25389 FILLER_43_1935 +*25390 FILLER_43_1947 +*25391 FILLER_43_1959 +*25392 FILLER_43_1961 +*25393 FILLER_43_1973 +*25394 FILLER_43_1985 +*25395 FILLER_43_1997 +*25396 FILLER_43_2009 +*25397 FILLER_43_2015 +*25398 FILLER_43_2017 +*25399 FILLER_43_2029 +*25400 FILLER_43_2041 +*25401 FILLER_43_205 +*25402 FILLER_43_2053 +*25403 FILLER_43_2065 +*25404 FILLER_43_2071 +*25405 FILLER_43_2073 +*25406 FILLER_43_2085 +*25407 FILLER_43_2097 +*25408 FILLER_43_2109 +*25409 FILLER_43_2121 +*25410 FILLER_43_2127 +*25411 FILLER_43_2129 +*25412 FILLER_43_2137 +*25413 FILLER_43_2143 +*25414 FILLER_43_2155 +*25415 FILLER_43_2167 +*25416 FILLER_43_217 +*25417 FILLER_43_2179 +*25418 FILLER_43_2183 +*25419 FILLER_43_2185 +*25420 FILLER_43_2196 +*25421 FILLER_43_2208 +*25422 FILLER_43_2220 +*25423 FILLER_43_223 +*25424 FILLER_43_2232 +*25425 FILLER_43_2241 +*25426 FILLER_43_225 +*25427 FILLER_43_2253 +*25428 FILLER_43_2265 +*25429 FILLER_43_2277 +*25430 FILLER_43_2289 +*25431 FILLER_43_2295 +*25432 FILLER_43_2297 +*25433 FILLER_43_2309 +*25434 FILLER_43_2321 +*25435 FILLER_43_2333 +*25436 FILLER_43_2345 +*25437 FILLER_43_2351 +*25438 FILLER_43_2353 +*25439 FILLER_43_2365 +*25440 FILLER_43_237 +*25441 FILLER_43_2377 +*25442 FILLER_43_2389 +*25443 FILLER_43_2401 +*25444 FILLER_43_2407 +*25445 FILLER_43_2409 +*25446 FILLER_43_2421 +*25447 FILLER_43_2433 +*25448 FILLER_43_2445 +*25449 FILLER_43_2457 +*25450 FILLER_43_2463 +*25451 FILLER_43_2465 +*25452 FILLER_43_2477 +*25453 FILLER_43_2489 +*25454 FILLER_43_249 +*25455 FILLER_43_2505 +*25456 FILLER_43_2517 +*25457 FILLER_43_2521 +*25458 FILLER_43_2533 +*25459 FILLER_43_2545 +*25460 FILLER_43_2557 +*25461 FILLER_43_2569 +*25462 FILLER_43_2575 +*25463 FILLER_43_2577 +*25464 FILLER_43_2589 +*25465 FILLER_43_2601 +*25466 FILLER_43_261 +*25467 FILLER_43_2613 +*25468 FILLER_43_2625 +*25469 FILLER_43_2631 +*25470 FILLER_43_2633 +*25471 FILLER_43_2645 +*25472 FILLER_43_2656 +*25473 FILLER_43_2662 +*25474 FILLER_43_2674 +*25475 FILLER_43_2686 +*25476 FILLER_43_2689 +*25477 FILLER_43_2696 +*25478 FILLER_43_27 +*25479 FILLER_43_2708 +*25480 FILLER_43_2720 +*25481 FILLER_43_273 +*25482 FILLER_43_2732 +*25483 FILLER_43_2745 +*25484 FILLER_43_2757 +*25485 FILLER_43_2769 +*25486 FILLER_43_2781 +*25487 FILLER_43_279 +*25488 FILLER_43_2793 +*25489 FILLER_43_2799 +*25490 FILLER_43_2801 +*25491 FILLER_43_281 +*25492 FILLER_43_2813 +*25493 FILLER_43_2825 +*25494 FILLER_43_2837 +*25495 FILLER_43_2849 +*25496 FILLER_43_2855 +*25497 FILLER_43_2857 +*25498 FILLER_43_2869 +*25499 FILLER_43_2881 +*25500 FILLER_43_2893 +*25501 FILLER_43_2905 +*25502 FILLER_43_2911 +*25503 FILLER_43_2913 +*25504 FILLER_43_2925 +*25505 FILLER_43_293 +*25506 FILLER_43_2937 +*25507 FILLER_43_2949 +*25508 FILLER_43_2961 +*25509 FILLER_43_2967 +*25510 FILLER_43_2969 +*25511 FILLER_43_2981 +*25512 FILLER_43_2993 +*25513 FILLER_43_3 +*25514 FILLER_43_3005 +*25515 FILLER_43_3017 +*25516 FILLER_43_3023 +*25517 FILLER_43_3025 +*25518 FILLER_43_3037 +*25519 FILLER_43_3041 +*25520 FILLER_43_3044 +*25521 FILLER_43_305 +*25522 FILLER_43_3058 +*25523 FILLER_43_3070 +*25524 FILLER_43_3078 +*25525 FILLER_43_3081 +*25526 FILLER_43_3093 +*25527 FILLER_43_3105 +*25528 FILLER_43_3113 +*25529 FILLER_43_3118 +*25530 FILLER_43_3130 +*25531 FILLER_43_3137 +*25532 FILLER_43_3149 +*25533 FILLER_43_3161 +*25534 FILLER_43_317 +*25535 FILLER_43_3173 +*25536 FILLER_43_3185 +*25537 FILLER_43_3191 +*25538 FILLER_43_3193 +*25539 FILLER_43_3205 +*25540 FILLER_43_3217 +*25541 FILLER_43_3229 +*25542 FILLER_43_3241 +*25543 FILLER_43_3247 +*25544 FILLER_43_3249 +*25545 FILLER_43_3261 +*25546 FILLER_43_3273 +*25547 FILLER_43_3285 +*25548 FILLER_43_329 +*25549 FILLER_43_3297 +*25550 FILLER_43_3303 +*25551 FILLER_43_3305 +*25552 FILLER_43_3317 +*25553 FILLER_43_3329 +*25554 FILLER_43_3341 +*25555 FILLER_43_335 +*25556 FILLER_43_3353 +*25557 FILLER_43_3359 +*25558 FILLER_43_3361 +*25559 FILLER_43_337 +*25560 FILLER_43_3373 +*25561 FILLER_43_3385 +*25562 FILLER_43_3397 +*25563 FILLER_43_3409 +*25564 FILLER_43_3415 +*25565 FILLER_43_3417 +*25566 FILLER_43_3429 +*25567 FILLER_43_3441 +*25568 FILLER_43_3453 +*25569 FILLER_43_3465 +*25570 FILLER_43_3471 +*25571 FILLER_43_3473 +*25572 FILLER_43_3485 +*25573 FILLER_43_349 +*25574 FILLER_43_3497 +*25575 FILLER_43_3505 +*25576 FILLER_43_3518 +*25577 FILLER_43_3524 +*25578 FILLER_43_3529 +*25579 FILLER_43_3541 +*25580 FILLER_43_3553 +*25581 FILLER_43_3565 +*25582 FILLER_43_3577 +*25583 FILLER_43_3583 +*25584 FILLER_43_3585 +*25585 FILLER_43_3597 +*25586 FILLER_43_3609 +*25587 FILLER_43_361 +*25588 FILLER_43_3621 +*25589 FILLER_43_3633 +*25590 FILLER_43_3639 +*25591 FILLER_43_3641 +*25592 FILLER_43_3653 +*25593 FILLER_43_3665 +*25594 FILLER_43_3677 +*25595 FILLER_43_3689 +*25596 FILLER_43_3695 +*25597 FILLER_43_3697 +*25598 FILLER_43_3709 +*25599 FILLER_43_3721 +*25600 FILLER_43_373 +*25601 FILLER_43_3733 +*25602 FILLER_43_3745 +*25603 FILLER_43_3751 +*25604 FILLER_43_3753 +*25605 FILLER_43_3765 +*25606 FILLER_43_3777 +*25607 FILLER_43_3789 +*25608 FILLER_43_3801 +*25609 FILLER_43_3807 +*25610 FILLER_43_3809 +*25611 FILLER_43_3821 +*25612 FILLER_43_3833 +*25613 FILLER_43_3845 +*25614 FILLER_43_385 +*25615 FILLER_43_3857 +*25616 FILLER_43_3863 +*25617 FILLER_43_3865 +*25618 FILLER_43_3877 +*25619 FILLER_43_3889 +*25620 FILLER_43_39 +*25621 FILLER_43_3901 +*25622 FILLER_43_391 +*25623 FILLER_43_3913 +*25624 FILLER_43_3919 +*25625 FILLER_43_3921 +*25626 FILLER_43_393 +*25627 FILLER_43_3933 +*25628 FILLER_43_3945 +*25629 FILLER_43_3957 +*25630 FILLER_43_3969 +*25631 FILLER_43_3975 +*25632 FILLER_43_3977 +*25633 FILLER_43_3989 +*25634 FILLER_43_4001 +*25635 FILLER_43_4013 +*25636 FILLER_43_4025 +*25637 FILLER_43_4031 +*25638 FILLER_43_4033 +*25639 FILLER_43_4045 +*25640 FILLER_43_405 +*25641 FILLER_43_4057 +*25642 FILLER_43_4069 +*25643 FILLER_43_4081 +*25644 FILLER_43_4087 +*25645 FILLER_43_4089 +*25646 FILLER_43_4101 +*25647 FILLER_43_417 +*25648 FILLER_43_429 +*25649 FILLER_43_441 +*25650 FILLER_43_447 +*25651 FILLER_43_449 +*25652 FILLER_43_461 +*25653 FILLER_43_473 +*25654 FILLER_43_485 +*25655 FILLER_43_497 +*25656 FILLER_43_503 +*25657 FILLER_43_505 +*25658 FILLER_43_51 +*25659 FILLER_43_517 +*25660 FILLER_43_529 +*25661 FILLER_43_539 +*25662 FILLER_43_549 +*25663 FILLER_43_55 +*25664 FILLER_43_557 +*25665 FILLER_43_561 +*25666 FILLER_43_57 +*25667 FILLER_43_573 +*25668 FILLER_43_585 +*25669 FILLER_43_597 +*25670 FILLER_43_609 +*25671 FILLER_43_615 +*25672 FILLER_43_617 +*25673 FILLER_43_625 +*25674 FILLER_43_630 +*25675 FILLER_43_636 +*25676 FILLER_43_648 +*25677 FILLER_43_660 +*25678 FILLER_43_673 +*25679 FILLER_43_685 +*25680 FILLER_43_69 +*25681 FILLER_43_697 +*25682 FILLER_43_709 +*25683 FILLER_43_721 +*25684 FILLER_43_727 +*25685 FILLER_43_729 +*25686 FILLER_43_741 +*25687 FILLER_43_753 +*25688 FILLER_43_765 +*25689 FILLER_43_777 +*25690 FILLER_43_783 +*25691 FILLER_43_785 +*25692 FILLER_43_797 +*25693 FILLER_43_809 +*25694 FILLER_43_81 +*25695 FILLER_43_821 +*25696 FILLER_43_833 +*25697 FILLER_43_839 +*25698 FILLER_43_841 +*25699 FILLER_43_855 +*25700 FILLER_43_867 +*25701 FILLER_43_879 +*25702 FILLER_43_891 +*25703 FILLER_43_895 +*25704 FILLER_43_897 +*25705 FILLER_43_909 +*25706 FILLER_43_921 +*25707 FILLER_43_93 +*25708 FILLER_43_933 +*25709 FILLER_43_945 +*25710 FILLER_43_951 +*25711 FILLER_43_953 +*25712 FILLER_43_965 +*25713 FILLER_43_977 +*25714 FILLER_43_989 +*25715 FILLER_44_1001 +*25716 FILLER_44_1005 +*25717 FILLER_44_1017 +*25718 FILLER_44_1029 +*25719 FILLER_44_1035 +*25720 FILLER_44_1037 +*25721 FILLER_44_1049 +*25722 FILLER_44_1061 +*25723 FILLER_44_1073 +*25724 FILLER_44_1085 +*25725 FILLER_44_109 +*25726 FILLER_44_1091 +*25727 FILLER_44_1093 +*25728 FILLER_44_1101 +*25729 FILLER_44_1110 +*25730 FILLER_44_1116 +*25731 FILLER_44_1128 +*25732 FILLER_44_1140 +*25733 FILLER_44_1149 +*25734 FILLER_44_1161 +*25735 FILLER_44_1173 +*25736 FILLER_44_1185 +*25737 FILLER_44_1197 +*25738 FILLER_44_1203 +*25739 FILLER_44_1205 +*25740 FILLER_44_121 +*25741 FILLER_44_1217 +*25742 FILLER_44_1229 +*25743 FILLER_44_1234 +*25744 FILLER_44_1240 +*25745 FILLER_44_1258 +*25746 FILLER_44_1261 +*25747 FILLER_44_1265 +*25748 FILLER_44_1277 +*25749 FILLER_44_1280 +*25750 FILLER_44_1284 +*25751 FILLER_44_1288 +*25752 FILLER_44_1294 +*25753 FILLER_44_1306 +*25754 FILLER_44_1314 +*25755 FILLER_44_1317 +*25756 FILLER_44_1321 +*25757 FILLER_44_133 +*25758 FILLER_44_1333 +*25759 FILLER_44_1343 +*25760 FILLER_44_1349 +*25761 FILLER_44_1357 +*25762 FILLER_44_1365 +*25763 FILLER_44_1371 +*25764 FILLER_44_1373 +*25765 FILLER_44_1385 +*25766 FILLER_44_139 +*25767 FILLER_44_1397 +*25768 FILLER_44_1409 +*25769 FILLER_44_141 +*25770 FILLER_44_1421 +*25771 FILLER_44_1427 +*25772 FILLER_44_1429 +*25773 FILLER_44_1441 +*25774 FILLER_44_1453 +*25775 FILLER_44_1457 +*25776 FILLER_44_1460 +*25777 FILLER_44_1468 +*25778 FILLER_44_1480 +*25779 FILLER_44_1485 +*25780 FILLER_44_1497 +*25781 FILLER_44_15 +*25782 FILLER_44_1509 +*25783 FILLER_44_1513 +*25784 FILLER_44_1518 +*25785 FILLER_44_1524 +*25786 FILLER_44_153 +*25787 FILLER_44_1536 +*25788 FILLER_44_1541 +*25789 FILLER_44_1553 +*25790 FILLER_44_1561 +*25791 FILLER_44_1564 +*25792 FILLER_44_1572 +*25793 FILLER_44_1582 +*25794 FILLER_44_1588 +*25795 FILLER_44_1597 +*25796 FILLER_44_1605 +*25797 FILLER_44_1608 +*25798 FILLER_44_1616 +*25799 FILLER_44_1628 +*25800 FILLER_44_1632 +*25801 FILLER_44_1635 +*25802 FILLER_44_1647 +*25803 FILLER_44_165 +*25804 FILLER_44_1651 +*25805 FILLER_44_1653 +*25806 FILLER_44_1665 +*25807 FILLER_44_1677 +*25808 FILLER_44_1689 +*25809 FILLER_44_1701 +*25810 FILLER_44_1707 +*25811 FILLER_44_1709 +*25812 FILLER_44_1721 +*25813 FILLER_44_1733 +*25814 FILLER_44_1745 +*25815 FILLER_44_1757 +*25816 FILLER_44_1763 +*25817 FILLER_44_1765 +*25818 FILLER_44_177 +*25819 FILLER_44_1771 +*25820 FILLER_44_1776 +*25821 FILLER_44_1782 +*25822 FILLER_44_1794 +*25823 FILLER_44_1806 +*25824 FILLER_44_1818 +*25825 FILLER_44_1821 +*25826 FILLER_44_1833 +*25827 FILLER_44_1845 +*25828 FILLER_44_1851 +*25829 FILLER_44_1854 +*25830 FILLER_44_1868 +*25831 FILLER_44_1877 +*25832 FILLER_44_1889 +*25833 FILLER_44_189 +*25834 FILLER_44_1901 +*25835 FILLER_44_1913 +*25836 FILLER_44_1925 +*25837 FILLER_44_1931 +*25838 FILLER_44_1933 +*25839 FILLER_44_1945 +*25840 FILLER_44_195 +*25841 FILLER_44_1957 +*25842 FILLER_44_1969 +*25843 FILLER_44_197 +*25844 FILLER_44_1981 +*25845 FILLER_44_1987 +*25846 FILLER_44_1989 +*25847 FILLER_44_2001 +*25848 FILLER_44_2005 +*25849 FILLER_44_2010 +*25850 FILLER_44_2022 +*25851 FILLER_44_2034 +*25852 FILLER_44_2042 +*25853 FILLER_44_2045 +*25854 FILLER_44_2050 +*25855 FILLER_44_2056 +*25856 FILLER_44_2068 +*25857 FILLER_44_2080 +*25858 FILLER_44_209 +*25859 FILLER_44_2092 +*25860 FILLER_44_2101 +*25861 FILLER_44_2113 +*25862 FILLER_44_2125 +*25863 FILLER_44_2129 +*25864 FILLER_44_2132 +*25865 FILLER_44_2146 +*25866 FILLER_44_2154 +*25867 FILLER_44_2157 +*25868 FILLER_44_2169 +*25869 FILLER_44_2181 +*25870 FILLER_44_2193 +*25871 FILLER_44_2205 +*25872 FILLER_44_221 +*25873 FILLER_44_2211 +*25874 FILLER_44_2213 +*25875 FILLER_44_2225 +*25876 FILLER_44_2237 +*25877 FILLER_44_2249 +*25878 FILLER_44_2261 +*25879 FILLER_44_2267 +*25880 FILLER_44_2269 +*25881 FILLER_44_2281 +*25882 FILLER_44_2293 +*25883 FILLER_44_2305 +*25884 FILLER_44_2317 +*25885 FILLER_44_2323 +*25886 FILLER_44_2325 +*25887 FILLER_44_233 +*25888 FILLER_44_2337 +*25889 FILLER_44_2349 +*25890 FILLER_44_2361 +*25891 FILLER_44_2373 +*25892 FILLER_44_2379 +*25893 FILLER_44_2381 +*25894 FILLER_44_2393 +*25895 FILLER_44_2405 +*25896 FILLER_44_2417 +*25897 FILLER_44_2429 +*25898 FILLER_44_2435 +*25899 FILLER_44_2437 +*25900 FILLER_44_2449 +*25901 FILLER_44_245 +*25902 FILLER_44_2461 +*25903 FILLER_44_2473 +*25904 FILLER_44_2485 +*25905 FILLER_44_2491 +*25906 FILLER_44_2493 +*25907 FILLER_44_2505 +*25908 FILLER_44_251 +*25909 FILLER_44_2517 +*25910 FILLER_44_2529 +*25911 FILLER_44_253 +*25912 FILLER_44_2541 +*25913 FILLER_44_2547 +*25914 FILLER_44_2549 +*25915 FILLER_44_2561 +*25916 FILLER_44_2573 +*25917 FILLER_44_2585 +*25918 FILLER_44_2597 +*25919 FILLER_44_2603 +*25920 FILLER_44_2605 +*25921 FILLER_44_2617 +*25922 FILLER_44_2629 +*25923 FILLER_44_2641 +*25924 FILLER_44_265 +*25925 FILLER_44_2653 +*25926 FILLER_44_2659 +*25927 FILLER_44_2661 +*25928 FILLER_44_2673 +*25929 FILLER_44_2685 +*25930 FILLER_44_2697 +*25931 FILLER_44_27 +*25932 FILLER_44_2709 +*25933 FILLER_44_2715 +*25934 FILLER_44_2717 +*25935 FILLER_44_2729 +*25936 FILLER_44_2741 +*25937 FILLER_44_2753 +*25938 FILLER_44_2765 +*25939 FILLER_44_277 +*25940 FILLER_44_2771 +*25941 FILLER_44_2773 +*25942 FILLER_44_2785 +*25943 FILLER_44_2797 +*25944 FILLER_44_2809 +*25945 FILLER_44_2821 +*25946 FILLER_44_2827 +*25947 FILLER_44_2829 +*25948 FILLER_44_2841 +*25949 FILLER_44_2853 +*25950 FILLER_44_2865 +*25951 FILLER_44_2877 +*25952 FILLER_44_2883 +*25953 FILLER_44_2885 +*25954 FILLER_44_289 +*25955 FILLER_44_2897 +*25956 FILLER_44_29 +*25957 FILLER_44_2909 +*25958 FILLER_44_2921 +*25959 FILLER_44_2933 +*25960 FILLER_44_2939 +*25961 FILLER_44_2941 +*25962 FILLER_44_2953 +*25963 FILLER_44_2965 +*25964 FILLER_44_2977 +*25965 FILLER_44_2989 +*25966 FILLER_44_2995 +*25967 FILLER_44_2997 +*25968 FILLER_44_3 +*25969 FILLER_44_3003 +*25970 FILLER_44_3006 +*25971 FILLER_44_301 +*25972 FILLER_44_3018 +*25973 FILLER_44_3030 +*25974 FILLER_44_3042 +*25975 FILLER_44_3050 +*25976 FILLER_44_3053 +*25977 FILLER_44_3065 +*25978 FILLER_44_307 +*25979 FILLER_44_3077 +*25980 FILLER_44_3089 +*25981 FILLER_44_309 +*25982 FILLER_44_3101 +*25983 FILLER_44_3107 +*25984 FILLER_44_3109 +*25985 FILLER_44_3121 +*25986 FILLER_44_3133 +*25987 FILLER_44_3145 +*25988 FILLER_44_3157 +*25989 FILLER_44_3163 +*25990 FILLER_44_3165 +*25991 FILLER_44_3177 +*25992 FILLER_44_3189 +*25993 FILLER_44_3201 +*25994 FILLER_44_321 +*25995 FILLER_44_3213 +*25996 FILLER_44_3219 +*25997 FILLER_44_3221 +*25998 FILLER_44_3233 +*25999 FILLER_44_3245 +*26000 FILLER_44_3257 +*26001 FILLER_44_3269 +*26002 FILLER_44_3275 +*26003 FILLER_44_3277 +*26004 FILLER_44_3289 +*26005 FILLER_44_3301 +*26006 FILLER_44_3313 +*26007 FILLER_44_3325 +*26008 FILLER_44_333 +*26009 FILLER_44_3331 +*26010 FILLER_44_3333 +*26011 FILLER_44_3345 +*26012 FILLER_44_3357 +*26013 FILLER_44_3361 +*26014 FILLER_44_3364 +*26015 FILLER_44_3376 +*26016 FILLER_44_3389 +*26017 FILLER_44_3400 +*26018 FILLER_44_3406 +*26019 FILLER_44_3410 +*26020 FILLER_44_3413 +*26021 FILLER_44_3427 +*26022 FILLER_44_3439 +*26023 FILLER_44_3443 +*26024 FILLER_44_3445 +*26025 FILLER_44_345 +*26026 FILLER_44_3457 +*26027 FILLER_44_3469 +*26028 FILLER_44_3481 +*26029 FILLER_44_3493 +*26030 FILLER_44_3499 +*26031 FILLER_44_3501 +*26032 FILLER_44_3513 +*26033 FILLER_44_3525 +*26034 FILLER_44_3537 +*26035 FILLER_44_3549 +*26036 FILLER_44_3555 +*26037 FILLER_44_3557 +*26038 FILLER_44_3569 +*26039 FILLER_44_357 +*26040 FILLER_44_3581 +*26041 FILLER_44_3585 +*26042 FILLER_44_3588 +*26043 FILLER_44_3600 +*26044 FILLER_44_3613 +*26045 FILLER_44_3625 +*26046 FILLER_44_363 +*26047 FILLER_44_3637 +*26048 FILLER_44_3649 +*26049 FILLER_44_365 +*26050 FILLER_44_3661 +*26051 FILLER_44_3667 +*26052 FILLER_44_3669 +*26053 FILLER_44_3681 +*26054 FILLER_44_3693 +*26055 FILLER_44_3705 +*26056 FILLER_44_3717 +*26057 FILLER_44_3723 +*26058 FILLER_44_3725 +*26059 FILLER_44_3737 +*26060 FILLER_44_3749 +*26061 FILLER_44_3761 +*26062 FILLER_44_377 +*26063 FILLER_44_3773 +*26064 FILLER_44_3779 +*26065 FILLER_44_3781 +*26066 FILLER_44_3793 +*26067 FILLER_44_3805 +*26068 FILLER_44_3817 +*26069 FILLER_44_3829 +*26070 FILLER_44_3835 +*26071 FILLER_44_3837 +*26072 FILLER_44_3849 +*26073 FILLER_44_3861 +*26074 FILLER_44_3873 +*26075 FILLER_44_3885 +*26076 FILLER_44_389 +*26077 FILLER_44_3891 +*26078 FILLER_44_3893 +*26079 FILLER_44_3905 +*26080 FILLER_44_3917 +*26081 FILLER_44_3929 +*26082 FILLER_44_3941 +*26083 FILLER_44_3947 +*26084 FILLER_44_3949 +*26085 FILLER_44_3961 +*26086 FILLER_44_3964 +*26087 FILLER_44_3978 +*26088 FILLER_44_3990 +*26089 FILLER_44_4002 +*26090 FILLER_44_4005 +*26091 FILLER_44_401 +*26092 FILLER_44_4017 +*26093 FILLER_44_4029 +*26094 FILLER_44_4041 +*26095 FILLER_44_4053 +*26096 FILLER_44_4059 +*26097 FILLER_44_4061 +*26098 FILLER_44_4073 +*26099 FILLER_44_4085 +*26100 FILLER_44_4097 +*26101 FILLER_44_41 +*26102 FILLER_44_413 +*26103 FILLER_44_419 +*26104 FILLER_44_421 +*26105 FILLER_44_433 +*26106 FILLER_44_445 +*26107 FILLER_44_457 +*26108 FILLER_44_469 +*26109 FILLER_44_475 +*26110 FILLER_44_477 +*26111 FILLER_44_489 +*26112 FILLER_44_501 +*26113 FILLER_44_513 +*26114 FILLER_44_525 +*26115 FILLER_44_53 +*26116 FILLER_44_531 +*26117 FILLER_44_533 +*26118 FILLER_44_545 +*26119 FILLER_44_557 +*26120 FILLER_44_569 +*26121 FILLER_44_581 +*26122 FILLER_44_587 +*26123 FILLER_44_589 +*26124 FILLER_44_601 +*26125 FILLER_44_613 +*26126 FILLER_44_625 +*26127 FILLER_44_637 +*26128 FILLER_44_643 +*26129 FILLER_44_645 +*26130 FILLER_44_65 +*26131 FILLER_44_657 +*26132 FILLER_44_669 +*26133 FILLER_44_681 +*26134 FILLER_44_686 +*26135 FILLER_44_698 +*26136 FILLER_44_701 +*26137 FILLER_44_713 +*26138 FILLER_44_725 +*26139 FILLER_44_737 +*26140 FILLER_44_749 +*26141 FILLER_44_755 +*26142 FILLER_44_757 +*26143 FILLER_44_769 +*26144 FILLER_44_77 +*26145 FILLER_44_781 +*26146 FILLER_44_793 +*26147 FILLER_44_805 +*26148 FILLER_44_811 +*26149 FILLER_44_813 +*26150 FILLER_44_825 +*26151 FILLER_44_83 +*26152 FILLER_44_837 +*26153 FILLER_44_849 +*26154 FILLER_44_85 +*26155 FILLER_44_861 +*26156 FILLER_44_867 +*26157 FILLER_44_869 +*26158 FILLER_44_874 +*26159 FILLER_44_880 +*26160 FILLER_44_892 +*26161 FILLER_44_904 +*26162 FILLER_44_916 +*26163 FILLER_44_925 +*26164 FILLER_44_937 +*26165 FILLER_44_949 +*26166 FILLER_44_961 +*26167 FILLER_44_97 +*26168 FILLER_44_973 +*26169 FILLER_44_979 +*26170 FILLER_44_981 +*26171 FILLER_44_993 +*26172 FILLER_45_1001 +*26173 FILLER_45_1007 +*26174 FILLER_45_1009 +*26175 FILLER_45_1021 +*26176 FILLER_45_1033 +*26177 FILLER_45_1045 +*26178 FILLER_45_105 +*26179 FILLER_45_1062 +*26180 FILLER_45_1065 +*26181 FILLER_45_1069 +*26182 FILLER_45_1081 +*26183 FILLER_45_1093 +*26184 FILLER_45_1105 +*26185 FILLER_45_111 +*26186 FILLER_45_1117 +*26187 FILLER_45_1121 +*26188 FILLER_45_113 +*26189 FILLER_45_1133 +*26190 FILLER_45_1141 +*26191 FILLER_45_1150 +*26192 FILLER_45_1156 +*26193 FILLER_45_1166 +*26194 FILLER_45_1174 +*26195 FILLER_45_1177 +*26196 FILLER_45_1181 +*26197 FILLER_45_1185 +*26198 FILLER_45_1191 +*26199 FILLER_45_1203 +*26200 FILLER_45_1215 +*26201 FILLER_45_1219 +*26202 FILLER_45_1226 +*26203 FILLER_45_1233 +*26204 FILLER_45_1237 +*26205 FILLER_45_1249 +*26206 FILLER_45_125 +*26207 FILLER_45_1261 +*26208 FILLER_45_1267 +*26209 FILLER_45_1274 +*26210 FILLER_45_1284 +*26211 FILLER_45_1289 +*26212 FILLER_45_1301 +*26213 FILLER_45_1307 +*26214 FILLER_45_1314 +*26215 FILLER_45_1318 +*26216 FILLER_45_1325 +*26217 FILLER_45_1331 +*26218 FILLER_45_1343 +*26219 FILLER_45_1345 +*26220 FILLER_45_1357 +*26221 FILLER_45_1369 +*26222 FILLER_45_137 +*26223 FILLER_45_1381 +*26224 FILLER_45_1393 +*26225 FILLER_45_1399 +*26226 FILLER_45_1401 +*26227 FILLER_45_1413 +*26228 FILLER_45_1425 +*26229 FILLER_45_1437 +*26230 FILLER_45_1445 +*26231 FILLER_45_1450 +*26232 FILLER_45_1457 +*26233 FILLER_45_1469 +*26234 FILLER_45_1481 +*26235 FILLER_45_149 +*26236 FILLER_45_1493 +*26237 FILLER_45_15 +*26238 FILLER_45_1505 +*26239 FILLER_45_1511 +*26240 FILLER_45_1513 +*26241 FILLER_45_1525 +*26242 FILLER_45_1537 +*26243 FILLER_45_1545 +*26244 FILLER_45_1548 +*26245 FILLER_45_1555 +*26246 FILLER_45_1559 +*26247 FILLER_45_1566 +*26248 FILLER_45_1569 +*26249 FILLER_45_1573 +*26250 FILLER_45_1585 +*26251 FILLER_45_1589 +*26252 FILLER_45_1593 +*26253 FILLER_45_1599 +*26254 FILLER_45_161 +*26255 FILLER_45_1611 +*26256 FILLER_45_1623 +*26257 FILLER_45_1625 +*26258 FILLER_45_1633 +*26259 FILLER_45_1643 +*26260 FILLER_45_1657 +*26261 FILLER_45_1669 +*26262 FILLER_45_167 +*26263 FILLER_45_1677 +*26264 FILLER_45_1681 +*26265 FILLER_45_169 +*26266 FILLER_45_1693 +*26267 FILLER_45_1705 +*26268 FILLER_45_1717 +*26269 FILLER_45_1729 +*26270 FILLER_45_1735 +*26271 FILLER_45_1737 +*26272 FILLER_45_1749 +*26273 FILLER_45_1761 +*26274 FILLER_45_1773 +*26275 FILLER_45_1781 +*26276 FILLER_45_1787 +*26277 FILLER_45_1791 +*26278 FILLER_45_1793 +*26279 FILLER_45_1805 +*26280 FILLER_45_1808 +*26281 FILLER_45_181 +*26282 FILLER_45_1812 +*26283 FILLER_45_1815 +*26284 FILLER_45_1823 +*26285 FILLER_45_1835 +*26286 FILLER_45_1847 +*26287 FILLER_45_1849 +*26288 FILLER_45_1857 +*26289 FILLER_45_1862 +*26290 FILLER_45_1868 +*26291 FILLER_45_1880 +*26292 FILLER_45_1892 +*26293 FILLER_45_1905 +*26294 FILLER_45_1917 +*26295 FILLER_45_1929 +*26296 FILLER_45_193 +*26297 FILLER_45_1941 +*26298 FILLER_45_1953 +*26299 FILLER_45_1959 +*26300 FILLER_45_1961 +*26301 FILLER_45_1973 +*26302 FILLER_45_1985 +*26303 FILLER_45_1991 +*26304 FILLER_45_1994 +*26305 FILLER_45_2002 +*26306 FILLER_45_2014 +*26307 FILLER_45_2017 +*26308 FILLER_45_2029 +*26309 FILLER_45_2037 +*26310 FILLER_45_2044 +*26311 FILLER_45_205 +*26312 FILLER_45_2050 +*26313 FILLER_45_2062 +*26314 FILLER_45_2070 +*26315 FILLER_45_2073 +*26316 FILLER_45_2085 +*26317 FILLER_45_2097 +*26318 FILLER_45_2109 +*26319 FILLER_45_2121 +*26320 FILLER_45_2127 +*26321 FILLER_45_2129 +*26322 FILLER_45_2141 +*26323 FILLER_45_2145 +*26324 FILLER_45_2148 +*26325 FILLER_45_2162 +*26326 FILLER_45_217 +*26327 FILLER_45_2174 +*26328 FILLER_45_2182 +*26329 FILLER_45_2185 +*26330 FILLER_45_2197 +*26331 FILLER_45_2209 +*26332 FILLER_45_2221 +*26333 FILLER_45_223 +*26334 FILLER_45_2233 +*26335 FILLER_45_2239 +*26336 FILLER_45_2241 +*26337 FILLER_45_225 +*26338 FILLER_45_2253 +*26339 FILLER_45_2265 +*26340 FILLER_45_2277 +*26341 FILLER_45_2289 +*26342 FILLER_45_2295 +*26343 FILLER_45_2297 +*26344 FILLER_45_2309 +*26345 FILLER_45_2321 +*26346 FILLER_45_2333 +*26347 FILLER_45_2345 +*26348 FILLER_45_2351 +*26349 FILLER_45_2353 +*26350 FILLER_45_2365 +*26351 FILLER_45_237 +*26352 FILLER_45_2377 +*26353 FILLER_45_2389 +*26354 FILLER_45_2392 +*26355 FILLER_45_2406 +*26356 FILLER_45_2409 +*26357 FILLER_45_2421 +*26358 FILLER_45_2433 +*26359 FILLER_45_2445 +*26360 FILLER_45_2457 +*26361 FILLER_45_2463 +*26362 FILLER_45_2465 +*26363 FILLER_45_2477 +*26364 FILLER_45_2489 +*26365 FILLER_45_249 +*26366 FILLER_45_2501 +*26367 FILLER_45_2513 +*26368 FILLER_45_2519 +*26369 FILLER_45_2521 +*26370 FILLER_45_2533 +*26371 FILLER_45_2545 +*26372 FILLER_45_2557 +*26373 FILLER_45_2569 +*26374 FILLER_45_2575 +*26375 FILLER_45_2577 +*26376 FILLER_45_2589 +*26377 FILLER_45_2601 +*26378 FILLER_45_261 +*26379 FILLER_45_2613 +*26380 FILLER_45_2625 +*26381 FILLER_45_2631 +*26382 FILLER_45_2633 +*26383 FILLER_45_2645 +*26384 FILLER_45_2657 +*26385 FILLER_45_2669 +*26386 FILLER_45_2681 +*26387 FILLER_45_2687 +*26388 FILLER_45_2689 +*26389 FILLER_45_27 +*26390 FILLER_45_2701 +*26391 FILLER_45_2713 +*26392 FILLER_45_2725 +*26393 FILLER_45_273 +*26394 FILLER_45_2737 +*26395 FILLER_45_2743 +*26396 FILLER_45_2745 +*26397 FILLER_45_2757 +*26398 FILLER_45_2769 +*26399 FILLER_45_2781 +*26400 FILLER_45_279 +*26401 FILLER_45_2793 +*26402 FILLER_45_2799 +*26403 FILLER_45_2801 +*26404 FILLER_45_281 +*26405 FILLER_45_2813 +*26406 FILLER_45_2825 +*26407 FILLER_45_2837 +*26408 FILLER_45_2849 +*26409 FILLER_45_2855 +*26410 FILLER_45_2857 +*26411 FILLER_45_2869 +*26412 FILLER_45_2881 +*26413 FILLER_45_2893 +*26414 FILLER_45_2905 +*26415 FILLER_45_2911 +*26416 FILLER_45_2913 +*26417 FILLER_45_2925 +*26418 FILLER_45_293 +*26419 FILLER_45_2937 +*26420 FILLER_45_2949 +*26421 FILLER_45_2961 +*26422 FILLER_45_2967 +*26423 FILLER_45_2969 +*26424 FILLER_45_2981 +*26425 FILLER_45_2993 +*26426 FILLER_45_2999 +*26427 FILLER_45_3 +*26428 FILLER_45_3004 +*26429 FILLER_45_3018 +*26430 FILLER_45_3025 +*26431 FILLER_45_3037 +*26432 FILLER_45_3043 +*26433 FILLER_45_305 +*26434 FILLER_45_3055 +*26435 FILLER_45_3067 +*26436 FILLER_45_3079 +*26437 FILLER_45_3081 +*26438 FILLER_45_3093 +*26439 FILLER_45_3105 +*26440 FILLER_45_3117 +*26441 FILLER_45_3129 +*26442 FILLER_45_3134 +*26443 FILLER_45_3137 +*26444 FILLER_45_3141 +*26445 FILLER_45_3153 +*26446 FILLER_45_3165 +*26447 FILLER_45_317 +*26448 FILLER_45_3177 +*26449 FILLER_45_3189 +*26450 FILLER_45_3193 +*26451 FILLER_45_3205 +*26452 FILLER_45_3217 +*26453 FILLER_45_3229 +*26454 FILLER_45_3241 +*26455 FILLER_45_3247 +*26456 FILLER_45_3249 +*26457 FILLER_45_3256 +*26458 FILLER_45_3262 +*26459 FILLER_45_3274 +*26460 FILLER_45_3286 +*26461 FILLER_45_329 +*26462 FILLER_45_3298 +*26463 FILLER_45_3305 +*26464 FILLER_45_3317 +*26465 FILLER_45_3329 +*26466 FILLER_45_3341 +*26467 FILLER_45_335 +*26468 FILLER_45_3353 +*26469 FILLER_45_3359 +*26470 FILLER_45_3361 +*26471 FILLER_45_3367 +*26472 FILLER_45_337 +*26473 FILLER_45_3371 +*26474 FILLER_45_3376 +*26475 FILLER_45_3388 +*26476 FILLER_45_3400 +*26477 FILLER_45_3412 +*26478 FILLER_45_3417 +*26479 FILLER_45_3429 +*26480 FILLER_45_3441 +*26481 FILLER_45_3453 +*26482 FILLER_45_3465 +*26483 FILLER_45_3470 +*26484 FILLER_45_3473 +*26485 FILLER_45_3479 +*26486 FILLER_45_349 +*26487 FILLER_45_3491 +*26488 FILLER_45_3503 +*26489 FILLER_45_3515 +*26490 FILLER_45_3527 +*26491 FILLER_45_3529 +*26492 FILLER_45_3541 +*26493 FILLER_45_3553 +*26494 FILLER_45_3565 +*26495 FILLER_45_3577 +*26496 FILLER_45_3583 +*26497 FILLER_45_3585 +*26498 FILLER_45_3592 +*26499 FILLER_45_3604 +*26500 FILLER_45_361 +*26501 FILLER_45_3616 +*26502 FILLER_45_3628 +*26503 FILLER_45_3641 +*26504 FILLER_45_3653 +*26505 FILLER_45_3665 +*26506 FILLER_45_3677 +*26507 FILLER_45_3683 +*26508 FILLER_45_3689 +*26509 FILLER_45_3695 +*26510 FILLER_45_3697 +*26511 FILLER_45_3709 +*26512 FILLER_45_3721 +*26513 FILLER_45_373 +*26514 FILLER_45_3733 +*26515 FILLER_45_3745 +*26516 FILLER_45_3751 +*26517 FILLER_45_3753 +*26518 FILLER_45_3765 +*26519 FILLER_45_3777 +*26520 FILLER_45_3793 +*26521 FILLER_45_3799 +*26522 FILLER_45_3807 +*26523 FILLER_45_3809 +*26524 FILLER_45_3821 +*26525 FILLER_45_3833 +*26526 FILLER_45_3845 +*26527 FILLER_45_385 +*26528 FILLER_45_3857 +*26529 FILLER_45_3863 +*26530 FILLER_45_3865 +*26531 FILLER_45_3877 +*26532 FILLER_45_3889 +*26533 FILLER_45_39 +*26534 FILLER_45_3901 +*26535 FILLER_45_391 +*26536 FILLER_45_3913 +*26537 FILLER_45_3919 +*26538 FILLER_45_3921 +*26539 FILLER_45_393 +*26540 FILLER_45_3933 +*26541 FILLER_45_3945 +*26542 FILLER_45_3957 +*26543 FILLER_45_3969 +*26544 FILLER_45_3975 +*26545 FILLER_45_3977 +*26546 FILLER_45_3989 +*26547 FILLER_45_4001 +*26548 FILLER_45_4013 +*26549 FILLER_45_4025 +*26550 FILLER_45_4031 +*26551 FILLER_45_4033 +*26552 FILLER_45_4045 +*26553 FILLER_45_405 +*26554 FILLER_45_4057 +*26555 FILLER_45_4069 +*26556 FILLER_45_4081 +*26557 FILLER_45_4087 +*26558 FILLER_45_4089 +*26559 FILLER_45_4094 +*26560 FILLER_45_4101 +*26561 FILLER_45_417 +*26562 FILLER_45_429 +*26563 FILLER_45_441 +*26564 FILLER_45_447 +*26565 FILLER_45_449 +*26566 FILLER_45_461 +*26567 FILLER_45_473 +*26568 FILLER_45_485 +*26569 FILLER_45_497 +*26570 FILLER_45_503 +*26571 FILLER_45_505 +*26572 FILLER_45_51 +*26573 FILLER_45_517 +*26574 FILLER_45_529 +*26575 FILLER_45_541 +*26576 FILLER_45_55 +*26577 FILLER_45_553 +*26578 FILLER_45_559 +*26579 FILLER_45_561 +*26580 FILLER_45_57 +*26581 FILLER_45_573 +*26582 FILLER_45_585 +*26583 FILLER_45_597 +*26584 FILLER_45_609 +*26585 FILLER_45_615 +*26586 FILLER_45_617 +*26587 FILLER_45_629 +*26588 FILLER_45_641 +*26589 FILLER_45_653 +*26590 FILLER_45_665 +*26591 FILLER_45_671 +*26592 FILLER_45_673 +*26593 FILLER_45_685 +*26594 FILLER_45_69 +*26595 FILLER_45_697 +*26596 FILLER_45_709 +*26597 FILLER_45_721 +*26598 FILLER_45_727 +*26599 FILLER_45_729 +*26600 FILLER_45_741 +*26601 FILLER_45_753 +*26602 FILLER_45_765 +*26603 FILLER_45_777 +*26604 FILLER_45_783 +*26605 FILLER_45_785 +*26606 FILLER_45_797 +*26607 FILLER_45_809 +*26608 FILLER_45_81 +*26609 FILLER_45_821 +*26610 FILLER_45_833 +*26611 FILLER_45_839 +*26612 FILLER_45_841 +*26613 FILLER_45_853 +*26614 FILLER_45_865 +*26615 FILLER_45_877 +*26616 FILLER_45_889 +*26617 FILLER_45_895 +*26618 FILLER_45_897 +*26619 FILLER_45_909 +*26620 FILLER_45_921 +*26621 FILLER_45_93 +*26622 FILLER_45_933 +*26623 FILLER_45_945 +*26624 FILLER_45_951 +*26625 FILLER_45_953 +*26626 FILLER_45_965 +*26627 FILLER_45_977 +*26628 FILLER_45_989 +*26629 FILLER_46_1011 +*26630 FILLER_46_1023 +*26631 FILLER_46_1035 +*26632 FILLER_46_1037 +*26633 FILLER_46_1049 +*26634 FILLER_46_1056 +*26635 FILLER_46_1062 +*26636 FILLER_46_1074 +*26637 FILLER_46_1088 +*26638 FILLER_46_109 +*26639 FILLER_46_1093 +*26640 FILLER_46_1097 +*26641 FILLER_46_1109 +*26642 FILLER_46_1121 +*26643 FILLER_46_1133 +*26644 FILLER_46_1139 +*26645 FILLER_46_1146 +*26646 FILLER_46_1149 +*26647 FILLER_46_1162 +*26648 FILLER_46_1170 +*26649 FILLER_46_1182 +*26650 FILLER_46_1194 +*26651 FILLER_46_1202 +*26652 FILLER_46_1205 +*26653 FILLER_46_121 +*26654 FILLER_46_1217 +*26655 FILLER_46_1229 +*26656 FILLER_46_1235 +*26657 FILLER_46_1238 +*26658 FILLER_46_1246 +*26659 FILLER_46_1258 +*26660 FILLER_46_1261 +*26661 FILLER_46_1273 +*26662 FILLER_46_1276 +*26663 FILLER_46_1288 +*26664 FILLER_46_1291 +*26665 FILLER_46_1303 +*26666 FILLER_46_1315 +*26667 FILLER_46_1317 +*26668 FILLER_46_1329 +*26669 FILLER_46_133 +*26670 FILLER_46_1341 +*26671 FILLER_46_1347 +*26672 FILLER_46_1355 +*26673 FILLER_46_1362 +*26674 FILLER_46_1368 +*26675 FILLER_46_1373 +*26676 FILLER_46_1385 +*26677 FILLER_46_139 +*26678 FILLER_46_1397 +*26679 FILLER_46_1409 +*26680 FILLER_46_141 +*26681 FILLER_46_1421 +*26682 FILLER_46_1427 +*26683 FILLER_46_1429 +*26684 FILLER_46_1441 +*26685 FILLER_46_1448 +*26686 FILLER_46_1456 +*26687 FILLER_46_1459 +*26688 FILLER_46_1471 +*26689 FILLER_46_1477 +*26690 FILLER_46_1482 +*26691 FILLER_46_1485 +*26692 FILLER_46_1489 +*26693 FILLER_46_15 +*26694 FILLER_46_1501 +*26695 FILLER_46_1513 +*26696 FILLER_46_1525 +*26697 FILLER_46_153 +*26698 FILLER_46_1537 +*26699 FILLER_46_1541 +*26700 FILLER_46_1553 +*26701 FILLER_46_1556 +*26702 FILLER_46_1570 +*26703 FILLER_46_1582 +*26704 FILLER_46_1594 +*26705 FILLER_46_1597 +*26706 FILLER_46_1609 +*26707 FILLER_46_1617 +*26708 FILLER_46_1623 +*26709 FILLER_46_1635 +*26710 FILLER_46_1647 +*26711 FILLER_46_165 +*26712 FILLER_46_1651 +*26713 FILLER_46_1653 +*26714 FILLER_46_1665 +*26715 FILLER_46_1677 +*26716 FILLER_46_1683 +*26717 FILLER_46_1689 +*26718 FILLER_46_1704 +*26719 FILLER_46_1709 +*26720 FILLER_46_1713 +*26721 FILLER_46_1725 +*26722 FILLER_46_1737 +*26723 FILLER_46_1749 +*26724 FILLER_46_1761 +*26725 FILLER_46_1765 +*26726 FILLER_46_177 +*26727 FILLER_46_1777 +*26728 FILLER_46_1789 +*26729 FILLER_46_1801 +*26730 FILLER_46_1806 +*26731 FILLER_46_1812 +*26732 FILLER_46_1816 +*26733 FILLER_46_1821 +*26734 FILLER_46_1825 +*26735 FILLER_46_1837 +*26736 FILLER_46_1849 +*26737 FILLER_46_1861 +*26738 FILLER_46_1873 +*26739 FILLER_46_1877 +*26740 FILLER_46_1889 +*26741 FILLER_46_189 +*26742 FILLER_46_1901 +*26743 FILLER_46_1913 +*26744 FILLER_46_1925 +*26745 FILLER_46_1931 +*26746 FILLER_46_1933 +*26747 FILLER_46_1943 +*26748 FILLER_46_195 +*26749 FILLER_46_1951 +*26750 FILLER_46_1963 +*26751 FILLER_46_197 +*26752 FILLER_46_1975 +*26753 FILLER_46_1987 +*26754 FILLER_46_1989 +*26755 FILLER_46_2001 +*26756 FILLER_46_2013 +*26757 FILLER_46_2025 +*26758 FILLER_46_2037 +*26759 FILLER_46_2043 +*26760 FILLER_46_2045 +*26761 FILLER_46_2057 +*26762 FILLER_46_2069 +*26763 FILLER_46_2081 +*26764 FILLER_46_209 +*26765 FILLER_46_2093 +*26766 FILLER_46_2099 +*26767 FILLER_46_2101 +*26768 FILLER_46_2113 +*26769 FILLER_46_2125 +*26770 FILLER_46_2137 +*26771 FILLER_46_2149 +*26772 FILLER_46_2155 +*26773 FILLER_46_2157 +*26774 FILLER_46_2169 +*26775 FILLER_46_2181 +*26776 FILLER_46_2193 +*26777 FILLER_46_2205 +*26778 FILLER_46_221 +*26779 FILLER_46_2211 +*26780 FILLER_46_2213 +*26781 FILLER_46_2225 +*26782 FILLER_46_2233 +*26783 FILLER_46_2247 +*26784 FILLER_46_2259 +*26785 FILLER_46_2267 +*26786 FILLER_46_2269 +*26787 FILLER_46_2281 +*26788 FILLER_46_2293 +*26789 FILLER_46_2305 +*26790 FILLER_46_2317 +*26791 FILLER_46_2323 +*26792 FILLER_46_2325 +*26793 FILLER_46_233 +*26794 FILLER_46_2337 +*26795 FILLER_46_2349 +*26796 FILLER_46_2361 +*26797 FILLER_46_2373 +*26798 FILLER_46_2379 +*26799 FILLER_46_2381 +*26800 FILLER_46_2393 +*26801 FILLER_46_2405 +*26802 FILLER_46_2417 +*26803 FILLER_46_2429 +*26804 FILLER_46_2435 +*26805 FILLER_46_2437 +*26806 FILLER_46_2449 +*26807 FILLER_46_245 +*26808 FILLER_46_2461 +*26809 FILLER_46_2473 +*26810 FILLER_46_2485 +*26811 FILLER_46_2491 +*26812 FILLER_46_2493 +*26813 FILLER_46_2505 +*26814 FILLER_46_251 +*26815 FILLER_46_2517 +*26816 FILLER_46_2529 +*26817 FILLER_46_253 +*26818 FILLER_46_2541 +*26819 FILLER_46_2547 +*26820 FILLER_46_2549 +*26821 FILLER_46_2561 +*26822 FILLER_46_2573 +*26823 FILLER_46_2585 +*26824 FILLER_46_2597 +*26825 FILLER_46_2603 +*26826 FILLER_46_2605 +*26827 FILLER_46_2617 +*26828 FILLER_46_2629 +*26829 FILLER_46_2641 +*26830 FILLER_46_265 +*26831 FILLER_46_2653 +*26832 FILLER_46_2659 +*26833 FILLER_46_2661 +*26834 FILLER_46_2673 +*26835 FILLER_46_2685 +*26836 FILLER_46_2697 +*26837 FILLER_46_27 +*26838 FILLER_46_2709 +*26839 FILLER_46_2715 +*26840 FILLER_46_2717 +*26841 FILLER_46_2729 +*26842 FILLER_46_2741 +*26843 FILLER_46_2753 +*26844 FILLER_46_2765 +*26845 FILLER_46_277 +*26846 FILLER_46_2771 +*26847 FILLER_46_2773 +*26848 FILLER_46_2785 +*26849 FILLER_46_2797 +*26850 FILLER_46_2809 +*26851 FILLER_46_2821 +*26852 FILLER_46_2827 +*26853 FILLER_46_2829 +*26854 FILLER_46_2841 +*26855 FILLER_46_2853 +*26856 FILLER_46_2865 +*26857 FILLER_46_2871 +*26858 FILLER_46_2882 +*26859 FILLER_46_2885 +*26860 FILLER_46_289 +*26861 FILLER_46_2897 +*26862 FILLER_46_29 +*26863 FILLER_46_2909 +*26864 FILLER_46_2921 +*26865 FILLER_46_2933 +*26866 FILLER_46_2939 +*26867 FILLER_46_2941 +*26868 FILLER_46_2953 +*26869 FILLER_46_2965 +*26870 FILLER_46_2977 +*26871 FILLER_46_2989 +*26872 FILLER_46_2995 +*26873 FILLER_46_2997 +*26874 FILLER_46_3 +*26875 FILLER_46_3005 +*26876 FILLER_46_3008 +*26877 FILLER_46_301 +*26878 FILLER_46_3020 +*26879 FILLER_46_3032 +*26880 FILLER_46_3044 +*26881 FILLER_46_3053 +*26882 FILLER_46_3065 +*26883 FILLER_46_307 +*26884 FILLER_46_3077 +*26885 FILLER_46_3089 +*26886 FILLER_46_309 +*26887 FILLER_46_3101 +*26888 FILLER_46_3107 +*26889 FILLER_46_3109 +*26890 FILLER_46_3121 +*26891 FILLER_46_3133 +*26892 FILLER_46_3145 +*26893 FILLER_46_3157 +*26894 FILLER_46_3163 +*26895 FILLER_46_3165 +*26896 FILLER_46_3177 +*26897 FILLER_46_3189 +*26898 FILLER_46_3201 +*26899 FILLER_46_321 +*26900 FILLER_46_3213 +*26901 FILLER_46_3219 +*26902 FILLER_46_3221 +*26903 FILLER_46_3233 +*26904 FILLER_46_3245 +*26905 FILLER_46_3257 +*26906 FILLER_46_3269 +*26907 FILLER_46_3275 +*26908 FILLER_46_3277 +*26909 FILLER_46_3289 +*26910 FILLER_46_3301 +*26911 FILLER_46_3313 +*26912 FILLER_46_3321 +*26913 FILLER_46_3326 +*26914 FILLER_46_333 +*26915 FILLER_46_3333 +*26916 FILLER_46_3345 +*26917 FILLER_46_3357 +*26918 FILLER_46_3369 +*26919 FILLER_46_3381 +*26920 FILLER_46_3387 +*26921 FILLER_46_3389 +*26922 FILLER_46_3401 +*26923 FILLER_46_3413 +*26924 FILLER_46_3425 +*26925 FILLER_46_3437 +*26926 FILLER_46_3443 +*26927 FILLER_46_3445 +*26928 FILLER_46_345 +*26929 FILLER_46_3457 +*26930 FILLER_46_3469 +*26931 FILLER_46_3481 +*26932 FILLER_46_3493 +*26933 FILLER_46_3499 +*26934 FILLER_46_3501 +*26935 FILLER_46_3513 +*26936 FILLER_46_3525 +*26937 FILLER_46_3537 +*26938 FILLER_46_3549 +*26939 FILLER_46_3555 +*26940 FILLER_46_3557 +*26941 FILLER_46_3569 +*26942 FILLER_46_357 +*26943 FILLER_46_3581 +*26944 FILLER_46_3593 +*26945 FILLER_46_3605 +*26946 FILLER_46_3611 +*26947 FILLER_46_3613 +*26948 FILLER_46_3625 +*26949 FILLER_46_363 +*26950 FILLER_46_3637 +*26951 FILLER_46_3649 +*26952 FILLER_46_365 +*26953 FILLER_46_3661 +*26954 FILLER_46_3667 +*26955 FILLER_46_3669 +*26956 FILLER_46_3681 +*26957 FILLER_46_3693 +*26958 FILLER_46_3705 +*26959 FILLER_46_3717 +*26960 FILLER_46_3723 +*26961 FILLER_46_3725 +*26962 FILLER_46_3737 +*26963 FILLER_46_3749 +*26964 FILLER_46_3761 +*26965 FILLER_46_377 +*26966 FILLER_46_3773 +*26967 FILLER_46_3779 +*26968 FILLER_46_3781 +*26969 FILLER_46_3793 +*26970 FILLER_46_3805 +*26971 FILLER_46_3817 +*26972 FILLER_46_3829 +*26973 FILLER_46_3835 +*26974 FILLER_46_3837 +*26975 FILLER_46_3849 +*26976 FILLER_46_3861 +*26977 FILLER_46_3869 +*26978 FILLER_46_3872 +*26979 FILLER_46_3884 +*26980 FILLER_46_389 +*26981 FILLER_46_3893 +*26982 FILLER_46_3905 +*26983 FILLER_46_3917 +*26984 FILLER_46_3929 +*26985 FILLER_46_3941 +*26986 FILLER_46_3947 +*26987 FILLER_46_3949 +*26988 FILLER_46_3961 +*26989 FILLER_46_3973 +*26990 FILLER_46_3985 +*26991 FILLER_46_3997 +*26992 FILLER_46_4003 +*26993 FILLER_46_4005 +*26994 FILLER_46_401 +*26995 FILLER_46_4017 +*26996 FILLER_46_4029 +*26997 FILLER_46_4041 +*26998 FILLER_46_4053 +*26999 FILLER_46_4059 +*27000 FILLER_46_4061 +*27001 FILLER_46_4073 +*27002 FILLER_46_4085 +*27003 FILLER_46_4097 +*27004 FILLER_46_41 +*27005 FILLER_46_413 +*27006 FILLER_46_419 +*27007 FILLER_46_421 +*27008 FILLER_46_433 +*27009 FILLER_46_445 +*27010 FILLER_46_457 +*27011 FILLER_46_469 +*27012 FILLER_46_475 +*27013 FILLER_46_477 +*27014 FILLER_46_489 +*27015 FILLER_46_501 +*27016 FILLER_46_513 +*27017 FILLER_46_525 +*27018 FILLER_46_53 +*27019 FILLER_46_531 +*27020 FILLER_46_533 +*27021 FILLER_46_545 +*27022 FILLER_46_557 +*27023 FILLER_46_569 +*27024 FILLER_46_581 +*27025 FILLER_46_587 +*27026 FILLER_46_589 +*27027 FILLER_46_601 +*27028 FILLER_46_613 +*27029 FILLER_46_625 +*27030 FILLER_46_637 +*27031 FILLER_46_643 +*27032 FILLER_46_645 +*27033 FILLER_46_65 +*27034 FILLER_46_657 +*27035 FILLER_46_669 +*27036 FILLER_46_681 +*27037 FILLER_46_693 +*27038 FILLER_46_699 +*27039 FILLER_46_701 +*27040 FILLER_46_713 +*27041 FILLER_46_723 +*27042 FILLER_46_735 +*27043 FILLER_46_747 +*27044 FILLER_46_755 +*27045 FILLER_46_757 +*27046 FILLER_46_769 +*27047 FILLER_46_77 +*27048 FILLER_46_781 +*27049 FILLER_46_793 +*27050 FILLER_46_805 +*27051 FILLER_46_811 +*27052 FILLER_46_813 +*27053 FILLER_46_825 +*27054 FILLER_46_83 +*27055 FILLER_46_837 +*27056 FILLER_46_849 +*27057 FILLER_46_85 +*27058 FILLER_46_861 +*27059 FILLER_46_867 +*27060 FILLER_46_869 +*27061 FILLER_46_881 +*27062 FILLER_46_893 +*27063 FILLER_46_905 +*27064 FILLER_46_917 +*27065 FILLER_46_923 +*27066 FILLER_46_925 +*27067 FILLER_46_937 +*27068 FILLER_46_949 +*27069 FILLER_46_961 +*27070 FILLER_46_97 +*27071 FILLER_46_973 +*27072 FILLER_46_979 +*27073 FILLER_46_981 +*27074 FILLER_46_987 +*27075 FILLER_46_999 +*27076 FILLER_47_1006 +*27077 FILLER_47_1009 +*27078 FILLER_47_1013 +*27079 FILLER_47_1021 +*27080 FILLER_47_1031 +*27081 FILLER_47_1037 +*27082 FILLER_47_1049 +*27083 FILLER_47_105 +*27084 FILLER_47_1061 +*27085 FILLER_47_1065 +*27086 FILLER_47_1073 +*27087 FILLER_47_1079 +*27088 FILLER_47_1091 +*27089 FILLER_47_1103 +*27090 FILLER_47_1107 +*27091 FILLER_47_111 +*27092 FILLER_47_1110 +*27093 FILLER_47_1118 +*27094 FILLER_47_1121 +*27095 FILLER_47_1125 +*27096 FILLER_47_1129 +*27097 FILLER_47_113 +*27098 FILLER_47_1139 +*27099 FILLER_47_1145 +*27100 FILLER_47_1149 +*27101 FILLER_47_1152 +*27102 FILLER_47_1164 +*27103 FILLER_47_1174 +*27104 FILLER_47_1177 +*27105 FILLER_47_1181 +*27106 FILLER_47_1198 +*27107 FILLER_47_1204 +*27108 FILLER_47_1212 +*27109 FILLER_47_1224 +*27110 FILLER_47_1230 +*27111 FILLER_47_1233 +*27112 FILLER_47_1245 +*27113 FILLER_47_125 +*27114 FILLER_47_1257 +*27115 FILLER_47_1269 +*27116 FILLER_47_1281 +*27117 FILLER_47_1287 +*27118 FILLER_47_1289 +*27119 FILLER_47_1300 +*27120 FILLER_47_1306 +*27121 FILLER_47_1314 +*27122 FILLER_47_1322 +*27123 FILLER_47_1328 +*27124 FILLER_47_1342 +*27125 FILLER_47_1345 +*27126 FILLER_47_1357 +*27127 FILLER_47_1369 +*27128 FILLER_47_137 +*27129 FILLER_47_1377 +*27130 FILLER_47_1381 +*27131 FILLER_47_1389 +*27132 FILLER_47_1397 +*27133 FILLER_47_1401 +*27134 FILLER_47_1413 +*27135 FILLER_47_1425 +*27136 FILLER_47_1437 +*27137 FILLER_47_1441 +*27138 FILLER_47_1444 +*27139 FILLER_47_1452 +*27140 FILLER_47_1457 +*27141 FILLER_47_1465 +*27142 FILLER_47_1477 +*27143 FILLER_47_1489 +*27144 FILLER_47_149 +*27145 FILLER_47_15 +*27146 FILLER_47_1501 +*27147 FILLER_47_1509 +*27148 FILLER_47_1513 +*27149 FILLER_47_1525 +*27150 FILLER_47_1537 +*27151 FILLER_47_1553 +*27152 FILLER_47_1559 +*27153 FILLER_47_1567 +*27154 FILLER_47_1569 +*27155 FILLER_47_1584 +*27156 FILLER_47_1590 +*27157 FILLER_47_1602 +*27158 FILLER_47_161 +*27159 FILLER_47_1614 +*27160 FILLER_47_1622 +*27161 FILLER_47_1625 +*27162 FILLER_47_1632 +*27163 FILLER_47_1644 +*27164 FILLER_47_1656 +*27165 FILLER_47_1668 +*27166 FILLER_47_167 +*27167 FILLER_47_1681 +*27168 FILLER_47_169 +*27169 FILLER_47_1693 +*27170 FILLER_47_1699 +*27171 FILLER_47_1711 +*27172 FILLER_47_1723 +*27173 FILLER_47_1735 +*27174 FILLER_47_1737 +*27175 FILLER_47_1749 +*27176 FILLER_47_1761 +*27177 FILLER_47_1773 +*27178 FILLER_47_1785 +*27179 FILLER_47_1791 +*27180 FILLER_47_1793 +*27181 FILLER_47_1805 +*27182 FILLER_47_181 +*27183 FILLER_47_1812 +*27184 FILLER_47_1818 +*27185 FILLER_47_1830 +*27186 FILLER_47_1842 +*27187 FILLER_47_1849 +*27188 FILLER_47_1861 +*27189 FILLER_47_1873 +*27190 FILLER_47_1885 +*27191 FILLER_47_1897 +*27192 FILLER_47_1903 +*27193 FILLER_47_1905 +*27194 FILLER_47_1917 +*27195 FILLER_47_1929 +*27196 FILLER_47_193 +*27197 FILLER_47_1941 +*27198 FILLER_47_1946 +*27199 FILLER_47_1952 +*27200 FILLER_47_1961 +*27201 FILLER_47_1973 +*27202 FILLER_47_1985 +*27203 FILLER_47_1997 +*27204 FILLER_47_2009 +*27205 FILLER_47_2015 +*27206 FILLER_47_2017 +*27207 FILLER_47_2029 +*27208 FILLER_47_2041 +*27209 FILLER_47_205 +*27210 FILLER_47_2053 +*27211 FILLER_47_2065 +*27212 FILLER_47_2071 +*27213 FILLER_47_2073 +*27214 FILLER_47_2085 +*27215 FILLER_47_2097 +*27216 FILLER_47_2109 +*27217 FILLER_47_2121 +*27218 FILLER_47_2127 +*27219 FILLER_47_2129 +*27220 FILLER_47_2141 +*27221 FILLER_47_2153 +*27222 FILLER_47_2165 +*27223 FILLER_47_217 +*27224 FILLER_47_2177 +*27225 FILLER_47_2183 +*27226 FILLER_47_2185 +*27227 FILLER_47_2197 +*27228 FILLER_47_2209 +*27229 FILLER_47_2221 +*27230 FILLER_47_223 +*27231 FILLER_47_2233 +*27232 FILLER_47_2239 +*27233 FILLER_47_2241 +*27234 FILLER_47_225 +*27235 FILLER_47_2253 +*27236 FILLER_47_2265 +*27237 FILLER_47_2277 +*27238 FILLER_47_2289 +*27239 FILLER_47_2295 +*27240 FILLER_47_2297 +*27241 FILLER_47_2309 +*27242 FILLER_47_2321 +*27243 FILLER_47_2333 +*27244 FILLER_47_2345 +*27245 FILLER_47_2351 +*27246 FILLER_47_2353 +*27247 FILLER_47_2365 +*27248 FILLER_47_237 +*27249 FILLER_47_2377 +*27250 FILLER_47_2389 +*27251 FILLER_47_2401 +*27252 FILLER_47_2407 +*27253 FILLER_47_2409 +*27254 FILLER_47_2421 +*27255 FILLER_47_2433 +*27256 FILLER_47_2445 +*27257 FILLER_47_2457 +*27258 FILLER_47_2463 +*27259 FILLER_47_2465 +*27260 FILLER_47_2477 +*27261 FILLER_47_2489 +*27262 FILLER_47_249 +*27263 FILLER_47_2501 +*27264 FILLER_47_2513 +*27265 FILLER_47_2519 +*27266 FILLER_47_2521 +*27267 FILLER_47_2533 +*27268 FILLER_47_2545 +*27269 FILLER_47_2557 +*27270 FILLER_47_2569 +*27271 FILLER_47_2575 +*27272 FILLER_47_2577 +*27273 FILLER_47_2589 +*27274 FILLER_47_2601 +*27275 FILLER_47_261 +*27276 FILLER_47_2613 +*27277 FILLER_47_2625 +*27278 FILLER_47_2631 +*27279 FILLER_47_2633 +*27280 FILLER_47_2645 +*27281 FILLER_47_2657 +*27282 FILLER_47_2669 +*27283 FILLER_47_2681 +*27284 FILLER_47_2687 +*27285 FILLER_47_2689 +*27286 FILLER_47_27 +*27287 FILLER_47_2701 +*27288 FILLER_47_2713 +*27289 FILLER_47_2725 +*27290 FILLER_47_273 +*27291 FILLER_47_2737 +*27292 FILLER_47_2743 +*27293 FILLER_47_2745 +*27294 FILLER_47_2757 +*27295 FILLER_47_2769 +*27296 FILLER_47_2781 +*27297 FILLER_47_279 +*27298 FILLER_47_2793 +*27299 FILLER_47_2799 +*27300 FILLER_47_2801 +*27301 FILLER_47_281 +*27302 FILLER_47_2813 +*27303 FILLER_47_2825 +*27304 FILLER_47_2837 +*27305 FILLER_47_2849 +*27306 FILLER_47_2855 +*27307 FILLER_47_2857 +*27308 FILLER_47_2869 +*27309 FILLER_47_2881 +*27310 FILLER_47_2893 +*27311 FILLER_47_2905 +*27312 FILLER_47_2911 +*27313 FILLER_47_2913 +*27314 FILLER_47_2925 +*27315 FILLER_47_293 +*27316 FILLER_47_2937 +*27317 FILLER_47_2949 +*27318 FILLER_47_2961 +*27319 FILLER_47_2967 +*27320 FILLER_47_2969 +*27321 FILLER_47_2981 +*27322 FILLER_47_2993 +*27323 FILLER_47_3 +*27324 FILLER_47_3005 +*27325 FILLER_47_3017 +*27326 FILLER_47_3023 +*27327 FILLER_47_3025 +*27328 FILLER_47_3037 +*27329 FILLER_47_3049 +*27330 FILLER_47_305 +*27331 FILLER_47_3061 +*27332 FILLER_47_3073 +*27333 FILLER_47_3079 +*27334 FILLER_47_3081 +*27335 FILLER_47_3093 +*27336 FILLER_47_3105 +*27337 FILLER_47_3117 +*27338 FILLER_47_3129 +*27339 FILLER_47_3135 +*27340 FILLER_47_3137 +*27341 FILLER_47_3149 +*27342 FILLER_47_3161 +*27343 FILLER_47_317 +*27344 FILLER_47_3173 +*27345 FILLER_47_3185 +*27346 FILLER_47_3191 +*27347 FILLER_47_3193 +*27348 FILLER_47_3205 +*27349 FILLER_47_3217 +*27350 FILLER_47_3229 +*27351 FILLER_47_3241 +*27352 FILLER_47_3247 +*27353 FILLER_47_3249 +*27354 FILLER_47_3261 +*27355 FILLER_47_3273 +*27356 FILLER_47_3285 +*27357 FILLER_47_329 +*27358 FILLER_47_3297 +*27359 FILLER_47_3303 +*27360 FILLER_47_3305 +*27361 FILLER_47_3317 +*27362 FILLER_47_3329 +*27363 FILLER_47_3341 +*27364 FILLER_47_335 +*27365 FILLER_47_3353 +*27366 FILLER_47_3359 +*27367 FILLER_47_3361 +*27368 FILLER_47_337 +*27369 FILLER_47_3373 +*27370 FILLER_47_3385 +*27371 FILLER_47_3397 +*27372 FILLER_47_3409 +*27373 FILLER_47_3415 +*27374 FILLER_47_3417 +*27375 FILLER_47_3429 +*27376 FILLER_47_3441 +*27377 FILLER_47_3453 +*27378 FILLER_47_3465 +*27379 FILLER_47_3471 +*27380 FILLER_47_3473 +*27381 FILLER_47_3485 +*27382 FILLER_47_349 +*27383 FILLER_47_3497 +*27384 FILLER_47_3509 +*27385 FILLER_47_3521 +*27386 FILLER_47_3527 +*27387 FILLER_47_3529 +*27388 FILLER_47_3541 +*27389 FILLER_47_3553 +*27390 FILLER_47_3565 +*27391 FILLER_47_3577 +*27392 FILLER_47_3583 +*27393 FILLER_47_3585 +*27394 FILLER_47_3597 +*27395 FILLER_47_3609 +*27396 FILLER_47_361 +*27397 FILLER_47_3621 +*27398 FILLER_47_3633 +*27399 FILLER_47_3639 +*27400 FILLER_47_3641 +*27401 FILLER_47_3653 +*27402 FILLER_47_3665 +*27403 FILLER_47_3677 +*27404 FILLER_47_3689 +*27405 FILLER_47_3695 +*27406 FILLER_47_3697 +*27407 FILLER_47_3709 +*27408 FILLER_47_3721 +*27409 FILLER_47_373 +*27410 FILLER_47_3733 +*27411 FILLER_47_3745 +*27412 FILLER_47_3751 +*27413 FILLER_47_3753 +*27414 FILLER_47_3766 +*27415 FILLER_47_3772 +*27416 FILLER_47_3784 +*27417 FILLER_47_3796 +*27418 FILLER_47_3809 +*27419 FILLER_47_3821 +*27420 FILLER_47_3833 +*27421 FILLER_47_3845 +*27422 FILLER_47_385 +*27423 FILLER_47_3857 +*27424 FILLER_47_3863 +*27425 FILLER_47_3865 +*27426 FILLER_47_3871 +*27427 FILLER_47_3882 +*27428 FILLER_47_3894 +*27429 FILLER_47_39 +*27430 FILLER_47_3906 +*27431 FILLER_47_391 +*27432 FILLER_47_3918 +*27433 FILLER_47_3921 +*27434 FILLER_47_393 +*27435 FILLER_47_3933 +*27436 FILLER_47_3945 +*27437 FILLER_47_3957 +*27438 FILLER_47_3969 +*27439 FILLER_47_3975 +*27440 FILLER_47_3977 +*27441 FILLER_47_3989 +*27442 FILLER_47_4001 +*27443 FILLER_47_4013 +*27444 FILLER_47_4025 +*27445 FILLER_47_4031 +*27446 FILLER_47_4033 +*27447 FILLER_47_4045 +*27448 FILLER_47_405 +*27449 FILLER_47_4057 +*27450 FILLER_47_4069 +*27451 FILLER_47_4081 +*27452 FILLER_47_4087 +*27453 FILLER_47_4089 +*27454 FILLER_47_4101 +*27455 FILLER_47_417 +*27456 FILLER_47_429 +*27457 FILLER_47_441 +*27458 FILLER_47_447 +*27459 FILLER_47_449 +*27460 FILLER_47_461 +*27461 FILLER_47_473 +*27462 FILLER_47_485 +*27463 FILLER_47_497 +*27464 FILLER_47_503 +*27465 FILLER_47_505 +*27466 FILLER_47_51 +*27467 FILLER_47_517 +*27468 FILLER_47_529 +*27469 FILLER_47_541 +*27470 FILLER_47_55 +*27471 FILLER_47_558 +*27472 FILLER_47_561 +*27473 FILLER_47_565 +*27474 FILLER_47_57 +*27475 FILLER_47_577 +*27476 FILLER_47_589 +*27477 FILLER_47_601 +*27478 FILLER_47_613 +*27479 FILLER_47_617 +*27480 FILLER_47_629 +*27481 FILLER_47_641 +*27482 FILLER_47_653 +*27483 FILLER_47_665 +*27484 FILLER_47_671 +*27485 FILLER_47_673 +*27486 FILLER_47_685 +*27487 FILLER_47_69 +*27488 FILLER_47_697 +*27489 FILLER_47_709 +*27490 FILLER_47_721 +*27491 FILLER_47_727 +*27492 FILLER_47_729 +*27493 FILLER_47_741 +*27494 FILLER_47_753 +*27495 FILLER_47_765 +*27496 FILLER_47_777 +*27497 FILLER_47_783 +*27498 FILLER_47_785 +*27499 FILLER_47_796 +*27500 FILLER_47_802 +*27501 FILLER_47_81 +*27502 FILLER_47_814 +*27503 FILLER_47_826 +*27504 FILLER_47_838 +*27505 FILLER_47_841 +*27506 FILLER_47_845 +*27507 FILLER_47_857 +*27508 FILLER_47_869 +*27509 FILLER_47_881 +*27510 FILLER_47_893 +*27511 FILLER_47_897 +*27512 FILLER_47_901 +*27513 FILLER_47_904 +*27514 FILLER_47_916 +*27515 FILLER_47_928 +*27516 FILLER_47_93 +*27517 FILLER_47_940 +*27518 FILLER_47_953 +*27519 FILLER_47_961 +*27520 FILLER_47_966 +*27521 FILLER_47_978 +*27522 FILLER_47_990 +*27523 FILLER_47_996 +*27524 FILLER_48_1005 +*27525 FILLER_48_1017 +*27526 FILLER_48_1029 +*27527 FILLER_48_1035 +*27528 FILLER_48_1037 +*27529 FILLER_48_1049 +*27530 FILLER_48_1059 +*27531 FILLER_48_1065 +*27532 FILLER_48_1077 +*27533 FILLER_48_1089 +*27534 FILLER_48_109 +*27535 FILLER_48_1093 +*27536 FILLER_48_1105 +*27537 FILLER_48_1118 +*27538 FILLER_48_1126 +*27539 FILLER_48_1136 +*27540 FILLER_48_1149 +*27541 FILLER_48_1161 +*27542 FILLER_48_1176 +*27543 FILLER_48_1182 +*27544 FILLER_48_1194 +*27545 FILLER_48_1202 +*27546 FILLER_48_1205 +*27547 FILLER_48_121 +*27548 FILLER_48_1217 +*27549 FILLER_48_1225 +*27550 FILLER_48_1232 +*27551 FILLER_48_1238 +*27552 FILLER_48_1255 +*27553 FILLER_48_1259 +*27554 FILLER_48_1261 +*27555 FILLER_48_1265 +*27556 FILLER_48_1280 +*27557 FILLER_48_1286 +*27558 FILLER_48_1298 +*27559 FILLER_48_1310 +*27560 FILLER_48_1317 +*27561 FILLER_48_133 +*27562 FILLER_48_1332 +*27563 FILLER_48_1338 +*27564 FILLER_48_1344 +*27565 FILLER_48_1358 +*27566 FILLER_48_1364 +*27567 FILLER_48_1373 +*27568 FILLER_48_1385 +*27569 FILLER_48_139 +*27570 FILLER_48_1397 +*27571 FILLER_48_1409 +*27572 FILLER_48_141 +*27573 FILLER_48_1421 +*27574 FILLER_48_1427 +*27575 FILLER_48_1429 +*27576 FILLER_48_1441 +*27577 FILLER_48_1453 +*27578 FILLER_48_1465 +*27579 FILLER_48_1477 +*27580 FILLER_48_1483 +*27581 FILLER_48_1485 +*27582 FILLER_48_1497 +*27583 FILLER_48_15 +*27584 FILLER_48_1509 +*27585 FILLER_48_1521 +*27586 FILLER_48_153 +*27587 FILLER_48_1533 +*27588 FILLER_48_1539 +*27589 FILLER_48_1541 +*27590 FILLER_48_1553 +*27591 FILLER_48_1565 +*27592 FILLER_48_1577 +*27593 FILLER_48_1589 +*27594 FILLER_48_1595 +*27595 FILLER_48_1597 +*27596 FILLER_48_1609 +*27597 FILLER_48_1621 +*27598 FILLER_48_1633 +*27599 FILLER_48_1645 +*27600 FILLER_48_165 +*27601 FILLER_48_1651 +*27602 FILLER_48_1653 +*27603 FILLER_48_1659 +*27604 FILLER_48_1667 +*27605 FILLER_48_1679 +*27606 FILLER_48_1691 +*27607 FILLER_48_1703 +*27608 FILLER_48_1707 +*27609 FILLER_48_1709 +*27610 FILLER_48_1721 +*27611 FILLER_48_1733 +*27612 FILLER_48_1745 +*27613 FILLER_48_1757 +*27614 FILLER_48_1763 +*27615 FILLER_48_1765 +*27616 FILLER_48_177 +*27617 FILLER_48_1777 +*27618 FILLER_48_1789 +*27619 FILLER_48_1801 +*27620 FILLER_48_1813 +*27621 FILLER_48_1819 +*27622 FILLER_48_1821 +*27623 FILLER_48_1833 +*27624 FILLER_48_1845 +*27625 FILLER_48_1857 +*27626 FILLER_48_1869 +*27627 FILLER_48_1875 +*27628 FILLER_48_1877 +*27629 FILLER_48_1889 +*27630 FILLER_48_189 +*27631 FILLER_48_1894 +*27632 FILLER_48_1906 +*27633 FILLER_48_1918 +*27634 FILLER_48_1930 +*27635 FILLER_48_1933 +*27636 FILLER_48_1945 +*27637 FILLER_48_195 +*27638 FILLER_48_1957 +*27639 FILLER_48_1969 +*27640 FILLER_48_197 +*27641 FILLER_48_1981 +*27642 FILLER_48_1987 +*27643 FILLER_48_1989 +*27644 FILLER_48_2001 +*27645 FILLER_48_2013 +*27646 FILLER_48_2025 +*27647 FILLER_48_2037 +*27648 FILLER_48_2043 +*27649 FILLER_48_2045 +*27650 FILLER_48_2057 +*27651 FILLER_48_2069 +*27652 FILLER_48_2081 +*27653 FILLER_48_209 +*27654 FILLER_48_2093 +*27655 FILLER_48_2099 +*27656 FILLER_48_2101 +*27657 FILLER_48_2113 +*27658 FILLER_48_2125 +*27659 FILLER_48_2137 +*27660 FILLER_48_2149 +*27661 FILLER_48_2155 +*27662 FILLER_48_2157 +*27663 FILLER_48_2169 +*27664 FILLER_48_2181 +*27665 FILLER_48_2193 +*27666 FILLER_48_2205 +*27667 FILLER_48_221 +*27668 FILLER_48_2211 +*27669 FILLER_48_2213 +*27670 FILLER_48_2225 +*27671 FILLER_48_2237 +*27672 FILLER_48_2249 +*27673 FILLER_48_2261 +*27674 FILLER_48_2267 +*27675 FILLER_48_2269 +*27676 FILLER_48_2281 +*27677 FILLER_48_2293 +*27678 FILLER_48_2297 +*27679 FILLER_48_2301 +*27680 FILLER_48_2307 +*27681 FILLER_48_2319 +*27682 FILLER_48_2323 +*27683 FILLER_48_2325 +*27684 FILLER_48_233 +*27685 FILLER_48_2337 +*27686 FILLER_48_2349 +*27687 FILLER_48_2361 +*27688 FILLER_48_2373 +*27689 FILLER_48_2379 +*27690 FILLER_48_2381 +*27691 FILLER_48_2393 +*27692 FILLER_48_2405 +*27693 FILLER_48_2417 +*27694 FILLER_48_2429 +*27695 FILLER_48_2435 +*27696 FILLER_48_2437 +*27697 FILLER_48_2449 +*27698 FILLER_48_245 +*27699 FILLER_48_2461 +*27700 FILLER_48_2473 +*27701 FILLER_48_2485 +*27702 FILLER_48_2491 +*27703 FILLER_48_2493 +*27704 FILLER_48_2505 +*27705 FILLER_48_251 +*27706 FILLER_48_2517 +*27707 FILLER_48_2529 +*27708 FILLER_48_253 +*27709 FILLER_48_2541 +*27710 FILLER_48_2547 +*27711 FILLER_48_2549 +*27712 FILLER_48_2561 +*27713 FILLER_48_2567 +*27714 FILLER_48_2579 +*27715 FILLER_48_2591 +*27716 FILLER_48_2603 +*27717 FILLER_48_2605 +*27718 FILLER_48_2610 +*27719 FILLER_48_2616 +*27720 FILLER_48_2628 +*27721 FILLER_48_2640 +*27722 FILLER_48_265 +*27723 FILLER_48_2652 +*27724 FILLER_48_2661 +*27725 FILLER_48_2673 +*27726 FILLER_48_2685 +*27727 FILLER_48_2697 +*27728 FILLER_48_27 +*27729 FILLER_48_2709 +*27730 FILLER_48_2715 +*27731 FILLER_48_2717 +*27732 FILLER_48_2729 +*27733 FILLER_48_2741 +*27734 FILLER_48_2753 +*27735 FILLER_48_2765 +*27736 FILLER_48_277 +*27737 FILLER_48_2771 +*27738 FILLER_48_2773 +*27739 FILLER_48_2785 +*27740 FILLER_48_2797 +*27741 FILLER_48_2809 +*27742 FILLER_48_2821 +*27743 FILLER_48_2827 +*27744 FILLER_48_2829 +*27745 FILLER_48_2841 +*27746 FILLER_48_2853 +*27747 FILLER_48_2865 +*27748 FILLER_48_2877 +*27749 FILLER_48_2883 +*27750 FILLER_48_2885 +*27751 FILLER_48_289 +*27752 FILLER_48_2897 +*27753 FILLER_48_29 +*27754 FILLER_48_2909 +*27755 FILLER_48_2921 +*27756 FILLER_48_2933 +*27757 FILLER_48_2939 +*27758 FILLER_48_2941 +*27759 FILLER_48_2953 +*27760 FILLER_48_2965 +*27761 FILLER_48_2977 +*27762 FILLER_48_2989 +*27763 FILLER_48_2995 +*27764 FILLER_48_2997 +*27765 FILLER_48_3 +*27766 FILLER_48_3009 +*27767 FILLER_48_301 +*27768 FILLER_48_3021 +*27769 FILLER_48_3033 +*27770 FILLER_48_3045 +*27771 FILLER_48_3051 +*27772 FILLER_48_3053 +*27773 FILLER_48_3065 +*27774 FILLER_48_307 +*27775 FILLER_48_3077 +*27776 FILLER_48_3089 +*27777 FILLER_48_309 +*27778 FILLER_48_3101 +*27779 FILLER_48_3107 +*27780 FILLER_48_3109 +*27781 FILLER_48_3121 +*27782 FILLER_48_3133 +*27783 FILLER_48_3145 +*27784 FILLER_48_3157 +*27785 FILLER_48_3163 +*27786 FILLER_48_3165 +*27787 FILLER_48_3177 +*27788 FILLER_48_3189 +*27789 FILLER_48_3201 +*27790 FILLER_48_321 +*27791 FILLER_48_3213 +*27792 FILLER_48_3218 +*27793 FILLER_48_3221 +*27794 FILLER_48_3233 +*27795 FILLER_48_3245 +*27796 FILLER_48_3257 +*27797 FILLER_48_3269 +*27798 FILLER_48_3275 +*27799 FILLER_48_3277 +*27800 FILLER_48_3289 +*27801 FILLER_48_3301 +*27802 FILLER_48_3313 +*27803 FILLER_48_3325 +*27804 FILLER_48_333 +*27805 FILLER_48_3331 +*27806 FILLER_48_3333 +*27807 FILLER_48_3345 +*27808 FILLER_48_3357 +*27809 FILLER_48_3369 +*27810 FILLER_48_3381 +*27811 FILLER_48_3387 +*27812 FILLER_48_3389 +*27813 FILLER_48_3401 +*27814 FILLER_48_3413 +*27815 FILLER_48_3425 +*27816 FILLER_48_3437 +*27817 FILLER_48_3443 +*27818 FILLER_48_3445 +*27819 FILLER_48_345 +*27820 FILLER_48_3451 +*27821 FILLER_48_3457 +*27822 FILLER_48_3469 +*27823 FILLER_48_3481 +*27824 FILLER_48_3493 +*27825 FILLER_48_3499 +*27826 FILLER_48_3501 +*27827 FILLER_48_3513 +*27828 FILLER_48_3525 +*27829 FILLER_48_3537 +*27830 FILLER_48_3549 +*27831 FILLER_48_3555 +*27832 FILLER_48_3557 +*27833 FILLER_48_3569 +*27834 FILLER_48_357 +*27835 FILLER_48_3581 +*27836 FILLER_48_3593 +*27837 FILLER_48_3605 +*27838 FILLER_48_3611 +*27839 FILLER_48_3613 +*27840 FILLER_48_3625 +*27841 FILLER_48_363 +*27842 FILLER_48_3637 +*27843 FILLER_48_3649 +*27844 FILLER_48_365 +*27845 FILLER_48_3661 +*27846 FILLER_48_3667 +*27847 FILLER_48_3669 +*27848 FILLER_48_3681 +*27849 FILLER_48_3693 +*27850 FILLER_48_3705 +*27851 FILLER_48_3717 +*27852 FILLER_48_3723 +*27853 FILLER_48_3725 +*27854 FILLER_48_3733 +*27855 FILLER_48_3737 +*27856 FILLER_48_3743 +*27857 FILLER_48_3755 +*27858 FILLER_48_3767 +*27859 FILLER_48_377 +*27860 FILLER_48_3779 +*27861 FILLER_48_3781 +*27862 FILLER_48_3793 +*27863 FILLER_48_3805 +*27864 FILLER_48_3817 +*27865 FILLER_48_3829 +*27866 FILLER_48_3835 +*27867 FILLER_48_3837 +*27868 FILLER_48_3849 +*27869 FILLER_48_3861 +*27870 FILLER_48_3873 +*27871 FILLER_48_3885 +*27872 FILLER_48_389 +*27873 FILLER_48_3891 +*27874 FILLER_48_3893 +*27875 FILLER_48_3905 +*27876 FILLER_48_3917 +*27877 FILLER_48_3929 +*27878 FILLER_48_3941 +*27879 FILLER_48_3947 +*27880 FILLER_48_3949 +*27881 FILLER_48_3961 +*27882 FILLER_48_3973 +*27883 FILLER_48_3985 +*27884 FILLER_48_3997 +*27885 FILLER_48_4003 +*27886 FILLER_48_4005 +*27887 FILLER_48_401 +*27888 FILLER_48_4017 +*27889 FILLER_48_4029 +*27890 FILLER_48_4041 +*27891 FILLER_48_4053 +*27892 FILLER_48_4059 +*27893 FILLER_48_4061 +*27894 FILLER_48_4073 +*27895 FILLER_48_4085 +*27896 FILLER_48_4097 +*27897 FILLER_48_41 +*27898 FILLER_48_413 +*27899 FILLER_48_419 +*27900 FILLER_48_421 +*27901 FILLER_48_433 +*27902 FILLER_48_445 +*27903 FILLER_48_457 +*27904 FILLER_48_469 +*27905 FILLER_48_475 +*27906 FILLER_48_477 +*27907 FILLER_48_489 +*27908 FILLER_48_501 +*27909 FILLER_48_513 +*27910 FILLER_48_525 +*27911 FILLER_48_53 +*27912 FILLER_48_531 +*27913 FILLER_48_533 +*27914 FILLER_48_545 +*27915 FILLER_48_551 +*27916 FILLER_48_561 +*27917 FILLER_48_574 +*27918 FILLER_48_580 +*27919 FILLER_48_589 +*27920 FILLER_48_601 +*27921 FILLER_48_613 +*27922 FILLER_48_625 +*27923 FILLER_48_637 +*27924 FILLER_48_643 +*27925 FILLER_48_645 +*27926 FILLER_48_65 +*27927 FILLER_48_657 +*27928 FILLER_48_669 +*27929 FILLER_48_681 +*27930 FILLER_48_693 +*27931 FILLER_48_699 +*27932 FILLER_48_701 +*27933 FILLER_48_713 +*27934 FILLER_48_725 +*27935 FILLER_48_737 +*27936 FILLER_48_749 +*27937 FILLER_48_755 +*27938 FILLER_48_757 +*27939 FILLER_48_769 +*27940 FILLER_48_77 +*27941 FILLER_48_781 +*27942 FILLER_48_793 +*27943 FILLER_48_805 +*27944 FILLER_48_811 +*27945 FILLER_48_813 +*27946 FILLER_48_825 +*27947 FILLER_48_83 +*27948 FILLER_48_837 +*27949 FILLER_48_849 +*27950 FILLER_48_85 +*27951 FILLER_48_861 +*27952 FILLER_48_867 +*27953 FILLER_48_869 +*27954 FILLER_48_881 +*27955 FILLER_48_893 +*27956 FILLER_48_902 +*27957 FILLER_48_910 +*27958 FILLER_48_922 +*27959 FILLER_48_925 +*27960 FILLER_48_937 +*27961 FILLER_48_949 +*27962 FILLER_48_964 +*27963 FILLER_48_97 +*27964 FILLER_48_972 +*27965 FILLER_48_978 +*27966 FILLER_48_981 +*27967 FILLER_48_993 +*27968 FILLER_49_1005 +*27969 FILLER_49_1009 +*27970 FILLER_49_1021 +*27971 FILLER_49_1029 +*27972 FILLER_49_1033 +*27973 FILLER_49_1045 +*27974 FILLER_49_105 +*27975 FILLER_49_1057 +*27976 FILLER_49_1063 +*27977 FILLER_49_1065 +*27978 FILLER_49_1077 +*27979 FILLER_49_1089 +*27980 FILLER_49_1101 +*27981 FILLER_49_111 +*27982 FILLER_49_1118 +*27983 FILLER_49_1121 +*27984 FILLER_49_1125 +*27985 FILLER_49_1129 +*27986 FILLER_49_113 +*27987 FILLER_49_1139 +*27988 FILLER_49_1145 +*27989 FILLER_49_1157 +*27990 FILLER_49_1171 +*27991 FILLER_49_1175 +*27992 FILLER_49_1177 +*27993 FILLER_49_1189 +*27994 FILLER_49_1201 +*27995 FILLER_49_1208 +*27996 FILLER_49_1220 +*27997 FILLER_49_1224 +*27998 FILLER_49_1227 +*27999 FILLER_49_1231 +*28000 FILLER_49_1233 +*28001 FILLER_49_1239 +*28002 FILLER_49_1245 +*28003 FILLER_49_125 +*28004 FILLER_49_1257 +*28005 FILLER_49_1269 +*28006 FILLER_49_1281 +*28007 FILLER_49_1287 +*28008 FILLER_49_1289 +*28009 FILLER_49_1301 +*28010 FILLER_49_1313 +*28011 FILLER_49_1334 +*28012 FILLER_49_1340 +*28013 FILLER_49_1345 +*28014 FILLER_49_1349 +*28015 FILLER_49_1356 +*28016 FILLER_49_1362 +*28017 FILLER_49_137 +*28018 FILLER_49_1374 +*28019 FILLER_49_1386 +*28020 FILLER_49_1398 +*28021 FILLER_49_1401 +*28022 FILLER_49_1407 +*28023 FILLER_49_1413 +*28024 FILLER_49_1425 +*28025 FILLER_49_1428 +*28026 FILLER_49_1440 +*28027 FILLER_49_1452 +*28028 FILLER_49_1457 +*28029 FILLER_49_1469 +*28030 FILLER_49_1481 +*28031 FILLER_49_149 +*28032 FILLER_49_1493 +*28033 FILLER_49_15 +*28034 FILLER_49_1505 +*28035 FILLER_49_1511 +*28036 FILLER_49_1513 +*28037 FILLER_49_1525 +*28038 FILLER_49_1537 +*28039 FILLER_49_1549 +*28040 FILLER_49_1561 +*28041 FILLER_49_1567 +*28042 FILLER_49_1569 +*28043 FILLER_49_1581 +*28044 FILLER_49_1593 +*28045 FILLER_49_1605 +*28046 FILLER_49_161 +*28047 FILLER_49_1617 +*28048 FILLER_49_1623 +*28049 FILLER_49_1625 +*28050 FILLER_49_1637 +*28051 FILLER_49_1649 +*28052 FILLER_49_1661 +*28053 FILLER_49_167 +*28054 FILLER_49_1673 +*28055 FILLER_49_1679 +*28056 FILLER_49_1681 +*28057 FILLER_49_169 +*28058 FILLER_49_1693 +*28059 FILLER_49_1705 +*28060 FILLER_49_1717 +*28061 FILLER_49_1729 +*28062 FILLER_49_1735 +*28063 FILLER_49_1737 +*28064 FILLER_49_1749 +*28065 FILLER_49_1761 +*28066 FILLER_49_1773 +*28067 FILLER_49_1785 +*28068 FILLER_49_1791 +*28069 FILLER_49_1793 +*28070 FILLER_49_1805 +*28071 FILLER_49_181 +*28072 FILLER_49_1817 +*28073 FILLER_49_1829 +*28074 FILLER_49_1841 +*28075 FILLER_49_1847 +*28076 FILLER_49_1849 +*28077 FILLER_49_1861 +*28078 FILLER_49_1873 +*28079 FILLER_49_1885 +*28080 FILLER_49_1897 +*28081 FILLER_49_1903 +*28082 FILLER_49_1905 +*28083 FILLER_49_1917 +*28084 FILLER_49_1929 +*28085 FILLER_49_193 +*28086 FILLER_49_1941 +*28087 FILLER_49_1953 +*28088 FILLER_49_1959 +*28089 FILLER_49_1961 +*28090 FILLER_49_1973 +*28091 FILLER_49_1985 +*28092 FILLER_49_1997 +*28093 FILLER_49_2009 +*28094 FILLER_49_2015 +*28095 FILLER_49_2017 +*28096 FILLER_49_2029 +*28097 FILLER_49_2043 +*28098 FILLER_49_2049 +*28099 FILLER_49_205 +*28100 FILLER_49_2061 +*28101 FILLER_49_2069 +*28102 FILLER_49_2073 +*28103 FILLER_49_2085 +*28104 FILLER_49_2093 +*28105 FILLER_49_2098 +*28106 FILLER_49_2104 +*28107 FILLER_49_2116 +*28108 FILLER_49_2129 +*28109 FILLER_49_2141 +*28110 FILLER_49_2153 +*28111 FILLER_49_2161 +*28112 FILLER_49_217 +*28113 FILLER_49_2173 +*28114 FILLER_49_2179 +*28115 FILLER_49_2183 +*28116 FILLER_49_2185 +*28117 FILLER_49_2197 +*28118 FILLER_49_2209 +*28119 FILLER_49_2221 +*28120 FILLER_49_223 +*28121 FILLER_49_2233 +*28122 FILLER_49_2239 +*28123 FILLER_49_2241 +*28124 FILLER_49_225 +*28125 FILLER_49_2253 +*28126 FILLER_49_2265 +*28127 FILLER_49_2277 +*28128 FILLER_49_2289 +*28129 FILLER_49_2295 +*28130 FILLER_49_2297 +*28131 FILLER_49_2309 +*28132 FILLER_49_2321 +*28133 FILLER_49_2333 +*28134 FILLER_49_2345 +*28135 FILLER_49_2351 +*28136 FILLER_49_2353 +*28137 FILLER_49_2365 +*28138 FILLER_49_237 +*28139 FILLER_49_2377 +*28140 FILLER_49_2389 +*28141 FILLER_49_2401 +*28142 FILLER_49_2407 +*28143 FILLER_49_2409 +*28144 FILLER_49_2421 +*28145 FILLER_49_2433 +*28146 FILLER_49_2445 +*28147 FILLER_49_2457 +*28148 FILLER_49_2463 +*28149 FILLER_49_2465 +*28150 FILLER_49_2477 +*28151 FILLER_49_2489 +*28152 FILLER_49_249 +*28153 FILLER_49_2501 +*28154 FILLER_49_2513 +*28155 FILLER_49_2519 +*28156 FILLER_49_2521 +*28157 FILLER_49_2533 +*28158 FILLER_49_2545 +*28159 FILLER_49_2557 +*28160 FILLER_49_2569 +*28161 FILLER_49_2575 +*28162 FILLER_49_2577 +*28163 FILLER_49_2589 +*28164 FILLER_49_2601 +*28165 FILLER_49_261 +*28166 FILLER_49_2613 +*28167 FILLER_49_2625 +*28168 FILLER_49_2631 +*28169 FILLER_49_2633 +*28170 FILLER_49_2645 +*28171 FILLER_49_2657 +*28172 FILLER_49_2669 +*28173 FILLER_49_2681 +*28174 FILLER_49_2687 +*28175 FILLER_49_2689 +*28176 FILLER_49_27 +*28177 FILLER_49_2701 +*28178 FILLER_49_2713 +*28179 FILLER_49_2725 +*28180 FILLER_49_273 +*28181 FILLER_49_2737 +*28182 FILLER_49_2743 +*28183 FILLER_49_2745 +*28184 FILLER_49_2757 +*28185 FILLER_49_2769 +*28186 FILLER_49_2781 +*28187 FILLER_49_279 +*28188 FILLER_49_2793 +*28189 FILLER_49_2799 +*28190 FILLER_49_2801 +*28191 FILLER_49_281 +*28192 FILLER_49_2813 +*28193 FILLER_49_2825 +*28194 FILLER_49_2837 +*28195 FILLER_49_2849 +*28196 FILLER_49_2855 +*28197 FILLER_49_2857 +*28198 FILLER_49_2869 +*28199 FILLER_49_2881 +*28200 FILLER_49_2893 +*28201 FILLER_49_2905 +*28202 FILLER_49_2911 +*28203 FILLER_49_2913 +*28204 FILLER_49_2925 +*28205 FILLER_49_293 +*28206 FILLER_49_2937 +*28207 FILLER_49_2949 +*28208 FILLER_49_2961 +*28209 FILLER_49_2967 +*28210 FILLER_49_2969 +*28211 FILLER_49_2981 +*28212 FILLER_49_2993 +*28213 FILLER_49_3 +*28214 FILLER_49_3005 +*28215 FILLER_49_3017 +*28216 FILLER_49_3023 +*28217 FILLER_49_3025 +*28218 FILLER_49_3037 +*28219 FILLER_49_3049 +*28220 FILLER_49_305 +*28221 FILLER_49_3061 +*28222 FILLER_49_3073 +*28223 FILLER_49_3079 +*28224 FILLER_49_3081 +*28225 FILLER_49_3093 +*28226 FILLER_49_3105 +*28227 FILLER_49_3117 +*28228 FILLER_49_3129 +*28229 FILLER_49_3135 +*28230 FILLER_49_3137 +*28231 FILLER_49_3149 +*28232 FILLER_49_3161 +*28233 FILLER_49_317 +*28234 FILLER_49_3173 +*28235 FILLER_49_3185 +*28236 FILLER_49_3191 +*28237 FILLER_49_3193 +*28238 FILLER_49_3205 +*28239 FILLER_49_3217 +*28240 FILLER_49_3229 +*28241 FILLER_49_3235 +*28242 FILLER_49_3247 +*28243 FILLER_49_3249 +*28244 FILLER_49_3261 +*28245 FILLER_49_3273 +*28246 FILLER_49_3285 +*28247 FILLER_49_329 +*28248 FILLER_49_3297 +*28249 FILLER_49_3303 +*28250 FILLER_49_3305 +*28251 FILLER_49_3317 +*28252 FILLER_49_3329 +*28253 FILLER_49_3341 +*28254 FILLER_49_335 +*28255 FILLER_49_3353 +*28256 FILLER_49_3359 +*28257 FILLER_49_3361 +*28258 FILLER_49_337 +*28259 FILLER_49_3373 +*28260 FILLER_49_3385 +*28261 FILLER_49_3397 +*28262 FILLER_49_3409 +*28263 FILLER_49_3415 +*28264 FILLER_49_3417 +*28265 FILLER_49_3429 +*28266 FILLER_49_3441 +*28267 FILLER_49_3453 +*28268 FILLER_49_3465 +*28269 FILLER_49_3471 +*28270 FILLER_49_3473 +*28271 FILLER_49_3485 +*28272 FILLER_49_349 +*28273 FILLER_49_3497 +*28274 FILLER_49_3509 +*28275 FILLER_49_3521 +*28276 FILLER_49_3527 +*28277 FILLER_49_3529 +*28278 FILLER_49_3541 +*28279 FILLER_49_3553 +*28280 FILLER_49_3565 +*28281 FILLER_49_3577 +*28282 FILLER_49_3583 +*28283 FILLER_49_3585 +*28284 FILLER_49_3597 +*28285 FILLER_49_3609 +*28286 FILLER_49_361 +*28287 FILLER_49_3621 +*28288 FILLER_49_3633 +*28289 FILLER_49_3639 +*28290 FILLER_49_3641 +*28291 FILLER_49_3653 +*28292 FILLER_49_3665 +*28293 FILLER_49_3677 +*28294 FILLER_49_3689 +*28295 FILLER_49_3695 +*28296 FILLER_49_3697 +*28297 FILLER_49_3709 +*28298 FILLER_49_3721 +*28299 FILLER_49_373 +*28300 FILLER_49_3733 +*28301 FILLER_49_3745 +*28302 FILLER_49_3751 +*28303 FILLER_49_3753 +*28304 FILLER_49_3765 +*28305 FILLER_49_3777 +*28306 FILLER_49_3789 +*28307 FILLER_49_3801 +*28308 FILLER_49_3807 +*28309 FILLER_49_3809 +*28310 FILLER_49_3821 +*28311 FILLER_49_3833 +*28312 FILLER_49_3845 +*28313 FILLER_49_385 +*28314 FILLER_49_3857 +*28315 FILLER_49_3863 +*28316 FILLER_49_3865 +*28317 FILLER_49_3877 +*28318 FILLER_49_3889 +*28319 FILLER_49_39 +*28320 FILLER_49_3901 +*28321 FILLER_49_391 +*28322 FILLER_49_3913 +*28323 FILLER_49_3919 +*28324 FILLER_49_3921 +*28325 FILLER_49_393 +*28326 FILLER_49_3933 +*28327 FILLER_49_3945 +*28328 FILLER_49_3957 +*28329 FILLER_49_3969 +*28330 FILLER_49_3975 +*28331 FILLER_49_3977 +*28332 FILLER_49_3989 +*28333 FILLER_49_4001 +*28334 FILLER_49_4013 +*28335 FILLER_49_4025 +*28336 FILLER_49_4031 +*28337 FILLER_49_4033 +*28338 FILLER_49_4045 +*28339 FILLER_49_405 +*28340 FILLER_49_4057 +*28341 FILLER_49_4069 +*28342 FILLER_49_4081 +*28343 FILLER_49_4087 +*28344 FILLER_49_4089 +*28345 FILLER_49_4097 +*28346 FILLER_49_4101 +*28347 FILLER_49_417 +*28348 FILLER_49_429 +*28349 FILLER_49_441 +*28350 FILLER_49_447 +*28351 FILLER_49_449 +*28352 FILLER_49_461 +*28353 FILLER_49_473 +*28354 FILLER_49_485 +*28355 FILLER_49_497 +*28356 FILLER_49_503 +*28357 FILLER_49_505 +*28358 FILLER_49_51 +*28359 FILLER_49_517 +*28360 FILLER_49_529 +*28361 FILLER_49_541 +*28362 FILLER_49_55 +*28363 FILLER_49_553 +*28364 FILLER_49_559 +*28365 FILLER_49_561 +*28366 FILLER_49_565 +*28367 FILLER_49_57 +*28368 FILLER_49_577 +*28369 FILLER_49_589 +*28370 FILLER_49_601 +*28371 FILLER_49_614 +*28372 FILLER_49_617 +*28373 FILLER_49_621 +*28374 FILLER_49_633 +*28375 FILLER_49_641 +*28376 FILLER_49_653 +*28377 FILLER_49_666 +*28378 FILLER_49_673 +*28379 FILLER_49_677 +*28380 FILLER_49_689 +*28381 FILLER_49_69 +*28382 FILLER_49_702 +*28383 FILLER_49_708 +*28384 FILLER_49_720 +*28385 FILLER_49_729 +*28386 FILLER_49_741 +*28387 FILLER_49_753 +*28388 FILLER_49_765 +*28389 FILLER_49_780 +*28390 FILLER_49_785 +*28391 FILLER_49_789 +*28392 FILLER_49_801 +*28393 FILLER_49_81 +*28394 FILLER_49_813 +*28395 FILLER_49_828 +*28396 FILLER_49_834 +*28397 FILLER_49_841 +*28398 FILLER_49_853 +*28399 FILLER_49_865 +*28400 FILLER_49_878 +*28401 FILLER_49_884 +*28402 FILLER_49_897 +*28403 FILLER_49_908 +*28404 FILLER_49_912 +*28405 FILLER_49_922 +*28406 FILLER_49_928 +*28407 FILLER_49_93 +*28408 FILLER_49_940 +*28409 FILLER_49_953 +*28410 FILLER_49_965 +*28411 FILLER_49_973 +*28412 FILLER_49_985 +*28413 FILLER_49_997 +*28414 FILLER_4_1010 +*28415 FILLER_4_1022 +*28416 FILLER_4_1034 +*28417 FILLER_4_1037 +*28418 FILLER_4_1041 +*28419 FILLER_4_1050 +*28420 FILLER_4_1057 +*28421 FILLER_4_1069 +*28422 FILLER_4_1081 +*28423 FILLER_4_1089 +*28424 FILLER_4_109 +*28425 FILLER_4_1093 +*28426 FILLER_4_1105 +*28427 FILLER_4_1117 +*28428 FILLER_4_1129 +*28429 FILLER_4_1141 +*28430 FILLER_4_1147 +*28431 FILLER_4_1149 +*28432 FILLER_4_1161 +*28433 FILLER_4_1173 +*28434 FILLER_4_1181 +*28435 FILLER_4_1186 +*28436 FILLER_4_1194 +*28437 FILLER_4_1200 +*28438 FILLER_4_1205 +*28439 FILLER_4_1209 +*28440 FILLER_4_121 +*28441 FILLER_4_1221 +*28442 FILLER_4_1233 +*28443 FILLER_4_1245 +*28444 FILLER_4_1257 +*28445 FILLER_4_1261 +*28446 FILLER_4_1267 +*28447 FILLER_4_1279 +*28448 FILLER_4_1291 +*28449 FILLER_4_1303 +*28450 FILLER_4_1315 +*28451 FILLER_4_1317 +*28452 FILLER_4_1329 +*28453 FILLER_4_133 +*28454 FILLER_4_1343 +*28455 FILLER_4_1349 +*28456 FILLER_4_1355 +*28457 FILLER_4_1361 +*28458 FILLER_4_1368 +*28459 FILLER_4_1373 +*28460 FILLER_4_1377 +*28461 FILLER_4_1383 +*28462 FILLER_4_139 +*28463 FILLER_4_1393 +*28464 FILLER_4_1405 +*28465 FILLER_4_141 +*28466 FILLER_4_1417 +*28467 FILLER_4_1425 +*28468 FILLER_4_1429 +*28469 FILLER_4_1441 +*28470 FILLER_4_1453 +*28471 FILLER_4_1465 +*28472 FILLER_4_1475 +*28473 FILLER_4_1483 +*28474 FILLER_4_1485 +*28475 FILLER_4_1497 +*28476 FILLER_4_15 +*28477 FILLER_4_1507 +*28478 FILLER_4_1519 +*28479 FILLER_4_153 +*28480 FILLER_4_1531 +*28481 FILLER_4_1537 +*28482 FILLER_4_1541 +*28483 FILLER_4_1547 +*28484 FILLER_4_1554 +*28485 FILLER_4_1564 +*28486 FILLER_4_1576 +*28487 FILLER_4_1580 +*28488 FILLER_4_1583 +*28489 FILLER_4_1593 +*28490 FILLER_4_1597 +*28491 FILLER_4_1609 +*28492 FILLER_4_1621 +*28493 FILLER_4_1633 +*28494 FILLER_4_1645 +*28495 FILLER_4_165 +*28496 FILLER_4_1651 +*28497 FILLER_4_1653 +*28498 FILLER_4_1665 +*28499 FILLER_4_1677 +*28500 FILLER_4_1689 +*28501 FILLER_4_1701 +*28502 FILLER_4_1707 +*28503 FILLER_4_1709 +*28504 FILLER_4_1721 +*28505 FILLER_4_1731 +*28506 FILLER_4_1743 +*28507 FILLER_4_1753 +*28508 FILLER_4_1759 +*28509 FILLER_4_1763 +*28510 FILLER_4_1765 +*28511 FILLER_4_1769 +*28512 FILLER_4_177 +*28513 FILLER_4_1781 +*28514 FILLER_4_1793 +*28515 FILLER_4_1805 +*28516 FILLER_4_1817 +*28517 FILLER_4_1821 +*28518 FILLER_4_1833 +*28519 FILLER_4_1845 +*28520 FILLER_4_1857 +*28521 FILLER_4_1869 +*28522 FILLER_4_1875 +*28523 FILLER_4_1877 +*28524 FILLER_4_1889 +*28525 FILLER_4_189 +*28526 FILLER_4_1901 +*28527 FILLER_4_1913 +*28528 FILLER_4_1925 +*28529 FILLER_4_1930 +*28530 FILLER_4_1933 +*28531 FILLER_4_1939 +*28532 FILLER_4_195 +*28533 FILLER_4_1951 +*28534 FILLER_4_1963 +*28535 FILLER_4_197 +*28536 FILLER_4_1975 +*28537 FILLER_4_1987 +*28538 FILLER_4_1989 +*28539 FILLER_4_1998 +*28540 FILLER_4_2004 +*28541 FILLER_4_2016 +*28542 FILLER_4_2028 +*28543 FILLER_4_2040 +*28544 FILLER_4_2045 +*28545 FILLER_4_2057 +*28546 FILLER_4_2069 +*28547 FILLER_4_2081 +*28548 FILLER_4_209 +*28549 FILLER_4_2093 +*28550 FILLER_4_2099 +*28551 FILLER_4_2101 +*28552 FILLER_4_2113 +*28553 FILLER_4_2123 +*28554 FILLER_4_2135 +*28555 FILLER_4_2147 +*28556 FILLER_4_2155 +*28557 FILLER_4_2157 +*28558 FILLER_4_2161 +*28559 FILLER_4_2164 +*28560 FILLER_4_2176 +*28561 FILLER_4_2184 +*28562 FILLER_4_2189 +*28563 FILLER_4_2197 +*28564 FILLER_4_2209 +*28565 FILLER_4_221 +*28566 FILLER_4_2213 +*28567 FILLER_4_2225 +*28568 FILLER_4_2235 +*28569 FILLER_4_2243 +*28570 FILLER_4_2255 +*28571 FILLER_4_2267 +*28572 FILLER_4_2269 +*28573 FILLER_4_2275 +*28574 FILLER_4_2279 +*28575 FILLER_4_2285 +*28576 FILLER_4_2299 +*28577 FILLER_4_2311 +*28578 FILLER_4_2323 +*28579 FILLER_4_2325 +*28580 FILLER_4_233 +*28581 FILLER_4_2337 +*28582 FILLER_4_2349 +*28583 FILLER_4_2361 +*28584 FILLER_4_2365 +*28585 FILLER_4_2377 +*28586 FILLER_4_2381 +*28587 FILLER_4_2393 +*28588 FILLER_4_2399 +*28589 FILLER_4_2402 +*28590 FILLER_4_2408 +*28591 FILLER_4_2411 +*28592 FILLER_4_2417 +*28593 FILLER_4_2423 +*28594 FILLER_4_2435 +*28595 FILLER_4_2437 +*28596 FILLER_4_2449 +*28597 FILLER_4_245 +*28598 FILLER_4_2461 +*28599 FILLER_4_2464 +*28600 FILLER_4_2472 +*28601 FILLER_4_2478 +*28602 FILLER_4_2484 +*28603 FILLER_4_2493 +*28604 FILLER_4_2505 +*28605 FILLER_4_251 +*28606 FILLER_4_2517 +*28607 FILLER_4_2529 +*28608 FILLER_4_253 +*28609 FILLER_4_2533 +*28610 FILLER_4_2545 +*28611 FILLER_4_2549 +*28612 FILLER_4_2561 +*28613 FILLER_4_2566 +*28614 FILLER_4_2578 +*28615 FILLER_4_2582 +*28616 FILLER_4_2586 +*28617 FILLER_4_2592 +*28618 FILLER_4_2596 +*28619 FILLER_4_2601 +*28620 FILLER_4_2605 +*28621 FILLER_4_2609 +*28622 FILLER_4_261 +*28623 FILLER_4_2613 +*28624 FILLER_4_2616 +*28625 FILLER_4_2620 +*28626 FILLER_4_2623 +*28627 FILLER_4_2629 +*28628 FILLER_4_264 +*28629 FILLER_4_2641 +*28630 FILLER_4_2646 +*28631 FILLER_4_2658 +*28632 FILLER_4_2661 +*28633 FILLER_4_2673 +*28634 FILLER_4_2685 +*28635 FILLER_4_2697 +*28636 FILLER_4_27 +*28637 FILLER_4_270 +*28638 FILLER_4_2709 +*28639 FILLER_4_2715 +*28640 FILLER_4_2717 +*28641 FILLER_4_2721 +*28642 FILLER_4_2727 +*28643 FILLER_4_273 +*28644 FILLER_4_2733 +*28645 FILLER_4_2741 +*28646 FILLER_4_2744 +*28647 FILLER_4_2750 +*28648 FILLER_4_2756 +*28649 FILLER_4_2760 +*28650 FILLER_4_2765 +*28651 FILLER_4_2771 +*28652 FILLER_4_2773 +*28653 FILLER_4_2778 +*28654 FILLER_4_2787 +*28655 FILLER_4_2795 +*28656 FILLER_4_2803 +*28657 FILLER_4_2809 +*28658 FILLER_4_2815 +*28659 FILLER_4_2821 +*28660 FILLER_4_2827 +*28661 FILLER_4_2829 +*28662 FILLER_4_2835 +*28663 FILLER_4_2841 +*28664 FILLER_4_2847 +*28665 FILLER_4_285 +*28666 FILLER_4_2853 +*28667 FILLER_4_2859 +*28668 FILLER_4_2865 +*28669 FILLER_4_2871 +*28670 FILLER_4_2877 +*28671 FILLER_4_2883 +*28672 FILLER_4_2885 +*28673 FILLER_4_2889 +*28674 FILLER_4_2895 +*28675 FILLER_4_29 +*28676 FILLER_4_2903 +*28677 FILLER_4_2908 +*28678 FILLER_4_2914 +*28679 FILLER_4_2920 +*28680 FILLER_4_2926 +*28681 FILLER_4_2932 +*28682 FILLER_4_2937 +*28683 FILLER_4_2941 +*28684 FILLER_4_2947 +*28685 FILLER_4_2951 +*28686 FILLER_4_2955 +*28687 FILLER_4_2959 +*28688 FILLER_4_2964 +*28689 FILLER_4_2972 +*28690 FILLER_4_2976 +*28691 FILLER_4_2984 +*28692 FILLER_4_299 +*28693 FILLER_4_2992 +*28694 FILLER_4_2997 +*28695 FILLER_4_3 +*28696 FILLER_4_3005 +*28697 FILLER_4_3013 +*28698 FILLER_4_3019 +*28699 FILLER_4_3025 +*28700 FILLER_4_3031 +*28701 FILLER_4_3037 +*28702 FILLER_4_3043 +*28703 FILLER_4_3049 +*28704 FILLER_4_3053 +*28705 FILLER_4_3057 +*28706 FILLER_4_3063 +*28707 FILLER_4_3069 +*28708 FILLER_4_307 +*28709 FILLER_4_3076 +*28710 FILLER_4_3082 +*28711 FILLER_4_309 +*28712 FILLER_4_3090 +*28713 FILLER_4_3094 +*28714 FILLER_4_3099 +*28715 FILLER_4_3105 +*28716 FILLER_4_3109 +*28717 FILLER_4_3113 +*28718 FILLER_4_3119 +*28719 FILLER_4_3127 +*28720 FILLER_4_3132 +*28721 FILLER_4_3138 +*28722 FILLER_4_3144 +*28723 FILLER_4_3150 +*28724 FILLER_4_3156 +*28725 FILLER_4_3162 +*28726 FILLER_4_3165 +*28727 FILLER_4_3169 +*28728 FILLER_4_3175 +*28729 FILLER_4_3181 +*28730 FILLER_4_3187 +*28731 FILLER_4_3193 +*28732 FILLER_4_3206 +*28733 FILLER_4_321 +*28734 FILLER_4_3214 +*28735 FILLER_4_3221 +*28736 FILLER_4_3226 +*28737 FILLER_4_3234 +*28738 FILLER_4_3241 +*28739 FILLER_4_3249 +*28740 FILLER_4_3255 +*28741 FILLER_4_3267 +*28742 FILLER_4_3274 +*28743 FILLER_4_3277 +*28744 FILLER_4_3282 +*28745 FILLER_4_3288 +*28746 FILLER_4_3294 +*28747 FILLER_4_3307 +*28748 FILLER_4_3317 +*28749 FILLER_4_3323 +*28750 FILLER_4_3329 +*28751 FILLER_4_333 +*28752 FILLER_4_3333 +*28753 FILLER_4_3341 +*28754 FILLER_4_3350 +*28755 FILLER_4_3356 +*28756 FILLER_4_3360 +*28757 FILLER_4_3365 +*28758 FILLER_4_3371 +*28759 FILLER_4_3381 +*28760 FILLER_4_3387 +*28761 FILLER_4_3389 +*28762 FILLER_4_3395 +*28763 FILLER_4_3401 +*28764 FILLER_4_3407 +*28765 FILLER_4_3413 +*28766 FILLER_4_3419 +*28767 FILLER_4_3425 +*28768 FILLER_4_3429 +*28769 FILLER_4_3432 +*28770 FILLER_4_3440 +*28771 FILLER_4_3445 +*28772 FILLER_4_3449 +*28773 FILLER_4_345 +*28774 FILLER_4_3455 +*28775 FILLER_4_3461 +*28776 FILLER_4_3467 +*28777 FILLER_4_3470 +*28778 FILLER_4_3476 +*28779 FILLER_4_3482 +*28780 FILLER_4_3488 +*28781 FILLER_4_3494 +*28782 FILLER_4_3501 +*28783 FILLER_4_3511 +*28784 FILLER_4_3519 +*28785 FILLER_4_3529 +*28786 FILLER_4_353 +*28787 FILLER_4_3535 +*28788 FILLER_4_3540 +*28789 FILLER_4_3549 +*28790 FILLER_4_3555 +*28791 FILLER_4_3557 +*28792 FILLER_4_3562 +*28793 FILLER_4_357 +*28794 FILLER_4_3571 +*28795 FILLER_4_3578 +*28796 FILLER_4_3584 +*28797 FILLER_4_3590 +*28798 FILLER_4_3596 +*28799 FILLER_4_3602 +*28800 FILLER_4_3608 +*28801 FILLER_4_3613 +*28802 FILLER_4_3617 +*28803 FILLER_4_3623 +*28804 FILLER_4_363 +*28805 FILLER_4_3632 +*28806 FILLER_4_3638 +*28807 FILLER_4_3642 +*28808 FILLER_4_3646 +*28809 FILLER_4_365 +*28810 FILLER_4_3652 +*28811 FILLER_4_3661 +*28812 FILLER_4_3667 +*28813 FILLER_4_3669 +*28814 FILLER_4_3675 +*28815 FILLER_4_3682 +*28816 FILLER_4_3688 +*28817 FILLER_4_3694 +*28818 FILLER_4_3700 +*28819 FILLER_4_3706 +*28820 FILLER_4_3718 +*28821 FILLER_4_3725 +*28822 FILLER_4_3729 +*28823 FILLER_4_373 +*28824 FILLER_4_3739 +*28825 FILLER_4_3747 +*28826 FILLER_4_3753 +*28827 FILLER_4_3758 +*28828 FILLER_4_3770 +*28829 FILLER_4_3778 +*28830 FILLER_4_3781 +*28831 FILLER_4_3787 +*28832 FILLER_4_3793 +*28833 FILLER_4_380 +*28834 FILLER_4_3801 +*28835 FILLER_4_3807 +*28836 FILLER_4_3819 +*28837 FILLER_4_3824 +*28838 FILLER_4_3830 +*28839 FILLER_4_3837 +*28840 FILLER_4_3841 +*28841 FILLER_4_3850 +*28842 FILLER_4_3856 +*28843 FILLER_4_386 +*28844 FILLER_4_3862 +*28845 FILLER_4_3868 +*28846 FILLER_4_3874 +*28847 FILLER_4_3880 +*28848 FILLER_4_3886 +*28849 FILLER_4_3893 +*28850 FILLER_4_3897 +*28851 FILLER_4_3909 +*28852 FILLER_4_3913 +*28853 FILLER_4_392 +*28854 FILLER_4_3922 +*28855 FILLER_4_3934 +*28856 FILLER_4_3946 +*28857 FILLER_4_3949 +*28858 FILLER_4_3961 +*28859 FILLER_4_3973 +*28860 FILLER_4_3980 +*28861 FILLER_4_3986 +*28862 FILLER_4_3998 +*28863 FILLER_4_400 +*28864 FILLER_4_4005 +*28865 FILLER_4_4017 +*28866 FILLER_4_4029 +*28867 FILLER_4_4041 +*28868 FILLER_4_4053 +*28869 FILLER_4_4059 +*28870 FILLER_4_4061 +*28871 FILLER_4_4073 +*28872 FILLER_4_4085 +*28873 FILLER_4_4097 +*28874 FILLER_4_41 +*28875 FILLER_4_412 +*28876 FILLER_4_421 +*28877 FILLER_4_433 +*28878 FILLER_4_445 +*28879 FILLER_4_457 +*28880 FILLER_4_469 +*28881 FILLER_4_475 +*28882 FILLER_4_477 +*28883 FILLER_4_489 +*28884 FILLER_4_501 +*28885 FILLER_4_513 +*28886 FILLER_4_525 +*28887 FILLER_4_53 +*28888 FILLER_4_531 +*28889 FILLER_4_533 +*28890 FILLER_4_539 +*28891 FILLER_4_542 +*28892 FILLER_4_546 +*28893 FILLER_4_549 +*28894 FILLER_4_561 +*28895 FILLER_4_573 +*28896 FILLER_4_585 +*28897 FILLER_4_589 +*28898 FILLER_4_593 +*28899 FILLER_4_605 +*28900 FILLER_4_613 +*28901 FILLER_4_618 +*28902 FILLER_4_630 +*28903 FILLER_4_642 +*28904 FILLER_4_645 +*28905 FILLER_4_649 +*28906 FILLER_4_65 +*28907 FILLER_4_655 +*28908 FILLER_4_667 +*28909 FILLER_4_673 +*28910 FILLER_4_676 +*28911 FILLER_4_684 +*28912 FILLER_4_690 +*28913 FILLER_4_696 +*28914 FILLER_4_701 +*28915 FILLER_4_705 +*28916 FILLER_4_717 +*28917 FILLER_4_729 +*28918 FILLER_4_741 +*28919 FILLER_4_753 +*28920 FILLER_4_757 +*28921 FILLER_4_769 +*28922 FILLER_4_77 +*28923 FILLER_4_777 +*28924 FILLER_4_786 +*28925 FILLER_4_792 +*28926 FILLER_4_804 +*28927 FILLER_4_813 +*28928 FILLER_4_819 +*28929 FILLER_4_83 +*28930 FILLER_4_831 +*28931 FILLER_4_841 +*28932 FILLER_4_847 +*28933 FILLER_4_85 +*28934 FILLER_4_859 +*28935 FILLER_4_864 +*28936 FILLER_4_869 +*28937 FILLER_4_881 +*28938 FILLER_4_893 +*28939 FILLER_4_905 +*28940 FILLER_4_917 +*28941 FILLER_4_923 +*28942 FILLER_4_925 +*28943 FILLER_4_930 +*28944 FILLER_4_936 +*28945 FILLER_4_948 +*28946 FILLER_4_960 +*28947 FILLER_4_97 +*28948 FILLER_4_972 +*28949 FILLER_4_978 +*28950 FILLER_4_981 +*28951 FILLER_4_993 +*28952 FILLER_4_998 +*28953 FILLER_50_1005 +*28954 FILLER_50_1013 +*28955 FILLER_50_1018 +*28956 FILLER_50_1033 +*28957 FILLER_50_1037 +*28958 FILLER_50_1041 +*28959 FILLER_50_1053 +*28960 FILLER_50_1065 +*28961 FILLER_50_1077 +*28962 FILLER_50_1089 +*28963 FILLER_50_109 +*28964 FILLER_50_1093 +*28965 FILLER_50_1104 +*28966 FILLER_50_1110 +*28967 FILLER_50_1122 +*28968 FILLER_50_1134 +*28969 FILLER_50_1146 +*28970 FILLER_50_1149 +*28971 FILLER_50_1157 +*28972 FILLER_50_1169 +*28973 FILLER_50_1179 +*28974 FILLER_50_1185 +*28975 FILLER_50_1197 +*28976 FILLER_50_1203 +*28977 FILLER_50_1205 +*28978 FILLER_50_121 +*28979 FILLER_50_1217 +*28980 FILLER_50_1229 +*28981 FILLER_50_1241 +*28982 FILLER_50_1253 +*28983 FILLER_50_1258 +*28984 FILLER_50_1261 +*28985 FILLER_50_1273 +*28986 FILLER_50_1281 +*28987 FILLER_50_1286 +*28988 FILLER_50_1294 +*28989 FILLER_50_1306 +*28990 FILLER_50_1314 +*28991 FILLER_50_1317 +*28992 FILLER_50_1329 +*28993 FILLER_50_133 +*28994 FILLER_50_1341 +*28995 FILLER_50_1353 +*28996 FILLER_50_1365 +*28997 FILLER_50_1371 +*28998 FILLER_50_1373 +*28999 FILLER_50_1388 +*29000 FILLER_50_139 +*29001 FILLER_50_1394 +*29002 FILLER_50_1406 +*29003 FILLER_50_141 +*29004 FILLER_50_1418 +*29005 FILLER_50_1426 +*29006 FILLER_50_1429 +*29007 FILLER_50_1433 +*29008 FILLER_50_1440 +*29009 FILLER_50_1446 +*29010 FILLER_50_1458 +*29011 FILLER_50_1470 +*29012 FILLER_50_1482 +*29013 FILLER_50_1485 +*29014 FILLER_50_1495 +*29015 FILLER_50_15 +*29016 FILLER_50_1502 +*29017 FILLER_50_1508 +*29018 FILLER_50_1520 +*29019 FILLER_50_153 +*29020 FILLER_50_1532 +*29021 FILLER_50_1541 +*29022 FILLER_50_1553 +*29023 FILLER_50_1565 +*29024 FILLER_50_1577 +*29025 FILLER_50_1589 +*29026 FILLER_50_1595 +*29027 FILLER_50_1597 +*29028 FILLER_50_1601 +*29029 FILLER_50_1613 +*29030 FILLER_50_163 +*29031 FILLER_50_1631 +*29032 FILLER_50_1637 +*29033 FILLER_50_1649 +*29034 FILLER_50_1653 +*29035 FILLER_50_1665 +*29036 FILLER_50_1677 +*29037 FILLER_50_1685 +*29038 FILLER_50_1690 +*29039 FILLER_50_1704 +*29040 FILLER_50_1709 +*29041 FILLER_50_1721 +*29042 FILLER_50_1733 +*29043 FILLER_50_1745 +*29044 FILLER_50_175 +*29045 FILLER_50_1757 +*29046 FILLER_50_1763 +*29047 FILLER_50_1765 +*29048 FILLER_50_1777 +*29049 FILLER_50_1783 +*29050 FILLER_50_1786 +*29051 FILLER_50_1790 +*29052 FILLER_50_1793 +*29053 FILLER_50_1805 +*29054 FILLER_50_1817 +*29055 FILLER_50_1821 +*29056 FILLER_50_1833 +*29057 FILLER_50_1845 +*29058 FILLER_50_1857 +*29059 FILLER_50_1869 +*29060 FILLER_50_187 +*29061 FILLER_50_1875 +*29062 FILLER_50_1877 +*29063 FILLER_50_1888 +*29064 FILLER_50_1894 +*29065 FILLER_50_1906 +*29066 FILLER_50_1918 +*29067 FILLER_50_1930 +*29068 FILLER_50_1933 +*29069 FILLER_50_1939 +*29070 FILLER_50_1945 +*29071 FILLER_50_195 +*29072 FILLER_50_1957 +*29073 FILLER_50_1968 +*29074 FILLER_50_197 +*29075 FILLER_50_1974 +*29076 FILLER_50_1986 +*29077 FILLER_50_1989 +*29078 FILLER_50_2001 +*29079 FILLER_50_2013 +*29080 FILLER_50_2025 +*29081 FILLER_50_2037 +*29082 FILLER_50_2043 +*29083 FILLER_50_2045 +*29084 FILLER_50_2050 +*29085 FILLER_50_2056 +*29086 FILLER_50_2068 +*29087 FILLER_50_2080 +*29088 FILLER_50_209 +*29089 FILLER_50_2092 +*29090 FILLER_50_2101 +*29091 FILLER_50_2113 +*29092 FILLER_50_2125 +*29093 FILLER_50_2137 +*29094 FILLER_50_2149 +*29095 FILLER_50_2155 +*29096 FILLER_50_2157 +*29097 FILLER_50_2169 +*29098 FILLER_50_2181 +*29099 FILLER_50_2194 +*29100 FILLER_50_2200 +*29101 FILLER_50_221 +*29102 FILLER_50_2213 +*29103 FILLER_50_2225 +*29104 FILLER_50_2237 +*29105 FILLER_50_2249 +*29106 FILLER_50_2261 +*29107 FILLER_50_2267 +*29108 FILLER_50_2269 +*29109 FILLER_50_2281 +*29110 FILLER_50_2293 +*29111 FILLER_50_2305 +*29112 FILLER_50_2317 +*29113 FILLER_50_2323 +*29114 FILLER_50_2325 +*29115 FILLER_50_233 +*29116 FILLER_50_2337 +*29117 FILLER_50_2349 +*29118 FILLER_50_2361 +*29119 FILLER_50_2369 +*29120 FILLER_50_2375 +*29121 FILLER_50_2379 +*29122 FILLER_50_2381 +*29123 FILLER_50_2393 +*29124 FILLER_50_2405 +*29125 FILLER_50_2417 +*29126 FILLER_50_2429 +*29127 FILLER_50_2435 +*29128 FILLER_50_2437 +*29129 FILLER_50_2445 +*29130 FILLER_50_2448 +*29131 FILLER_50_245 +*29132 FILLER_50_2456 +*29133 FILLER_50_2459 +*29134 FILLER_50_2471 +*29135 FILLER_50_2483 +*29136 FILLER_50_2491 +*29137 FILLER_50_2493 +*29138 FILLER_50_2505 +*29139 FILLER_50_251 +*29140 FILLER_50_2517 +*29141 FILLER_50_2529 +*29142 FILLER_50_253 +*29143 FILLER_50_2533 +*29144 FILLER_50_2537 +*29145 FILLER_50_2543 +*29146 FILLER_50_2547 +*29147 FILLER_50_2549 +*29148 FILLER_50_2561 +*29149 FILLER_50_2573 +*29150 FILLER_50_2585 +*29151 FILLER_50_2597 +*29152 FILLER_50_2603 +*29153 FILLER_50_2605 +*29154 FILLER_50_2617 +*29155 FILLER_50_2629 +*29156 FILLER_50_2641 +*29157 FILLER_50_2653 +*29158 FILLER_50_2659 +*29159 FILLER_50_2661 +*29160 FILLER_50_267 +*29161 FILLER_50_2673 +*29162 FILLER_50_2685 +*29163 FILLER_50_2697 +*29164 FILLER_50_27 +*29165 FILLER_50_2709 +*29166 FILLER_50_2715 +*29167 FILLER_50_2717 +*29168 FILLER_50_2729 +*29169 FILLER_50_2741 +*29170 FILLER_50_2753 +*29171 FILLER_50_2765 +*29172 FILLER_50_2771 +*29173 FILLER_50_2773 +*29174 FILLER_50_2785 +*29175 FILLER_50_279 +*29176 FILLER_50_2793 +*29177 FILLER_50_2805 +*29178 FILLER_50_2817 +*29179 FILLER_50_2825 +*29180 FILLER_50_2829 +*29181 FILLER_50_2841 +*29182 FILLER_50_2853 +*29183 FILLER_50_2865 +*29184 FILLER_50_2877 +*29185 FILLER_50_2883 +*29186 FILLER_50_2885 +*29187 FILLER_50_2897 +*29188 FILLER_50_29 +*29189 FILLER_50_2909 +*29190 FILLER_50_291 +*29191 FILLER_50_2921 +*29192 FILLER_50_2933 +*29193 FILLER_50_2939 +*29194 FILLER_50_2941 +*29195 FILLER_50_2953 +*29196 FILLER_50_2965 +*29197 FILLER_50_2977 +*29198 FILLER_50_2989 +*29199 FILLER_50_2995 +*29200 FILLER_50_2997 +*29201 FILLER_50_3 +*29202 FILLER_50_3009 +*29203 FILLER_50_3021 +*29204 FILLER_50_303 +*29205 FILLER_50_3033 +*29206 FILLER_50_3045 +*29207 FILLER_50_3051 +*29208 FILLER_50_3053 +*29209 FILLER_50_3057 +*29210 FILLER_50_3069 +*29211 FILLER_50_307 +*29212 FILLER_50_3081 +*29213 FILLER_50_309 +*29214 FILLER_50_3093 +*29215 FILLER_50_3105 +*29216 FILLER_50_3109 +*29217 FILLER_50_3121 +*29218 FILLER_50_3133 +*29219 FILLER_50_3145 +*29220 FILLER_50_3157 +*29221 FILLER_50_3163 +*29222 FILLER_50_3165 +*29223 FILLER_50_3177 +*29224 FILLER_50_3189 +*29225 FILLER_50_3201 +*29226 FILLER_50_321 +*29227 FILLER_50_3213 +*29228 FILLER_50_3219 +*29229 FILLER_50_3221 +*29230 FILLER_50_3233 +*29231 FILLER_50_3245 +*29232 FILLER_50_3257 +*29233 FILLER_50_3269 +*29234 FILLER_50_3275 +*29235 FILLER_50_3277 +*29236 FILLER_50_3289 +*29237 FILLER_50_3301 +*29238 FILLER_50_3313 +*29239 FILLER_50_3325 +*29240 FILLER_50_333 +*29241 FILLER_50_3331 +*29242 FILLER_50_3333 +*29243 FILLER_50_3345 +*29244 FILLER_50_3357 +*29245 FILLER_50_3369 +*29246 FILLER_50_3381 +*29247 FILLER_50_3387 +*29248 FILLER_50_3389 +*29249 FILLER_50_3401 +*29250 FILLER_50_3413 +*29251 FILLER_50_3425 +*29252 FILLER_50_3437 +*29253 FILLER_50_3443 +*29254 FILLER_50_3445 +*29255 FILLER_50_345 +*29256 FILLER_50_3457 +*29257 FILLER_50_3469 +*29258 FILLER_50_3481 +*29259 FILLER_50_3493 +*29260 FILLER_50_3499 +*29261 FILLER_50_3501 +*29262 FILLER_50_3513 +*29263 FILLER_50_3525 +*29264 FILLER_50_3537 +*29265 FILLER_50_3549 +*29266 FILLER_50_3555 +*29267 FILLER_50_3557 +*29268 FILLER_50_3569 +*29269 FILLER_50_357 +*29270 FILLER_50_3581 +*29271 FILLER_50_3593 +*29272 FILLER_50_3605 +*29273 FILLER_50_3611 +*29274 FILLER_50_3613 +*29275 FILLER_50_3625 +*29276 FILLER_50_363 +*29277 FILLER_50_3637 +*29278 FILLER_50_3649 +*29279 FILLER_50_365 +*29280 FILLER_50_3661 +*29281 FILLER_50_3667 +*29282 FILLER_50_3669 +*29283 FILLER_50_3681 +*29284 FILLER_50_3693 +*29285 FILLER_50_3705 +*29286 FILLER_50_3717 +*29287 FILLER_50_3723 +*29288 FILLER_50_3725 +*29289 FILLER_50_3737 +*29290 FILLER_50_3749 +*29291 FILLER_50_3761 +*29292 FILLER_50_377 +*29293 FILLER_50_3773 +*29294 FILLER_50_3779 +*29295 FILLER_50_3781 +*29296 FILLER_50_3785 +*29297 FILLER_50_3797 +*29298 FILLER_50_3809 +*29299 FILLER_50_3821 +*29300 FILLER_50_3833 +*29301 FILLER_50_3837 +*29302 FILLER_50_3849 +*29303 FILLER_50_3861 +*29304 FILLER_50_3873 +*29305 FILLER_50_3885 +*29306 FILLER_50_389 +*29307 FILLER_50_3891 +*29308 FILLER_50_3893 +*29309 FILLER_50_3905 +*29310 FILLER_50_3917 +*29311 FILLER_50_3929 +*29312 FILLER_50_3941 +*29313 FILLER_50_3947 +*29314 FILLER_50_3949 +*29315 FILLER_50_3953 +*29316 FILLER_50_3965 +*29317 FILLER_50_3977 +*29318 FILLER_50_3989 +*29319 FILLER_50_4001 +*29320 FILLER_50_4005 +*29321 FILLER_50_401 +*29322 FILLER_50_4017 +*29323 FILLER_50_4029 +*29324 FILLER_50_4041 +*29325 FILLER_50_4053 +*29326 FILLER_50_4059 +*29327 FILLER_50_4061 +*29328 FILLER_50_4073 +*29329 FILLER_50_4085 +*29330 FILLER_50_41 +*29331 FILLER_50_4101 +*29332 FILLER_50_413 +*29333 FILLER_50_419 +*29334 FILLER_50_421 +*29335 FILLER_50_435 +*29336 FILLER_50_447 +*29337 FILLER_50_459 +*29338 FILLER_50_471 +*29339 FILLER_50_475 +*29340 FILLER_50_477 +*29341 FILLER_50_489 +*29342 FILLER_50_501 +*29343 FILLER_50_513 +*29344 FILLER_50_525 +*29345 FILLER_50_53 +*29346 FILLER_50_531 +*29347 FILLER_50_533 +*29348 FILLER_50_545 +*29349 FILLER_50_555 +*29350 FILLER_50_567 +*29351 FILLER_50_579 +*29352 FILLER_50_587 +*29353 FILLER_50_589 +*29354 FILLER_50_601 +*29355 FILLER_50_611 +*29356 FILLER_50_617 +*29357 FILLER_50_629 +*29358 FILLER_50_639 +*29359 FILLER_50_643 +*29360 FILLER_50_645 +*29361 FILLER_50_65 +*29362 FILLER_50_655 +*29363 FILLER_50_661 +*29364 FILLER_50_673 +*29365 FILLER_50_685 +*29366 FILLER_50_697 +*29367 FILLER_50_701 +*29368 FILLER_50_713 +*29369 FILLER_50_723 +*29370 FILLER_50_735 +*29371 FILLER_50_747 +*29372 FILLER_50_751 +*29373 FILLER_50_755 +*29374 FILLER_50_757 +*29375 FILLER_50_768 +*29376 FILLER_50_77 +*29377 FILLER_50_774 +*29378 FILLER_50_780 +*29379 FILLER_50_786 +*29380 FILLER_50_798 +*29381 FILLER_50_810 +*29382 FILLER_50_813 +*29383 FILLER_50_825 +*29384 FILLER_50_83 +*29385 FILLER_50_837 +*29386 FILLER_50_849 +*29387 FILLER_50_85 +*29388 FILLER_50_866 +*29389 FILLER_50_869 +*29390 FILLER_50_873 +*29391 FILLER_50_881 +*29392 FILLER_50_891 +*29393 FILLER_50_897 +*29394 FILLER_50_909 +*29395 FILLER_50_921 +*29396 FILLER_50_925 +*29397 FILLER_50_939 +*29398 FILLER_50_949 +*29399 FILLER_50_955 +*29400 FILLER_50_961 +*29401 FILLER_50_97 +*29402 FILLER_50_971 +*29403 FILLER_50_977 +*29404 FILLER_50_981 +*29405 FILLER_50_989 +*29406 FILLER_50_999 +*29407 FILLER_51_1005 +*29408 FILLER_51_1009 +*29409 FILLER_51_1017 +*29410 FILLER_51_103 +*29411 FILLER_51_1031 +*29412 FILLER_51_1041 +*29413 FILLER_51_1047 +*29414 FILLER_51_1059 +*29415 FILLER_51_1063 +*29416 FILLER_51_1065 +*29417 FILLER_51_1069 +*29418 FILLER_51_1081 +*29419 FILLER_51_109 +*29420 FILLER_51_1105 +*29421 FILLER_51_1117 +*29422 FILLER_51_1121 +*29423 FILLER_51_1129 +*29424 FILLER_51_113 +*29425 FILLER_51_1135 +*29426 FILLER_51_1141 +*29427 FILLER_51_1161 +*29428 FILLER_51_1174 +*29429 FILLER_51_1177 +*29430 FILLER_51_1181 +*29431 FILLER_51_1187 +*29432 FILLER_51_1199 +*29433 FILLER_51_1209 +*29434 FILLER_51_1215 +*29435 FILLER_51_1218 +*29436 FILLER_51_1226 +*29437 FILLER_51_1233 +*29438 FILLER_51_1238 +*29439 FILLER_51_1244 +*29440 FILLER_51_1256 +*29441 FILLER_51_1273 +*29442 FILLER_51_1285 +*29443 FILLER_51_1289 +*29444 FILLER_51_1301 +*29445 FILLER_51_1309 +*29446 FILLER_51_131 +*29447 FILLER_51_1329 +*29448 FILLER_51_1335 +*29449 FILLER_51_1343 +*29450 FILLER_51_1345 +*29451 FILLER_51_1357 +*29452 FILLER_51_1360 +*29453 FILLER_51_1367 +*29454 FILLER_51_137 +*29455 FILLER_51_1377 +*29456 FILLER_51_1381 +*29457 FILLER_51_1384 +*29458 FILLER_51_1398 +*29459 FILLER_51_1401 +*29460 FILLER_51_1405 +*29461 FILLER_51_1417 +*29462 FILLER_51_1421 +*29463 FILLER_51_1441 +*29464 FILLER_51_1453 +*29465 FILLER_51_1457 +*29466 FILLER_51_1461 +*29467 FILLER_51_1473 +*29468 FILLER_51_1477 +*29469 FILLER_51_1497 +*29470 FILLER_51_15 +*29471 FILLER_51_1505 +*29472 FILLER_51_1511 +*29473 FILLER_51_1513 +*29474 FILLER_51_1525 +*29475 FILLER_51_1537 +*29476 FILLER_51_1549 +*29477 FILLER_51_1557 +*29478 FILLER_51_1565 +*29479 FILLER_51_1569 +*29480 FILLER_51_1581 +*29481 FILLER_51_1589 +*29482 FILLER_51_1609 +*29483 FILLER_51_161 +*29484 FILLER_51_1621 +*29485 FILLER_51_1625 +*29486 FILLER_51_1629 +*29487 FILLER_51_1635 +*29488 FILLER_51_1645 +*29489 FILLER_51_1665 +*29490 FILLER_51_167 +*29491 FILLER_51_1673 +*29492 FILLER_51_1678 +*29493 FILLER_51_1681 +*29494 FILLER_51_1687 +*29495 FILLER_51_169 +*29496 FILLER_51_1690 +*29497 FILLER_51_1702 +*29498 FILLER_51_1714 +*29499 FILLER_51_1726 +*29500 FILLER_51_1734 +*29501 FILLER_51_1737 +*29502 FILLER_51_1749 +*29503 FILLER_51_175 +*29504 FILLER_51_1777 +*29505 FILLER_51_1785 +*29506 FILLER_51_1790 +*29507 FILLER_51_1793 +*29508 FILLER_51_1799 +*29509 FILLER_51_1813 +*29510 FILLER_51_1833 +*29511 FILLER_51_1845 +*29512 FILLER_51_1849 +*29513 FILLER_51_1861 +*29514 FILLER_51_187 +*29515 FILLER_51_1873 +*29516 FILLER_51_1878 +*29517 FILLER_51_1884 +*29518 FILLER_51_1890 +*29519 FILLER_51_1902 +*29520 FILLER_51_1905 +*29521 FILLER_51_1911 +*29522 FILLER_51_1917 +*29523 FILLER_51_1925 +*29524 FILLER_51_1945 +*29525 FILLER_51_1957 +*29526 FILLER_51_1961 +*29527 FILLER_51_1971 +*29528 FILLER_51_1981 +*29529 FILLER_51_2001 +*29530 FILLER_51_2013 +*29531 FILLER_51_2017 +*29532 FILLER_51_2025 +*29533 FILLER_51_2037 +*29534 FILLER_51_2049 +*29535 FILLER_51_2061 +*29536 FILLER_51_2069 +*29537 FILLER_51_2073 +*29538 FILLER_51_2085 +*29539 FILLER_51_209 +*29540 FILLER_51_2093 +*29541 FILLER_51_2099 +*29542 FILLER_51_2105 +*29543 FILLER_51_2111 +*29544 FILLER_51_2125 +*29545 FILLER_51_2129 +*29546 FILLER_51_2133 +*29547 FILLER_51_2145 +*29548 FILLER_51_215 +*29549 FILLER_51_2157 +*29550 FILLER_51_2168 +*29551 FILLER_51_2174 +*29552 FILLER_51_2180 +*29553 FILLER_51_2185 +*29554 FILLER_51_2209 +*29555 FILLER_51_2217 +*29556 FILLER_51_2229 +*29557 FILLER_51_223 +*29558 FILLER_51_2237 +*29559 FILLER_51_2241 +*29560 FILLER_51_225 +*29561 FILLER_51_2265 +*29562 FILLER_51_2277 +*29563 FILLER_51_2287 +*29564 FILLER_51_229 +*29565 FILLER_51_2293 +*29566 FILLER_51_2297 +*29567 FILLER_51_2309 +*29568 FILLER_51_2321 +*29569 FILLER_51_2333 +*29570 FILLER_51_2341 +*29571 FILLER_51_2345 +*29572 FILLER_51_2351 +*29573 FILLER_51_2353 +*29574 FILLER_51_2377 +*29575 FILLER_51_2385 +*29576 FILLER_51_2397 +*29577 FILLER_51_2405 +*29578 FILLER_51_2409 +*29579 FILLER_51_241 +*29580 FILLER_51_2433 +*29581 FILLER_51_2441 +*29582 FILLER_51_2446 +*29583 FILLER_51_2453 +*29584 FILLER_51_2459 +*29585 FILLER_51_2463 +*29586 FILLER_51_2465 +*29587 FILLER_51_2477 +*29588 FILLER_51_2489 +*29589 FILLER_51_2511 +*29590 FILLER_51_2517 +*29591 FILLER_51_2521 +*29592 FILLER_51_2525 +*29593 FILLER_51_2545 +*29594 FILLER_51_2549 +*29595 FILLER_51_2553 +*29596 FILLER_51_2559 +*29597 FILLER_51_2571 +*29598 FILLER_51_2575 +*29599 FILLER_51_2577 +*29600 FILLER_51_2581 +*29601 FILLER_51_2601 +*29602 FILLER_51_2613 +*29603 FILLER_51_2625 +*29604 FILLER_51_2631 +*29605 FILLER_51_2633 +*29606 FILLER_51_2645 +*29607 FILLER_51_265 +*29608 FILLER_51_2657 +*29609 FILLER_51_2669 +*29610 FILLER_51_2681 +*29611 FILLER_51_2687 +*29612 FILLER_51_2689 +*29613 FILLER_51_27 +*29614 FILLER_51_2713 +*29615 FILLER_51_2719 +*29616 FILLER_51_2731 +*29617 FILLER_51_2739 +*29618 FILLER_51_2743 +*29619 FILLER_51_2745 +*29620 FILLER_51_2749 +*29621 FILLER_51_275 +*29622 FILLER_51_2769 +*29623 FILLER_51_2775 +*29624 FILLER_51_2779 +*29625 FILLER_51_2782 +*29626 FILLER_51_279 +*29627 FILLER_51_2796 +*29628 FILLER_51_2801 +*29629 FILLER_51_281 +*29630 FILLER_51_2813 +*29631 FILLER_51_2825 +*29632 FILLER_51_2837 +*29633 FILLER_51_2845 +*29634 FILLER_51_2849 +*29635 FILLER_51_2855 +*29636 FILLER_51_2857 +*29637 FILLER_51_2881 +*29638 FILLER_51_2887 +*29639 FILLER_51_2899 +*29640 FILLER_51_2907 +*29641 FILLER_51_2911 +*29642 FILLER_51_2913 +*29643 FILLER_51_293 +*29644 FILLER_51_2937 +*29645 FILLER_51_2943 +*29646 FILLER_51_2955 +*29647 FILLER_51_2963 +*29648 FILLER_51_2967 +*29649 FILLER_51_2969 +*29650 FILLER_51_2981 +*29651 FILLER_51_2993 +*29652 FILLER_51_3 +*29653 FILLER_51_3005 +*29654 FILLER_51_3013 +*29655 FILLER_51_3017 +*29656 FILLER_51_3023 +*29657 FILLER_51_3025 +*29658 FILLER_51_3049 +*29659 FILLER_51_3055 +*29660 FILLER_51_3064 +*29661 FILLER_51_3070 +*29662 FILLER_51_3076 +*29663 FILLER_51_3081 +*29664 FILLER_51_3085 +*29665 FILLER_51_3105 +*29666 FILLER_51_3117 +*29667 FILLER_51_3131 +*29668 FILLER_51_3135 +*29669 FILLER_51_3137 +*29670 FILLER_51_3149 +*29671 FILLER_51_3159 +*29672 FILLER_51_3163 +*29673 FILLER_51_3174 +*29674 FILLER_51_3180 +*29675 FILLER_51_3186 +*29676 FILLER_51_3193 +*29677 FILLER_51_321 +*29678 FILLER_51_3217 +*29679 FILLER_51_3225 +*29680 FILLER_51_3237 +*29681 FILLER_51_3245 +*29682 FILLER_51_3249 +*29683 FILLER_51_327 +*29684 FILLER_51_3273 +*29685 FILLER_51_3279 +*29686 FILLER_51_3291 +*29687 FILLER_51_3303 +*29688 FILLER_51_3305 +*29689 FILLER_51_3317 +*29690 FILLER_51_3329 +*29691 FILLER_51_3341 +*29692 FILLER_51_3349 +*29693 FILLER_51_335 +*29694 FILLER_51_3353 +*29695 FILLER_51_3359 +*29696 FILLER_51_3361 +*29697 FILLER_51_3365 +*29698 FILLER_51_337 +*29699 FILLER_51_3385 +*29700 FILLER_51_3389 +*29701 FILLER_51_3394 +*29702 FILLER_51_3400 +*29703 FILLER_51_3408 +*29704 FILLER_51_3411 +*29705 FILLER_51_3415 +*29706 FILLER_51_3417 +*29707 FILLER_51_3421 +*29708 FILLER_51_3441 +*29709 FILLER_51_3449 +*29710 FILLER_51_3455 +*29711 FILLER_51_3467 +*29712 FILLER_51_3471 +*29713 FILLER_51_3473 +*29714 FILLER_51_3485 +*29715 FILLER_51_349 +*29716 FILLER_51_3497 +*29717 FILLER_51_3509 +*29718 FILLER_51_3517 +*29719 FILLER_51_3521 +*29720 FILLER_51_3527 +*29721 FILLER_51_3529 +*29722 FILLER_51_3533 +*29723 FILLER_51_3553 +*29724 FILLER_51_3565 +*29725 FILLER_51_3577 +*29726 FILLER_51_3583 +*29727 FILLER_51_3585 +*29728 FILLER_51_3609 +*29729 FILLER_51_3615 +*29730 FILLER_51_3621 +*29731 FILLER_51_363 +*29732 FILLER_51_3635 +*29733 FILLER_51_3639 +*29734 FILLER_51_3641 +*29735 FILLER_51_3645 +*29736 FILLER_51_3657 +*29737 FILLER_51_3669 +*29738 FILLER_51_3681 +*29739 FILLER_51_3689 +*29740 FILLER_51_3695 +*29741 FILLER_51_3697 +*29742 FILLER_51_3721 +*29743 FILLER_51_3729 +*29744 FILLER_51_3741 +*29745 FILLER_51_3749 +*29746 FILLER_51_375 +*29747 FILLER_51_3753 +*29748 FILLER_51_3777 +*29749 FILLER_51_3781 +*29750 FILLER_51_3792 +*29751 FILLER_51_3798 +*29752 FILLER_51_3804 +*29753 FILLER_51_3809 +*29754 FILLER_51_3821 +*29755 FILLER_51_383 +*29756 FILLER_51_3833 +*29757 FILLER_51_3845 +*29758 FILLER_51_3853 +*29759 FILLER_51_3857 +*29760 FILLER_51_3863 +*29761 FILLER_51_3865 +*29762 FILLER_51_3869 +*29763 FILLER_51_387 +*29764 FILLER_51_3889 +*29765 FILLER_51_39 +*29766 FILLER_51_3901 +*29767 FILLER_51_391 +*29768 FILLER_51_3913 +*29769 FILLER_51_3919 +*29770 FILLER_51_3921 +*29771 FILLER_51_393 +*29772 FILLER_51_3945 +*29773 FILLER_51_3952 +*29774 FILLER_51_3958 +*29775 FILLER_51_3966 +*29776 FILLER_51_3971 +*29777 FILLER_51_3975 +*29778 FILLER_51_3977 +*29779 FILLER_51_3989 +*29780 FILLER_51_4001 +*29781 FILLER_51_4013 +*29782 FILLER_51_4025 +*29783 FILLER_51_4031 +*29784 FILLER_51_4033 +*29785 FILLER_51_4045 +*29786 FILLER_51_405 +*29787 FILLER_51_4057 +*29788 FILLER_51_4069 +*29789 FILLER_51_4081 +*29790 FILLER_51_4087 +*29791 FILLER_51_4089 +*29792 FILLER_51_4101 +*29793 FILLER_51_433 +*29794 FILLER_51_443 +*29795 FILLER_51_447 +*29796 FILLER_51_449 +*29797 FILLER_51_461 +*29798 FILLER_51_489 +*29799 FILLER_51_495 +*29800 FILLER_51_503 +*29801 FILLER_51_505 +*29802 FILLER_51_51 +*29803 FILLER_51_511 +*29804 FILLER_51_523 +*29805 FILLER_51_531 +*29806 FILLER_51_543 +*29807 FILLER_51_55 +*29808 FILLER_51_558 +*29809 FILLER_51_561 +*29810 FILLER_51_565 +*29811 FILLER_51_57 +*29812 FILLER_51_579 +*29813 FILLER_51_601 +*29814 FILLER_51_607 +*29815 FILLER_51_615 +*29816 FILLER_51_617 +*29817 FILLER_51_629 +*29818 FILLER_51_657 +*29819 FILLER_51_663 +*29820 FILLER_51_671 +*29821 FILLER_51_673 +*29822 FILLER_51_677 +*29823 FILLER_51_685 +*29824 FILLER_51_69 +*29825 FILLER_51_696 +*29826 FILLER_51_702 +*29827 FILLER_51_708 +*29828 FILLER_51_718 +*29829 FILLER_51_724 +*29830 FILLER_51_729 +*29831 FILLER_51_741 +*29832 FILLER_51_749 +*29833 FILLER_51_769 +*29834 FILLER_51_782 +*29835 FILLER_51_785 +*29836 FILLER_51_789 +*29837 FILLER_51_801 +*29838 FILLER_51_825 +*29839 FILLER_51_831 +*29840 FILLER_51_835 +*29841 FILLER_51_838 +*29842 FILLER_51_841 +*29843 FILLER_51_847 +*29844 FILLER_51_857 +*29845 FILLER_51_867 +*29846 FILLER_51_873 +*29847 FILLER_51_885 +*29848 FILLER_51_891 +*29849 FILLER_51_895 +*29850 FILLER_51_897 +*29851 FILLER_51_911 +*29852 FILLER_51_917 +*29853 FILLER_51_937 +*29854 FILLER_51_947 +*29855 FILLER_51_951 +*29856 FILLER_51_953 +*29857 FILLER_51_958 +*29858 FILLER_51_97 +*29859 FILLER_51_971 +*29860 FILLER_51_993 +*29861 FILLER_52_1013 +*29862 FILLER_52_1033 +*29863 FILLER_52_1037 +*29864 FILLER_52_1057 +*29865 FILLER_52_1081 +*29866 FILLER_52_1089 +*29867 FILLER_52_1093 +*29868 FILLER_52_1113 +*29869 FILLER_52_1137 +*29870 FILLER_52_1143 +*29871 FILLER_52_1146 +*29872 FILLER_52_1149 +*29873 FILLER_52_1156 +*29874 FILLER_52_1164 +*29875 FILLER_52_117 +*29876 FILLER_52_1181 +*29877 FILLER_52_1201 +*29878 FILLER_52_1205 +*29879 FILLER_52_1225 +*29880 FILLER_52_1249 +*29881 FILLER_52_1257 +*29882 FILLER_52_1261 +*29883 FILLER_52_1281 +*29884 FILLER_52_1305 +*29885 FILLER_52_1313 +*29886 FILLER_52_1317 +*29887 FILLER_52_1325 +*29888 FILLER_52_1349 +*29889 FILLER_52_1369 +*29890 FILLER_52_137 +*29891 FILLER_52_1373 +*29892 FILLER_52_1393 +*29893 FILLER_52_141 +*29894 FILLER_52_1417 +*29895 FILLER_52_1425 +*29896 FILLER_52_1429 +*29897 FILLER_52_1449 +*29898 FILLER_52_145 +*29899 FILLER_52_1473 +*29900 FILLER_52_1481 +*29901 FILLER_52_1485 +*29902 FILLER_52_1497 +*29903 FILLER_52_15 +*29904 FILLER_52_1517 +*29905 FILLER_52_1537 +*29906 FILLER_52_1541 +*29907 FILLER_52_1561 +*29908 FILLER_52_1585 +*29909 FILLER_52_1589 +*29910 FILLER_52_1594 +*29911 FILLER_52_1597 +*29912 FILLER_52_1617 +*29913 FILLER_52_1641 +*29914 FILLER_52_1649 +*29915 FILLER_52_1653 +*29916 FILLER_52_1661 +*29917 FILLER_52_1665 +*29918 FILLER_52_1685 +*29919 FILLER_52_1705 +*29920 FILLER_52_1709 +*29921 FILLER_52_1729 +*29922 FILLER_52_173 +*29923 FILLER_52_1753 +*29924 FILLER_52_1761 +*29925 FILLER_52_1765 +*29926 FILLER_52_1785 +*29927 FILLER_52_1809 +*29928 FILLER_52_1817 +*29929 FILLER_52_1821 +*29930 FILLER_52_1829 +*29931 FILLER_52_1833 +*29932 FILLER_52_1853 +*29933 FILLER_52_1873 +*29934 FILLER_52_1877 +*29935 FILLER_52_1897 +*29936 FILLER_52_1921 +*29937 FILLER_52_1929 +*29938 FILLER_52_193 +*29939 FILLER_52_1933 +*29940 FILLER_52_1953 +*29941 FILLER_52_197 +*29942 FILLER_52_1977 +*29943 FILLER_52_1985 +*29944 FILLER_52_1989 +*29945 FILLER_52_1997 +*29946 FILLER_52_2001 +*29947 FILLER_52_201 +*29948 FILLER_52_2021 +*29949 FILLER_52_2041 +*29950 FILLER_52_2045 +*29951 FILLER_52_2065 +*29952 FILLER_52_2073 +*29953 FILLER_52_2077 +*29954 FILLER_52_2097 +*29955 FILLER_52_2101 +*29956 FILLER_52_2121 +*29957 FILLER_52_2141 +*29958 FILLER_52_2153 +*29959 FILLER_52_2157 +*29960 FILLER_52_2175 +*29961 FILLER_52_2195 +*29962 FILLER_52_2207 +*29963 FILLER_52_221 +*29964 FILLER_52_2211 +*29965 FILLER_52_2213 +*29966 FILLER_52_2233 +*29967 FILLER_52_2257 +*29968 FILLER_52_2265 +*29969 FILLER_52_2269 +*29970 FILLER_52_2289 +*29971 FILLER_52_2309 +*29972 FILLER_52_2321 +*29973 FILLER_52_2325 +*29974 FILLER_52_2343 +*29975 FILLER_52_2363 +*29976 FILLER_52_2375 +*29977 FILLER_52_2378 +*29978 FILLER_52_2381 +*29979 FILLER_52_2401 +*29980 FILLER_52_241 +*29981 FILLER_52_2425 +*29982 FILLER_52_2431 +*29983 FILLER_52_2434 +*29984 FILLER_52_2437 +*29985 FILLER_52_2457 +*29986 FILLER_52_247 +*29987 FILLER_52_2477 +*29988 FILLER_52_2483 +*29989 FILLER_52_2487 +*29990 FILLER_52_2490 +*29991 FILLER_52_2493 +*29992 FILLER_52_251 +*29993 FILLER_52_2511 +*29994 FILLER_52_253 +*29995 FILLER_52_2531 +*29996 FILLER_52_2543 +*29997 FILLER_52_2546 +*29998 FILLER_52_2549 +*29999 FILLER_52_2569 +*30000 FILLER_52_2593 +*30001 FILLER_52_2601 +*30002 FILLER_52_2605 +*30003 FILLER_52_2625 +*30004 FILLER_52_2645 +*30005 FILLER_52_2657 +*30006 FILLER_52_2661 +*30007 FILLER_52_2679 +*30008 FILLER_52_2699 +*30009 FILLER_52_27 +*30010 FILLER_52_2706 +*30011 FILLER_52_2712 +*30012 FILLER_52_2717 +*30013 FILLER_52_273 +*30014 FILLER_52_2737 +*30015 FILLER_52_2761 +*30016 FILLER_52_2769 +*30017 FILLER_52_2773 +*30018 FILLER_52_2793 +*30019 FILLER_52_2813 +*30020 FILLER_52_2825 +*30021 FILLER_52_2829 +*30022 FILLER_52_2847 +*30023 FILLER_52_2867 +*30024 FILLER_52_2873 +*30025 FILLER_52_2881 +*30026 FILLER_52_2885 +*30027 FILLER_52_29 +*30028 FILLER_52_2905 +*30029 FILLER_52_2929 +*30030 FILLER_52_2935 +*30031 FILLER_52_2939 +*30032 FILLER_52_2941 +*30033 FILLER_52_2961 +*30034 FILLER_52_297 +*30035 FILLER_52_2981 +*30036 FILLER_52_2987 +*30037 FILLER_52_2995 +*30038 FILLER_52_2997 +*30039 FILLER_52_3 +*30040 FILLER_52_3015 +*30041 FILLER_52_303 +*30042 FILLER_52_3035 +*30043 FILLER_52_3041 +*30044 FILLER_52_3045 +*30045 FILLER_52_3050 +*30046 FILLER_52_3053 +*30047 FILLER_52_307 +*30048 FILLER_52_3073 +*30049 FILLER_52_309 +*30050 FILLER_52_3097 +*30051 FILLER_52_3105 +*30052 FILLER_52_3109 +*30053 FILLER_52_3129 +*30054 FILLER_52_3149 +*30055 FILLER_52_3157 +*30056 FILLER_52_3163 +*30057 FILLER_52_3165 +*30058 FILLER_52_317 +*30059 FILLER_52_3183 +*30060 FILLER_52_3203 +*30061 FILLER_52_3209 +*30062 FILLER_52_321 +*30063 FILLER_52_3217 +*30064 FILLER_52_3221 +*30065 FILLER_52_3241 +*30066 FILLER_52_3265 +*30067 FILLER_52_3271 +*30068 FILLER_52_3274 +*30069 FILLER_52_3277 +*30070 FILLER_52_3297 +*30071 FILLER_52_3317 +*30072 FILLER_52_3323 +*30073 FILLER_52_3331 +*30074 FILLER_52_3333 +*30075 FILLER_52_3351 +*30076 FILLER_52_3371 +*30077 FILLER_52_3377 +*30078 FILLER_52_3385 +*30079 FILLER_52_3389 +*30080 FILLER_52_3409 +*30081 FILLER_52_341 +*30082 FILLER_52_3433 +*30083 FILLER_52_3439 +*30084 FILLER_52_3443 +*30085 FILLER_52_3445 +*30086 FILLER_52_3465 +*30087 FILLER_52_3485 +*30088 FILLER_52_3497 +*30089 FILLER_52_3501 +*30090 FILLER_52_3519 +*30091 FILLER_52_3539 +*30092 FILLER_52_3545 +*30093 FILLER_52_3553 +*30094 FILLER_52_3557 +*30095 FILLER_52_3577 +*30096 FILLER_52_3601 +*30097 FILLER_52_3607 +*30098 FILLER_52_361 +*30099 FILLER_52_3611 +*30100 FILLER_52_3613 +*30101 FILLER_52_3633 +*30102 FILLER_52_365 +*30103 FILLER_52_3653 +*30104 FILLER_52_3665 +*30105 FILLER_52_3669 +*30106 FILLER_52_3687 +*30107 FILLER_52_3707 +*30108 FILLER_52_3713 +*30109 FILLER_52_3719 +*30110 FILLER_52_3722 +*30111 FILLER_52_3725 +*30112 FILLER_52_3745 +*30113 FILLER_52_3769 +*30114 FILLER_52_3775 +*30115 FILLER_52_3778 +*30116 FILLER_52_3781 +*30117 FILLER_52_3801 +*30118 FILLER_52_3821 +*30119 FILLER_52_3833 +*30120 FILLER_52_3837 +*30121 FILLER_52_385 +*30122 FILLER_52_3855 +*30123 FILLER_52_3875 +*30124 FILLER_52_3881 +*30125 FILLER_52_3889 +*30126 FILLER_52_3893 +*30127 FILLER_52_3913 +*30128 FILLER_52_3937 +*30129 FILLER_52_3945 +*30130 FILLER_52_3949 +*30131 FILLER_52_3969 +*30132 FILLER_52_3989 +*30133 FILLER_52_4001 +*30134 FILLER_52_4005 +*30135 FILLER_52_4023 +*30136 FILLER_52_4035 +*30137 FILLER_52_4047 +*30138 FILLER_52_4059 +*30139 FILLER_52_4061 +*30140 FILLER_52_4073 +*30141 FILLER_52_4085 +*30142 FILLER_52_409 +*30143 FILLER_52_4097 +*30144 FILLER_52_41 +*30145 FILLER_52_4101 +*30146 FILLER_52_415 +*30147 FILLER_52_419 +*30148 FILLER_52_421 +*30149 FILLER_52_441 +*30150 FILLER_52_465 +*30151 FILLER_52_471 +*30152 FILLER_52_475 +*30153 FILLER_52_477 +*30154 FILLER_52_489 +*30155 FILLER_52_509 +*30156 FILLER_52_529 +*30157 FILLER_52_53 +*30158 FILLER_52_533 +*30159 FILLER_52_553 +*30160 FILLER_52_577 +*30161 FILLER_52_583 +*30162 FILLER_52_586 +*30163 FILLER_52_589 +*30164 FILLER_52_609 +*30165 FILLER_52_633 +*30166 FILLER_52_639 +*30167 FILLER_52_643 +*30168 FILLER_52_645 +*30169 FILLER_52_65 +*30170 FILLER_52_653 +*30171 FILLER_52_657 +*30172 FILLER_52_677 +*30173 FILLER_52_697 +*30174 FILLER_52_701 +*30175 FILLER_52_721 +*30176 FILLER_52_745 +*30177 FILLER_52_751 +*30178 FILLER_52_755 +*30179 FILLER_52_757 +*30180 FILLER_52_77 +*30181 FILLER_52_777 +*30182 FILLER_52_801 +*30183 FILLER_52_807 +*30184 FILLER_52_811 +*30185 FILLER_52_813 +*30186 FILLER_52_825 +*30187 FILLER_52_83 +*30188 FILLER_52_845 +*30189 FILLER_52_85 +*30190 FILLER_52_865 +*30191 FILLER_52_869 +*30192 FILLER_52_889 +*30193 FILLER_52_913 +*30194 FILLER_52_919 +*30195 FILLER_52_922 +*30196 FILLER_52_925 +*30197 FILLER_52_945 +*30198 FILLER_52_969 +*30199 FILLER_52_97 +*30200 FILLER_52_977 +*30201 FILLER_52_981 +*30202 FILLER_52_989 +*30203 FILLER_52_993 +*30204 FILLER_53_1006 +*30205 FILLER_53_1009 +*30206 FILLER_53_1014 +*30207 FILLER_53_1034 +*30208 FILLER_53_1037 +*30209 FILLER_53_1042 +*30210 FILLER_53_105 +*30211 FILLER_53_1062 +*30212 FILLER_53_1065 +*30213 FILLER_53_1069 +*30214 FILLER_53_1089 +*30215 FILLER_53_1093 +*30216 FILLER_53_1097 +*30217 FILLER_53_1101 +*30218 FILLER_53_111 +*30219 FILLER_53_1118 +*30220 FILLER_53_1121 +*30221 FILLER_53_1125 +*30222 FILLER_53_1129 +*30223 FILLER_53_113 +*30224 FILLER_53_1146 +*30225 FILLER_53_1149 +*30226 FILLER_53_1157 +*30227 FILLER_53_1174 +*30228 FILLER_53_1177 +*30229 FILLER_53_118 +*30230 FILLER_53_1182 +*30231 FILLER_53_1202 +*30232 FILLER_53_1205 +*30233 FILLER_53_1210 +*30234 FILLER_53_1230 +*30235 FILLER_53_1233 +*30236 FILLER_53_1237 +*30237 FILLER_53_1257 +*30238 FILLER_53_1261 +*30239 FILLER_53_1265 +*30240 FILLER_53_1269 +*30241 FILLER_53_1286 +*30242 FILLER_53_1289 +*30243 FILLER_53_1297 +*30244 FILLER_53_1314 +*30245 FILLER_53_1317 +*30246 FILLER_53_1325 +*30247 FILLER_53_1342 +*30248 FILLER_53_1345 +*30249 FILLER_53_1353 +*30250 FILLER_53_1370 +*30251 FILLER_53_1373 +*30252 FILLER_53_1378 +*30253 FILLER_53_138 +*30254 FILLER_53_1398 +*30255 FILLER_53_1401 +*30256 FILLER_53_1405 +*30257 FILLER_53_141 +*30258 FILLER_53_1425 +*30259 FILLER_53_1429 +*30260 FILLER_53_1437 +*30261 FILLER_53_145 +*30262 FILLER_53_1454 +*30263 FILLER_53_1457 +*30264 FILLER_53_1465 +*30265 FILLER_53_1482 +*30266 FILLER_53_1485 +*30267 FILLER_53_149 +*30268 FILLER_53_1493 +*30269 FILLER_53_15 +*30270 FILLER_53_1510 +*30271 FILLER_53_1513 +*30272 FILLER_53_1518 +*30273 FILLER_53_1538 +*30274 FILLER_53_1541 +*30275 FILLER_53_1546 +*30276 FILLER_53_1566 +*30277 FILLER_53_1569 +*30278 FILLER_53_1573 +*30279 FILLER_53_1593 +*30280 FILLER_53_1597 +*30281 FILLER_53_1601 +*30282 FILLER_53_1605 +*30283 FILLER_53_1622 +*30284 FILLER_53_1625 +*30285 FILLER_53_1630 +*30286 FILLER_53_1650 +*30287 FILLER_53_1653 +*30288 FILLER_53_166 +*30289 FILLER_53_1661 +*30290 FILLER_53_1678 +*30291 FILLER_53_1681 +*30292 FILLER_53_1686 +*30293 FILLER_53_169 +*30294 FILLER_53_1706 +*30295 FILLER_53_1709 +*30296 FILLER_53_1714 +*30297 FILLER_53_173 +*30298 FILLER_53_1734 +*30299 FILLER_53_1737 +*30300 FILLER_53_1741 +*30301 FILLER_53_1761 +*30302 FILLER_53_1765 +*30303 FILLER_53_1769 +*30304 FILLER_53_177 +*30305 FILLER_53_1773 +*30306 FILLER_53_1790 +*30307 FILLER_53_1793 +*30308 FILLER_53_1798 +*30309 FILLER_53_1818 +*30310 FILLER_53_1821 +*30311 FILLER_53_1829 +*30312 FILLER_53_1846 +*30313 FILLER_53_1849 +*30314 FILLER_53_1854 +*30315 FILLER_53_1874 +*30316 FILLER_53_1877 +*30317 FILLER_53_1881 +*30318 FILLER_53_1885 +*30319 FILLER_53_1902 +*30320 FILLER_53_1905 +*30321 FILLER_53_1929 +*30322 FILLER_53_1933 +*30323 FILLER_53_1938 +*30324 FILLER_53_194 +*30325 FILLER_53_1958 +*30326 FILLER_53_1961 +*30327 FILLER_53_1969 +*30328 FILLER_53_197 +*30329 FILLER_53_1986 +*30330 FILLER_53_1989 +*30331 FILLER_53_1994 +*30332 FILLER_53_201 +*30333 FILLER_53_2014 +*30334 FILLER_53_2017 +*30335 FILLER_53_2022 +*30336 FILLER_53_2042 +*30337 FILLER_53_2045 +*30338 FILLER_53_205 +*30339 FILLER_53_2053 +*30340 FILLER_53_2070 +*30341 FILLER_53_2073 +*30342 FILLER_53_2091 +*30343 FILLER_53_2099 +*30344 FILLER_53_2101 +*30345 FILLER_53_2119 +*30346 FILLER_53_2125 +*30347 FILLER_53_2129 +*30348 FILLER_53_2147 +*30349 FILLER_53_2151 +*30350 FILLER_53_2154 +*30351 FILLER_53_2157 +*30352 FILLER_53_2175 +*30353 FILLER_53_2179 +*30354 FILLER_53_2182 +*30355 FILLER_53_2185 +*30356 FILLER_53_2203 +*30357 FILLER_53_2211 +*30358 FILLER_53_2213 +*30359 FILLER_53_222 +*30360 FILLER_53_2231 +*30361 FILLER_53_2239 +*30362 FILLER_53_2241 +*30363 FILLER_53_225 +*30364 FILLER_53_2259 +*30365 FILLER_53_2267 +*30366 FILLER_53_2269 +*30367 FILLER_53_2287 +*30368 FILLER_53_229 +*30369 FILLER_53_2294 +*30370 FILLER_53_2297 +*30371 FILLER_53_2315 +*30372 FILLER_53_2323 +*30373 FILLER_53_2325 +*30374 FILLER_53_233 +*30375 FILLER_53_2343 +*30376 FILLER_53_2351 +*30377 FILLER_53_2353 +*30378 FILLER_53_2371 +*30379 FILLER_53_2379 +*30380 FILLER_53_2381 +*30381 FILLER_53_2399 +*30382 FILLER_53_2407 +*30383 FILLER_53_2409 +*30384 FILLER_53_2427 +*30385 FILLER_53_2435 +*30386 FILLER_53_2437 +*30387 FILLER_53_2455 +*30388 FILLER_53_2462 +*30389 FILLER_53_2465 +*30390 FILLER_53_2483 +*30391 FILLER_53_2487 +*30392 FILLER_53_2490 +*30393 FILLER_53_2493 +*30394 FILLER_53_250 +*30395 FILLER_53_2511 +*30396 FILLER_53_2519 +*30397 FILLER_53_2521 +*30398 FILLER_53_253 +*30399 FILLER_53_2539 +*30400 FILLER_53_2543 +*30401 FILLER_53_2546 +*30402 FILLER_53_2549 +*30403 FILLER_53_2567 +*30404 FILLER_53_257 +*30405 FILLER_53_2571 +*30406 FILLER_53_2574 +*30407 FILLER_53_2577 +*30408 FILLER_53_2595 +*30409 FILLER_53_2603 +*30410 FILLER_53_2605 +*30411 FILLER_53_261 +*30412 FILLER_53_2623 +*30413 FILLER_53_2627 +*30414 FILLER_53_2630 +*30415 FILLER_53_2633 +*30416 FILLER_53_2651 +*30417 FILLER_53_2659 +*30418 FILLER_53_2661 +*30419 FILLER_53_2679 +*30420 FILLER_53_2687 +*30421 FILLER_53_2689 +*30422 FILLER_53_27 +*30423 FILLER_53_2707 +*30424 FILLER_53_2715 +*30425 FILLER_53_2717 +*30426 FILLER_53_2735 +*30427 FILLER_53_2741 +*30428 FILLER_53_2745 +*30429 FILLER_53_2763 +*30430 FILLER_53_2771 +*30431 FILLER_53_2773 +*30432 FILLER_53_278 +*30433 FILLER_53_2791 +*30434 FILLER_53_2797 +*30435 FILLER_53_2801 +*30436 FILLER_53_281 +*30437 FILLER_53_2819 +*30438 FILLER_53_2825 +*30439 FILLER_53_2829 +*30440 FILLER_53_2847 +*30441 FILLER_53_285 +*30442 FILLER_53_2855 +*30443 FILLER_53_2857 +*30444 FILLER_53_2875 +*30445 FILLER_53_2883 +*30446 FILLER_53_2885 +*30447 FILLER_53_289 +*30448 FILLER_53_29 +*30449 FILLER_53_2903 +*30450 FILLER_53_2909 +*30451 FILLER_53_2913 +*30452 FILLER_53_2931 +*30453 FILLER_53_2937 +*30454 FILLER_53_2941 +*30455 FILLER_53_2959 +*30456 FILLER_53_2965 +*30457 FILLER_53_2969 +*30458 FILLER_53_2987 +*30459 FILLER_53_2993 +*30460 FILLER_53_2997 +*30461 FILLER_53_3 +*30462 FILLER_53_3015 +*30463 FILLER_53_3021 +*30464 FILLER_53_3025 +*30465 FILLER_53_3043 +*30466 FILLER_53_3049 +*30467 FILLER_53_3053 +*30468 FILLER_53_306 +*30469 FILLER_53_3071 +*30470 FILLER_53_3075 +*30471 FILLER_53_3078 +*30472 FILLER_53_3081 +*30473 FILLER_53_309 +*30474 FILLER_53_3099 +*30475 FILLER_53_3107 +*30476 FILLER_53_3109 +*30477 FILLER_53_3127 +*30478 FILLER_53_313 +*30479 FILLER_53_3135 +*30480 FILLER_53_3137 +*30481 FILLER_53_3155 +*30482 FILLER_53_3162 +*30483 FILLER_53_3165 +*30484 FILLER_53_317 +*30485 FILLER_53_3183 +*30486 FILLER_53_3191 +*30487 FILLER_53_3193 +*30488 FILLER_53_3211 +*30489 FILLER_53_3217 +*30490 FILLER_53_3221 +*30491 FILLER_53_3239 +*30492 FILLER_53_3245 +*30493 FILLER_53_3249 +*30494 FILLER_53_3267 +*30495 FILLER_53_3273 +*30496 FILLER_53_3277 +*30497 FILLER_53_3295 +*30498 FILLER_53_3299 +*30499 FILLER_53_3302 +*30500 FILLER_53_3305 +*30501 FILLER_53_3323 +*30502 FILLER_53_3331 +*30503 FILLER_53_3333 +*30504 FILLER_53_334 +*30505 FILLER_53_3351 +*30506 FILLER_53_3357 +*30507 FILLER_53_3361 +*30508 FILLER_53_337 +*30509 FILLER_53_3379 +*30510 FILLER_53_3387 +*30511 FILLER_53_3389 +*30512 FILLER_53_3407 +*30513 FILLER_53_341 +*30514 FILLER_53_3415 +*30515 FILLER_53_3417 +*30516 FILLER_53_3435 +*30517 FILLER_53_3441 +*30518 FILLER_53_3445 +*30519 FILLER_53_345 +*30520 FILLER_53_3463 +*30521 FILLER_53_3471 +*30522 FILLER_53_3473 +*30523 FILLER_53_3491 +*30524 FILLER_53_3497 +*30525 FILLER_53_3501 +*30526 FILLER_53_3519 +*30527 FILLER_53_3525 +*30528 FILLER_53_3529 +*30529 FILLER_53_3547 +*30530 FILLER_53_3553 +*30531 FILLER_53_3557 +*30532 FILLER_53_3575 +*30533 FILLER_53_3581 +*30534 FILLER_53_3585 +*30535 FILLER_53_3603 +*30536 FILLER_53_3609 +*30537 FILLER_53_3613 +*30538 FILLER_53_362 +*30539 FILLER_53_3631 +*30540 FILLER_53_3637 +*30541 FILLER_53_3641 +*30542 FILLER_53_365 +*30543 FILLER_53_3659 +*30544 FILLER_53_3663 +*30545 FILLER_53_3666 +*30546 FILLER_53_3669 +*30547 FILLER_53_3687 +*30548 FILLER_53_369 +*30549 FILLER_53_3695 +*30550 FILLER_53_3697 +*30551 FILLER_53_3715 +*30552 FILLER_53_3721 +*30553 FILLER_53_3725 +*30554 FILLER_53_373 +*30555 FILLER_53_3743 +*30556 FILLER_53_3751 +*30557 FILLER_53_3753 +*30558 FILLER_53_3771 +*30559 FILLER_53_3777 +*30560 FILLER_53_3781 +*30561 FILLER_53_3799 +*30562 FILLER_53_3807 +*30563 FILLER_53_3809 +*30564 FILLER_53_3827 +*30565 FILLER_53_3833 +*30566 FILLER_53_3837 +*30567 FILLER_53_3855 +*30568 FILLER_53_3861 +*30569 FILLER_53_3865 +*30570 FILLER_53_3883 +*30571 FILLER_53_3891 +*30572 FILLER_53_3893 +*30573 FILLER_53_390 +*30574 FILLER_53_3911 +*30575 FILLER_53_3917 +*30576 FILLER_53_3921 +*30577 FILLER_53_393 +*30578 FILLER_53_3939 +*30579 FILLER_53_3945 +*30580 FILLER_53_3949 +*30581 FILLER_53_3967 +*30582 FILLER_53_397 +*30583 FILLER_53_3975 +*30584 FILLER_53_3977 +*30585 FILLER_53_3995 +*30586 FILLER_53_4003 +*30587 FILLER_53_4005 +*30588 FILLER_53_4023 +*30589 FILLER_53_4031 +*30590 FILLER_53_4033 +*30591 FILLER_53_4045 +*30592 FILLER_53_4057 +*30593 FILLER_53_4061 +*30594 FILLER_53_4073 +*30595 FILLER_53_4085 +*30596 FILLER_53_4089 +*30597 FILLER_53_41 +*30598 FILLER_53_4101 +*30599 FILLER_53_417 +*30600 FILLER_53_421 +*30601 FILLER_53_425 +*30602 FILLER_53_429 +*30603 FILLER_53_446 +*30604 FILLER_53_449 +*30605 FILLER_53_453 +*30606 FILLER_53_457 +*30607 FILLER_53_474 +*30608 FILLER_53_477 +*30609 FILLER_53_481 +*30610 FILLER_53_485 +*30611 FILLER_53_502 +*30612 FILLER_53_505 +*30613 FILLER_53_509 +*30614 FILLER_53_513 +*30615 FILLER_53_53 +*30616 FILLER_53_530 +*30617 FILLER_53_533 +*30618 FILLER_53_537 +*30619 FILLER_53_541 +*30620 FILLER_53_558 +*30621 FILLER_53_561 +*30622 FILLER_53_565 +*30623 FILLER_53_57 +*30624 FILLER_53_585 +*30625 FILLER_53_589 +*30626 FILLER_53_593 +*30627 FILLER_53_597 +*30628 FILLER_53_614 +*30629 FILLER_53_617 +*30630 FILLER_53_621 +*30631 FILLER_53_625 +*30632 FILLER_53_642 +*30633 FILLER_53_645 +*30634 FILLER_53_649 +*30635 FILLER_53_653 +*30636 FILLER_53_670 +*30637 FILLER_53_673 +*30638 FILLER_53_678 +*30639 FILLER_53_69 +*30640 FILLER_53_698 +*30641 FILLER_53_701 +*30642 FILLER_53_705 +*30643 FILLER_53_709 +*30644 FILLER_53_726 +*30645 FILLER_53_729 +*30646 FILLER_53_733 +*30647 FILLER_53_753 +*30648 FILLER_53_757 +*30649 FILLER_53_761 +*30650 FILLER_53_765 +*30651 FILLER_53_782 +*30652 FILLER_53_785 +*30653 FILLER_53_789 +*30654 FILLER_53_793 +*30655 FILLER_53_81 +*30656 FILLER_53_810 +*30657 FILLER_53_813 +*30658 FILLER_53_817 +*30659 FILLER_53_821 +*30660 FILLER_53_838 +*30661 FILLER_53_841 +*30662 FILLER_53_846 +*30663 FILLER_53_85 +*30664 FILLER_53_866 +*30665 FILLER_53_869 +*30666 FILLER_53_873 +*30667 FILLER_53_877 +*30668 FILLER_53_894 +*30669 FILLER_53_897 +*30670 FILLER_53_901 +*30671 FILLER_53_921 +*30672 FILLER_53_925 +*30673 FILLER_53_929 +*30674 FILLER_53_933 +*30675 FILLER_53_950 +*30676 FILLER_53_953 +*30677 FILLER_53_958 +*30678 FILLER_53_978 +*30679 FILLER_53_981 +*30680 FILLER_53_986 +*30681 FILLER_5_1004 +*30682 FILLER_5_1009 +*30683 FILLER_5_1021 +*30684 FILLER_5_1029 +*30685 FILLER_5_1036 +*30686 FILLER_5_1048 +*30687 FILLER_5_105 +*30688 FILLER_5_1060 +*30689 FILLER_5_1065 +*30690 FILLER_5_1077 +*30691 FILLER_5_1089 +*30692 FILLER_5_1105 +*30693 FILLER_5_111 +*30694 FILLER_5_1117 +*30695 FILLER_5_1121 +*30696 FILLER_5_113 +*30697 FILLER_5_1133 +*30698 FILLER_5_1141 +*30699 FILLER_5_1153 +*30700 FILLER_5_1165 +*30701 FILLER_5_1173 +*30702 FILLER_5_1177 +*30703 FILLER_5_1189 +*30704 FILLER_5_1201 +*30705 FILLER_5_1213 +*30706 FILLER_5_1225 +*30707 FILLER_5_1231 +*30708 FILLER_5_1233 +*30709 FILLER_5_1245 +*30710 FILLER_5_125 +*30711 FILLER_5_1257 +*30712 FILLER_5_1269 +*30713 FILLER_5_1281 +*30714 FILLER_5_1287 +*30715 FILLER_5_1289 +*30716 FILLER_5_1297 +*30717 FILLER_5_1302 +*30718 FILLER_5_1314 +*30719 FILLER_5_1326 +*30720 FILLER_5_1334 +*30721 FILLER_5_1340 +*30722 FILLER_5_1345 +*30723 FILLER_5_1357 +*30724 FILLER_5_1363 +*30725 FILLER_5_137 +*30726 FILLER_5_1375 +*30727 FILLER_5_1387 +*30728 FILLER_5_1399 +*30729 FILLER_5_1401 +*30730 FILLER_5_1413 +*30731 FILLER_5_1425 +*30732 FILLER_5_1432 +*30733 FILLER_5_1444 +*30734 FILLER_5_1457 +*30735 FILLER_5_1469 +*30736 FILLER_5_148 +*30737 FILLER_5_1481 +*30738 FILLER_5_1493 +*30739 FILLER_5_15 +*30740 FILLER_5_1505 +*30741 FILLER_5_1511 +*30742 FILLER_5_1513 +*30743 FILLER_5_1525 +*30744 FILLER_5_1537 +*30745 FILLER_5_1549 +*30746 FILLER_5_1561 +*30747 FILLER_5_1567 +*30748 FILLER_5_1569 +*30749 FILLER_5_1575 +*30750 FILLER_5_1587 +*30751 FILLER_5_1594 +*30752 FILLER_5_160 +*30753 FILLER_5_1604 +*30754 FILLER_5_1612 +*30755 FILLER_5_1625 +*30756 FILLER_5_1630 +*30757 FILLER_5_1636 +*30758 FILLER_5_1648 +*30759 FILLER_5_1660 +*30760 FILLER_5_1672 +*30761 FILLER_5_1681 +*30762 FILLER_5_169 +*30763 FILLER_5_1693 +*30764 FILLER_5_1705 +*30765 FILLER_5_1717 +*30766 FILLER_5_1729 +*30767 FILLER_5_1735 +*30768 FILLER_5_1737 +*30769 FILLER_5_1749 +*30770 FILLER_5_1761 +*30771 FILLER_5_1773 +*30772 FILLER_5_1785 +*30773 FILLER_5_1791 +*30774 FILLER_5_1793 +*30775 FILLER_5_1805 +*30776 FILLER_5_181 +*30777 FILLER_5_1814 +*30778 FILLER_5_1820 +*30779 FILLER_5_1832 +*30780 FILLER_5_1844 +*30781 FILLER_5_1849 +*30782 FILLER_5_1861 +*30783 FILLER_5_1873 +*30784 FILLER_5_1881 +*30785 FILLER_5_1884 +*30786 FILLER_5_1896 +*30787 FILLER_5_1905 +*30788 FILLER_5_1917 +*30789 FILLER_5_1929 +*30790 FILLER_5_193 +*30791 FILLER_5_1941 +*30792 FILLER_5_1953 +*30793 FILLER_5_1959 +*30794 FILLER_5_1961 +*30795 FILLER_5_1973 +*30796 FILLER_5_1985 +*30797 FILLER_5_1997 +*30798 FILLER_5_2009 +*30799 FILLER_5_2015 +*30800 FILLER_5_2017 +*30801 FILLER_5_2029 +*30802 FILLER_5_2041 +*30803 FILLER_5_205 +*30804 FILLER_5_2053 +*30805 FILLER_5_2065 +*30806 FILLER_5_2071 +*30807 FILLER_5_2073 +*30808 FILLER_5_2085 +*30809 FILLER_5_2097 +*30810 FILLER_5_2101 +*30811 FILLER_5_2107 +*30812 FILLER_5_2119 +*30813 FILLER_5_2127 +*30814 FILLER_5_2129 +*30815 FILLER_5_2141 +*30816 FILLER_5_2144 +*30817 FILLER_5_2151 +*30818 FILLER_5_2157 +*30819 FILLER_5_2165 +*30820 FILLER_5_217 +*30821 FILLER_5_2177 +*30822 FILLER_5_2182 +*30823 FILLER_5_2185 +*30824 FILLER_5_2190 +*30825 FILLER_5_2194 +*30826 FILLER_5_2199 +*30827 FILLER_5_2205 +*30828 FILLER_5_2217 +*30829 FILLER_5_2229 +*30830 FILLER_5_223 +*30831 FILLER_5_2237 +*30832 FILLER_5_2241 +*30833 FILLER_5_225 +*30834 FILLER_5_2253 +*30835 FILLER_5_2265 +*30836 FILLER_5_2277 +*30837 FILLER_5_2289 +*30838 FILLER_5_2295 +*30839 FILLER_5_2297 +*30840 FILLER_5_2309 +*30841 FILLER_5_2312 +*30842 FILLER_5_2320 +*30843 FILLER_5_2328 +*30844 FILLER_5_2334 +*30845 FILLER_5_2346 +*30846 FILLER_5_2353 +*30847 FILLER_5_2365 +*30848 FILLER_5_237 +*30849 FILLER_5_2377 +*30850 FILLER_5_2389 +*30851 FILLER_5_2401 +*30852 FILLER_5_2407 +*30853 FILLER_5_2409 +*30854 FILLER_5_2421 +*30855 FILLER_5_2424 +*30856 FILLER_5_2432 +*30857 FILLER_5_2444 +*30858 FILLER_5_2456 +*30859 FILLER_5_2462 +*30860 FILLER_5_2465 +*30861 FILLER_5_2471 +*30862 FILLER_5_2479 +*30863 FILLER_5_2487 +*30864 FILLER_5_249 +*30865 FILLER_5_2499 +*30866 FILLER_5_2511 +*30867 FILLER_5_2519 +*30868 FILLER_5_2521 +*30869 FILLER_5_2533 +*30870 FILLER_5_2545 +*30871 FILLER_5_2557 +*30872 FILLER_5_2561 +*30873 FILLER_5_2569 +*30874 FILLER_5_257 +*30875 FILLER_5_2575 +*30876 FILLER_5_2577 +*30877 FILLER_5_2589 +*30878 FILLER_5_2597 +*30879 FILLER_5_2602 +*30880 FILLER_5_2610 +*30881 FILLER_5_2618 +*30882 FILLER_5_262 +*30883 FILLER_5_2626 +*30884 FILLER_5_2633 +*30885 FILLER_5_2644 +*30886 FILLER_5_2652 +*30887 FILLER_5_2670 +*30888 FILLER_5_2676 +*30889 FILLER_5_2689 +*30890 FILLER_5_27 +*30891 FILLER_5_2701 +*30892 FILLER_5_2713 +*30893 FILLER_5_2731 +*30894 FILLER_5_2742 +*30895 FILLER_5_2745 +*30896 FILLER_5_275 +*30897 FILLER_5_2754 +*30898 FILLER_5_2762 +*30899 FILLER_5_2770 +*30900 FILLER_5_2778 +*30901 FILLER_5_279 +*30902 FILLER_5_2792 +*30903 FILLER_5_2798 +*30904 FILLER_5_2801 +*30905 FILLER_5_2809 +*30906 FILLER_5_281 +*30907 FILLER_5_2816 +*30908 FILLER_5_2822 +*30909 FILLER_5_2828 +*30910 FILLER_5_2834 +*30911 FILLER_5_2840 +*30912 FILLER_5_2846 +*30913 FILLER_5_285 +*30914 FILLER_5_2854 +*30915 FILLER_5_2857 +*30916 FILLER_5_2861 +*30917 FILLER_5_2865 +*30918 FILLER_5_2870 +*30919 FILLER_5_2880 +*30920 FILLER_5_2888 +*30921 FILLER_5_2896 +*30922 FILLER_5_2901 +*30923 FILLER_5_2905 +*30924 FILLER_5_2910 +*30925 FILLER_5_2913 +*30926 FILLER_5_2921 +*30927 FILLER_5_2929 +*30928 FILLER_5_2935 +*30929 FILLER_5_2941 +*30930 FILLER_5_2947 +*30931 FILLER_5_2953 +*30932 FILLER_5_2959 +*30933 FILLER_5_2965 +*30934 FILLER_5_2969 +*30935 FILLER_5_297 +*30936 FILLER_5_2973 +*30937 FILLER_5_2981 +*30938 FILLER_5_2993 +*30939 FILLER_5_3 +*30940 FILLER_5_3003 +*30941 FILLER_5_3007 +*30942 FILLER_5_3012 +*30943 FILLER_5_3018 +*30944 FILLER_5_3025 +*30945 FILLER_5_3029 +*30946 FILLER_5_3033 +*30947 FILLER_5_3038 +*30948 FILLER_5_3048 +*30949 FILLER_5_3054 +*30950 FILLER_5_3060 +*30951 FILLER_5_3072 +*30952 FILLER_5_3078 +*30953 FILLER_5_3081 +*30954 FILLER_5_3085 +*30955 FILLER_5_309 +*30956 FILLER_5_3091 +*30957 FILLER_5_3097 +*30958 FILLER_5_3103 +*30959 FILLER_5_3109 +*30960 FILLER_5_3115 +*30961 FILLER_5_3127 +*30962 FILLER_5_3133 +*30963 FILLER_5_3137 +*30964 FILLER_5_3143 +*30965 FILLER_5_3149 +*30966 FILLER_5_3155 +*30967 FILLER_5_3161 +*30968 FILLER_5_3167 +*30969 FILLER_5_3173 +*30970 FILLER_5_3179 +*30971 FILLER_5_3185 +*30972 FILLER_5_3191 +*30973 FILLER_5_3193 +*30974 FILLER_5_3197 +*30975 FILLER_5_3203 +*30976 FILLER_5_3209 +*30977 FILLER_5_3215 +*30978 FILLER_5_322 +*30979 FILLER_5_3221 +*30980 FILLER_5_3227 +*30981 FILLER_5_3233 +*30982 FILLER_5_3239 +*30983 FILLER_5_3245 +*30984 FILLER_5_3249 +*30985 FILLER_5_3253 +*30986 FILLER_5_3259 +*30987 FILLER_5_3265 +*30988 FILLER_5_3271 +*30989 FILLER_5_3277 +*30990 FILLER_5_328 +*30991 FILLER_5_3283 +*30992 FILLER_5_3289 +*30993 FILLER_5_3295 +*30994 FILLER_5_3302 +*30995 FILLER_5_3305 +*30996 FILLER_5_3311 +*30997 FILLER_5_3317 +*30998 FILLER_5_3324 +*30999 FILLER_5_3330 +*31000 FILLER_5_3336 +*31001 FILLER_5_3344 +*31002 FILLER_5_3349 +*31003 FILLER_5_3355 +*31004 FILLER_5_3359 +*31005 FILLER_5_3361 +*31006 FILLER_5_3365 +*31007 FILLER_5_337 +*31008 FILLER_5_3372 +*31009 FILLER_5_3380 +*31010 FILLER_5_3386 +*31011 FILLER_5_3393 +*31012 FILLER_5_3400 +*31013 FILLER_5_3406 +*31014 FILLER_5_3412 +*31015 FILLER_5_3417 +*31016 FILLER_5_3421 +*31017 FILLER_5_3427 +*31018 FILLER_5_3439 +*31019 FILLER_5_3445 +*31020 FILLER_5_3451 +*31021 FILLER_5_3457 +*31022 FILLER_5_3461 +*31023 FILLER_5_3468 +*31024 FILLER_5_3473 +*31025 FILLER_5_3478 +*31026 FILLER_5_3484 +*31027 FILLER_5_349 +*31028 FILLER_5_3492 +*31029 FILLER_5_3498 +*31030 FILLER_5_3508 +*31031 FILLER_5_3514 +*31032 FILLER_5_3520 +*31033 FILLER_5_3526 +*31034 FILLER_5_3529 +*31035 FILLER_5_3533 +*31036 FILLER_5_3539 +*31037 FILLER_5_3545 +*31038 FILLER_5_3551 +*31039 FILLER_5_3557 +*31040 FILLER_5_3563 +*31041 FILLER_5_3569 +*31042 FILLER_5_3575 +*31043 FILLER_5_3581 +*31044 FILLER_5_3585 +*31045 FILLER_5_3591 +*31046 FILLER_5_3599 +*31047 FILLER_5_3605 +*31048 FILLER_5_361 +*31049 FILLER_5_3611 +*31050 FILLER_5_3617 +*31051 FILLER_5_3623 +*31052 FILLER_5_3629 +*31053 FILLER_5_3635 +*31054 FILLER_5_3639 +*31055 FILLER_5_3641 +*31056 FILLER_5_3645 +*31057 FILLER_5_3651 +*31058 FILLER_5_3655 +*31059 FILLER_5_3658 +*31060 FILLER_5_3664 +*31061 FILLER_5_3670 +*31062 FILLER_5_3674 +*31063 FILLER_5_3677 +*31064 FILLER_5_3683 +*31065 FILLER_5_3689 +*31066 FILLER_5_3695 +*31067 FILLER_5_3697 +*31068 FILLER_5_3709 +*31069 FILLER_5_3721 +*31070 FILLER_5_373 +*31071 FILLER_5_3733 +*31072 FILLER_5_3745 +*31073 FILLER_5_3751 +*31074 FILLER_5_3753 +*31075 FILLER_5_3765 +*31076 FILLER_5_3777 +*31077 FILLER_5_3785 +*31078 FILLER_5_3789 +*31079 FILLER_5_3797 +*31080 FILLER_5_3805 +*31081 FILLER_5_3809 +*31082 FILLER_5_3821 +*31083 FILLER_5_3829 +*31084 FILLER_5_3835 +*31085 FILLER_5_3841 +*31086 FILLER_5_3847 +*31087 FILLER_5_385 +*31088 FILLER_5_3856 +*31089 FILLER_5_3862 +*31090 FILLER_5_3865 +*31091 FILLER_5_3869 +*31092 FILLER_5_3881 +*31093 FILLER_5_3893 +*31094 FILLER_5_39 +*31095 FILLER_5_3901 +*31096 FILLER_5_3906 +*31097 FILLER_5_391 +*31098 FILLER_5_3912 +*31099 FILLER_5_3921 +*31100 FILLER_5_393 +*31101 FILLER_5_3933 +*31102 FILLER_5_3945 +*31103 FILLER_5_3957 +*31104 FILLER_5_3969 +*31105 FILLER_5_3975 +*31106 FILLER_5_3977 +*31107 FILLER_5_3989 +*31108 FILLER_5_4001 +*31109 FILLER_5_4013 +*31110 FILLER_5_4025 +*31111 FILLER_5_4031 +*31112 FILLER_5_4033 +*31113 FILLER_5_4045 +*31114 FILLER_5_405 +*31115 FILLER_5_4057 +*31116 FILLER_5_4069 +*31117 FILLER_5_4081 +*31118 FILLER_5_4087 +*31119 FILLER_5_4089 +*31120 FILLER_5_4094 +*31121 FILLER_5_4101 +*31122 FILLER_5_417 +*31123 FILLER_5_429 +*31124 FILLER_5_434 +*31125 FILLER_5_446 +*31126 FILLER_5_449 +*31127 FILLER_5_461 +*31128 FILLER_5_473 +*31129 FILLER_5_477 +*31130 FILLER_5_481 +*31131 FILLER_5_487 +*31132 FILLER_5_499 +*31133 FILLER_5_503 +*31134 FILLER_5_505 +*31135 FILLER_5_51 +*31136 FILLER_5_517 +*31137 FILLER_5_529 +*31138 FILLER_5_541 +*31139 FILLER_5_55 +*31140 FILLER_5_553 +*31141 FILLER_5_559 +*31142 FILLER_5_561 +*31143 FILLER_5_57 +*31144 FILLER_5_573 +*31145 FILLER_5_585 +*31146 FILLER_5_597 +*31147 FILLER_5_602 +*31148 FILLER_5_610 +*31149 FILLER_5_614 +*31150 FILLER_5_617 +*31151 FILLER_5_622 +*31152 FILLER_5_628 +*31153 FILLER_5_640 +*31154 FILLER_5_652 +*31155 FILLER_5_664 +*31156 FILLER_5_673 +*31157 FILLER_5_681 +*31158 FILLER_5_687 +*31159 FILLER_5_69 +*31160 FILLER_5_695 +*31161 FILLER_5_707 +*31162 FILLER_5_719 +*31163 FILLER_5_727 +*31164 FILLER_5_729 +*31165 FILLER_5_737 +*31166 FILLER_5_742 +*31167 FILLER_5_754 +*31168 FILLER_5_766 +*31169 FILLER_5_772 +*31170 FILLER_5_778 +*31171 FILLER_5_785 +*31172 FILLER_5_797 +*31173 FILLER_5_809 +*31174 FILLER_5_81 +*31175 FILLER_5_812 +*31176 FILLER_5_824 +*31177 FILLER_5_836 +*31178 FILLER_5_841 +*31179 FILLER_5_853 +*31180 FILLER_5_865 +*31181 FILLER_5_872 +*31182 FILLER_5_878 +*31183 FILLER_5_890 +*31184 FILLER_5_897 +*31185 FILLER_5_909 +*31186 FILLER_5_921 +*31187 FILLER_5_93 +*31188 FILLER_5_933 +*31189 FILLER_5_945 +*31190 FILLER_5_951 +*31191 FILLER_5_953 +*31192 FILLER_5_957 +*31193 FILLER_5_962 +*31194 FILLER_5_974 +*31195 FILLER_5_980 +*31196 FILLER_5_992 +*31197 FILLER_6_1005 +*31198 FILLER_6_1017 +*31199 FILLER_6_1029 +*31200 FILLER_6_1035 +*31201 FILLER_6_1037 +*31202 FILLER_6_1049 +*31203 FILLER_6_1061 +*31204 FILLER_6_1073 +*31205 FILLER_6_1085 +*31206 FILLER_6_109 +*31207 FILLER_6_1091 +*31208 FILLER_6_1093 +*31209 FILLER_6_1105 +*31210 FILLER_6_1117 +*31211 FILLER_6_1125 +*31212 FILLER_6_1130 +*31213 FILLER_6_1139 +*31214 FILLER_6_1143 +*31215 FILLER_6_1146 +*31216 FILLER_6_1149 +*31217 FILLER_6_1155 +*31218 FILLER_6_1167 +*31219 FILLER_6_1179 +*31220 FILLER_6_1191 +*31221 FILLER_6_1203 +*31222 FILLER_6_1205 +*31223 FILLER_6_121 +*31224 FILLER_6_1217 +*31225 FILLER_6_1229 +*31226 FILLER_6_1241 +*31227 FILLER_6_1253 +*31228 FILLER_6_1259 +*31229 FILLER_6_1261 +*31230 FILLER_6_1273 +*31231 FILLER_6_1285 +*31232 FILLER_6_1297 +*31233 FILLER_6_1309 +*31234 FILLER_6_1315 +*31235 FILLER_6_1317 +*31236 FILLER_6_1322 +*31237 FILLER_6_1328 +*31238 FILLER_6_133 +*31239 FILLER_6_1340 +*31240 FILLER_6_1352 +*31241 FILLER_6_1364 +*31242 FILLER_6_1373 +*31243 FILLER_6_1385 +*31244 FILLER_6_139 +*31245 FILLER_6_1397 +*31246 FILLER_6_1409 +*31247 FILLER_6_141 +*31248 FILLER_6_1421 +*31249 FILLER_6_1427 +*31250 FILLER_6_1429 +*31251 FILLER_6_1441 +*31252 FILLER_6_1453 +*31253 FILLER_6_1465 +*31254 FILLER_6_1477 +*31255 FILLER_6_1483 +*31256 FILLER_6_1485 +*31257 FILLER_6_1497 +*31258 FILLER_6_15 +*31259 FILLER_6_1505 +*31260 FILLER_6_1512 +*31261 FILLER_6_1524 +*31262 FILLER_6_153 +*31263 FILLER_6_1536 +*31264 FILLER_6_1541 +*31265 FILLER_6_1553 +*31266 FILLER_6_1565 +*31267 FILLER_6_1577 +*31268 FILLER_6_1585 +*31269 FILLER_6_1591 +*31270 FILLER_6_1595 +*31271 FILLER_6_1597 +*31272 FILLER_6_1609 +*31273 FILLER_6_1621 +*31274 FILLER_6_1633 +*31275 FILLER_6_1645 +*31276 FILLER_6_165 +*31277 FILLER_6_1651 +*31278 FILLER_6_1653 +*31279 FILLER_6_1665 +*31280 FILLER_6_1677 +*31281 FILLER_6_1689 +*31282 FILLER_6_1701 +*31283 FILLER_6_1707 +*31284 FILLER_6_1709 +*31285 FILLER_6_1721 +*31286 FILLER_6_1733 +*31287 FILLER_6_1745 +*31288 FILLER_6_1757 +*31289 FILLER_6_1763 +*31290 FILLER_6_1765 +*31291 FILLER_6_177 +*31292 FILLER_6_1777 +*31293 FILLER_6_1789 +*31294 FILLER_6_1797 +*31295 FILLER_6_1800 +*31296 FILLER_6_1812 +*31297 FILLER_6_1821 +*31298 FILLER_6_1833 +*31299 FILLER_6_1845 +*31300 FILLER_6_1857 +*31301 FILLER_6_1869 +*31302 FILLER_6_1875 +*31303 FILLER_6_1877 +*31304 FILLER_6_1883 +*31305 FILLER_6_1888 +*31306 FILLER_6_189 +*31307 FILLER_6_1900 +*31308 FILLER_6_1912 +*31309 FILLER_6_1924 +*31310 FILLER_6_1933 +*31311 FILLER_6_1945 +*31312 FILLER_6_195 +*31313 FILLER_6_1957 +*31314 FILLER_6_1969 +*31315 FILLER_6_197 +*31316 FILLER_6_1981 +*31317 FILLER_6_1987 +*31318 FILLER_6_1989 +*31319 FILLER_6_2001 +*31320 FILLER_6_2013 +*31321 FILLER_6_2027 +*31322 FILLER_6_2033 +*31323 FILLER_6_2036 +*31324 FILLER_6_2045 +*31325 FILLER_6_2057 +*31326 FILLER_6_2069 +*31327 FILLER_6_2081 +*31328 FILLER_6_209 +*31329 FILLER_6_2093 +*31330 FILLER_6_2097 +*31331 FILLER_6_2101 +*31332 FILLER_6_2110 +*31333 FILLER_6_2116 +*31334 FILLER_6_2122 +*31335 FILLER_6_2134 +*31336 FILLER_6_2140 +*31337 FILLER_6_2148 +*31338 FILLER_6_2154 +*31339 FILLER_6_2157 +*31340 FILLER_6_2162 +*31341 FILLER_6_2174 +*31342 FILLER_6_2182 +*31343 FILLER_6_2185 +*31344 FILLER_6_2192 +*31345 FILLER_6_2198 +*31346 FILLER_6_2204 +*31347 FILLER_6_221 +*31348 FILLER_6_2213 +*31349 FILLER_6_2225 +*31350 FILLER_6_2237 +*31351 FILLER_6_2249 +*31352 FILLER_6_2261 +*31353 FILLER_6_2267 +*31354 FILLER_6_2269 +*31355 FILLER_6_2281 +*31356 FILLER_6_2295 +*31357 FILLER_6_2303 +*31358 FILLER_6_2309 +*31359 FILLER_6_2312 +*31360 FILLER_6_2320 +*31361 FILLER_6_2325 +*31362 FILLER_6_233 +*31363 FILLER_6_2339 +*31364 FILLER_6_2348 +*31365 FILLER_6_2360 +*31366 FILLER_6_2372 +*31367 FILLER_6_2378 +*31368 FILLER_6_2381 +*31369 FILLER_6_2388 +*31370 FILLER_6_2394 +*31371 FILLER_6_2406 +*31372 FILLER_6_2420 +*31373 FILLER_6_2428 +*31374 FILLER_6_2437 +*31375 FILLER_6_2449 +*31376 FILLER_6_245 +*31377 FILLER_6_2461 +*31378 FILLER_6_2471 +*31379 FILLER_6_2479 +*31380 FILLER_6_2491 +*31381 FILLER_6_2493 +*31382 FILLER_6_2505 +*31383 FILLER_6_251 +*31384 FILLER_6_2517 +*31385 FILLER_6_2529 +*31386 FILLER_6_253 +*31387 FILLER_6_2541 +*31388 FILLER_6_2547 +*31389 FILLER_6_2549 +*31390 FILLER_6_2561 +*31391 FILLER_6_2573 +*31392 FILLER_6_2585 +*31393 FILLER_6_2597 +*31394 FILLER_6_2603 +*31395 FILLER_6_2605 +*31396 FILLER_6_2611 +*31397 FILLER_6_2614 +*31398 FILLER_6_2618 +*31399 FILLER_6_2621 +*31400 FILLER_6_2627 +*31401 FILLER_6_2632 +*31402 FILLER_6_2638 +*31403 FILLER_6_2648 +*31404 FILLER_6_265 +*31405 FILLER_6_2661 +*31406 FILLER_6_2669 +*31407 FILLER_6_2675 +*31408 FILLER_6_2687 +*31409 FILLER_6_2691 +*31410 FILLER_6_2699 +*31411 FILLER_6_27 +*31412 FILLER_6_2711 +*31413 FILLER_6_2715 +*31414 FILLER_6_2717 +*31415 FILLER_6_2731 +*31416 FILLER_6_2743 +*31417 FILLER_6_2753 +*31418 FILLER_6_2763 +*31419 FILLER_6_2769 +*31420 FILLER_6_277 +*31421 FILLER_6_2773 +*31422 FILLER_6_2779 +*31423 FILLER_6_2787 +*31424 FILLER_6_2795 +*31425 FILLER_6_2810 +*31426 FILLER_6_2820 +*31427 FILLER_6_2826 +*31428 FILLER_6_2829 +*31429 FILLER_6_2833 +*31430 FILLER_6_2839 +*31431 FILLER_6_2851 +*31432 FILLER_6_2861 +*31433 FILLER_6_2871 +*31434 FILLER_6_2879 +*31435 FILLER_6_2883 +*31436 FILLER_6_2885 +*31437 FILLER_6_289 +*31438 FILLER_6_2897 +*31439 FILLER_6_29 +*31440 FILLER_6_2907 +*31441 FILLER_6_2915 +*31442 FILLER_6_2925 +*31443 FILLER_6_2937 +*31444 FILLER_6_2941 +*31445 FILLER_6_2947 +*31446 FILLER_6_295 +*31447 FILLER_6_2953 +*31448 FILLER_6_2959 +*31449 FILLER_6_2965 +*31450 FILLER_6_2977 +*31451 FILLER_6_2985 +*31452 FILLER_6_299 +*31453 FILLER_6_2994 +*31454 FILLER_6_2997 +*31455 FILLER_6_3 +*31456 FILLER_6_3005 +*31457 FILLER_6_3011 +*31458 FILLER_6_3015 +*31459 FILLER_6_3025 +*31460 FILLER_6_3031 +*31461 FILLER_6_3035 +*31462 FILLER_6_3042 +*31463 FILLER_6_3050 +*31464 FILLER_6_3053 +*31465 FILLER_6_3057 +*31466 FILLER_6_3062 +*31467 FILLER_6_3068 +*31468 FILLER_6_307 +*31469 FILLER_6_3074 +*31470 FILLER_6_3080 +*31471 FILLER_6_3086 +*31472 FILLER_6_309 +*31473 FILLER_6_3092 +*31474 FILLER_6_3096 +*31475 FILLER_6_3103 +*31476 FILLER_6_3107 +*31477 FILLER_6_3109 +*31478 FILLER_6_3113 +*31479 FILLER_6_3119 +*31480 FILLER_6_3125 +*31481 FILLER_6_3131 +*31482 FILLER_6_3137 +*31483 FILLER_6_3143 +*31484 FILLER_6_3149 +*31485 FILLER_6_3155 +*31486 FILLER_6_3161 +*31487 FILLER_6_3165 +*31488 FILLER_6_3171 +*31489 FILLER_6_3177 +*31490 FILLER_6_3187 +*31491 FILLER_6_3195 +*31492 FILLER_6_320 +*31493 FILLER_6_3200 +*31494 FILLER_6_3208 +*31495 FILLER_6_3214 +*31496 FILLER_6_3221 +*31497 FILLER_6_3227 +*31498 FILLER_6_3233 +*31499 FILLER_6_3239 +*31500 FILLER_6_3245 +*31501 FILLER_6_3251 +*31502 FILLER_6_3257 +*31503 FILLER_6_3263 +*31504 FILLER_6_3269 +*31505 FILLER_6_3275 +*31506 FILLER_6_3277 +*31507 FILLER_6_3281 +*31508 FILLER_6_3287 +*31509 FILLER_6_3293 +*31510 FILLER_6_3296 +*31511 FILLER_6_3304 +*31512 FILLER_6_3310 +*31513 FILLER_6_3316 +*31514 FILLER_6_332 +*31515 FILLER_6_3322 +*31516 FILLER_6_3328 +*31517 FILLER_6_3333 +*31518 FILLER_6_3339 +*31519 FILLER_6_3347 +*31520 FILLER_6_3353 +*31521 FILLER_6_3359 +*31522 FILLER_6_3367 +*31523 FILLER_6_3373 +*31524 FILLER_6_3379 +*31525 FILLER_6_3385 +*31526 FILLER_6_3389 +*31527 FILLER_6_3393 +*31528 FILLER_6_3399 +*31529 FILLER_6_340 +*31530 FILLER_6_3405 +*31531 FILLER_6_3417 +*31532 FILLER_6_3423 +*31533 FILLER_6_3426 +*31534 FILLER_6_3439 +*31535 FILLER_6_3443 +*31536 FILLER_6_3445 +*31537 FILLER_6_3449 +*31538 FILLER_6_3455 +*31539 FILLER_6_3469 +*31540 FILLER_6_347 +*31541 FILLER_6_3475 +*31542 FILLER_6_3479 +*31543 FILLER_6_3484 +*31544 FILLER_6_3490 +*31545 FILLER_6_3496 +*31546 FILLER_6_3501 +*31547 FILLER_6_3507 +*31548 FILLER_6_3515 +*31549 FILLER_6_3519 +*31550 FILLER_6_3523 +*31551 FILLER_6_3529 +*31552 FILLER_6_3533 +*31553 FILLER_6_3536 +*31554 FILLER_6_3542 +*31555 FILLER_6_3552 +*31556 FILLER_6_3557 +*31557 FILLER_6_3561 +*31558 FILLER_6_3567 +*31559 FILLER_6_3575 +*31560 FILLER_6_3583 +*31561 FILLER_6_3587 +*31562 FILLER_6_359 +*31563 FILLER_6_3593 +*31564 FILLER_6_3599 +*31565 FILLER_6_3605 +*31566 FILLER_6_3611 +*31567 FILLER_6_3613 +*31568 FILLER_6_3627 +*31569 FILLER_6_363 +*31570 FILLER_6_3639 +*31571 FILLER_6_3643 +*31572 FILLER_6_365 +*31573 FILLER_6_3651 +*31574 FILLER_6_3656 +*31575 FILLER_6_3660 +*31576 FILLER_6_3663 +*31577 FILLER_6_3667 +*31578 FILLER_6_3669 +*31579 FILLER_6_3681 +*31580 FILLER_6_3693 +*31581 FILLER_6_3700 +*31582 FILLER_6_3706 +*31583 FILLER_6_3718 +*31584 FILLER_6_3725 +*31585 FILLER_6_3737 +*31586 FILLER_6_3749 +*31587 FILLER_6_3761 +*31588 FILLER_6_377 +*31589 FILLER_6_3773 +*31590 FILLER_6_3779 +*31591 FILLER_6_3781 +*31592 FILLER_6_3793 +*31593 FILLER_6_3805 +*31594 FILLER_6_3817 +*31595 FILLER_6_3829 +*31596 FILLER_6_3835 +*31597 FILLER_6_3837 +*31598 FILLER_6_3842 +*31599 FILLER_6_3848 +*31600 FILLER_6_385 +*31601 FILLER_6_3858 +*31602 FILLER_6_3864 +*31603 FILLER_6_3876 +*31604 FILLER_6_3888 +*31605 FILLER_6_3893 +*31606 FILLER_6_3905 +*31607 FILLER_6_391 +*31608 FILLER_6_3917 +*31609 FILLER_6_3929 +*31610 FILLER_6_3941 +*31611 FILLER_6_3947 +*31612 FILLER_6_3949 +*31613 FILLER_6_3961 +*31614 FILLER_6_3973 +*31615 FILLER_6_3985 +*31616 FILLER_6_3997 +*31617 FILLER_6_4003 +*31618 FILLER_6_4005 +*31619 FILLER_6_4017 +*31620 FILLER_6_4029 +*31621 FILLER_6_403 +*31622 FILLER_6_4041 +*31623 FILLER_6_4053 +*31624 FILLER_6_4059 +*31625 FILLER_6_4061 +*31626 FILLER_6_4073 +*31627 FILLER_6_4085 +*31628 FILLER_6_4097 +*31629 FILLER_6_41 +*31630 FILLER_6_415 +*31631 FILLER_6_419 +*31632 FILLER_6_421 +*31633 FILLER_6_433 +*31634 FILLER_6_437 +*31635 FILLER_6_443 +*31636 FILLER_6_449 +*31637 FILLER_6_461 +*31638 FILLER_6_473 +*31639 FILLER_6_477 +*31640 FILLER_6_489 +*31641 FILLER_6_501 +*31642 FILLER_6_513 +*31643 FILLER_6_525 +*31644 FILLER_6_53 +*31645 FILLER_6_531 +*31646 FILLER_6_533 +*31647 FILLER_6_545 +*31648 FILLER_6_557 +*31649 FILLER_6_569 +*31650 FILLER_6_581 +*31651 FILLER_6_587 +*31652 FILLER_6_589 +*31653 FILLER_6_601 +*31654 FILLER_6_613 +*31655 FILLER_6_625 +*31656 FILLER_6_637 +*31657 FILLER_6_643 +*31658 FILLER_6_645 +*31659 FILLER_6_65 +*31660 FILLER_6_650 +*31661 FILLER_6_662 +*31662 FILLER_6_674 +*31663 FILLER_6_686 +*31664 FILLER_6_692 +*31665 FILLER_6_696 +*31666 FILLER_6_701 +*31667 FILLER_6_705 +*31668 FILLER_6_717 +*31669 FILLER_6_725 +*31670 FILLER_6_728 +*31671 FILLER_6_736 +*31672 FILLER_6_744 +*31673 FILLER_6_754 +*31674 FILLER_6_757 +*31675 FILLER_6_761 +*31676 FILLER_6_769 +*31677 FILLER_6_77 +*31678 FILLER_6_776 +*31679 FILLER_6_784 +*31680 FILLER_6_796 +*31681 FILLER_6_810 +*31682 FILLER_6_813 +*31683 FILLER_6_819 +*31684 FILLER_6_825 +*31685 FILLER_6_83 +*31686 FILLER_6_837 +*31687 FILLER_6_849 +*31688 FILLER_6_85 +*31689 FILLER_6_861 +*31690 FILLER_6_867 +*31691 FILLER_6_869 +*31692 FILLER_6_875 +*31693 FILLER_6_887 +*31694 FILLER_6_899 +*31695 FILLER_6_905 +*31696 FILLER_6_917 +*31697 FILLER_6_923 +*31698 FILLER_6_925 +*31699 FILLER_6_931 +*31700 FILLER_6_935 +*31701 FILLER_6_941 +*31702 FILLER_6_953 +*31703 FILLER_6_965 +*31704 FILLER_6_97 +*31705 FILLER_6_977 +*31706 FILLER_6_981 +*31707 FILLER_6_993 +*31708 FILLER_7_1005 +*31709 FILLER_7_1009 +*31710 FILLER_7_1021 +*31711 FILLER_7_1033 +*31712 FILLER_7_1037 +*31713 FILLER_7_1049 +*31714 FILLER_7_1061 +*31715 FILLER_7_1065 +*31716 FILLER_7_1074 +*31717 FILLER_7_1080 +*31718 FILLER_7_109 +*31719 FILLER_7_1093 +*31720 FILLER_7_1105 +*31721 FILLER_7_1117 +*31722 FILLER_7_1121 +*31723 FILLER_7_1127 +*31724 FILLER_7_113 +*31725 FILLER_7_1132 +*31726 FILLER_7_1144 +*31727 FILLER_7_1149 +*31728 FILLER_7_1161 +*31729 FILLER_7_1173 +*31730 FILLER_7_1177 +*31731 FILLER_7_1187 +*31732 FILLER_7_1195 +*31733 FILLER_7_1203 +*31734 FILLER_7_1205 +*31735 FILLER_7_1217 +*31736 FILLER_7_1229 +*31737 FILLER_7_1233 +*31738 FILLER_7_1245 +*31739 FILLER_7_125 +*31740 FILLER_7_1257 +*31741 FILLER_7_1261 +*31742 FILLER_7_1273 +*31743 FILLER_7_1285 +*31744 FILLER_7_1289 +*31745 FILLER_7_1301 +*31746 FILLER_7_1313 +*31747 FILLER_7_1317 +*31748 FILLER_7_1329 +*31749 FILLER_7_1341 +*31750 FILLER_7_1345 +*31751 FILLER_7_1357 +*31752 FILLER_7_1369 +*31753 FILLER_7_137 +*31754 FILLER_7_1373 +*31755 FILLER_7_1385 +*31756 FILLER_7_1397 +*31757 FILLER_7_1401 +*31758 FILLER_7_141 +*31759 FILLER_7_1413 +*31760 FILLER_7_1425 +*31761 FILLER_7_1429 +*31762 FILLER_7_1441 +*31763 FILLER_7_1453 +*31764 FILLER_7_1457 +*31765 FILLER_7_1469 +*31766 FILLER_7_1481 +*31767 FILLER_7_1485 +*31768 FILLER_7_1497 +*31769 FILLER_7_15 +*31770 FILLER_7_1509 +*31771 FILLER_7_1513 +*31772 FILLER_7_1525 +*31773 FILLER_7_153 +*31774 FILLER_7_1537 +*31775 FILLER_7_1541 +*31776 FILLER_7_1553 +*31777 FILLER_7_1565 +*31778 FILLER_7_1569 +*31779 FILLER_7_1577 +*31780 FILLER_7_1581 +*31781 FILLER_7_1589 +*31782 FILLER_7_1595 +*31783 FILLER_7_1597 +*31784 FILLER_7_1609 +*31785 FILLER_7_1621 +*31786 FILLER_7_1625 +*31787 FILLER_7_1637 +*31788 FILLER_7_1649 +*31789 FILLER_7_165 +*31790 FILLER_7_1653 +*31791 FILLER_7_1665 +*31792 FILLER_7_1677 +*31793 FILLER_7_1681 +*31794 FILLER_7_169 +*31795 FILLER_7_1693 +*31796 FILLER_7_1705 +*31797 FILLER_7_1709 +*31798 FILLER_7_1721 +*31799 FILLER_7_1733 +*31800 FILLER_7_1737 +*31801 FILLER_7_1749 +*31802 FILLER_7_1761 +*31803 FILLER_7_1765 +*31804 FILLER_7_1777 +*31805 FILLER_7_1789 +*31806 FILLER_7_1793 +*31807 FILLER_7_1799 +*31808 FILLER_7_1804 +*31809 FILLER_7_181 +*31810 FILLER_7_1816 +*31811 FILLER_7_1821 +*31812 FILLER_7_1833 +*31813 FILLER_7_1845 +*31814 FILLER_7_1849 +*31815 FILLER_7_1861 +*31816 FILLER_7_1873 +*31817 FILLER_7_1877 +*31818 FILLER_7_1889 +*31819 FILLER_7_1901 +*31820 FILLER_7_1905 +*31821 FILLER_7_1917 +*31822 FILLER_7_1929 +*31823 FILLER_7_193 +*31824 FILLER_7_1933 +*31825 FILLER_7_1945 +*31826 FILLER_7_1957 +*31827 FILLER_7_1961 +*31828 FILLER_7_1965 +*31829 FILLER_7_197 +*31830 FILLER_7_1977 +*31831 FILLER_7_1983 +*31832 FILLER_7_1986 +*31833 FILLER_7_1989 +*31834 FILLER_7_2001 +*31835 FILLER_7_2013 +*31836 FILLER_7_2017 +*31837 FILLER_7_2021 +*31838 FILLER_7_2024 +*31839 FILLER_7_2032 +*31840 FILLER_7_2040 +*31841 FILLER_7_2045 +*31842 FILLER_7_2053 +*31843 FILLER_7_2057 +*31844 FILLER_7_2060 +*31845 FILLER_7_2066 +*31846 FILLER_7_2069 +*31847 FILLER_7_2073 +*31848 FILLER_7_2085 +*31849 FILLER_7_209 +*31850 FILLER_7_2091 +*31851 FILLER_7_2097 +*31852 FILLER_7_2101 +*31853 FILLER_7_2107 +*31854 FILLER_7_2110 +*31855 FILLER_7_2116 +*31856 FILLER_7_2122 +*31857 FILLER_7_2129 +*31858 FILLER_7_2137 +*31859 FILLER_7_2149 +*31860 FILLER_7_2155 +*31861 FILLER_7_2157 +*31862 FILLER_7_2161 +*31863 FILLER_7_2167 +*31864 FILLER_7_2173 +*31865 FILLER_7_2181 +*31866 FILLER_7_2185 +*31867 FILLER_7_2189 +*31868 FILLER_7_2195 +*31869 FILLER_7_2201 +*31870 FILLER_7_2207 +*31871 FILLER_7_221 +*31872 FILLER_7_2211 +*31873 FILLER_7_2213 +*31874 FILLER_7_2221 +*31875 FILLER_7_2224 +*31876 FILLER_7_2236 +*31877 FILLER_7_2241 +*31878 FILLER_7_2245 +*31879 FILLER_7_225 +*31880 FILLER_7_2257 +*31881 FILLER_7_2265 +*31882 FILLER_7_2269 +*31883 FILLER_7_2277 +*31884 FILLER_7_2280 +*31885 FILLER_7_2288 +*31886 FILLER_7_2297 +*31887 FILLER_7_2309 +*31888 FILLER_7_2321 +*31889 FILLER_7_2325 +*31890 FILLER_7_2337 +*31891 FILLER_7_2350 +*31892 FILLER_7_2353 +*31893 FILLER_7_2357 +*31894 FILLER_7_2369 +*31895 FILLER_7_237 +*31896 FILLER_7_2377 +*31897 FILLER_7_2381 +*31898 FILLER_7_2393 +*31899 FILLER_7_2405 +*31900 FILLER_7_2409 +*31901 FILLER_7_2423 +*31902 FILLER_7_2431 +*31903 FILLER_7_2435 +*31904 FILLER_7_2437 +*31905 FILLER_7_2449 +*31906 FILLER_7_2461 +*31907 FILLER_7_2465 +*31908 FILLER_7_2477 +*31909 FILLER_7_2486 +*31910 FILLER_7_249 +*31911 FILLER_7_2493 +*31912 FILLER_7_2497 +*31913 FILLER_7_2509 +*31914 FILLER_7_2517 +*31915 FILLER_7_2521 +*31916 FILLER_7_253 +*31917 FILLER_7_2533 +*31918 FILLER_7_2541 +*31919 FILLER_7_2547 +*31920 FILLER_7_2549 +*31921 FILLER_7_2561 +*31922 FILLER_7_2573 +*31923 FILLER_7_2577 +*31924 FILLER_7_2589 +*31925 FILLER_7_2597 +*31926 FILLER_7_2602 +*31927 FILLER_7_2605 +*31928 FILLER_7_2611 +*31929 FILLER_7_2619 +*31930 FILLER_7_2625 +*31931 FILLER_7_2630 +*31932 FILLER_7_2633 +*31933 FILLER_7_2641 +*31934 FILLER_7_2647 +*31935 FILLER_7_265 +*31936 FILLER_7_2655 +*31937 FILLER_7_2658 +*31938 FILLER_7_2661 +*31939 FILLER_7_2671 +*31940 FILLER_7_2677 +*31941 FILLER_7_2683 +*31942 FILLER_7_2687 +*31943 FILLER_7_2689 +*31944 FILLER_7_2695 +*31945 FILLER_7_27 +*31946 FILLER_7_2701 +*31947 FILLER_7_2707 +*31948 FILLER_7_2713 +*31949 FILLER_7_2717 +*31950 FILLER_7_2725 +*31951 FILLER_7_2731 +*31952 FILLER_7_2735 +*31953 FILLER_7_2742 +*31954 FILLER_7_2745 +*31955 FILLER_7_2760 +*31956 FILLER_7_277 +*31957 FILLER_7_2770 +*31958 FILLER_7_2773 +*31959 FILLER_7_2781 +*31960 FILLER_7_2789 +*31961 FILLER_7_2796 +*31962 FILLER_7_2801 +*31963 FILLER_7_2807 +*31964 FILLER_7_281 +*31965 FILLER_7_2817 +*31966 FILLER_7_2825 +*31967 FILLER_7_2829 +*31968 FILLER_7_2835 +*31969 FILLER_7_2843 +*31970 FILLER_7_2849 +*31971 FILLER_7_2854 +*31972 FILLER_7_2857 +*31973 FILLER_7_286 +*31974 FILLER_7_2868 +*31975 FILLER_7_2882 +*31976 FILLER_7_2885 +*31977 FILLER_7_2894 +*31978 FILLER_7_29 +*31979 FILLER_7_2900 +*31980 FILLER_7_2910 +*31981 FILLER_7_2913 +*31982 FILLER_7_292 +*31983 FILLER_7_2921 +*31984 FILLER_7_2931 +*31985 FILLER_7_2937 +*31986 FILLER_7_2941 +*31987 FILLER_7_2949 +*31988 FILLER_7_2957 +*31989 FILLER_7_2965 +*31990 FILLER_7_2969 +*31991 FILLER_7_2973 +*31992 FILLER_7_2986 +*31993 FILLER_7_2994 +*31994 FILLER_7_2997 +*31995 FILLER_7_3 +*31996 FILLER_7_3001 +*31997 FILLER_7_3014 +*31998 FILLER_7_3022 +*31999 FILLER_7_3025 +*32000 FILLER_7_3037 +*32001 FILLER_7_304 +*32002 FILLER_7_3050 +*32003 FILLER_7_3053 +*32004 FILLER_7_3061 +*32005 FILLER_7_3067 +*32006 FILLER_7_3073 +*32007 FILLER_7_3079 +*32008 FILLER_7_3081 +*32009 FILLER_7_3085 +*32010 FILLER_7_309 +*32011 FILLER_7_3091 +*32012 FILLER_7_3097 +*32013 FILLER_7_3103 +*32014 FILLER_7_3107 +*32015 FILLER_7_3109 +*32016 FILLER_7_3113 +*32017 FILLER_7_3119 +*32018 FILLER_7_3125 +*32019 FILLER_7_3129 +*32020 FILLER_7_3134 +*32021 FILLER_7_3137 +*32022 FILLER_7_3141 +*32023 FILLER_7_3147 +*32024 FILLER_7_3153 +*32025 FILLER_7_3158 +*32026 FILLER_7_3165 +*32027 FILLER_7_3177 +*32028 FILLER_7_3185 +*32029 FILLER_7_3191 +*32030 FILLER_7_3193 +*32031 FILLER_7_3197 +*32032 FILLER_7_3203 +*32033 FILLER_7_3209 +*32034 FILLER_7_321 +*32035 FILLER_7_3215 +*32036 FILLER_7_3219 +*32037 FILLER_7_3221 +*32038 FILLER_7_3225 +*32039 FILLER_7_3231 +*32040 FILLER_7_3237 +*32041 FILLER_7_3243 +*32042 FILLER_7_3247 +*32043 FILLER_7_3249 +*32044 FILLER_7_3253 +*32045 FILLER_7_3259 +*32046 FILLER_7_3263 +*32047 FILLER_7_3266 +*32048 FILLER_7_3272 +*32049 FILLER_7_3277 +*32050 FILLER_7_3281 +*32051 FILLER_7_3293 +*32052 FILLER_7_3299 +*32053 FILLER_7_3302 +*32054 FILLER_7_3305 +*32055 FILLER_7_3309 +*32056 FILLER_7_3315 +*32057 FILLER_7_3323 +*32058 FILLER_7_3329 +*32059 FILLER_7_333 +*32060 FILLER_7_3333 +*32061 FILLER_7_3341 +*32062 FILLER_7_3349 +*32063 FILLER_7_3355 +*32064 FILLER_7_3359 +*32065 FILLER_7_3361 +*32066 FILLER_7_3365 +*32067 FILLER_7_337 +*32068 FILLER_7_3371 +*32069 FILLER_7_3377 +*32070 FILLER_7_3383 +*32071 FILLER_7_3387 +*32072 FILLER_7_3389 +*32073 FILLER_7_3395 +*32074 FILLER_7_3398 +*32075 FILLER_7_3414 +*32076 FILLER_7_3417 +*32077 FILLER_7_3429 +*32078 FILLER_7_3441 +*32079 FILLER_7_3445 +*32080 FILLER_7_3449 +*32081 FILLER_7_3452 +*32082 FILLER_7_3460 +*32083 FILLER_7_3473 +*32084 FILLER_7_3485 +*32085 FILLER_7_349 +*32086 FILLER_7_3491 +*32087 FILLER_7_3497 +*32088 FILLER_7_3501 +*32089 FILLER_7_3505 +*32090 FILLER_7_3508 +*32091 FILLER_7_3516 +*32092 FILLER_7_3529 +*32093 FILLER_7_3533 +*32094 FILLER_7_3545 +*32095 FILLER_7_3550 +*32096 FILLER_7_3557 +*32097 FILLER_7_3565 +*32098 FILLER_7_3573 +*32099 FILLER_7_3579 +*32100 FILLER_7_3583 +*32101 FILLER_7_3585 +*32102 FILLER_7_3597 +*32103 FILLER_7_3609 +*32104 FILLER_7_361 +*32105 FILLER_7_3613 +*32106 FILLER_7_3625 +*32107 FILLER_7_3629 +*32108 FILLER_7_3635 +*32109 FILLER_7_3639 +*32110 FILLER_7_3641 +*32111 FILLER_7_365 +*32112 FILLER_7_3653 +*32113 FILLER_7_3665 +*32114 FILLER_7_3669 +*32115 FILLER_7_3681 +*32116 FILLER_7_3693 +*32117 FILLER_7_3697 +*32118 FILLER_7_3709 +*32119 FILLER_7_3717 +*32120 FILLER_7_372 +*32121 FILLER_7_3722 +*32122 FILLER_7_3725 +*32123 FILLER_7_3732 +*32124 FILLER_7_3744 +*32125 FILLER_7_3753 +*32126 FILLER_7_3765 +*32127 FILLER_7_3777 +*32128 FILLER_7_378 +*32129 FILLER_7_3781 +*32130 FILLER_7_3786 +*32131 FILLER_7_3792 +*32132 FILLER_7_3804 +*32133 FILLER_7_3809 +*32134 FILLER_7_3821 +*32135 FILLER_7_3833 +*32136 FILLER_7_3837 +*32137 FILLER_7_3849 +*32138 FILLER_7_3861 +*32139 FILLER_7_3865 +*32140 FILLER_7_3877 +*32141 FILLER_7_3889 +*32142 FILLER_7_3893 +*32143 FILLER_7_390 +*32144 FILLER_7_3908 +*32145 FILLER_7_3914 +*32146 FILLER_7_3921 +*32147 FILLER_7_3927 +*32148 FILLER_7_393 +*32149 FILLER_7_3935 +*32150 FILLER_7_3947 +*32151 FILLER_7_3949 +*32152 FILLER_7_3961 +*32153 FILLER_7_3973 +*32154 FILLER_7_3977 +*32155 FILLER_7_3989 +*32156 FILLER_7_4001 +*32157 FILLER_7_4005 +*32158 FILLER_7_4010 +*32159 FILLER_7_4016 +*32160 FILLER_7_4028 +*32161 FILLER_7_4033 +*32162 FILLER_7_4045 +*32163 FILLER_7_405 +*32164 FILLER_7_4057 +*32165 FILLER_7_4061 +*32166 FILLER_7_4073 +*32167 FILLER_7_4085 +*32168 FILLER_7_4089 +*32169 FILLER_7_41 +*32170 FILLER_7_4101 +*32171 FILLER_7_417 +*32172 FILLER_7_421 +*32173 FILLER_7_426 +*32174 FILLER_7_438 +*32175 FILLER_7_446 +*32176 FILLER_7_449 +*32177 FILLER_7_454 +*32178 FILLER_7_460 +*32179 FILLER_7_472 +*32180 FILLER_7_477 +*32181 FILLER_7_482 +*32182 FILLER_7_494 +*32183 FILLER_7_502 +*32184 FILLER_7_505 +*32185 FILLER_7_517 +*32186 FILLER_7_529 +*32187 FILLER_7_53 +*32188 FILLER_7_533 +*32189 FILLER_7_545 +*32190 FILLER_7_557 +*32191 FILLER_7_561 +*32192 FILLER_7_57 +*32193 FILLER_7_573 +*32194 FILLER_7_579 +*32195 FILLER_7_583 +*32196 FILLER_7_587 +*32197 FILLER_7_589 +*32198 FILLER_7_593 +*32199 FILLER_7_605 +*32200 FILLER_7_613 +*32201 FILLER_7_617 +*32202 FILLER_7_624 +*32203 FILLER_7_630 +*32204 FILLER_7_638 +*32205 FILLER_7_642 +*32206 FILLER_7_645 +*32207 FILLER_7_652 +*32208 FILLER_7_658 +*32209 FILLER_7_670 +*32210 FILLER_7_673 +*32211 FILLER_7_685 +*32212 FILLER_7_69 +*32213 FILLER_7_693 +*32214 FILLER_7_698 +*32215 FILLER_7_701 +*32216 FILLER_7_707 +*32217 FILLER_7_719 +*32218 FILLER_7_723 +*32219 FILLER_7_726 +*32220 FILLER_7_729 +*32221 FILLER_7_735 +*32222 FILLER_7_739 +*32223 FILLER_7_742 +*32224 FILLER_7_749 +*32225 FILLER_7_755 +*32226 FILLER_7_757 +*32227 FILLER_7_763 +*32228 FILLER_7_769 +*32229 FILLER_7_782 +*32230 FILLER_7_785 +*32231 FILLER_7_789 +*32232 FILLER_7_792 +*32233 FILLER_7_800 +*32234 FILLER_7_804 +*32235 FILLER_7_81 +*32236 FILLER_7_810 +*32237 FILLER_7_813 +*32238 FILLER_7_817 +*32239 FILLER_7_822 +*32240 FILLER_7_828 +*32241 FILLER_7_841 +*32242 FILLER_7_847 +*32243 FILLER_7_85 +*32244 FILLER_7_853 +*32245 FILLER_7_865 +*32246 FILLER_7_869 +*32247 FILLER_7_879 +*32248 FILLER_7_887 +*32249 FILLER_7_895 +*32250 FILLER_7_897 +*32251 FILLER_7_903 +*32252 FILLER_7_907 +*32253 FILLER_7_912 +*32254 FILLER_7_925 +*32255 FILLER_7_937 +*32256 FILLER_7_949 +*32257 FILLER_7_953 +*32258 FILLER_7_965 +*32259 FILLER_7_97 +*32260 FILLER_7_977 +*32261 FILLER_7_981 +*32262 FILLER_7_993 +*32263 FILLER_8_1011 +*32264 FILLER_8_1023 +*32265 FILLER_8_1029 +*32266 FILLER_8_1031 +*32267 FILLER_8_1043 +*32268 FILLER_8_1055 +*32269 FILLER_8_1067 +*32270 FILLER_8_1079 +*32271 FILLER_8_1085 +*32272 FILLER_8_1087 +*32273 FILLER_8_109 +*32274 FILLER_8_1099 +*32275 FILLER_8_1111 +*32276 FILLER_8_1123 +*32277 FILLER_8_1135 +*32278 FILLER_8_1141 +*32279 FILLER_8_1143 +*32280 FILLER_8_1149 +*32281 FILLER_8_1155 +*32282 FILLER_8_1167 +*32283 FILLER_8_1179 +*32284 FILLER_8_1191 +*32285 FILLER_8_1197 +*32286 FILLER_8_1199 +*32287 FILLER_8_121 +*32288 FILLER_8_1211 +*32289 FILLER_8_1223 +*32290 FILLER_8_1235 +*32291 FILLER_8_1247 +*32292 FILLER_8_1253 +*32293 FILLER_8_1255 +*32294 FILLER_8_1267 +*32295 FILLER_8_1279 +*32296 FILLER_8_1287 +*32297 FILLER_8_1292 +*32298 FILLER_8_1298 +*32299 FILLER_8_1311 +*32300 FILLER_8_1323 +*32301 FILLER_8_133 +*32302 FILLER_8_1335 +*32303 FILLER_8_1347 +*32304 FILLER_8_1359 +*32305 FILLER_8_1365 +*32306 FILLER_8_1367 +*32307 FILLER_8_1379 +*32308 FILLER_8_139 +*32309 FILLER_8_1391 +*32310 FILLER_8_1403 +*32311 FILLER_8_141 +*32312 FILLER_8_1415 +*32313 FILLER_8_1421 +*32314 FILLER_8_1423 +*32315 FILLER_8_1435 +*32316 FILLER_8_1447 +*32317 FILLER_8_1459 +*32318 FILLER_8_1471 +*32319 FILLER_8_1477 +*32320 FILLER_8_1479 +*32321 FILLER_8_1491 +*32322 FILLER_8_1499 +*32323 FILLER_8_15 +*32324 FILLER_8_1504 +*32325 FILLER_8_1516 +*32326 FILLER_8_1528 +*32327 FILLER_8_153 +*32328 FILLER_8_1535 +*32329 FILLER_8_1547 +*32330 FILLER_8_1559 +*32331 FILLER_8_1571 +*32332 FILLER_8_1579 +*32333 FILLER_8_1582 +*32334 FILLER_8_1591 +*32335 FILLER_8_1599 +*32336 FILLER_8_1605 +*32337 FILLER_8_1617 +*32338 FILLER_8_1629 +*32339 FILLER_8_1641 +*32340 FILLER_8_1645 +*32341 FILLER_8_1647 +*32342 FILLER_8_165 +*32343 FILLER_8_1659 +*32344 FILLER_8_1671 +*32345 FILLER_8_1683 +*32346 FILLER_8_1695 +*32347 FILLER_8_1701 +*32348 FILLER_8_1703 +*32349 FILLER_8_1715 +*32350 FILLER_8_1727 +*32351 FILLER_8_1739 +*32352 FILLER_8_1744 +*32353 FILLER_8_1756 +*32354 FILLER_8_1759 +*32355 FILLER_8_1763 +*32356 FILLER_8_1769 +*32357 FILLER_8_177 +*32358 FILLER_8_1772 +*32359 FILLER_8_1778 +*32360 FILLER_8_1790 +*32361 FILLER_8_1800 +*32362 FILLER_8_1808 +*32363 FILLER_8_1812 +*32364 FILLER_8_1815 +*32365 FILLER_8_1821 +*32366 FILLER_8_1829 +*32367 FILLER_8_1841 +*32368 FILLER_8_1853 +*32369 FILLER_8_1859 +*32370 FILLER_8_1867 +*32371 FILLER_8_1871 +*32372 FILLER_8_1875 +*32373 FILLER_8_1881 +*32374 FILLER_8_1889 +*32375 FILLER_8_189 +*32376 FILLER_8_1892 +*32377 FILLER_8_1904 +*32378 FILLER_8_1916 +*32379 FILLER_8_1920 +*32380 FILLER_8_1927 +*32381 FILLER_8_1933 +*32382 FILLER_8_1936 +*32383 FILLER_8_1944 +*32384 FILLER_8_1947 +*32385 FILLER_8_195 +*32386 FILLER_8_1953 +*32387 FILLER_8_1965 +*32388 FILLER_8_197 +*32389 FILLER_8_1971 +*32390 FILLER_8_1979 +*32391 FILLER_8_1983 +*32392 FILLER_8_1987 +*32393 FILLER_8_1993 +*32394 FILLER_8_2005 +*32395 FILLER_8_2013 +*32396 FILLER_8_2017 +*32397 FILLER_8_2023 +*32398 FILLER_8_2031 +*32399 FILLER_8_2037 +*32400 FILLER_8_2039 +*32401 FILLER_8_2047 +*32402 FILLER_8_2053 +*32403 FILLER_8_2057 +*32404 FILLER_8_2060 +*32405 FILLER_8_2067 +*32406 FILLER_8_2073 +*32407 FILLER_8_2079 +*32408 FILLER_8_2085 +*32409 FILLER_8_2088 +*32410 FILLER_8_209 +*32411 FILLER_8_2095 +*32412 FILLER_8_2101 +*32413 FILLER_8_2105 +*32414 FILLER_8_2110 +*32415 FILLER_8_2118 +*32416 FILLER_8_2126 +*32417 FILLER_8_2132 +*32418 FILLER_8_2138 +*32419 FILLER_8_2144 +*32420 FILLER_8_2151 +*32421 FILLER_8_2155 +*32422 FILLER_8_2161 +*32423 FILLER_8_2167 +*32424 FILLER_8_2175 +*32425 FILLER_8_2179 +*32426 FILLER_8_2185 +*32427 FILLER_8_2189 +*32428 FILLER_8_2194 +*32429 FILLER_8_2202 +*32430 FILLER_8_2207 +*32431 FILLER_8_221 +*32432 FILLER_8_2211 +*32433 FILLER_8_2217 +*32434 FILLER_8_2223 +*32435 FILLER_8_2229 +*32436 FILLER_8_2235 +*32437 FILLER_8_2239 +*32438 FILLER_8_2244 +*32439 FILLER_8_2250 +*32440 FILLER_8_2256 +*32441 FILLER_8_2263 +*32442 FILLER_8_2271 +*32443 FILLER_8_2275 +*32444 FILLER_8_2281 +*32445 FILLER_8_2293 +*32446 FILLER_8_2305 +*32447 FILLER_8_2317 +*32448 FILLER_8_2319 +*32449 FILLER_8_2325 +*32450 FILLER_8_233 +*32451 FILLER_8_2335 +*32452 FILLER_8_2341 +*32453 FILLER_8_2353 +*32454 FILLER_8_2365 +*32455 FILLER_8_2373 +*32456 FILLER_8_2375 +*32457 FILLER_8_2387 +*32458 FILLER_8_2399 +*32459 FILLER_8_2411 +*32460 FILLER_8_2419 +*32461 FILLER_8_2427 +*32462 FILLER_8_2431 +*32463 FILLER_8_2435 +*32464 FILLER_8_2447 +*32465 FILLER_8_245 +*32466 FILLER_8_2459 +*32467 FILLER_8_2471 +*32468 FILLER_8_2483 +*32469 FILLER_8_2487 +*32470 FILLER_8_2495 +*32471 FILLER_8_2501 +*32472 FILLER_8_251 +*32473 FILLER_8_2513 +*32474 FILLER_8_2525 +*32475 FILLER_8_253 +*32476 FILLER_8_2539 +*32477 FILLER_8_2543 +*32478 FILLER_8_2553 +*32479 FILLER_8_2559 +*32480 FILLER_8_2571 +*32481 FILLER_8_2583 +*32482 FILLER_8_2595 +*32483 FILLER_8_2599 +*32484 FILLER_8_2611 +*32485 FILLER_8_2617 +*32486 FILLER_8_2625 +*32487 FILLER_8_2633 +*32488 FILLER_8_2641 +*32489 FILLER_8_265 +*32490 FILLER_8_2652 +*32491 FILLER_8_2655 +*32492 FILLER_8_2659 +*32493 FILLER_8_2665 +*32494 FILLER_8_2675 +*32495 FILLER_8_2683 +*32496 FILLER_8_2691 +*32497 FILLER_8_2694 +*32498 FILLER_8_27 +*32499 FILLER_8_2700 +*32500 FILLER_8_2704 +*32501 FILLER_8_277 +*32502 FILLER_8_289 +*32503 FILLER_8_29 +*32504 FILLER_8_3 +*32505 FILLER_8_301 +*32506 FILLER_8_307 +*32507 FILLER_8_3082 +*32508 FILLER_8_3086 +*32509 FILLER_8_309 +*32510 FILLER_8_3092 +*32511 FILLER_8_3096 +*32512 FILLER_8_3103 +*32513 FILLER_8_3108 +*32514 FILLER_8_3117 +*32515 FILLER_8_3123 +*32516 FILLER_8_3129 +*32517 FILLER_8_3133 +*32518 FILLER_8_3140 +*32519 FILLER_8_3150 +*32520 FILLER_8_3156 +*32521 FILLER_8_3162 +*32522 FILLER_8_3164 +*32523 FILLER_8_3168 +*32524 FILLER_8_3173 +*32525 FILLER_8_3179 +*32526 FILLER_8_3185 +*32527 FILLER_8_3191 +*32528 FILLER_8_3197 +*32529 FILLER_8_3209 +*32530 FILLER_8_321 +*32531 FILLER_8_3215 +*32532 FILLER_8_3220 +*32533 FILLER_8_3226 +*32534 FILLER_8_3232 +*32535 FILLER_8_3238 +*32536 FILLER_8_3244 +*32537 FILLER_8_3250 +*32538 FILLER_8_3262 +*32539 FILLER_8_3274 +*32540 FILLER_8_3276 +*32541 FILLER_8_3288 +*32542 FILLER_8_3300 +*32543 FILLER_8_3312 +*32544 FILLER_8_3318 +*32545 FILLER_8_3324 +*32546 FILLER_8_3327 +*32547 FILLER_8_333 +*32548 FILLER_8_3332 +*32549 FILLER_8_3337 +*32550 FILLER_8_3343 +*32551 FILLER_8_3349 +*32552 FILLER_8_3352 +*32553 FILLER_8_3358 +*32554 FILLER_8_3364 +*32555 FILLER_8_3370 +*32556 FILLER_8_3376 +*32557 FILLER_8_3379 +*32558 FILLER_8_3388 +*32559 FILLER_8_3400 +*32560 FILLER_8_3412 +*32561 FILLER_8_3424 +*32562 FILLER_8_3436 +*32563 FILLER_8_3442 +*32564 FILLER_8_3444 +*32565 FILLER_8_345 +*32566 FILLER_8_3456 +*32567 FILLER_8_3468 +*32568 FILLER_8_3472 +*32569 FILLER_8_3478 +*32570 FILLER_8_3486 +*32571 FILLER_8_3493 +*32572 FILLER_8_3500 +*32573 FILLER_8_3512 +*32574 FILLER_8_3524 +*32575 FILLER_8_3536 +*32576 FILLER_8_3548 +*32577 FILLER_8_3554 +*32578 FILLER_8_3556 +*32579 FILLER_8_3568 +*32580 FILLER_8_357 +*32581 FILLER_8_3576 +*32582 FILLER_8_3581 +*32583 FILLER_8_3594 +*32584 FILLER_8_3606 +*32585 FILLER_8_3610 +*32586 FILLER_8_3612 +*32587 FILLER_8_3624 +*32588 FILLER_8_363 +*32589 FILLER_8_3636 +*32590 FILLER_8_3648 +*32591 FILLER_8_365 +*32592 FILLER_8_3660 +*32593 FILLER_8_3666 +*32594 FILLER_8_3668 +*32595 FILLER_8_3680 +*32596 FILLER_8_3692 +*32597 FILLER_8_3704 +*32598 FILLER_8_3716 +*32599 FILLER_8_3722 +*32600 FILLER_8_3724 +*32601 FILLER_8_3736 +*32602 FILLER_8_3748 +*32603 FILLER_8_3760 +*32604 FILLER_8_377 +*32605 FILLER_8_3772 +*32606 FILLER_8_3778 +*32607 FILLER_8_3780 +*32608 FILLER_8_3785 +*32609 FILLER_8_3797 +*32610 FILLER_8_3809 +*32611 FILLER_8_3821 +*32612 FILLER_8_3833 +*32613 FILLER_8_3836 +*32614 FILLER_8_3848 +*32615 FILLER_8_3860 +*32616 FILLER_8_3872 +*32617 FILLER_8_3884 +*32618 FILLER_8_389 +*32619 FILLER_8_3890 +*32620 FILLER_8_3892 +*32621 FILLER_8_3904 +*32622 FILLER_8_3916 +*32623 FILLER_8_3928 +*32624 FILLER_8_3940 +*32625 FILLER_8_3946 +*32626 FILLER_8_3948 +*32627 FILLER_8_3960 +*32628 FILLER_8_3972 +*32629 FILLER_8_3984 +*32630 FILLER_8_3996 +*32631 FILLER_8_4002 +*32632 FILLER_8_4004 +*32633 FILLER_8_401 +*32634 FILLER_8_4010 +*32635 FILLER_8_4019 +*32636 FILLER_8_4031 +*32637 FILLER_8_4043 +*32638 FILLER_8_4057 +*32639 FILLER_8_4060 +*32640 FILLER_8_4066 +*32641 FILLER_8_4078 +*32642 FILLER_8_4090 +*32643 FILLER_8_4098 +*32644 FILLER_8_41 +*32645 FILLER_8_4101 +*32646 FILLER_8_413 +*32647 FILLER_8_419 +*32648 FILLER_8_421 +*32649 FILLER_8_433 +*32650 FILLER_8_445 +*32651 FILLER_8_457 +*32652 FILLER_8_469 +*32653 FILLER_8_475 +*32654 FILLER_8_477 +*32655 FILLER_8_486 +*32656 FILLER_8_492 +*32657 FILLER_8_496 +*32658 FILLER_8_501 +*32659 FILLER_8_513 +*32660 FILLER_8_525 +*32661 FILLER_8_53 +*32662 FILLER_8_531 +*32663 FILLER_8_533 +*32664 FILLER_8_545 +*32665 FILLER_8_557 +*32666 FILLER_8_569 +*32667 FILLER_8_577 +*32668 FILLER_8_585 +*32669 FILLER_8_589 +*32670 FILLER_8_593 +*32671 FILLER_8_605 +*32672 FILLER_8_617 +*32673 FILLER_8_629 +*32674 FILLER_8_635 +*32675 FILLER_8_641 +*32676 FILLER_8_645 +*32677 FILLER_8_649 +*32678 FILLER_8_65 +*32679 FILLER_8_661 +*32680 FILLER_8_673 +*32681 FILLER_8_77 +*32682 FILLER_8_83 +*32683 FILLER_8_85 +*32684 FILLER_8_949 +*32685 FILLER_8_961 +*32686 FILLER_8_97 +*32687 FILLER_8_973 +*32688 FILLER_8_975 +*32689 FILLER_8_987 +*32690 FILLER_8_999 +*32691 FILLER_9_1000 +*32692 FILLER_9_1003 +*32693 FILLER_9_1015 +*32694 FILLER_9_1027 +*32695 FILLER_9_1039 +*32696 FILLER_9_105 +*32697 FILLER_9_1051 +*32698 FILLER_9_1057 +*32699 FILLER_9_1059 +*32700 FILLER_9_1071 +*32701 FILLER_9_1083 +*32702 FILLER_9_1095 +*32703 FILLER_9_1107 +*32704 FILLER_9_111 +*32705 FILLER_9_1111 +*32706 FILLER_9_1115 +*32707 FILLER_9_1127 +*32708 FILLER_9_113 +*32709 FILLER_9_1139 +*32710 FILLER_9_1151 +*32711 FILLER_9_1163 +*32712 FILLER_9_1169 +*32713 FILLER_9_1171 +*32714 FILLER_9_1183 +*32715 FILLER_9_1195 +*32716 FILLER_9_1207 +*32717 FILLER_9_1223 +*32718 FILLER_9_1227 +*32719 FILLER_9_1231 +*32720 FILLER_9_1243 +*32721 FILLER_9_125 +*32722 FILLER_9_1255 +*32723 FILLER_9_1267 +*32724 FILLER_9_1279 +*32725 FILLER_9_1283 +*32726 FILLER_9_1295 +*32727 FILLER_9_1307 +*32728 FILLER_9_1319 +*32729 FILLER_9_1331 +*32730 FILLER_9_1337 +*32731 FILLER_9_1339 +*32732 FILLER_9_1355 +*32733 FILLER_9_1361 +*32734 FILLER_9_137 +*32735 FILLER_9_1373 +*32736 FILLER_9_1381 +*32737 FILLER_9_1387 +*32738 FILLER_9_1393 +*32739 FILLER_9_1395 +*32740 FILLER_9_1407 +*32741 FILLER_9_1419 +*32742 FILLER_9_1431 +*32743 FILLER_9_1443 +*32744 FILLER_9_1449 +*32745 FILLER_9_1451 +*32746 FILLER_9_1463 +*32747 FILLER_9_1475 +*32748 FILLER_9_1478 +*32749 FILLER_9_1488 +*32750 FILLER_9_149 +*32751 FILLER_9_1494 +*32752 FILLER_9_15 +*32753 FILLER_9_1500 +*32754 FILLER_9_1507 +*32755 FILLER_9_1513 +*32756 FILLER_9_1525 +*32757 FILLER_9_1537 +*32758 FILLER_9_1543 +*32759 FILLER_9_1546 +*32760 FILLER_9_1552 +*32761 FILLER_9_1560 +*32762 FILLER_9_1563 +*32763 FILLER_9_1568 +*32764 FILLER_9_1576 +*32765 FILLER_9_1584 +*32766 FILLER_9_1596 +*32767 FILLER_9_1602 +*32768 FILLER_9_161 +*32769 FILLER_9_1610 +*32770 FILLER_9_1616 +*32771 FILLER_9_1619 +*32772 FILLER_9_1623 +*32773 FILLER_9_1631 +*32774 FILLER_9_1643 +*32775 FILLER_9_1655 +*32776 FILLER_9_1667 +*32777 FILLER_9_167 +*32778 FILLER_9_1673 +*32779 FILLER_9_1675 +*32780 FILLER_9_1687 +*32781 FILLER_9_169 +*32782 FILLER_9_1693 +*32783 FILLER_9_1699 +*32784 FILLER_9_1711 +*32785 FILLER_9_1719 +*32786 FILLER_9_1724 +*32787 FILLER_9_1731 +*32788 FILLER_9_1735 +*32789 FILLER_9_1741 +*32790 FILLER_9_1744 +*32791 FILLER_9_1750 +*32792 FILLER_9_1758 +*32793 FILLER_9_1770 +*32794 FILLER_9_1778 +*32795 FILLER_9_1784 +*32796 FILLER_9_1787 +*32797 FILLER_9_1791 +*32798 FILLER_9_1799 +*32799 FILLER_9_1805 +*32800 FILLER_9_181 +*32801 FILLER_9_1813 +*32802 FILLER_9_1817 +*32803 FILLER_9_1820 +*32804 FILLER_9_1828 +*32805 FILLER_9_1836 +*32806 FILLER_9_1843 +*32807 FILLER_9_1853 +*32808 FILLER_9_1861 +*32809 FILLER_9_1874 +*32810 FILLER_9_1882 +*32811 FILLER_9_1890 +*32812 FILLER_9_1895 +*32813 FILLER_9_1899 +*32814 FILLER_9_1903 +*32815 FILLER_9_1909 +*32816 FILLER_9_1912 +*32817 FILLER_9_1920 +*32818 FILLER_9_193 +*32819 FILLER_9_1930 +*32820 FILLER_9_1938 +*32821 FILLER_9_1944 +*32822 FILLER_9_1952 +*32823 FILLER_9_1955 +*32824 FILLER_9_1965 +*32825 FILLER_9_1973 +*32826 FILLER_9_1981 +*32827 FILLER_9_1985 +*32828 FILLER_9_1990 +*32829 FILLER_9_1996 +*32830 FILLER_9_2002 +*32831 FILLER_9_2008 +*32832 FILLER_9_2011 +*32833 FILLER_9_2021 +*32834 FILLER_9_2031 +*32835 FILLER_9_2039 +*32836 FILLER_9_2043 +*32837 FILLER_9_2048 +*32838 FILLER_9_205 +*32839 FILLER_9_2056 +*32840 FILLER_9_2064 +*32841 FILLER_9_2067 +*32842 FILLER_9_2073 +*32843 FILLER_9_2081 +*32844 FILLER_9_2089 +*32845 FILLER_9_2097 +*32846 FILLER_9_2105 +*32847 FILLER_9_2113 +*32848 FILLER_9_2119 +*32849 FILLER_9_2123 +*32850 FILLER_9_2129 +*32851 FILLER_9_2135 +*32852 FILLER_9_2145 +*32853 FILLER_9_2149 +*32854 FILLER_9_2154 +*32855 FILLER_9_2166 +*32856 FILLER_9_217 +*32857 FILLER_9_2174 +*32858 FILLER_9_2179 +*32859 FILLER_9_2185 +*32860 FILLER_9_2193 +*32861 FILLER_9_2201 +*32862 FILLER_9_2209 +*32863 FILLER_9_2217 +*32864 FILLER_9_2221 +*32865 FILLER_9_2226 +*32866 FILLER_9_223 +*32867 FILLER_9_2232 +*32868 FILLER_9_2235 +*32869 FILLER_9_2243 +*32870 FILLER_9_225 +*32871 FILLER_9_2251 +*32872 FILLER_9_2257 +*32873 FILLER_9_2263 +*32874 FILLER_9_2276 +*32875 FILLER_9_2282 +*32876 FILLER_9_2291 +*32877 FILLER_9_2303 +*32878 FILLER_9_2315 +*32879 FILLER_9_2327 +*32880 FILLER_9_2339 +*32881 FILLER_9_2345 +*32882 FILLER_9_2347 +*32883 FILLER_9_2362 +*32884 FILLER_9_2368 +*32885 FILLER_9_237 +*32886 FILLER_9_2380 +*32887 FILLER_9_2392 +*32888 FILLER_9_2400 +*32889 FILLER_9_2403 +*32890 FILLER_9_2415 +*32891 FILLER_9_2427 +*32892 FILLER_9_2439 +*32893 FILLER_9_2445 +*32894 FILLER_9_2457 +*32895 FILLER_9_2459 +*32896 FILLER_9_2471 +*32897 FILLER_9_2483 +*32898 FILLER_9_249 +*32899 FILLER_9_2491 +*32900 FILLER_9_2503 +*32901 FILLER_9_2509 +*32902 FILLER_9_2513 +*32903 FILLER_9_2515 +*32904 FILLER_9_2527 +*32905 FILLER_9_2539 +*32906 FILLER_9_2547 +*32907 FILLER_9_2552 +*32908 FILLER_9_2560 +*32909 FILLER_9_2568 +*32910 FILLER_9_2571 +*32911 FILLER_9_2577 +*32912 FILLER_9_2583 +*32913 FILLER_9_2595 +*32914 FILLER_9_2607 +*32915 FILLER_9_261 +*32916 FILLER_9_2615 +*32917 FILLER_9_2623 +*32918 FILLER_9_2627 +*32919 FILLER_9_2631 +*32920 FILLER_9_2637 +*32921 FILLER_9_2643 +*32922 FILLER_9_2649 +*32923 FILLER_9_2656 +*32924 FILLER_9_2662 +*32925 FILLER_9_2674 +*32926 FILLER_9_2680 +*32927 FILLER_9_2683 +*32928 FILLER_9_2687 +*32929 FILLER_9_2691 +*32930 FILLER_9_2694 +*32931 FILLER_9_27 +*32932 FILLER_9_2700 +*32933 FILLER_9_2704 +*32934 FILLER_9_273 +*32935 FILLER_9_279 +*32936 FILLER_9_281 +*32937 FILLER_9_286 +*32938 FILLER_9_292 +*32939 FILLER_9_3 +*32940 FILLER_9_304 +*32941 FILLER_9_3082 +*32942 FILLER_9_3090 +*32943 FILLER_9_3096 +*32944 FILLER_9_3102 +*32945 FILLER_9_3108 +*32946 FILLER_9_3114 +*32947 FILLER_9_312 +*32948 FILLER_9_3120 +*32949 FILLER_9_3126 +*32950 FILLER_9_3132 +*32951 FILLER_9_3136 +*32952 FILLER_9_3142 +*32953 FILLER_9_3148 +*32954 FILLER_9_3154 +*32955 FILLER_9_3160 +*32956 FILLER_9_3166 +*32957 FILLER_9_317 +*32958 FILLER_9_3172 +*32959 FILLER_9_3178 +*32960 FILLER_9_3184 +*32961 FILLER_9_3190 +*32962 FILLER_9_3192 +*32963 FILLER_9_3196 +*32964 FILLER_9_3208 +*32965 FILLER_9_3214 +*32966 FILLER_9_3222 +*32967 FILLER_9_3228 +*32968 FILLER_9_3234 +*32969 FILLER_9_3246 +*32970 FILLER_9_3248 +*32971 FILLER_9_3260 +*32972 FILLER_9_3272 +*32973 FILLER_9_3284 +*32974 FILLER_9_329 +*32975 FILLER_9_3296 +*32976 FILLER_9_3302 +*32977 FILLER_9_3304 +*32978 FILLER_9_3316 +*32979 FILLER_9_3328 +*32980 FILLER_9_3340 +*32981 FILLER_9_3343 +*32982 FILLER_9_335 +*32983 FILLER_9_3355 +*32984 FILLER_9_3360 +*32985 FILLER_9_3364 +*32986 FILLER_9_337 +*32987 FILLER_9_3372 +*32988 FILLER_9_3380 +*32989 FILLER_9_3386 +*32990 FILLER_9_3398 +*32991 FILLER_9_3410 +*32992 FILLER_9_3414 +*32993 FILLER_9_3416 +*32994 FILLER_9_3428 +*32995 FILLER_9_3436 +*32996 FILLER_9_3440 +*32997 FILLER_9_3453 +*32998 FILLER_9_3461 +*32999 FILLER_9_3469 +*33000 FILLER_9_3472 +*33001 FILLER_9_3484 +*33002 FILLER_9_349 +*33003 FILLER_9_3496 +*33004 FILLER_9_3508 +*33005 FILLER_9_3520 +*33006 FILLER_9_3526 +*33007 FILLER_9_3528 +*33008 FILLER_9_3540 +*33009 FILLER_9_3552 +*33010 FILLER_9_3562 +*33011 FILLER_9_3575 +*33012 FILLER_9_3584 +*33013 FILLER_9_3596 +*33014 FILLER_9_3603 +*33015 FILLER_9_3609 +*33016 FILLER_9_361 +*33017 FILLER_9_3621 +*33018 FILLER_9_3633 +*33019 FILLER_9_3640 +*33020 FILLER_9_3644 +*33021 FILLER_9_3656 +*33022 FILLER_9_3668 +*33023 FILLER_9_3680 +*33024 FILLER_9_3692 +*33025 FILLER_9_3696 +*33026 FILLER_9_3702 +*33027 FILLER_9_3705 +*33028 FILLER_9_3711 +*33029 FILLER_9_3723 +*33030 FILLER_9_373 +*33031 FILLER_9_3735 +*33032 FILLER_9_3747 +*33033 FILLER_9_3752 +*33034 FILLER_9_3764 +*33035 FILLER_9_3772 +*33036 FILLER_9_3778 +*33037 FILLER_9_3784 +*33038 FILLER_9_3790 +*33039 FILLER_9_3796 +*33040 FILLER_9_3804 +*33041 FILLER_9_3808 +*33042 FILLER_9_3820 +*33043 FILLER_9_3832 +*33044 FILLER_9_3844 +*33045 FILLER_9_385 +*33046 FILLER_9_3856 +*33047 FILLER_9_3862 +*33048 FILLER_9_3864 +*33049 FILLER_9_3876 +*33050 FILLER_9_3888 +*33051 FILLER_9_39 +*33052 FILLER_9_3900 +*33053 FILLER_9_391 +*33054 FILLER_9_3912 +*33055 FILLER_9_3918 +*33056 FILLER_9_3920 +*33057 FILLER_9_3925 +*33058 FILLER_9_393 +*33059 FILLER_9_3931 +*33060 FILLER_9_3943 +*33061 FILLER_9_3949 +*33062 FILLER_9_3952 +*33063 FILLER_9_3964 +*33064 FILLER_9_3972 +*33065 FILLER_9_3976 +*33066 FILLER_9_3988 +*33067 FILLER_9_4000 +*33068 FILLER_9_4012 +*33069 FILLER_9_4024 +*33070 FILLER_9_4029 +*33071 FILLER_9_4032 +*33072 FILLER_9_4044 +*33073 FILLER_9_405 +*33074 FILLER_9_4056 +*33075 FILLER_9_4068 +*33076 FILLER_9_4080 +*33077 FILLER_9_4086 +*33078 FILLER_9_4088 +*33079 FILLER_9_4101 +*33080 FILLER_9_417 +*33081 FILLER_9_421 +*33082 FILLER_9_425 +*33083 FILLER_9_433 +*33084 FILLER_9_439 +*33085 FILLER_9_445 +*33086 FILLER_9_449 +*33087 FILLER_9_461 +*33088 FILLER_9_473 +*33089 FILLER_9_485 +*33090 FILLER_9_497 +*33091 FILLER_9_503 +*33092 FILLER_9_505 +*33093 FILLER_9_51 +*33094 FILLER_9_511 +*33095 FILLER_9_515 +*33096 FILLER_9_527 +*33097 FILLER_9_539 +*33098 FILLER_9_55 +*33099 FILLER_9_551 +*33100 FILLER_9_559 +*33101 FILLER_9_561 +*33102 FILLER_9_57 +*33103 FILLER_9_573 +*33104 FILLER_9_581 +*33105 FILLER_9_587 +*33106 FILLER_9_593 +*33107 FILLER_9_596 +*33108 FILLER_9_604 +*33109 FILLER_9_617 +*33110 FILLER_9_625 +*33111 FILLER_9_637 +*33112 FILLER_9_649 +*33113 FILLER_9_661 +*33114 FILLER_9_669 +*33115 FILLER_9_673 +*33116 FILLER_9_69 +*33117 FILLER_9_81 +*33118 FILLER_9_93 +*33119 FILLER_9_949 +*33120 FILLER_9_961 +*33121 FILLER_9_973 +*33122 FILLER_9_981 +*33123 FILLER_9_986 +*33124 FILLER_9_992 +*33125 PHY_0 +*33126 PHY_1 +*33127 PHY_10 +*33128 PHY_100 +*33129 PHY_101 +*33130 PHY_102 +*33131 PHY_103 +*33132 PHY_104 +*33133 PHY_105 +*33134 PHY_106 +*33135 PHY_107 +*33136 PHY_108 +*33137 PHY_109 +*33138 PHY_11 +*33139 PHY_110 +*33140 PHY_111 +*33141 PHY_112 +*33142 PHY_113 +*33143 PHY_114 +*33144 PHY_115 +*33145 PHY_116 +*33146 PHY_117 +*33147 PHY_118 +*33148 PHY_119 +*33149 PHY_12 +*33150 PHY_120 +*33151 PHY_121 +*33152 PHY_122 +*33153 PHY_123 +*33154 PHY_124 +*33155 PHY_125 +*33156 PHY_126 +*33157 PHY_127 +*33158 PHY_128 +*33159 PHY_129 +*33160 PHY_13 +*33161 PHY_130 +*33162 PHY_131 +*33163 PHY_132 +*33164 PHY_133 +*33165 PHY_134 +*33166 PHY_135 +*33167 PHY_136 +*33168 PHY_137 +*33169 PHY_138 +*33170 PHY_139 +*33171 PHY_14 +*33172 PHY_140 +*33173 PHY_141 +*33174 PHY_142 +*33175 PHY_143 +*33176 PHY_144 +*33177 PHY_145 +*33178 PHY_146 +*33179 PHY_147 +*33180 PHY_148 +*33181 PHY_149 +*33182 PHY_15 +*33183 PHY_150 +*33184 PHY_151 +*33185 PHY_152 +*33186 PHY_153 +*33187 PHY_154 +*33188 PHY_155 +*33189 PHY_156 +*33190 PHY_157 +*33191 PHY_158 +*33192 PHY_159 +*33193 PHY_16 +*33194 PHY_160 +*33195 PHY_161 +*33196 PHY_162 +*33197 PHY_163 +*33198 PHY_164 +*33199 PHY_165 +*33200 PHY_166 +*33201 PHY_167 +*33202 PHY_168 +*33203 PHY_169 +*33204 PHY_17 +*33205 PHY_170 +*33206 PHY_171 +*33207 PHY_172 +*33208 PHY_173 +*33209 PHY_174 +*33210 PHY_175 +*33211 PHY_176 +*33212 PHY_177 +*33213 PHY_178 +*33214 PHY_179 +*33215 PHY_18 +*33216 PHY_180 +*33217 PHY_181 +*33218 PHY_182 +*33219 PHY_183 +*33220 PHY_184 +*33221 PHY_185 +*33222 PHY_186 +*33223 PHY_187 +*33224 PHY_188 +*33225 PHY_189 +*33226 PHY_19 +*33227 PHY_190 +*33228 PHY_191 +*33229 PHY_192 +*33230 PHY_193 +*33231 PHY_2 +*33232 PHY_20 +*33233 PHY_21 +*33234 PHY_22 +*33235 PHY_23 +*33236 PHY_24 +*33237 PHY_25 +*33238 PHY_26 +*33239 PHY_27 +*33240 PHY_28 +*33241 PHY_29 +*33242 PHY_3 +*33243 PHY_30 +*33244 PHY_31 +*33245 PHY_32 +*33246 PHY_33 +*33247 PHY_34 +*33248 PHY_35 +*33249 PHY_36 +*33250 PHY_37 +*33251 PHY_38 +*33252 PHY_39 +*33253 PHY_4 +*33254 PHY_40 +*33255 PHY_41 +*33256 PHY_42 +*33257 PHY_43 +*33258 PHY_44 +*33259 PHY_45 +*33260 PHY_46 +*33261 PHY_47 +*33262 PHY_48 +*33263 PHY_49 +*33264 PHY_5 +*33265 PHY_50 +*33266 PHY_51 +*33267 PHY_52 +*33268 PHY_53 +*33269 PHY_54 +*33270 PHY_55 +*33271 PHY_56 +*33272 PHY_57 +*33273 PHY_58 +*33274 PHY_59 +*33275 PHY_6 +*33276 PHY_60 +*33277 PHY_61 +*33278 PHY_62 +*33279 PHY_63 +*33280 PHY_64 +*33281 PHY_65 +*33282 PHY_66 +*33283 PHY_67 +*33284 PHY_68 +*33285 PHY_69 +*33286 PHY_7 +*33287 PHY_70 +*33288 PHY_71 +*33289 PHY_72 +*33290 PHY_73 +*33291 PHY_74 +*33292 PHY_75 +*33293 PHY_76 +*33294 PHY_77 +*33295 PHY_78 +*33296 PHY_79 +*33297 PHY_8 +*33298 PHY_80 +*33299 PHY_81 +*33300 PHY_82 +*33301 PHY_83 +*33302 PHY_84 +*33303 PHY_85 +*33304 PHY_86 +*33305 PHY_87 +*33306 PHY_88 +*33307 PHY_89 +*33308 PHY_9 +*33309 PHY_90 +*33310 PHY_91 +*33311 PHY_92 +*33312 PHY_93 +*33313 PHY_94 +*33314 PHY_95 +*33315 PHY_96 +*33316 PHY_97 +*33317 PHY_98 +*33318 PHY_99 +*33319 TAP_1000 +*33320 TAP_1001 +*33321 TAP_1002 +*33322 TAP_1003 +*33323 TAP_1004 +*33324 TAP_1005 +*33325 TAP_1006 +*33326 TAP_1007 +*33327 TAP_1008 +*33328 TAP_1009 +*33329 TAP_1010 +*33330 TAP_1011 +*33331 TAP_1012 +*33332 TAP_1013 +*33333 TAP_1014 +*33334 TAP_1015 +*33335 TAP_1016 +*33336 TAP_1017 +*33337 TAP_1018 +*33338 TAP_1019 +*33339 TAP_1020 +*33340 TAP_1021 +*33341 TAP_1022 +*33342 TAP_1023 +*33343 TAP_1024 +*33344 TAP_1025 +*33345 TAP_1026 +*33346 TAP_1027 +*33347 TAP_1028 +*33348 TAP_1029 +*33349 TAP_1030 +*33350 TAP_1031 +*33351 TAP_1032 +*33352 TAP_1033 +*33353 TAP_1034 +*33354 TAP_1035 +*33355 TAP_1036 +*33356 TAP_1037 +*33357 TAP_1038 +*33358 TAP_1039 +*33359 TAP_1040 +*33360 TAP_1041 +*33361 TAP_1042 +*33362 TAP_1043 +*33363 TAP_1044 +*33364 TAP_1045 +*33365 TAP_1046 +*33366 TAP_1047 +*33367 TAP_1048 +*33368 TAP_1049 +*33369 TAP_1050 +*33370 TAP_1051 +*33371 TAP_1052 +*33372 TAP_1053 +*33373 TAP_1054 +*33374 TAP_1055 +*33375 TAP_1056 +*33376 TAP_1057 +*33377 TAP_1058 +*33378 TAP_1059 +*33379 TAP_1060 +*33380 TAP_1061 +*33381 TAP_1062 +*33382 TAP_1063 +*33383 TAP_1064 +*33384 TAP_1065 +*33385 TAP_1066 +*33386 TAP_1067 +*33387 TAP_1068 +*33388 TAP_1069 +*33389 TAP_1070 +*33390 TAP_1071 +*33391 TAP_1072 +*33392 TAP_1073 +*33393 TAP_1074 +*33394 TAP_1075 +*33395 TAP_1076 +*33396 TAP_1077 +*33397 TAP_1078 +*33398 TAP_1079 +*33399 TAP_1080 +*33400 TAP_1081 +*33401 TAP_1082 +*33402 TAP_1083 +*33403 TAP_1084 +*33404 TAP_1085 +*33405 TAP_1086 +*33406 TAP_1087 +*33407 TAP_1088 +*33408 TAP_1089 +*33409 TAP_1090 +*33410 TAP_1091 +*33411 TAP_1092 +*33412 TAP_1093 +*33413 TAP_1094 +*33414 TAP_1095 +*33415 TAP_1096 +*33416 TAP_1097 +*33417 TAP_1098 +*33418 TAP_1099 +*33419 TAP_1100 +*33420 TAP_1101 +*33421 TAP_1102 +*33422 TAP_1103 +*33423 TAP_1104 +*33424 TAP_1105 +*33425 TAP_1106 +*33426 TAP_1107 +*33427 TAP_1108 +*33428 TAP_1109 +*33429 TAP_1110 +*33430 TAP_1111 +*33431 TAP_1112 +*33432 TAP_1113 +*33433 TAP_1114 +*33434 TAP_1115 +*33435 TAP_1116 +*33436 TAP_1117 +*33437 TAP_1118 +*33438 TAP_1119 +*33439 TAP_1120 +*33440 TAP_1121 +*33441 TAP_1122 +*33442 TAP_1123 +*33443 TAP_1124 +*33444 TAP_1125 +*33445 TAP_1126 +*33446 TAP_1127 +*33447 TAP_1128 +*33448 TAP_1129 +*33449 TAP_1130 +*33450 TAP_1131 +*33451 TAP_1132 +*33452 TAP_1133 +*33453 TAP_1134 +*33454 TAP_1135 +*33455 TAP_1136 +*33456 TAP_1137 +*33457 TAP_1138 +*33458 TAP_1139 +*33459 TAP_1140 +*33460 TAP_1141 +*33461 TAP_1142 +*33462 TAP_1143 +*33463 TAP_1144 +*33464 TAP_1145 +*33465 TAP_1146 +*33466 TAP_1147 +*33467 TAP_1148 +*33468 TAP_1149 +*33469 TAP_1150 +*33470 TAP_1151 +*33471 TAP_1152 +*33472 TAP_1153 +*33473 TAP_1154 +*33474 TAP_1155 +*33475 TAP_1156 +*33476 TAP_1157 +*33477 TAP_1158 +*33478 TAP_1159 +*33479 TAP_1160 +*33480 TAP_1161 +*33481 TAP_1162 +*33482 TAP_1163 +*33483 TAP_1164 +*33484 TAP_1165 +*33485 TAP_1166 +*33486 TAP_1167 +*33487 TAP_1168 +*33488 TAP_1169 +*33489 TAP_1170 +*33490 TAP_1171 +*33491 TAP_1172 +*33492 TAP_1173 +*33493 TAP_1174 +*33494 TAP_1175 +*33495 TAP_1176 +*33496 TAP_1177 +*33497 TAP_1178 +*33498 TAP_1179 +*33499 TAP_1180 +*33500 TAP_1181 +*33501 TAP_1182 +*33502 TAP_1183 +*33503 TAP_1184 +*33504 TAP_1185 +*33505 TAP_1186 +*33506 TAP_1187 +*33507 TAP_1188 +*33508 TAP_1189 +*33509 TAP_1190 +*33510 TAP_1191 +*33511 TAP_1192 +*33512 TAP_1193 +*33513 TAP_1194 +*33514 TAP_1195 +*33515 TAP_1196 +*33516 TAP_1197 +*33517 TAP_1198 +*33518 TAP_1199 +*33519 TAP_1200 +*33520 TAP_1201 +*33521 TAP_1202 +*33522 TAP_1203 +*33523 TAP_1204 +*33524 TAP_1205 +*33525 TAP_1206 +*33526 TAP_1207 +*33527 TAP_1208 +*33528 TAP_1209 +*33529 TAP_1210 +*33530 TAP_1211 +*33531 TAP_1212 +*33532 TAP_1213 +*33533 TAP_1214 +*33534 TAP_1215 +*33535 TAP_1216 +*33536 TAP_1217 +*33537 TAP_1218 +*33538 TAP_1219 +*33539 TAP_1220 +*33540 TAP_1221 +*33541 TAP_1222 +*33542 TAP_1223 +*33543 TAP_1224 +*33544 TAP_1225 +*33545 TAP_1226 +*33546 TAP_1227 +*33547 TAP_1228 +*33548 TAP_1229 +*33549 TAP_1230 +*33550 TAP_1231 +*33551 TAP_1232 +*33552 TAP_1233 +*33553 TAP_1234 +*33554 TAP_1235 +*33555 TAP_1236 +*33556 TAP_1237 +*33557 TAP_1238 +*33558 TAP_1239 +*33559 TAP_1240 +*33560 TAP_1241 +*33561 TAP_1242 +*33562 TAP_1243 +*33563 TAP_1244 +*33564 TAP_1245 +*33565 TAP_1246 +*33566 TAP_1247 +*33567 TAP_1248 +*33568 TAP_1249 +*33569 TAP_1250 +*33570 TAP_1251 +*33571 TAP_1252 +*33572 TAP_1253 +*33573 TAP_1254 +*33574 TAP_1255 +*33575 TAP_1256 +*33576 TAP_1257 +*33577 TAP_1258 +*33578 TAP_1259 +*33579 TAP_1260 +*33580 TAP_1261 +*33581 TAP_1262 +*33582 TAP_1263 +*33583 TAP_1264 +*33584 TAP_1265 +*33585 TAP_1266 +*33586 TAP_1267 +*33587 TAP_1268 +*33588 TAP_1269 +*33589 TAP_1270 +*33590 TAP_1271 +*33591 TAP_1272 +*33592 TAP_1273 +*33593 TAP_1274 +*33594 TAP_1275 +*33595 TAP_1276 +*33596 TAP_1277 +*33597 TAP_1278 +*33598 TAP_1279 +*33599 TAP_1280 +*33600 TAP_1281 +*33601 TAP_1282 +*33602 TAP_1283 +*33603 TAP_1284 +*33604 TAP_1285 +*33605 TAP_1286 +*33606 TAP_1287 +*33607 TAP_1288 +*33608 TAP_1289 +*33609 TAP_1290 +*33610 TAP_1291 +*33611 TAP_1292 +*33612 TAP_1293 +*33613 TAP_1294 +*33614 TAP_1295 +*33615 TAP_1296 +*33616 TAP_1297 +*33617 TAP_1298 +*33618 TAP_1299 +*33619 TAP_1300 +*33620 TAP_1301 +*33621 TAP_1302 +*33622 TAP_1303 +*33623 TAP_1304 +*33624 TAP_1305 +*33625 TAP_1306 +*33626 TAP_1307 +*33627 TAP_1308 +*33628 TAP_1309 +*33629 TAP_1310 +*33630 TAP_1311 +*33631 TAP_1312 +*33632 TAP_1313 +*33633 TAP_1314 +*33634 TAP_1315 +*33635 TAP_1316 +*33636 TAP_1317 +*33637 TAP_1318 +*33638 TAP_1319 +*33639 TAP_1320 +*33640 TAP_1321 +*33641 TAP_1322 +*33642 TAP_1323 +*33643 TAP_1324 +*33644 TAP_1325 +*33645 TAP_1326 +*33646 TAP_1327 +*33647 TAP_1328 +*33648 TAP_1329 +*33649 TAP_1330 +*33650 TAP_1331 +*33651 TAP_1332 +*33652 TAP_1333 +*33653 TAP_1334 +*33654 TAP_1335 +*33655 TAP_1336 +*33656 TAP_1337 +*33657 TAP_1338 +*33658 TAP_1339 +*33659 TAP_1340 +*33660 TAP_1341 +*33661 TAP_1342 +*33662 TAP_1343 +*33663 TAP_1344 +*33664 TAP_1345 +*33665 TAP_1346 +*33666 TAP_1347 +*33667 TAP_1348 +*33668 TAP_1349 +*33669 TAP_1350 +*33670 TAP_1351 +*33671 TAP_1352 +*33672 TAP_1353 +*33673 TAP_1354 +*33674 TAP_1355 +*33675 TAP_1356 +*33676 TAP_1357 +*33677 TAP_1358 +*33678 TAP_1359 +*33679 TAP_1360 +*33680 TAP_1361 +*33681 TAP_1362 +*33682 TAP_1363 +*33683 TAP_1364 +*33684 TAP_1365 +*33685 TAP_1366 +*33686 TAP_1367 +*33687 TAP_1368 +*33688 TAP_1369 +*33689 TAP_1370 +*33690 TAP_1371 +*33691 TAP_1372 +*33692 TAP_1373 +*33693 TAP_1374 +*33694 TAP_1375 +*33695 TAP_1376 +*33696 TAP_1377 +*33697 TAP_1378 +*33698 TAP_1379 +*33699 TAP_1380 +*33700 TAP_1381 +*33701 TAP_1382 +*33702 TAP_1383 +*33703 TAP_1384 +*33704 TAP_1385 +*33705 TAP_1386 +*33706 TAP_1387 +*33707 TAP_1388 +*33708 TAP_1389 +*33709 TAP_1390 +*33710 TAP_1391 +*33711 TAP_1392 +*33712 TAP_1393 +*33713 TAP_1394 +*33714 TAP_1395 +*33715 TAP_1396 +*33716 TAP_1397 +*33717 TAP_1398 +*33718 TAP_1399 +*33719 TAP_1400 +*33720 TAP_1401 +*33721 TAP_1402 +*33722 TAP_1403 +*33723 TAP_1404 +*33724 TAP_1405 +*33725 TAP_1406 +*33726 TAP_1407 +*33727 TAP_1408 +*33728 TAP_1409 +*33729 TAP_1410 +*33730 TAP_1411 +*33731 TAP_1412 +*33732 TAP_1413 +*33733 TAP_1414 +*33734 TAP_1415 +*33735 TAP_1416 +*33736 TAP_1417 +*33737 TAP_1418 +*33738 TAP_1419 +*33739 TAP_1420 +*33740 TAP_1421 +*33741 TAP_1422 +*33742 TAP_1423 +*33743 TAP_1424 +*33744 TAP_1425 +*33745 TAP_1426 +*33746 TAP_1427 +*33747 TAP_1428 +*33748 TAP_1429 +*33749 TAP_1430 +*33750 TAP_1431 +*33751 TAP_1432 +*33752 TAP_1433 +*33753 TAP_1434 +*33754 TAP_1435 +*33755 TAP_1436 +*33756 TAP_1437 +*33757 TAP_1438 +*33758 TAP_1439 +*33759 TAP_1440 +*33760 TAP_1441 +*33761 TAP_1442 +*33762 TAP_1443 +*33763 TAP_1444 +*33764 TAP_1445 +*33765 TAP_1446 +*33766 TAP_1447 +*33767 TAP_1448 +*33768 TAP_1449 +*33769 TAP_1450 +*33770 TAP_1451 +*33771 TAP_1452 +*33772 TAP_1453 +*33773 TAP_1454 +*33774 TAP_1455 +*33775 TAP_1456 +*33776 TAP_1457 +*33777 TAP_1458 +*33778 TAP_1459 +*33779 TAP_1460 +*33780 TAP_1461 +*33781 TAP_1462 +*33782 TAP_1463 +*33783 TAP_1464 +*33784 TAP_1465 +*33785 TAP_1466 +*33786 TAP_1467 +*33787 TAP_1468 +*33788 TAP_1469 +*33789 TAP_1470 +*33790 TAP_1471 +*33791 TAP_1472 +*33792 TAP_1473 +*33793 TAP_1474 +*33794 TAP_1475 +*33795 TAP_1476 +*33796 TAP_1477 +*33797 TAP_1478 +*33798 TAP_1479 +*33799 TAP_1480 +*33800 TAP_1481 +*33801 TAP_1482 +*33802 TAP_1483 +*33803 TAP_1484 +*33804 TAP_1485 +*33805 TAP_1486 +*33806 TAP_1487 +*33807 TAP_1488 +*33808 TAP_1489 +*33809 TAP_1490 +*33810 TAP_1491 +*33811 TAP_1492 +*33812 TAP_1493 +*33813 TAP_1494 +*33814 TAP_1495 +*33815 TAP_1496 +*33816 TAP_1497 +*33817 TAP_1498 +*33818 TAP_1499 +*33819 TAP_1500 +*33820 TAP_1501 +*33821 TAP_1502 +*33822 TAP_1503 +*33823 TAP_1504 +*33824 TAP_1505 +*33825 TAP_1506 +*33826 TAP_1507 +*33827 TAP_1508 +*33828 TAP_1509 +*33829 TAP_1510 +*33830 TAP_1511 +*33831 TAP_1512 +*33832 TAP_1513 +*33833 TAP_1514 +*33834 TAP_1515 +*33835 TAP_1516 +*33836 TAP_1517 +*33837 TAP_1518 +*33838 TAP_1519 +*33839 TAP_1520 +*33840 TAP_1521 +*33841 TAP_1522 +*33842 TAP_1523 +*33843 TAP_1524 +*33844 TAP_1525 +*33845 TAP_1526 +*33846 TAP_1527 +*33847 TAP_1528 +*33848 TAP_1529 +*33849 TAP_1530 +*33850 TAP_1531 +*33851 TAP_1532 +*33852 TAP_1533 +*33853 TAP_1534 +*33854 TAP_1535 +*33855 TAP_1536 +*33856 TAP_1537 +*33857 TAP_1538 +*33858 TAP_1539 +*33859 TAP_1540 +*33860 TAP_1541 +*33861 TAP_1542 +*33862 TAP_1543 +*33863 TAP_1544 +*33864 TAP_1545 +*33865 TAP_1546 +*33866 TAP_1547 +*33867 TAP_1548 +*33868 TAP_1549 +*33869 TAP_1550 +*33870 TAP_1551 +*33871 TAP_1552 +*33872 TAP_1553 +*33873 TAP_1554 +*33874 TAP_1555 +*33875 TAP_1556 +*33876 TAP_1557 +*33877 TAP_1558 +*33878 TAP_1559 +*33879 TAP_1560 +*33880 TAP_1561 +*33881 TAP_1562 +*33882 TAP_1563 +*33883 TAP_1564 +*33884 TAP_1565 +*33885 TAP_1566 +*33886 TAP_1567 +*33887 TAP_1568 +*33888 TAP_1569 +*33889 TAP_1570 +*33890 TAP_1571 +*33891 TAP_1572 +*33892 TAP_1573 +*33893 TAP_1574 +*33894 TAP_1575 +*33895 TAP_1576 +*33896 TAP_1577 +*33897 TAP_1578 +*33898 TAP_1579 +*33899 TAP_1580 +*33900 TAP_1581 +*33901 TAP_1582 +*33902 TAP_1583 +*33903 TAP_1584 +*33904 TAP_1585 +*33905 TAP_1586 +*33906 TAP_1587 +*33907 TAP_1588 +*33908 TAP_1589 +*33909 TAP_1590 +*33910 TAP_1591 +*33911 TAP_1592 +*33912 TAP_1593 +*33913 TAP_1594 +*33914 TAP_1595 +*33915 TAP_1596 +*33916 TAP_1597 +*33917 TAP_1598 +*33918 TAP_1599 +*33919 TAP_1600 +*33920 TAP_1601 +*33921 TAP_1602 +*33922 TAP_1603 +*33923 TAP_1604 +*33924 TAP_1605 +*33925 TAP_1606 +*33926 TAP_1607 +*33927 TAP_1608 +*33928 TAP_1609 +*33929 TAP_1610 +*33930 TAP_1611 +*33931 TAP_1612 +*33932 TAP_1613 +*33933 TAP_1614 +*33934 TAP_1615 +*33935 TAP_1616 +*33936 TAP_1617 +*33937 TAP_1618 +*33938 TAP_1619 +*33939 TAP_1620 +*33940 TAP_1621 +*33941 TAP_1622 +*33942 TAP_1623 +*33943 TAP_1624 +*33944 TAP_1625 +*33945 TAP_1626 +*33946 TAP_1627 +*33947 TAP_1628 +*33948 TAP_1629 +*33949 TAP_1630 +*33950 TAP_1631 +*33951 TAP_1632 +*33952 TAP_1633 +*33953 TAP_1634 +*33954 TAP_1635 +*33955 TAP_1636 +*33956 TAP_1637 +*33957 TAP_1638 +*33958 TAP_1639 +*33959 TAP_1640 +*33960 TAP_1641 +*33961 TAP_1642 +*33962 TAP_1643 +*33963 TAP_1644 +*33964 TAP_1645 +*33965 TAP_1646 +*33966 TAP_1647 +*33967 TAP_1648 +*33968 TAP_1649 +*33969 TAP_1650 +*33970 TAP_1651 +*33971 TAP_1652 +*33972 TAP_1653 +*33973 TAP_1654 +*33974 TAP_1655 +*33975 TAP_1656 +*33976 TAP_1657 +*33977 TAP_1658 +*33978 TAP_1659 +*33979 TAP_1660 +*33980 TAP_1661 +*33981 TAP_1662 +*33982 TAP_1663 +*33983 TAP_1664 +*33984 TAP_1665 +*33985 TAP_1666 +*33986 TAP_1667 +*33987 TAP_1668 +*33988 TAP_1669 +*33989 TAP_1670 +*33990 TAP_1671 +*33991 TAP_1672 +*33992 TAP_1673 +*33993 TAP_1674 +*33994 TAP_1675 +*33995 TAP_1676 +*33996 TAP_1677 +*33997 TAP_1678 +*33998 TAP_1679 +*33999 TAP_1680 +*34000 TAP_1681 +*34001 TAP_1682 +*34002 TAP_1683 +*34003 TAP_1684 +*34004 TAP_1685 +*34005 TAP_1686 +*34006 TAP_1687 +*34007 TAP_1688 +*34008 TAP_1689 +*34009 TAP_1690 +*34010 TAP_1691 +*34011 TAP_1692 +*34012 TAP_1693 +*34013 TAP_1694 +*34014 TAP_1695 +*34015 TAP_1696 +*34016 TAP_1697 +*34017 TAP_1698 +*34018 TAP_1699 +*34019 TAP_1700 +*34020 TAP_1701 +*34021 TAP_1702 +*34022 TAP_1703 +*34023 TAP_1704 +*34024 TAP_1705 +*34025 TAP_1706 +*34026 TAP_1707 +*34027 TAP_1708 +*34028 TAP_1709 +*34029 TAP_1710 +*34030 TAP_1711 +*34031 TAP_1712 +*34032 TAP_1713 +*34033 TAP_1714 +*34034 TAP_1715 +*34035 TAP_1716 +*34036 TAP_1717 +*34037 TAP_1718 +*34038 TAP_1719 +*34039 TAP_1720 +*34040 TAP_1721 +*34041 TAP_1722 +*34042 TAP_1723 +*34043 TAP_1724 +*34044 TAP_1725 +*34045 TAP_1726 +*34046 TAP_1727 +*34047 TAP_1728 +*34048 TAP_1729 +*34049 TAP_1730 +*34050 TAP_1731 +*34051 TAP_1732 +*34052 TAP_1733 +*34053 TAP_1734 +*34054 TAP_1735 +*34055 TAP_1736 +*34056 TAP_1737 +*34057 TAP_1738 +*34058 TAP_1739 +*34059 TAP_1740 +*34060 TAP_1741 +*34061 TAP_1742 +*34062 TAP_1743 +*34063 TAP_1744 +*34064 TAP_1745 +*34065 TAP_1746 +*34066 TAP_1747 +*34067 TAP_1748 +*34068 TAP_1749 +*34069 TAP_1750 +*34070 TAP_1751 +*34071 TAP_1752 +*34072 TAP_1753 +*34073 TAP_1754 +*34074 TAP_1755 +*34075 TAP_1756 +*34076 TAP_1757 +*34077 TAP_1758 +*34078 TAP_1759 +*34079 TAP_1760 +*34080 TAP_1761 +*34081 TAP_1762 +*34082 TAP_1763 +*34083 TAP_1764 +*34084 TAP_1765 +*34085 TAP_1766 +*34086 TAP_1767 +*34087 TAP_1768 +*34088 TAP_1769 +*34089 TAP_1770 +*34090 TAP_1771 +*34091 TAP_1772 +*34092 TAP_1773 +*34093 TAP_1774 +*34094 TAP_1775 +*34095 TAP_1776 +*34096 TAP_1777 +*34097 TAP_1778 +*34098 TAP_1779 +*34099 TAP_1780 +*34100 TAP_1781 +*34101 TAP_1782 +*34102 TAP_1783 +*34103 TAP_1784 +*34104 TAP_1785 +*34105 TAP_1786 +*34106 TAP_1787 +*34107 TAP_1788 +*34108 TAP_1789 +*34109 TAP_1790 +*34110 TAP_1791 +*34111 TAP_1792 +*34112 TAP_1793 +*34113 TAP_1794 +*34114 TAP_1795 +*34115 TAP_1796 +*34116 TAP_1797 +*34117 TAP_1798 +*34118 TAP_1799 +*34119 TAP_1800 +*34120 TAP_1801 +*34121 TAP_1802 +*34122 TAP_1803 +*34123 TAP_1804 +*34124 TAP_1805 +*34125 TAP_1806 +*34126 TAP_1807 +*34127 TAP_1808 +*34128 TAP_1809 +*34129 TAP_1810 +*34130 TAP_1811 +*34131 TAP_1812 +*34132 TAP_1813 +*34133 TAP_1814 +*34134 TAP_1815 +*34135 TAP_1816 +*34136 TAP_1817 +*34137 TAP_1818 +*34138 TAP_1819 +*34139 TAP_1820 +*34140 TAP_1821 +*34141 TAP_1822 +*34142 TAP_1823 +*34143 TAP_1824 +*34144 TAP_1825 +*34145 TAP_1826 +*34146 TAP_1827 +*34147 TAP_1828 +*34148 TAP_1829 +*34149 TAP_1830 +*34150 TAP_1831 +*34151 TAP_1832 +*34152 TAP_1833 +*34153 TAP_1834 +*34154 TAP_1835 +*34155 TAP_1836 +*34156 TAP_1837 +*34157 TAP_1838 +*34158 TAP_1839 +*34159 TAP_1840 +*34160 TAP_1841 +*34161 TAP_1842 +*34162 TAP_1843 +*34163 TAP_1844 +*34164 TAP_1845 +*34165 TAP_1846 +*34166 TAP_1847 +*34167 TAP_1848 +*34168 TAP_1849 +*34169 TAP_1850 +*34170 TAP_1851 +*34171 TAP_1852 +*34172 TAP_1853 +*34173 TAP_1854 +*34174 TAP_1855 +*34175 TAP_1856 +*34176 TAP_1857 +*34177 TAP_1858 +*34178 TAP_1859 +*34179 TAP_1860 +*34180 TAP_1861 +*34181 TAP_1862 +*34182 TAP_1863 +*34183 TAP_1864 +*34184 TAP_1865 +*34185 TAP_1866 +*34186 TAP_1867 +*34187 TAP_1868 +*34188 TAP_1869 +*34189 TAP_1870 +*34190 TAP_1871 +*34191 TAP_1872 +*34192 TAP_1873 +*34193 TAP_1874 +*34194 TAP_1875 +*34195 TAP_1876 +*34196 TAP_1877 +*34197 TAP_1878 +*34198 TAP_1879 +*34199 TAP_1880 +*34200 TAP_1881 +*34201 TAP_1882 +*34202 TAP_1883 +*34203 TAP_1884 +*34204 TAP_1885 +*34205 TAP_1886 +*34206 TAP_1887 +*34207 TAP_1888 +*34208 TAP_1889 +*34209 TAP_1890 +*34210 TAP_1891 +*34211 TAP_1892 +*34212 TAP_1893 +*34213 TAP_1894 +*34214 TAP_1895 +*34215 TAP_1896 +*34216 TAP_1897 +*34217 TAP_1898 +*34218 TAP_1899 +*34219 TAP_1900 +*34220 TAP_1901 +*34221 TAP_1902 +*34222 TAP_1903 +*34223 TAP_1904 +*34224 TAP_1905 +*34225 TAP_1906 +*34226 TAP_1907 +*34227 TAP_1908 +*34228 TAP_1909 +*34229 TAP_1910 +*34230 TAP_1911 +*34231 TAP_1912 +*34232 TAP_1913 +*34233 TAP_1914 +*34234 TAP_1915 +*34235 TAP_1916 +*34236 TAP_1917 +*34237 TAP_1918 +*34238 TAP_1919 +*34239 TAP_1920 +*34240 TAP_1921 +*34241 TAP_1922 +*34242 TAP_1923 +*34243 TAP_1924 +*34244 TAP_1925 +*34245 TAP_1926 +*34246 TAP_1927 +*34247 TAP_1928 +*34248 TAP_1929 +*34249 TAP_1930 +*34250 TAP_1931 +*34251 TAP_1932 +*34252 TAP_1933 +*34253 TAP_1934 +*34254 TAP_1935 +*34255 TAP_1936 +*34256 TAP_1937 +*34257 TAP_1938 +*34258 TAP_1939 +*34259 TAP_194 +*34260 TAP_1940 +*34261 TAP_1941 +*34262 TAP_1942 +*34263 TAP_1943 +*34264 TAP_1944 +*34265 TAP_1945 +*34266 TAP_1946 +*34267 TAP_1947 +*34268 TAP_1948 +*34269 TAP_1949 +*34270 TAP_195 +*34271 TAP_1950 +*34272 TAP_1951 +*34273 TAP_1952 +*34274 TAP_1953 +*34275 TAP_1954 +*34276 TAP_1955 +*34277 TAP_1956 +*34278 TAP_1957 +*34279 TAP_1958 +*34280 TAP_1959 +*34281 TAP_196 +*34282 TAP_1960 +*34283 TAP_1961 +*34284 TAP_1962 +*34285 TAP_1963 +*34286 TAP_1964 +*34287 TAP_1965 +*34288 TAP_1966 +*34289 TAP_1967 +*34290 TAP_1968 +*34291 TAP_1969 +*34292 TAP_197 +*34293 TAP_1970 +*34294 TAP_1971 +*34295 TAP_1972 +*34296 TAP_1973 +*34297 TAP_1974 +*34298 TAP_1975 +*34299 TAP_1976 +*34300 TAP_1977 +*34301 TAP_1978 +*34302 TAP_1979 +*34303 TAP_198 +*34304 TAP_1980 +*34305 TAP_1981 +*34306 TAP_1982 +*34307 TAP_1983 +*34308 TAP_1984 +*34309 TAP_1985 +*34310 TAP_1986 +*34311 TAP_1987 +*34312 TAP_1988 +*34313 TAP_1989 +*34314 TAP_199 +*34315 TAP_1990 +*34316 TAP_1991 +*34317 TAP_1992 +*34318 TAP_1993 +*34319 TAP_1994 +*34320 TAP_1995 +*34321 TAP_1996 +*34322 TAP_1997 +*34323 TAP_1998 +*34324 TAP_1999 +*34325 TAP_200 +*34326 TAP_2000 +*34327 TAP_2001 +*34328 TAP_2002 +*34329 TAP_2003 +*34330 TAP_2004 +*34331 TAP_2005 +*34332 TAP_2006 +*34333 TAP_2007 +*34334 TAP_2008 +*34335 TAP_2009 +*34336 TAP_201 +*34337 TAP_2010 +*34338 TAP_2011 +*34339 TAP_2012 +*34340 TAP_2013 +*34341 TAP_2014 +*34342 TAP_2015 +*34343 TAP_2016 +*34344 TAP_2017 +*34345 TAP_2018 +*34346 TAP_2019 +*34347 TAP_202 +*34348 TAP_2020 +*34349 TAP_2021 +*34350 TAP_2022 +*34351 TAP_2023 +*34352 TAP_2024 +*34353 TAP_2025 +*34354 TAP_2026 +*34355 TAP_2027 +*34356 TAP_2028 +*34357 TAP_2029 +*34358 TAP_203 +*34359 TAP_2030 +*34360 TAP_2031 +*34361 TAP_2032 +*34362 TAP_2033 +*34363 TAP_2034 +*34364 TAP_2035 +*34365 TAP_2036 +*34366 TAP_2037 +*34367 TAP_2038 +*34368 TAP_2039 +*34369 TAP_204 +*34370 TAP_2040 +*34371 TAP_2041 +*34372 TAP_2042 +*34373 TAP_2043 +*34374 TAP_2044 +*34375 TAP_2045 +*34376 TAP_2046 +*34377 TAP_2047 +*34378 TAP_2048 +*34379 TAP_2049 +*34380 TAP_205 +*34381 TAP_2050 +*34382 TAP_2051 +*34383 TAP_2052 +*34384 TAP_2053 +*34385 TAP_2054 +*34386 TAP_2055 +*34387 TAP_2056 +*34388 TAP_2057 +*34389 TAP_2058 +*34390 TAP_2059 +*34391 TAP_206 +*34392 TAP_2060 +*34393 TAP_2061 +*34394 TAP_2062 +*34395 TAP_2063 +*34396 TAP_2064 +*34397 TAP_2065 +*34398 TAP_2066 +*34399 TAP_2067 +*34400 TAP_2068 +*34401 TAP_2069 +*34402 TAP_207 +*34403 TAP_2070 +*34404 TAP_2071 +*34405 TAP_2072 +*34406 TAP_2073 +*34407 TAP_2074 +*34408 TAP_2075 +*34409 TAP_2076 +*34410 TAP_2077 +*34411 TAP_2078 +*34412 TAP_2079 +*34413 TAP_208 +*34414 TAP_2080 +*34415 TAP_2081 +*34416 TAP_2082 +*34417 TAP_2083 +*34418 TAP_2084 +*34419 TAP_2085 +*34420 TAP_2086 +*34421 TAP_2087 +*34422 TAP_2088 +*34423 TAP_2089 +*34424 TAP_209 +*34425 TAP_2090 +*34426 TAP_2091 +*34427 TAP_2092 +*34428 TAP_2093 +*34429 TAP_2094 +*34430 TAP_2095 +*34431 TAP_2096 +*34432 TAP_2097 +*34433 TAP_2098 +*34434 TAP_2099 +*34435 TAP_210 +*34436 TAP_2100 +*34437 TAP_2101 +*34438 TAP_2102 +*34439 TAP_2103 +*34440 TAP_2104 +*34441 TAP_2105 +*34442 TAP_2106 +*34443 TAP_2107 +*34444 TAP_2108 +*34445 TAP_2109 +*34446 TAP_211 +*34447 TAP_2110 +*34448 TAP_2111 +*34449 TAP_2112 +*34450 TAP_2113 +*34451 TAP_2114 +*34452 TAP_2115 +*34453 TAP_2116 +*34454 TAP_2117 +*34455 TAP_2118 +*34456 TAP_2119 +*34457 TAP_212 +*34458 TAP_2120 +*34459 TAP_2121 +*34460 TAP_2122 +*34461 TAP_2123 +*34462 TAP_2124 +*34463 TAP_2125 +*34464 TAP_2126 +*34465 TAP_2127 +*34466 TAP_2128 +*34467 TAP_2129 +*34468 TAP_213 +*34469 TAP_2130 +*34470 TAP_2131 +*34471 TAP_2132 +*34472 TAP_2133 +*34473 TAP_2134 +*34474 TAP_2135 +*34475 TAP_2136 +*34476 TAP_2137 +*34477 TAP_2138 +*34478 TAP_2139 +*34479 TAP_214 +*34480 TAP_2140 +*34481 TAP_2141 +*34482 TAP_2142 +*34483 TAP_2143 +*34484 TAP_2144 +*34485 TAP_2145 +*34486 TAP_2146 +*34487 TAP_2147 +*34488 TAP_2148 +*34489 TAP_2149 +*34490 TAP_215 +*34491 TAP_2150 +*34492 TAP_2151 +*34493 TAP_2152 +*34494 TAP_2153 +*34495 TAP_2154 +*34496 TAP_2155 +*34497 TAP_2156 +*34498 TAP_2157 +*34499 TAP_2158 +*34500 TAP_2159 +*34501 TAP_216 +*34502 TAP_2160 +*34503 TAP_2161 +*34504 TAP_2162 +*34505 TAP_2163 +*34506 TAP_2164 +*34507 TAP_2165 +*34508 TAP_2166 +*34509 TAP_2167 +*34510 TAP_2168 +*34511 TAP_2169 +*34512 TAP_217 +*34513 TAP_2170 +*34514 TAP_2171 +*34515 TAP_2172 +*34516 TAP_2173 +*34517 TAP_2174 +*34518 TAP_2175 +*34519 TAP_2176 +*34520 TAP_2177 +*34521 TAP_2178 +*34522 TAP_2179 +*34523 TAP_218 +*34524 TAP_2180 +*34525 TAP_2181 +*34526 TAP_2182 +*34527 TAP_2183 +*34528 TAP_2184 +*34529 TAP_2185 +*34530 TAP_2186 +*34531 TAP_2187 +*34532 TAP_2188 +*34533 TAP_2189 +*34534 TAP_219 +*34535 TAP_2190 +*34536 TAP_2191 +*34537 TAP_2192 +*34538 TAP_2193 +*34539 TAP_2194 +*34540 TAP_2195 +*34541 TAP_2196 +*34542 TAP_2197 +*34543 TAP_2198 +*34544 TAP_2199 +*34545 TAP_220 +*34546 TAP_2200 +*34547 TAP_2201 +*34548 TAP_2202 +*34549 TAP_2203 +*34550 TAP_2204 +*34551 TAP_2205 +*34552 TAP_2206 +*34553 TAP_2207 +*34554 TAP_2208 +*34555 TAP_2209 +*34556 TAP_221 +*34557 TAP_2210 +*34558 TAP_2211 +*34559 TAP_2212 +*34560 TAP_2213 +*34561 TAP_2214 +*34562 TAP_2215 +*34563 TAP_2216 +*34564 TAP_2217 +*34565 TAP_2218 +*34566 TAP_2219 +*34567 TAP_222 +*34568 TAP_2220 +*34569 TAP_2221 +*34570 TAP_2222 +*34571 TAP_2223 +*34572 TAP_2224 +*34573 TAP_2225 +*34574 TAP_2226 +*34575 TAP_2227 +*34576 TAP_2228 +*34577 TAP_2229 +*34578 TAP_223 +*34579 TAP_2230 +*34580 TAP_2231 +*34581 TAP_2232 +*34582 TAP_2233 +*34583 TAP_2234 +*34584 TAP_2235 +*34585 TAP_2236 +*34586 TAP_2237 +*34587 TAP_2238 +*34588 TAP_2239 +*34589 TAP_224 +*34590 TAP_2240 +*34591 TAP_2241 +*34592 TAP_2242 +*34593 TAP_2243 +*34594 TAP_2244 +*34595 TAP_2245 +*34596 TAP_2246 +*34597 TAP_2247 +*34598 TAP_2248 +*34599 TAP_2249 +*34600 TAP_225 +*34601 TAP_2250 +*34602 TAP_2251 +*34603 TAP_2252 +*34604 TAP_2253 +*34605 TAP_2254 +*34606 TAP_2255 +*34607 TAP_2256 +*34608 TAP_2257 +*34609 TAP_2258 +*34610 TAP_2259 +*34611 TAP_226 +*34612 TAP_2260 +*34613 TAP_2261 +*34614 TAP_2262 +*34615 TAP_2263 +*34616 TAP_2264 +*34617 TAP_2265 +*34618 TAP_2266 +*34619 TAP_2267 +*34620 TAP_2268 +*34621 TAP_2269 +*34622 TAP_227 +*34623 TAP_2270 +*34624 TAP_2271 +*34625 TAP_2272 +*34626 TAP_2273 +*34627 TAP_2274 +*34628 TAP_2275 +*34629 TAP_2276 +*34630 TAP_2277 +*34631 TAP_2278 +*34632 TAP_2279 +*34633 TAP_228 +*34634 TAP_2280 +*34635 TAP_2281 +*34636 TAP_2282 +*34637 TAP_2283 +*34638 TAP_2284 +*34639 TAP_2285 +*34640 TAP_2286 +*34641 TAP_2287 +*34642 TAP_2288 +*34643 TAP_2289 +*34644 TAP_229 +*34645 TAP_2290 +*34646 TAP_2291 +*34647 TAP_2292 +*34648 TAP_2293 +*34649 TAP_2294 +*34650 TAP_2295 +*34651 TAP_2296 +*34652 TAP_2297 +*34653 TAP_2298 +*34654 TAP_2299 +*34655 TAP_230 +*34656 TAP_2300 +*34657 TAP_2301 +*34658 TAP_2302 +*34659 TAP_2303 +*34660 TAP_2304 +*34661 TAP_2305 +*34662 TAP_2306 +*34663 TAP_2307 +*34664 TAP_2308 +*34665 TAP_2309 +*34666 TAP_231 +*34667 TAP_2310 +*34668 TAP_2311 +*34669 TAP_2312 +*34670 TAP_2313 +*34671 TAP_2314 +*34672 TAP_2315 +*34673 TAP_2316 +*34674 TAP_2317 +*34675 TAP_2318 +*34676 TAP_2319 +*34677 TAP_232 +*34678 TAP_2320 +*34679 TAP_2321 +*34680 TAP_2322 +*34681 TAP_2323 +*34682 TAP_2324 +*34683 TAP_2325 +*34684 TAP_2326 +*34685 TAP_2327 +*34686 TAP_2328 +*34687 TAP_2329 +*34688 TAP_233 +*34689 TAP_2330 +*34690 TAP_2331 +*34691 TAP_2332 +*34692 TAP_2333 +*34693 TAP_2334 +*34694 TAP_2335 +*34695 TAP_2336 +*34696 TAP_2337 +*34697 TAP_2338 +*34698 TAP_2339 +*34699 TAP_234 +*34700 TAP_2340 +*34701 TAP_2341 +*34702 TAP_2342 +*34703 TAP_2343 +*34704 TAP_2344 +*34705 TAP_2345 +*34706 TAP_2346 +*34707 TAP_2347 +*34708 TAP_2348 +*34709 TAP_2349 +*34710 TAP_235 +*34711 TAP_2350 +*34712 TAP_2351 +*34713 TAP_2352 +*34714 TAP_2353 +*34715 TAP_2354 +*34716 TAP_2355 +*34717 TAP_2356 +*34718 TAP_2357 +*34719 TAP_2358 +*34720 TAP_2359 +*34721 TAP_236 +*34722 TAP_2360 +*34723 TAP_2361 +*34724 TAP_2362 +*34725 TAP_2363 +*34726 TAP_2364 +*34727 TAP_2365 +*34728 TAP_2366 +*34729 TAP_2367 +*34730 TAP_2368 +*34731 TAP_2369 +*34732 TAP_237 +*34733 TAP_2370 +*34734 TAP_2371 +*34735 TAP_2372 +*34736 TAP_2373 +*34737 TAP_2374 +*34738 TAP_2375 +*34739 TAP_2376 +*34740 TAP_2377 +*34741 TAP_2378 +*34742 TAP_2379 +*34743 TAP_238 +*34744 TAP_2380 +*34745 TAP_2381 +*34746 TAP_2382 +*34747 TAP_2383 +*34748 TAP_2384 +*34749 TAP_2385 +*34750 TAP_2386 +*34751 TAP_2387 +*34752 TAP_2388 +*34753 TAP_2389 +*34754 TAP_239 +*34755 TAP_2390 +*34756 TAP_2391 +*34757 TAP_2392 +*34758 TAP_2393 +*34759 TAP_2394 +*34760 TAP_2395 +*34761 TAP_2396 +*34762 TAP_2397 +*34763 TAP_2398 +*34764 TAP_2399 +*34765 TAP_240 +*34766 TAP_2400 +*34767 TAP_2401 +*34768 TAP_2402 +*34769 TAP_2403 +*34770 TAP_2404 +*34771 TAP_2405 +*34772 TAP_2406 +*34773 TAP_2407 +*34774 TAP_2408 +*34775 TAP_2409 +*34776 TAP_241 +*34777 TAP_2410 +*34778 TAP_2411 +*34779 TAP_2412 +*34780 TAP_2413 +*34781 TAP_2414 +*34782 TAP_2415 +*34783 TAP_2416 +*34784 TAP_2417 +*34785 TAP_2418 +*34786 TAP_2419 +*34787 TAP_242 +*34788 TAP_2420 +*34789 TAP_2421 +*34790 TAP_2422 +*34791 TAP_2423 +*34792 TAP_2424 +*34793 TAP_2425 +*34794 TAP_2426 +*34795 TAP_2427 +*34796 TAP_2428 +*34797 TAP_2429 +*34798 TAP_243 +*34799 TAP_2430 +*34800 TAP_2431 +*34801 TAP_2432 +*34802 TAP_2433 +*34803 TAP_2434 +*34804 TAP_2435 +*34805 TAP_2436 +*34806 TAP_2437 +*34807 TAP_2438 +*34808 TAP_2439 +*34809 TAP_244 +*34810 TAP_2440 +*34811 TAP_2441 +*34812 TAP_2442 +*34813 TAP_2443 +*34814 TAP_2444 +*34815 TAP_2445 +*34816 TAP_2446 +*34817 TAP_2447 +*34818 TAP_2448 +*34819 TAP_2449 +*34820 TAP_245 +*34821 TAP_2450 +*34822 TAP_2451 +*34823 TAP_2452 +*34824 TAP_2453 +*34825 TAP_2454 +*34826 TAP_2455 +*34827 TAP_2456 +*34828 TAP_2457 +*34829 TAP_2458 +*34830 TAP_2459 +*34831 TAP_246 +*34832 TAP_2460 +*34833 TAP_2461 +*34834 TAP_2462 +*34835 TAP_2463 +*34836 TAP_2464 +*34837 TAP_2465 +*34838 TAP_2466 +*34839 TAP_2467 +*34840 TAP_2468 +*34841 TAP_2469 +*34842 TAP_247 +*34843 TAP_2470 +*34844 TAP_2471 +*34845 TAP_2472 +*34846 TAP_2473 +*34847 TAP_2474 +*34848 TAP_2475 +*34849 TAP_2476 +*34850 TAP_2477 +*34851 TAP_2478 +*34852 TAP_2479 +*34853 TAP_248 +*34854 TAP_2480 +*34855 TAP_2481 +*34856 TAP_2482 +*34857 TAP_2483 +*34858 TAP_2484 +*34859 TAP_2485 +*34860 TAP_2486 +*34861 TAP_2487 +*34862 TAP_2488 +*34863 TAP_2489 +*34864 TAP_249 +*34865 TAP_2490 +*34866 TAP_2491 +*34867 TAP_2492 +*34868 TAP_2493 +*34869 TAP_2494 +*34870 TAP_2495 +*34871 TAP_2496 +*34872 TAP_2497 +*34873 TAP_2498 +*34874 TAP_2499 +*34875 TAP_250 +*34876 TAP_2500 +*34877 TAP_2501 +*34878 TAP_2502 +*34879 TAP_2503 +*34880 TAP_2504 +*34881 TAP_2505 +*34882 TAP_2506 +*34883 TAP_2507 +*34884 TAP_2508 +*34885 TAP_2509 +*34886 TAP_251 +*34887 TAP_2510 +*34888 TAP_2511 +*34889 TAP_2512 +*34890 TAP_2513 +*34891 TAP_2514 +*34892 TAP_2515 +*34893 TAP_2516 +*34894 TAP_2517 +*34895 TAP_2518 +*34896 TAP_2519 +*34897 TAP_252 +*34898 TAP_2520 +*34899 TAP_2521 +*34900 TAP_2522 +*34901 TAP_2523 +*34902 TAP_2524 +*34903 TAP_2525 +*34904 TAP_2526 +*34905 TAP_2527 +*34906 TAP_2528 +*34907 TAP_2529 +*34908 TAP_253 +*34909 TAP_2530 +*34910 TAP_2531 +*34911 TAP_2532 +*34912 TAP_2533 +*34913 TAP_2534 +*34914 TAP_2535 +*34915 TAP_2536 +*34916 TAP_2537 +*34917 TAP_2538 +*34918 TAP_2539 +*34919 TAP_254 +*34920 TAP_2540 +*34921 TAP_2541 +*34922 TAP_2542 +*34923 TAP_2543 +*34924 TAP_2544 +*34925 TAP_2545 +*34926 TAP_2546 +*34927 TAP_2547 +*34928 TAP_2548 +*34929 TAP_2549 +*34930 TAP_255 +*34931 TAP_2550 +*34932 TAP_2551 +*34933 TAP_2552 +*34934 TAP_2553 +*34935 TAP_2554 +*34936 TAP_2555 +*34937 TAP_2556 +*34938 TAP_2557 +*34939 TAP_2558 +*34940 TAP_2559 +*34941 TAP_256 +*34942 TAP_2560 +*34943 TAP_2561 +*34944 TAP_2562 +*34945 TAP_2563 +*34946 TAP_2564 +*34947 TAP_2565 +*34948 TAP_2566 +*34949 TAP_2567 +*34950 TAP_2568 +*34951 TAP_2569 +*34952 TAP_257 +*34953 TAP_2570 +*34954 TAP_2571 +*34955 TAP_2572 +*34956 TAP_2573 +*34957 TAP_2574 +*34958 TAP_2575 +*34959 TAP_2576 +*34960 TAP_2577 +*34961 TAP_2578 +*34962 TAP_2579 +*34963 TAP_258 +*34964 TAP_2580 +*34965 TAP_2581 +*34966 TAP_2582 +*34967 TAP_2583 +*34968 TAP_2584 +*34969 TAP_2585 +*34970 TAP_2586 +*34971 TAP_2587 +*34972 TAP_2588 +*34973 TAP_2589 +*34974 TAP_259 +*34975 TAP_2590 +*34976 TAP_2591 +*34977 TAP_2592 +*34978 TAP_2593 +*34979 TAP_2594 +*34980 TAP_2595 +*34981 TAP_2596 +*34982 TAP_2597 +*34983 TAP_2598 +*34984 TAP_2599 +*34985 TAP_260 +*34986 TAP_2600 +*34987 TAP_2601 +*34988 TAP_2602 +*34989 TAP_2603 +*34990 TAP_2604 +*34991 TAP_2605 +*34992 TAP_2606 +*34993 TAP_2607 +*34994 TAP_2608 +*34995 TAP_2609 +*34996 TAP_261 +*34997 TAP_2610 +*34998 TAP_2611 +*34999 TAP_2612 +*35000 TAP_2613 +*35001 TAP_2614 +*35002 TAP_2615 +*35003 TAP_2616 +*35004 TAP_2617 +*35005 TAP_2618 +*35006 TAP_2619 +*35007 TAP_262 +*35008 TAP_2620 +*35009 TAP_2621 +*35010 TAP_2622 +*35011 TAP_2623 +*35012 TAP_2624 +*35013 TAP_2625 +*35014 TAP_2626 +*35015 TAP_2627 +*35016 TAP_2628 +*35017 TAP_2629 +*35018 TAP_263 +*35019 TAP_2630 +*35020 TAP_2631 +*35021 TAP_2632 +*35022 TAP_2633 +*35023 TAP_2634 +*35024 TAP_2635 +*35025 TAP_2636 +*35026 TAP_2637 +*35027 TAP_2638 +*35028 TAP_2639 +*35029 TAP_264 +*35030 TAP_2640 +*35031 TAP_2641 +*35032 TAP_2642 +*35033 TAP_2643 +*35034 TAP_2644 +*35035 TAP_2645 +*35036 TAP_2646 +*35037 TAP_2647 +*35038 TAP_2648 +*35039 TAP_2649 +*35040 TAP_265 +*35041 TAP_2650 +*35042 TAP_2651 +*35043 TAP_2652 +*35044 TAP_2653 +*35045 TAP_2654 +*35046 TAP_2655 +*35047 TAP_2656 +*35048 TAP_2657 +*35049 TAP_2658 +*35050 TAP_2659 +*35051 TAP_266 +*35052 TAP_2660 +*35053 TAP_2661 +*35054 TAP_2662 +*35055 TAP_2663 +*35056 TAP_2664 +*35057 TAP_2665 +*35058 TAP_2666 +*35059 TAP_2667 +*35060 TAP_2668 +*35061 TAP_2669 +*35062 TAP_267 +*35063 TAP_2670 +*35064 TAP_2671 +*35065 TAP_2672 +*35066 TAP_2673 +*35067 TAP_2674 +*35068 TAP_2675 +*35069 TAP_2676 +*35070 TAP_2677 +*35071 TAP_2678 +*35072 TAP_2679 +*35073 TAP_268 +*35074 TAP_2680 +*35075 TAP_2681 +*35076 TAP_2682 +*35077 TAP_2683 +*35078 TAP_2684 +*35079 TAP_2685 +*35080 TAP_2686 +*35081 TAP_2687 +*35082 TAP_2688 +*35083 TAP_2689 +*35084 TAP_269 +*35085 TAP_2690 +*35086 TAP_2691 +*35087 TAP_2692 +*35088 TAP_2693 +*35089 TAP_2694 +*35090 TAP_2695 +*35091 TAP_2696 +*35092 TAP_2697 +*35093 TAP_2698 +*35094 TAP_2699 +*35095 TAP_270 +*35096 TAP_2700 +*35097 TAP_2701 +*35098 TAP_2702 +*35099 TAP_2703 +*35100 TAP_2704 +*35101 TAP_2705 +*35102 TAP_2706 +*35103 TAP_2707 +*35104 TAP_2708 +*35105 TAP_2709 +*35106 TAP_271 +*35107 TAP_2710 +*35108 TAP_2711 +*35109 TAP_2712 +*35110 TAP_2713 +*35111 TAP_2714 +*35112 TAP_2715 +*35113 TAP_2716 +*35114 TAP_2717 +*35115 TAP_2718 +*35116 TAP_2719 +*35117 TAP_272 +*35118 TAP_2720 +*35119 TAP_2721 +*35120 TAP_2722 +*35121 TAP_2723 +*35122 TAP_2724 +*35123 TAP_2725 +*35124 TAP_2726 +*35125 TAP_2727 +*35126 TAP_2728 +*35127 TAP_2729 +*35128 TAP_273 +*35129 TAP_2730 +*35130 TAP_2731 +*35131 TAP_2732 +*35132 TAP_2733 +*35133 TAP_2734 +*35134 TAP_2735 +*35135 TAP_2736 +*35136 TAP_2737 +*35137 TAP_2738 +*35138 TAP_2739 +*35139 TAP_274 +*35140 TAP_2740 +*35141 TAP_2741 +*35142 TAP_2742 +*35143 TAP_2743 +*35144 TAP_2744 +*35145 TAP_2745 +*35146 TAP_2746 +*35147 TAP_2747 +*35148 TAP_2748 +*35149 TAP_2749 +*35150 TAP_275 +*35151 TAP_2750 +*35152 TAP_2751 +*35153 TAP_2752 +*35154 TAP_2753 +*35155 TAP_2754 +*35156 TAP_2755 +*35157 TAP_2756 +*35158 TAP_2757 +*35159 TAP_2758 +*35160 TAP_2759 +*35161 TAP_276 +*35162 TAP_2760 +*35163 TAP_2761 +*35164 TAP_2762 +*35165 TAP_2763 +*35166 TAP_2764 +*35167 TAP_2765 +*35168 TAP_2766 +*35169 TAP_2767 +*35170 TAP_2768 +*35171 TAP_2769 +*35172 TAP_277 +*35173 TAP_2770 +*35174 TAP_2771 +*35175 TAP_2772 +*35176 TAP_2773 +*35177 TAP_2774 +*35178 TAP_2775 +*35179 TAP_2776 +*35180 TAP_2777 +*35181 TAP_2778 +*35182 TAP_2779 +*35183 TAP_278 +*35184 TAP_2780 +*35185 TAP_2781 +*35186 TAP_2782 +*35187 TAP_2783 +*35188 TAP_2784 +*35189 TAP_2785 +*35190 TAP_2786 +*35191 TAP_2787 +*35192 TAP_2788 +*35193 TAP_2789 +*35194 TAP_279 +*35195 TAP_2790 +*35196 TAP_2791 +*35197 TAP_2792 +*35198 TAP_2793 +*35199 TAP_2794 +*35200 TAP_2795 +*35201 TAP_2796 +*35202 TAP_2797 +*35203 TAP_2798 +*35204 TAP_2799 +*35205 TAP_280 +*35206 TAP_2800 +*35207 TAP_2801 +*35208 TAP_2802 +*35209 TAP_2803 +*35210 TAP_2804 +*35211 TAP_2805 +*35212 TAP_2806 +*35213 TAP_2807 +*35214 TAP_2808 +*35215 TAP_2809 +*35216 TAP_281 +*35217 TAP_2810 +*35218 TAP_2811 +*35219 TAP_2812 +*35220 TAP_2813 +*35221 TAP_2814 +*35222 TAP_2815 +*35223 TAP_2816 +*35224 TAP_2817 +*35225 TAP_2818 +*35226 TAP_2819 +*35227 TAP_282 +*35228 TAP_2820 +*35229 TAP_2821 +*35230 TAP_2822 +*35231 TAP_2823 +*35232 TAP_2824 +*35233 TAP_2825 +*35234 TAP_2826 +*35235 TAP_2827 +*35236 TAP_2828 +*35237 TAP_2829 +*35238 TAP_283 +*35239 TAP_2830 +*35240 TAP_2831 +*35241 TAP_2832 +*35242 TAP_2833 +*35243 TAP_2834 +*35244 TAP_2835 +*35245 TAP_2836 +*35246 TAP_2837 +*35247 TAP_2838 +*35248 TAP_2839 +*35249 TAP_284 +*35250 TAP_2840 +*35251 TAP_2841 +*35252 TAP_2842 +*35253 TAP_2843 +*35254 TAP_2844 +*35255 TAP_2845 +*35256 TAP_2846 +*35257 TAP_2847 +*35258 TAP_2848 +*35259 TAP_2849 +*35260 TAP_285 +*35261 TAP_2850 +*35262 TAP_2851 +*35263 TAP_2852 +*35264 TAP_2853 +*35265 TAP_2854 +*35266 TAP_2855 +*35267 TAP_2856 +*35268 TAP_2857 +*35269 TAP_2858 +*35270 TAP_2859 +*35271 TAP_286 +*35272 TAP_2860 +*35273 TAP_2861 +*35274 TAP_2862 +*35275 TAP_2863 +*35276 TAP_2864 +*35277 TAP_2865 +*35278 TAP_2866 +*35279 TAP_2867 +*35280 TAP_2868 +*35281 TAP_2869 +*35282 TAP_287 +*35283 TAP_2870 +*35284 TAP_2871 +*35285 TAP_2872 +*35286 TAP_2873 +*35287 TAP_2874 +*35288 TAP_2875 +*35289 TAP_2876 +*35290 TAP_2877 +*35291 TAP_2878 +*35292 TAP_2879 +*35293 TAP_288 +*35294 TAP_2880 +*35295 TAP_2881 +*35296 TAP_2882 +*35297 TAP_2883 +*35298 TAP_2884 +*35299 TAP_2885 +*35300 TAP_2886 +*35301 TAP_2887 +*35302 TAP_2888 +*35303 TAP_2889 +*35304 TAP_289 +*35305 TAP_2890 +*35306 TAP_2891 +*35307 TAP_2892 +*35308 TAP_2893 +*35309 TAP_2894 +*35310 TAP_2895 +*35311 TAP_2896 +*35312 TAP_2897 +*35313 TAP_2898 +*35314 TAP_2899 +*35315 TAP_290 +*35316 TAP_2900 +*35317 TAP_2901 +*35318 TAP_2902 +*35319 TAP_2903 +*35320 TAP_2904 +*35321 TAP_2905 +*35322 TAP_2906 +*35323 TAP_2907 +*35324 TAP_2908 +*35325 TAP_2909 +*35326 TAP_291 +*35327 TAP_2910 +*35328 TAP_2911 +*35329 TAP_2912 +*35330 TAP_2913 +*35331 TAP_2914 +*35332 TAP_2915 +*35333 TAP_2916 +*35334 TAP_2917 +*35335 TAP_2918 +*35336 TAP_2919 +*35337 TAP_292 +*35338 TAP_2920 +*35339 TAP_2921 +*35340 TAP_2922 +*35341 TAP_2923 +*35342 TAP_2924 +*35343 TAP_2925 +*35344 TAP_2926 +*35345 TAP_2927 +*35346 TAP_2928 +*35347 TAP_2929 +*35348 TAP_293 +*35349 TAP_2930 +*35350 TAP_2931 +*35351 TAP_2932 +*35352 TAP_2933 +*35353 TAP_2934 +*35354 TAP_2935 +*35355 TAP_2936 +*35356 TAP_2937 +*35357 TAP_2938 +*35358 TAP_2939 +*35359 TAP_294 +*35360 TAP_2940 +*35361 TAP_2941 +*35362 TAP_2942 +*35363 TAP_2943 +*35364 TAP_2944 +*35365 TAP_2945 +*35366 TAP_2946 +*35367 TAP_2947 +*35368 TAP_2948 +*35369 TAP_2949 +*35370 TAP_295 +*35371 TAP_2950 +*35372 TAP_2951 +*35373 TAP_2952 +*35374 TAP_2953 +*35375 TAP_2954 +*35376 TAP_2955 +*35377 TAP_2956 +*35378 TAP_2957 +*35379 TAP_2958 +*35380 TAP_2959 +*35381 TAP_296 +*35382 TAP_2960 +*35383 TAP_2961 +*35384 TAP_2962 +*35385 TAP_2963 +*35386 TAP_2964 +*35387 TAP_2965 +*35388 TAP_2966 +*35389 TAP_2967 +*35390 TAP_2968 +*35391 TAP_2969 +*35392 TAP_297 +*35393 TAP_2970 +*35394 TAP_2971 +*35395 TAP_2972 +*35396 TAP_2973 +*35397 TAP_2974 +*35398 TAP_2975 +*35399 TAP_2976 +*35400 TAP_2977 +*35401 TAP_2978 +*35402 TAP_2979 +*35403 TAP_298 +*35404 TAP_2980 +*35405 TAP_2981 +*35406 TAP_2982 +*35407 TAP_2983 +*35408 TAP_2984 +*35409 TAP_2985 +*35410 TAP_2986 +*35411 TAP_2987 +*35412 TAP_2988 +*35413 TAP_2989 +*35414 TAP_299 +*35415 TAP_2990 +*35416 TAP_2991 +*35417 TAP_2992 +*35418 TAP_2993 +*35419 TAP_2994 +*35420 TAP_2995 +*35421 TAP_2996 +*35422 TAP_2997 +*35423 TAP_2998 +*35424 TAP_2999 +*35425 TAP_300 +*35426 TAP_3000 +*35427 TAP_3001 +*35428 TAP_3002 +*35429 TAP_3003 +*35430 TAP_3004 +*35431 TAP_3005 +*35432 TAP_3006 +*35433 TAP_3007 +*35434 TAP_3008 +*35435 TAP_3009 +*35436 TAP_301 +*35437 TAP_3010 +*35438 TAP_3011 +*35439 TAP_3012 +*35440 TAP_3013 +*35441 TAP_3014 +*35442 TAP_3015 +*35443 TAP_3016 +*35444 TAP_3017 +*35445 TAP_3018 +*35446 TAP_3019 +*35447 TAP_302 +*35448 TAP_3020 +*35449 TAP_3021 +*35450 TAP_3022 +*35451 TAP_3023 +*35452 TAP_3024 +*35453 TAP_3025 +*35454 TAP_3026 +*35455 TAP_3027 +*35456 TAP_3028 +*35457 TAP_3029 +*35458 TAP_303 +*35459 TAP_3030 +*35460 TAP_3031 +*35461 TAP_3032 +*35462 TAP_3033 +*35463 TAP_3034 +*35464 TAP_3035 +*35465 TAP_3036 +*35466 TAP_3037 +*35467 TAP_3038 +*35468 TAP_3039 +*35469 TAP_304 +*35470 TAP_3040 +*35471 TAP_3041 +*35472 TAP_3042 +*35473 TAP_3043 +*35474 TAP_3044 +*35475 TAP_3045 +*35476 TAP_3046 +*35477 TAP_3047 +*35478 TAP_3048 +*35479 TAP_3049 +*35480 TAP_305 +*35481 TAP_3050 +*35482 TAP_3051 +*35483 TAP_3052 +*35484 TAP_3053 +*35485 TAP_3054 +*35486 TAP_3055 +*35487 TAP_3056 +*35488 TAP_3057 +*35489 TAP_3058 +*35490 TAP_3059 +*35491 TAP_306 +*35492 TAP_3060 +*35493 TAP_3061 +*35494 TAP_3062 +*35495 TAP_3063 +*35496 TAP_3064 +*35497 TAP_3065 +*35498 TAP_3066 +*35499 TAP_3067 +*35500 TAP_3068 +*35501 TAP_3069 +*35502 TAP_307 +*35503 TAP_3070 +*35504 TAP_3071 +*35505 TAP_3072 +*35506 TAP_3073 +*35507 TAP_3074 +*35508 TAP_3075 +*35509 TAP_3076 +*35510 TAP_3077 +*35511 TAP_3078 +*35512 TAP_3079 +*35513 TAP_308 +*35514 TAP_3080 +*35515 TAP_3081 +*35516 TAP_3082 +*35517 TAP_3083 +*35518 TAP_3084 +*35519 TAP_3085 +*35520 TAP_3086 +*35521 TAP_3087 +*35522 TAP_3088 +*35523 TAP_3089 +*35524 TAP_309 +*35525 TAP_3090 +*35526 TAP_3091 +*35527 TAP_3092 +*35528 TAP_3093 +*35529 TAP_3094 +*35530 TAP_3095 +*35531 TAP_3096 +*35532 TAP_3097 +*35533 TAP_3098 +*35534 TAP_3099 +*35535 TAP_310 +*35536 TAP_3100 +*35537 TAP_3101 +*35538 TAP_3102 +*35539 TAP_3103 +*35540 TAP_3104 +*35541 TAP_3105 +*35542 TAP_3106 +*35543 TAP_3107 +*35544 TAP_3108 +*35545 TAP_3109 +*35546 TAP_311 +*35547 TAP_3110 +*35548 TAP_3111 +*35549 TAP_3112 +*35550 TAP_3113 +*35551 TAP_3114 +*35552 TAP_3115 +*35553 TAP_3116 +*35554 TAP_3117 +*35555 TAP_3118 +*35556 TAP_3119 +*35557 TAP_312 +*35558 TAP_3120 +*35559 TAP_3121 +*35560 TAP_3122 +*35561 TAP_3123 +*35562 TAP_3124 +*35563 TAP_3125 +*35564 TAP_3126 +*35565 TAP_3127 +*35566 TAP_3128 +*35567 TAP_3129 +*35568 TAP_313 +*35569 TAP_3130 +*35570 TAP_3131 +*35571 TAP_3132 +*35572 TAP_3133 +*35573 TAP_3134 +*35574 TAP_3135 +*35575 TAP_3136 +*35576 TAP_3137 +*35577 TAP_3138 +*35578 TAP_3139 +*35579 TAP_314 +*35580 TAP_3140 +*35581 TAP_3141 +*35582 TAP_3142 +*35583 TAP_3143 +*35584 TAP_3144 +*35585 TAP_3145 +*35586 TAP_3146 +*35587 TAP_3147 +*35588 TAP_3148 +*35589 TAP_3149 +*35590 TAP_315 +*35591 TAP_3150 +*35592 TAP_3151 +*35593 TAP_3152 +*35594 TAP_3153 +*35595 TAP_3154 +*35596 TAP_3155 +*35597 TAP_3156 +*35598 TAP_3157 +*35599 TAP_3158 +*35600 TAP_3159 +*35601 TAP_316 +*35602 TAP_3160 +*35603 TAP_3161 +*35604 TAP_3162 +*35605 TAP_3163 +*35606 TAP_3164 +*35607 TAP_3165 +*35608 TAP_3166 +*35609 TAP_3167 +*35610 TAP_3168 +*35611 TAP_3169 +*35612 TAP_317 +*35613 TAP_3170 +*35614 TAP_3171 +*35615 TAP_3172 +*35616 TAP_3173 +*35617 TAP_3174 +*35618 TAP_3175 +*35619 TAP_3176 +*35620 TAP_3177 +*35621 TAP_3178 +*35622 TAP_3179 +*35623 TAP_318 +*35624 TAP_3180 +*35625 TAP_3181 +*35626 TAP_3182 +*35627 TAP_3183 +*35628 TAP_3184 +*35629 TAP_3185 +*35630 TAP_3186 +*35631 TAP_3187 +*35632 TAP_3188 +*35633 TAP_3189 +*35634 TAP_319 +*35635 TAP_3190 +*35636 TAP_3191 +*35637 TAP_3192 +*35638 TAP_3193 +*35639 TAP_3194 +*35640 TAP_3195 +*35641 TAP_3196 +*35642 TAP_3197 +*35643 TAP_3198 +*35644 TAP_3199 +*35645 TAP_320 +*35646 TAP_3200 +*35647 TAP_3201 +*35648 TAP_3202 +*35649 TAP_3203 +*35650 TAP_3204 +*35651 TAP_3205 +*35652 TAP_3206 +*35653 TAP_3207 +*35654 TAP_3208 +*35655 TAP_3209 +*35656 TAP_321 +*35657 TAP_3210 +*35658 TAP_3211 +*35659 TAP_3212 +*35660 TAP_3213 +*35661 TAP_3214 +*35662 TAP_3215 +*35663 TAP_3216 +*35664 TAP_3217 +*35665 TAP_3218 +*35666 TAP_3219 +*35667 TAP_322 +*35668 TAP_3220 +*35669 TAP_3221 +*35670 TAP_3222 +*35671 TAP_3223 +*35672 TAP_3224 +*35673 TAP_3225 +*35674 TAP_3226 +*35675 TAP_3227 +*35676 TAP_3228 +*35677 TAP_3229 +*35678 TAP_323 +*35679 TAP_3230 +*35680 TAP_3231 +*35681 TAP_3232 +*35682 TAP_3233 +*35683 TAP_3234 +*35684 TAP_3235 +*35685 TAP_3236 +*35686 TAP_3237 +*35687 TAP_3238 +*35688 TAP_3239 +*35689 TAP_324 +*35690 TAP_3240 +*35691 TAP_3241 +*35692 TAP_3242 +*35693 TAP_3243 +*35694 TAP_3244 +*35695 TAP_3245 +*35696 TAP_3246 +*35697 TAP_3247 +*35698 TAP_3248 +*35699 TAP_3249 +*35700 TAP_325 +*35701 TAP_3250 +*35702 TAP_3251 +*35703 TAP_3252 +*35704 TAP_3253 +*35705 TAP_3254 +*35706 TAP_3255 +*35707 TAP_3256 +*35708 TAP_3257 +*35709 TAP_3258 +*35710 TAP_3259 +*35711 TAP_326 +*35712 TAP_3260 +*35713 TAP_3261 +*35714 TAP_3262 +*35715 TAP_3263 +*35716 TAP_3264 +*35717 TAP_3265 +*35718 TAP_3266 +*35719 TAP_3267 +*35720 TAP_3268 +*35721 TAP_3269 +*35722 TAP_327 +*35723 TAP_3270 +*35724 TAP_3271 +*35725 TAP_3272 +*35726 TAP_3273 +*35727 TAP_3274 +*35728 TAP_3275 +*35729 TAP_3276 +*35730 TAP_3277 +*35731 TAP_3278 +*35732 TAP_3279 +*35733 TAP_328 +*35734 TAP_3280 +*35735 TAP_3281 +*35736 TAP_3282 +*35737 TAP_3283 +*35738 TAP_3284 +*35739 TAP_3285 +*35740 TAP_3286 +*35741 TAP_3287 +*35742 TAP_3288 +*35743 TAP_3289 +*35744 TAP_329 +*35745 TAP_3290 +*35746 TAP_3291 +*35747 TAP_3292 +*35748 TAP_3293 +*35749 TAP_3294 +*35750 TAP_3295 +*35751 TAP_3296 +*35752 TAP_3297 +*35753 TAP_3298 +*35754 TAP_3299 +*35755 TAP_330 +*35756 TAP_3300 +*35757 TAP_3301 +*35758 TAP_3302 +*35759 TAP_3303 +*35760 TAP_3304 +*35761 TAP_3305 +*35762 TAP_3306 +*35763 TAP_3307 +*35764 TAP_3308 +*35765 TAP_3309 +*35766 TAP_331 +*35767 TAP_3310 +*35768 TAP_3311 +*35769 TAP_3312 +*35770 TAP_3313 +*35771 TAP_3314 +*35772 TAP_3315 +*35773 TAP_3316 +*35774 TAP_3317 +*35775 TAP_3318 +*35776 TAP_3319 +*35777 TAP_332 +*35778 TAP_3320 +*35779 TAP_3321 +*35780 TAP_3322 +*35781 TAP_3323 +*35782 TAP_3324 +*35783 TAP_3325 +*35784 TAP_3326 +*35785 TAP_3327 +*35786 TAP_3328 +*35787 TAP_3329 +*35788 TAP_333 +*35789 TAP_3330 +*35790 TAP_3331 +*35791 TAP_3332 +*35792 TAP_3333 +*35793 TAP_3334 +*35794 TAP_3335 +*35795 TAP_3336 +*35796 TAP_3337 +*35797 TAP_3338 +*35798 TAP_3339 +*35799 TAP_334 +*35800 TAP_3340 +*35801 TAP_3341 +*35802 TAP_3342 +*35803 TAP_3343 +*35804 TAP_3344 +*35805 TAP_3345 +*35806 TAP_3346 +*35807 TAP_3347 +*35808 TAP_3348 +*35809 TAP_3349 +*35810 TAP_335 +*35811 TAP_3350 +*35812 TAP_3351 +*35813 TAP_3352 +*35814 TAP_3353 +*35815 TAP_3354 +*35816 TAP_3355 +*35817 TAP_3356 +*35818 TAP_3357 +*35819 TAP_3358 +*35820 TAP_3359 +*35821 TAP_336 +*35822 TAP_3360 +*35823 TAP_3361 +*35824 TAP_3362 +*35825 TAP_3363 +*35826 TAP_3364 +*35827 TAP_3365 +*35828 TAP_3366 +*35829 TAP_3367 +*35830 TAP_3368 +*35831 TAP_3369 +*35832 TAP_337 +*35833 TAP_3370 +*35834 TAP_3371 +*35835 TAP_3372 +*35836 TAP_3373 +*35837 TAP_3374 +*35838 TAP_3375 +*35839 TAP_3376 +*35840 TAP_3377 +*35841 TAP_3378 +*35842 TAP_3379 +*35843 TAP_338 +*35844 TAP_3380 +*35845 TAP_3381 +*35846 TAP_3382 +*35847 TAP_3383 +*35848 TAP_3384 +*35849 TAP_3385 +*35850 TAP_3386 +*35851 TAP_3387 +*35852 TAP_3388 +*35853 TAP_3389 +*35854 TAP_339 +*35855 TAP_3390 +*35856 TAP_3391 +*35857 TAP_3392 +*35858 TAP_3393 +*35859 TAP_3394 +*35860 TAP_3395 +*35861 TAP_3396 +*35862 TAP_3397 +*35863 TAP_3398 +*35864 TAP_3399 +*35865 TAP_340 +*35866 TAP_3400 +*35867 TAP_3401 +*35868 TAP_3402 +*35869 TAP_3403 +*35870 TAP_3404 +*35871 TAP_3405 +*35872 TAP_3406 +*35873 TAP_3407 +*35874 TAP_3408 +*35875 TAP_3409 +*35876 TAP_341 +*35877 TAP_3410 +*35878 TAP_3411 +*35879 TAP_3412 +*35880 TAP_3413 +*35881 TAP_3414 +*35882 TAP_3415 +*35883 TAP_3416 +*35884 TAP_3417 +*35885 TAP_3418 +*35886 TAP_3419 +*35887 TAP_342 +*35888 TAP_3420 +*35889 TAP_3421 +*35890 TAP_3422 +*35891 TAP_3423 +*35892 TAP_3424 +*35893 TAP_3425 +*35894 TAP_3426 +*35895 TAP_3427 +*35896 TAP_3428 +*35897 TAP_3429 +*35898 TAP_343 +*35899 TAP_3430 +*35900 TAP_3431 +*35901 TAP_3432 +*35902 TAP_3433 +*35903 TAP_3434 +*35904 TAP_3435 +*35905 TAP_3436 +*35906 TAP_3437 +*35907 TAP_3438 +*35908 TAP_3439 +*35909 TAP_344 +*35910 TAP_3440 +*35911 TAP_3441 +*35912 TAP_3442 +*35913 TAP_3443 +*35914 TAP_3444 +*35915 TAP_3445 +*35916 TAP_3446 +*35917 TAP_3447 +*35918 TAP_3448 +*35919 TAP_3449 +*35920 TAP_345 +*35921 TAP_3450 +*35922 TAP_3451 +*35923 TAP_3452 +*35924 TAP_3453 +*35925 TAP_3454 +*35926 TAP_3455 +*35927 TAP_3456 +*35928 TAP_3457 +*35929 TAP_3458 +*35930 TAP_3459 +*35931 TAP_346 +*35932 TAP_3460 +*35933 TAP_3461 +*35934 TAP_3462 +*35935 TAP_3463 +*35936 TAP_3464 +*35937 TAP_3465 +*35938 TAP_3466 +*35939 TAP_3467 +*35940 TAP_3468 +*35941 TAP_3469 +*35942 TAP_347 +*35943 TAP_3470 +*35944 TAP_3471 +*35945 TAP_3472 +*35946 TAP_3473 +*35947 TAP_3474 +*35948 TAP_3475 +*35949 TAP_3476 +*35950 TAP_3477 +*35951 TAP_3478 +*35952 TAP_3479 +*35953 TAP_348 +*35954 TAP_3480 +*35955 TAP_3481 +*35956 TAP_3482 +*35957 TAP_3483 +*35958 TAP_3484 +*35959 TAP_3485 +*35960 TAP_3486 +*35961 TAP_3487 +*35962 TAP_3488 +*35963 TAP_3489 +*35964 TAP_349 +*35965 TAP_3490 +*35966 TAP_3491 +*35967 TAP_3492 +*35968 TAP_3493 +*35969 TAP_3494 +*35970 TAP_3495 +*35971 TAP_3496 +*35972 TAP_3497 +*35973 TAP_3498 +*35974 TAP_3499 +*35975 TAP_350 +*35976 TAP_3500 +*35977 TAP_3501 +*35978 TAP_3502 +*35979 TAP_3503 +*35980 TAP_3504 +*35981 TAP_3505 +*35982 TAP_3506 +*35983 TAP_3507 +*35984 TAP_3508 +*35985 TAP_3509 +*35986 TAP_351 +*35987 TAP_3510 +*35988 TAP_3511 +*35989 TAP_3512 +*35990 TAP_3513 +*35991 TAP_3514 +*35992 TAP_3515 +*35993 TAP_3516 +*35994 TAP_3517 +*35995 TAP_3518 +*35996 TAP_3519 +*35997 TAP_352 +*35998 TAP_3520 +*35999 TAP_3521 +*36000 TAP_3522 +*36001 TAP_3523 +*36002 TAP_3524 +*36003 TAP_3525 +*36004 TAP_3526 +*36005 TAP_3527 +*36006 TAP_3528 +*36007 TAP_3529 +*36008 TAP_353 +*36009 TAP_3530 +*36010 TAP_3531 +*36011 TAP_3532 +*36012 TAP_3533 +*36013 TAP_3534 +*36014 TAP_3535 +*36015 TAP_3536 +*36016 TAP_3537 +*36017 TAP_3538 +*36018 TAP_3539 +*36019 TAP_354 +*36020 TAP_3540 +*36021 TAP_3541 +*36022 TAP_3542 +*36023 TAP_3543 +*36024 TAP_3544 +*36025 TAP_3545 +*36026 TAP_3546 +*36027 TAP_3547 +*36028 TAP_3548 +*36029 TAP_3549 +*36030 TAP_355 +*36031 TAP_3550 +*36032 TAP_3551 +*36033 TAP_3552 +*36034 TAP_3553 +*36035 TAP_3554 +*36036 TAP_3555 +*36037 TAP_3556 +*36038 TAP_3557 +*36039 TAP_3558 +*36040 TAP_3559 +*36041 TAP_356 +*36042 TAP_3560 +*36043 TAP_3561 +*36044 TAP_3562 +*36045 TAP_3563 +*36046 TAP_3564 +*36047 TAP_3565 +*36048 TAP_3566 +*36049 TAP_3567 +*36050 TAP_3568 +*36051 TAP_3569 +*36052 TAP_357 +*36053 TAP_3570 +*36054 TAP_3571 +*36055 TAP_3572 +*36056 TAP_3573 +*36057 TAP_3574 +*36058 TAP_3575 +*36059 TAP_3576 +*36060 TAP_3577 +*36061 TAP_3578 +*36062 TAP_3579 +*36063 TAP_358 +*36064 TAP_3580 +*36065 TAP_3581 +*36066 TAP_3582 +*36067 TAP_3583 +*36068 TAP_3584 +*36069 TAP_3585 +*36070 TAP_3586 +*36071 TAP_3587 +*36072 TAP_3588 +*36073 TAP_3589 +*36074 TAP_359 +*36075 TAP_3590 +*36076 TAP_3591 +*36077 TAP_3592 +*36078 TAP_3593 +*36079 TAP_3594 +*36080 TAP_3595 +*36081 TAP_3596 +*36082 TAP_3597 +*36083 TAP_3598 +*36084 TAP_3599 +*36085 TAP_360 +*36086 TAP_3600 +*36087 TAP_3601 +*36088 TAP_3602 +*36089 TAP_3603 +*36090 TAP_3604 +*36091 TAP_3605 +*36092 TAP_3606 +*36093 TAP_3607 +*36094 TAP_3608 +*36095 TAP_3609 +*36096 TAP_361 +*36097 TAP_3610 +*36098 TAP_3611 +*36099 TAP_3612 +*36100 TAP_3613 +*36101 TAP_3614 +*36102 TAP_3615 +*36103 TAP_3616 +*36104 TAP_3617 +*36105 TAP_3618 +*36106 TAP_3619 +*36107 TAP_362 +*36108 TAP_3620 +*36109 TAP_3621 +*36110 TAP_3622 +*36111 TAP_3623 +*36112 TAP_3624 +*36113 TAP_3625 +*36114 TAP_3626 +*36115 TAP_3627 +*36116 TAP_3628 +*36117 TAP_3629 +*36118 TAP_363 +*36119 TAP_3630 +*36120 TAP_3631 +*36121 TAP_3632 +*36122 TAP_3633 +*36123 TAP_3634 +*36124 TAP_3635 +*36125 TAP_3636 +*36126 TAP_3637 +*36127 TAP_3638 +*36128 TAP_3639 +*36129 TAP_364 +*36130 TAP_3640 +*36131 TAP_3641 +*36132 TAP_3642 +*36133 TAP_3643 +*36134 TAP_3644 +*36135 TAP_3645 +*36136 TAP_3646 +*36137 TAP_3647 +*36138 TAP_3648 +*36139 TAP_3649 +*36140 TAP_365 +*36141 TAP_3650 +*36142 TAP_3651 +*36143 TAP_3652 +*36144 TAP_3653 +*36145 TAP_3654 +*36146 TAP_3655 +*36147 TAP_3656 +*36148 TAP_3657 +*36149 TAP_3658 +*36150 TAP_3659 +*36151 TAP_366 +*36152 TAP_3660 +*36153 TAP_3661 +*36154 TAP_3662 +*36155 TAP_3663 +*36156 TAP_3664 +*36157 TAP_3665 +*36158 TAP_3666 +*36159 TAP_3667 +*36160 TAP_3668 +*36161 TAP_3669 +*36162 TAP_367 +*36163 TAP_3670 +*36164 TAP_3671 +*36165 TAP_3672 +*36166 TAP_3673 +*36167 TAP_3674 +*36168 TAP_3675 +*36169 TAP_3676 +*36170 TAP_3677 +*36171 TAP_3678 +*36172 TAP_3679 +*36173 TAP_368 +*36174 TAP_3680 +*36175 TAP_3681 +*36176 TAP_3682 +*36177 TAP_3683 +*36178 TAP_3684 +*36179 TAP_3685 +*36180 TAP_3686 +*36181 TAP_3687 +*36182 TAP_3688 +*36183 TAP_3689 +*36184 TAP_369 +*36185 TAP_3690 +*36186 TAP_3691 +*36187 TAP_3692 +*36188 TAP_3693 +*36189 TAP_3694 +*36190 TAP_3695 +*36191 TAP_3696 +*36192 TAP_3697 +*36193 TAP_3698 +*36194 TAP_3699 +*36195 TAP_370 +*36196 TAP_3700 +*36197 TAP_3701 +*36198 TAP_3702 +*36199 TAP_3703 +*36200 TAP_3704 +*36201 TAP_3705 +*36202 TAP_3706 +*36203 TAP_3707 +*36204 TAP_3708 +*36205 TAP_3709 +*36206 TAP_371 +*36207 TAP_3710 +*36208 TAP_3711 +*36209 TAP_3712 +*36210 TAP_3713 +*36211 TAP_3714 +*36212 TAP_3715 +*36213 TAP_3716 +*36214 TAP_3717 +*36215 TAP_3718 +*36216 TAP_3719 +*36217 TAP_372 +*36218 TAP_3720 +*36219 TAP_3721 +*36220 TAP_3722 +*36221 TAP_3723 +*36222 TAP_3724 +*36223 TAP_3725 +*36224 TAP_3726 +*36225 TAP_3727 +*36226 TAP_3728 +*36227 TAP_3729 +*36228 TAP_373 +*36229 TAP_3730 +*36230 TAP_3731 +*36231 TAP_3732 +*36232 TAP_3733 +*36233 TAP_3734 +*36234 TAP_3735 +*36235 TAP_3736 +*36236 TAP_3737 +*36237 TAP_3738 +*36238 TAP_3739 +*36239 TAP_374 +*36240 TAP_3740 +*36241 TAP_3741 +*36242 TAP_3742 +*36243 TAP_3743 +*36244 TAP_3744 +*36245 TAP_3745 +*36246 TAP_3746 +*36247 TAP_3747 +*36248 TAP_3748 +*36249 TAP_3749 +*36250 TAP_375 +*36251 TAP_3750 +*36252 TAP_3751 +*36253 TAP_3752 +*36254 TAP_3753 +*36255 TAP_3754 +*36256 TAP_3755 +*36257 TAP_3756 +*36258 TAP_3757 +*36259 TAP_3758 +*36260 TAP_3759 +*36261 TAP_376 +*36262 TAP_3760 +*36263 TAP_3761 +*36264 TAP_3762 +*36265 TAP_3763 +*36266 TAP_3764 +*36267 TAP_3765 +*36268 TAP_3766 +*36269 TAP_3767 +*36270 TAP_3768 +*36271 TAP_3769 +*36272 TAP_377 +*36273 TAP_3770 +*36274 TAP_3771 +*36275 TAP_3772 +*36276 TAP_3773 +*36277 TAP_3774 +*36278 TAP_3775 +*36279 TAP_3776 +*36280 TAP_3777 +*36281 TAP_3778 +*36282 TAP_3779 +*36283 TAP_378 +*36284 TAP_3780 +*36285 TAP_3781 +*36286 TAP_3782 +*36287 TAP_3783 +*36288 TAP_3784 +*36289 TAP_3785 +*36290 TAP_3786 +*36291 TAP_3787 +*36292 TAP_3788 +*36293 TAP_3789 +*36294 TAP_379 +*36295 TAP_3790 +*36296 TAP_3791 +*36297 TAP_3792 +*36298 TAP_3793 +*36299 TAP_3794 +*36300 TAP_3795 +*36301 TAP_3796 +*36302 TAP_3797 +*36303 TAP_3798 +*36304 TAP_3799 +*36305 TAP_380 +*36306 TAP_3800 +*36307 TAP_3801 +*36308 TAP_3802 +*36309 TAP_3803 +*36310 TAP_3804 +*36311 TAP_3805 +*36312 TAP_3806 +*36313 TAP_3807 +*36314 TAP_3808 +*36315 TAP_3809 +*36316 TAP_381 +*36317 TAP_3810 +*36318 TAP_3811 +*36319 TAP_3812 +*36320 TAP_3813 +*36321 TAP_3814 +*36322 TAP_3815 +*36323 TAP_3816 +*36324 TAP_3817 +*36325 TAP_3818 +*36326 TAP_3819 +*36327 TAP_382 +*36328 TAP_3820 +*36329 TAP_3821 +*36330 TAP_3822 +*36331 TAP_3823 +*36332 TAP_3824 +*36333 TAP_3825 +*36334 TAP_3826 +*36335 TAP_3827 +*36336 TAP_3828 +*36337 TAP_3829 +*36338 TAP_383 +*36339 TAP_3830 +*36340 TAP_3831 +*36341 TAP_3832 +*36342 TAP_3833 +*36343 TAP_3834 +*36344 TAP_3835 +*36345 TAP_3836 +*36346 TAP_3837 +*36347 TAP_3838 +*36348 TAP_3839 +*36349 TAP_384 +*36350 TAP_3840 +*36351 TAP_3841 +*36352 TAP_3842 +*36353 TAP_3843 +*36354 TAP_3844 +*36355 TAP_3845 +*36356 TAP_3846 +*36357 TAP_3847 +*36358 TAP_3848 +*36359 TAP_3849 +*36360 TAP_385 +*36361 TAP_3850 +*36362 TAP_3851 +*36363 TAP_3852 +*36364 TAP_3853 +*36365 TAP_3854 +*36366 TAP_3855 +*36367 TAP_3856 +*36368 TAP_3857 +*36369 TAP_3858 +*36370 TAP_3859 +*36371 TAP_386 +*36372 TAP_3860 +*36373 TAP_3861 +*36374 TAP_3862 +*36375 TAP_3863 +*36376 TAP_3864 +*36377 TAP_3865 +*36378 TAP_3866 +*36379 TAP_3867 +*36380 TAP_3868 +*36381 TAP_3869 +*36382 TAP_387 +*36383 TAP_3870 +*36384 TAP_3871 +*36385 TAP_3872 +*36386 TAP_3873 +*36387 TAP_3874 +*36388 TAP_3875 +*36389 TAP_3876 +*36390 TAP_3877 +*36391 TAP_3878 +*36392 TAP_3879 +*36393 TAP_388 +*36394 TAP_3880 +*36395 TAP_3881 +*36396 TAP_3882 +*36397 TAP_3883 +*36398 TAP_3884 +*36399 TAP_3885 +*36400 TAP_3886 +*36401 TAP_3887 +*36402 TAP_3888 +*36403 TAP_3889 +*36404 TAP_389 +*36405 TAP_3890 +*36406 TAP_3891 +*36407 TAP_3892 +*36408 TAP_3893 +*36409 TAP_3894 +*36410 TAP_3895 +*36411 TAP_3896 +*36412 TAP_3897 +*36413 TAP_3898 +*36414 TAP_3899 +*36415 TAP_390 +*36416 TAP_3900 +*36417 TAP_3901 +*36418 TAP_3902 +*36419 TAP_3903 +*36420 TAP_3904 +*36421 TAP_3905 +*36422 TAP_3906 +*36423 TAP_3907 +*36424 TAP_3908 +*36425 TAP_3909 +*36426 TAP_391 +*36427 TAP_3910 +*36428 TAP_3911 +*36429 TAP_3912 +*36430 TAP_3913 +*36431 TAP_3914 +*36432 TAP_3915 +*36433 TAP_3916 +*36434 TAP_3917 +*36435 TAP_3918 +*36436 TAP_3919 +*36437 TAP_392 +*36438 TAP_3920 +*36439 TAP_3921 +*36440 TAP_3922 +*36441 TAP_3923 +*36442 TAP_3924 +*36443 TAP_3925 +*36444 TAP_3926 +*36445 TAP_3927 +*36446 TAP_3928 +*36447 TAP_3929 +*36448 TAP_393 +*36449 TAP_3930 +*36450 TAP_3931 +*36451 TAP_3932 +*36452 TAP_3933 +*36453 TAP_3934 +*36454 TAP_3935 +*36455 TAP_3936 +*36456 TAP_3937 +*36457 TAP_3938 +*36458 TAP_3939 +*36459 TAP_394 +*36460 TAP_3940 +*36461 TAP_3941 +*36462 TAP_3942 +*36463 TAP_3943 +*36464 TAP_3944 +*36465 TAP_3945 +*36466 TAP_3946 +*36467 TAP_3947 +*36468 TAP_3948 +*36469 TAP_3949 +*36470 TAP_395 +*36471 TAP_3950 +*36472 TAP_3951 +*36473 TAP_3952 +*36474 TAP_3953 +*36475 TAP_3954 +*36476 TAP_3955 +*36477 TAP_3956 +*36478 TAP_3957 +*36479 TAP_3958 +*36480 TAP_3959 +*36481 TAP_396 +*36482 TAP_3960 +*36483 TAP_3961 +*36484 TAP_3962 +*36485 TAP_3963 +*36486 TAP_3964 +*36487 TAP_3965 +*36488 TAP_3966 +*36489 TAP_3967 +*36490 TAP_3968 +*36491 TAP_3969 +*36492 TAP_397 +*36493 TAP_3970 +*36494 TAP_3971 +*36495 TAP_3972 +*36496 TAP_3973 +*36497 TAP_3974 +*36498 TAP_3975 +*36499 TAP_3976 +*36500 TAP_3977 +*36501 TAP_3978 +*36502 TAP_3979 +*36503 TAP_398 +*36504 TAP_3980 +*36505 TAP_3981 +*36506 TAP_3982 +*36507 TAP_3983 +*36508 TAP_3984 +*36509 TAP_3985 +*36510 TAP_3986 +*36511 TAP_3987 +*36512 TAP_3988 +*36513 TAP_3989 +*36514 TAP_399 +*36515 TAP_3990 +*36516 TAP_3991 +*36517 TAP_3992 +*36518 TAP_3993 +*36519 TAP_3994 +*36520 TAP_3995 +*36521 TAP_3996 +*36522 TAP_3997 +*36523 TAP_3998 +*36524 TAP_3999 +*36525 TAP_400 +*36526 TAP_4000 +*36527 TAP_4001 +*36528 TAP_4002 +*36529 TAP_4003 +*36530 TAP_4004 +*36531 TAP_4005 +*36532 TAP_4006 +*36533 TAP_4007 +*36534 TAP_4008 +*36535 TAP_4009 +*36536 TAP_401 +*36537 TAP_4010 +*36538 TAP_4011 +*36539 TAP_4012 +*36540 TAP_4013 +*36541 TAP_4014 +*36542 TAP_4015 +*36543 TAP_4016 +*36544 TAP_4017 +*36545 TAP_4018 +*36546 TAP_4019 +*36547 TAP_402 +*36548 TAP_4020 +*36549 TAP_4021 +*36550 TAP_4022 +*36551 TAP_4023 +*36552 TAP_4024 +*36553 TAP_4025 +*36554 TAP_4026 +*36555 TAP_4027 +*36556 TAP_4028 +*36557 TAP_4029 +*36558 TAP_403 +*36559 TAP_4030 +*36560 TAP_4031 +*36561 TAP_4032 +*36562 TAP_4033 +*36563 TAP_4034 +*36564 TAP_4035 +*36565 TAP_4036 +*36566 TAP_4037 +*36567 TAP_4038 +*36568 TAP_4039 +*36569 TAP_404 +*36570 TAP_4040 +*36571 TAP_4041 +*36572 TAP_4042 +*36573 TAP_4043 +*36574 TAP_4044 +*36575 TAP_4045 +*36576 TAP_4046 +*36577 TAP_4047 +*36578 TAP_4048 +*36579 TAP_4049 +*36580 TAP_405 +*36581 TAP_4050 +*36582 TAP_4051 +*36583 TAP_4052 +*36584 TAP_4053 +*36585 TAP_4054 +*36586 TAP_4055 +*36587 TAP_4056 +*36588 TAP_4057 +*36589 TAP_4058 +*36590 TAP_4059 +*36591 TAP_406 +*36592 TAP_4060 +*36593 TAP_4061 +*36594 TAP_4062 +*36595 TAP_4063 +*36596 TAP_4064 +*36597 TAP_4065 +*36598 TAP_4066 +*36599 TAP_4067 +*36600 TAP_4068 +*36601 TAP_4069 +*36602 TAP_407 +*36603 TAP_4070 +*36604 TAP_4071 +*36605 TAP_4072 +*36606 TAP_4073 +*36607 TAP_4074 +*36608 TAP_4075 +*36609 TAP_4076 +*36610 TAP_4077 +*36611 TAP_4078 +*36612 TAP_4079 +*36613 TAP_408 +*36614 TAP_4080 +*36615 TAP_4081 +*36616 TAP_4082 +*36617 TAP_4083 +*36618 TAP_4084 +*36619 TAP_4085 +*36620 TAP_4086 +*36621 TAP_4087 +*36622 TAP_4088 +*36623 TAP_4089 +*36624 TAP_409 +*36625 TAP_4090 +*36626 TAP_4091 +*36627 TAP_4092 +*36628 TAP_4093 +*36629 TAP_4094 +*36630 TAP_4095 +*36631 TAP_4096 +*36632 TAP_4097 +*36633 TAP_4098 +*36634 TAP_4099 +*36635 TAP_410 +*36636 TAP_4100 +*36637 TAP_4101 +*36638 TAP_4102 +*36639 TAP_4103 +*36640 TAP_4104 +*36641 TAP_4105 +*36642 TAP_4106 +*36643 TAP_4107 +*36644 TAP_4108 +*36645 TAP_4109 +*36646 TAP_411 +*36647 TAP_4110 +*36648 TAP_4111 +*36649 TAP_4112 +*36650 TAP_4113 +*36651 TAP_4114 +*36652 TAP_4115 +*36653 TAP_4116 +*36654 TAP_4117 +*36655 TAP_4118 +*36656 TAP_4119 +*36657 TAP_412 +*36658 TAP_4120 +*36659 TAP_4121 +*36660 TAP_4122 +*36661 TAP_4123 +*36662 TAP_4124 +*36663 TAP_4125 +*36664 TAP_4126 +*36665 TAP_4127 +*36666 TAP_4128 +*36667 TAP_4129 +*36668 TAP_413 +*36669 TAP_4130 +*36670 TAP_4131 +*36671 TAP_4132 +*36672 TAP_4133 +*36673 TAP_4134 +*36674 TAP_4135 +*36675 TAP_4136 +*36676 TAP_4137 +*36677 TAP_4138 +*36678 TAP_4139 +*36679 TAP_414 +*36680 TAP_4140 +*36681 TAP_4141 +*36682 TAP_4142 +*36683 TAP_4143 +*36684 TAP_4144 +*36685 TAP_4145 +*36686 TAP_4146 +*36687 TAP_4147 +*36688 TAP_4148 +*36689 TAP_4149 +*36690 TAP_415 +*36691 TAP_4150 +*36692 TAP_4151 +*36693 TAP_4152 +*36694 TAP_4153 +*36695 TAP_4154 +*36696 TAP_4155 +*36697 TAP_4156 +*36698 TAP_4157 +*36699 TAP_4158 +*36700 TAP_4159 +*36701 TAP_416 +*36702 TAP_4160 +*36703 TAP_4161 +*36704 TAP_4162 +*36705 TAP_4163 +*36706 TAP_4164 +*36707 TAP_4165 +*36708 TAP_4166 +*36709 TAP_4167 +*36710 TAP_4168 +*36711 TAP_4169 +*36712 TAP_417 +*36713 TAP_4170 +*36714 TAP_4171 +*36715 TAP_4172 +*36716 TAP_4173 +*36717 TAP_4174 +*36718 TAP_4175 +*36719 TAP_4176 +*36720 TAP_4177 +*36721 TAP_4178 +*36722 TAP_4179 +*36723 TAP_418 +*36724 TAP_4180 +*36725 TAP_4181 +*36726 TAP_4182 +*36727 TAP_4183 +*36728 TAP_4184 +*36729 TAP_4185 +*36730 TAP_4186 +*36731 TAP_4187 +*36732 TAP_4188 +*36733 TAP_4189 +*36734 TAP_419 +*36735 TAP_4190 +*36736 TAP_4191 +*36737 TAP_4192 +*36738 TAP_4193 +*36739 TAP_4194 +*36740 TAP_4195 +*36741 TAP_4196 +*36742 TAP_4197 +*36743 TAP_4198 +*36744 TAP_4199 +*36745 TAP_420 +*36746 TAP_421 +*36747 TAP_422 +*36748 TAP_423 +*36749 TAP_424 +*36750 TAP_425 +*36751 TAP_426 +*36752 TAP_427 +*36753 TAP_428 +*36754 TAP_429 +*36755 TAP_430 +*36756 TAP_431 +*36757 TAP_432 +*36758 TAP_433 +*36759 TAP_434 +*36760 TAP_435 +*36761 TAP_436 +*36762 TAP_437 +*36763 TAP_438 +*36764 TAP_439 +*36765 TAP_440 +*36766 TAP_441 +*36767 TAP_442 +*36768 TAP_443 +*36769 TAP_444 +*36770 TAP_445 +*36771 TAP_446 +*36772 TAP_447 +*36773 TAP_448 +*36774 TAP_449 +*36775 TAP_450 +*36776 TAP_451 +*36777 TAP_452 +*36778 TAP_453 +*36779 TAP_454 +*36780 TAP_455 +*36781 TAP_456 +*36782 TAP_457 +*36783 TAP_458 +*36784 TAP_459 +*36785 TAP_460 +*36786 TAP_461 +*36787 TAP_462 +*36788 TAP_463 +*36789 TAP_464 +*36790 TAP_465 +*36791 TAP_466 +*36792 TAP_467 +*36793 TAP_468 +*36794 TAP_469 +*36795 TAP_470 +*36796 TAP_471 +*36797 TAP_472 +*36798 TAP_473 +*36799 TAP_474 +*36800 TAP_475 +*36801 TAP_476 +*36802 TAP_477 +*36803 TAP_478 +*36804 TAP_479 +*36805 TAP_480 +*36806 TAP_481 +*36807 TAP_482 +*36808 TAP_483 +*36809 TAP_484 +*36810 TAP_485 +*36811 TAP_486 +*36812 TAP_487 +*36813 TAP_488 +*36814 TAP_489 +*36815 TAP_490 +*36816 TAP_491 +*36817 TAP_492 +*36818 TAP_493 +*36819 TAP_494 +*36820 TAP_495 +*36821 TAP_496 +*36822 TAP_497 +*36823 TAP_498 +*36824 TAP_499 +*36825 TAP_500 +*36826 TAP_501 +*36827 TAP_502 +*36828 TAP_503 +*36829 TAP_504 +*36830 TAP_505 +*36831 TAP_506 +*36832 TAP_507 +*36833 TAP_508 +*36834 TAP_509 +*36835 TAP_510 +*36836 TAP_511 +*36837 TAP_512 +*36838 TAP_513 +*36839 TAP_514 +*36840 TAP_515 +*36841 TAP_516 +*36842 TAP_517 +*36843 TAP_518 +*36844 TAP_519 +*36845 TAP_520 +*36846 TAP_521 +*36847 TAP_522 +*36848 TAP_523 +*36849 TAP_524 +*36850 TAP_525 +*36851 TAP_526 +*36852 TAP_527 +*36853 TAP_528 +*36854 TAP_529 +*36855 TAP_530 +*36856 TAP_531 +*36857 TAP_532 +*36858 TAP_533 +*36859 TAP_534 +*36860 TAP_535 +*36861 TAP_536 +*36862 TAP_537 +*36863 TAP_538 +*36864 TAP_539 +*36865 TAP_540 +*36866 TAP_541 +*36867 TAP_542 +*36868 TAP_543 +*36869 TAP_544 +*36870 TAP_545 +*36871 TAP_546 +*36872 TAP_547 +*36873 TAP_548 +*36874 TAP_549 +*36875 TAP_550 +*36876 TAP_551 +*36877 TAP_552 +*36878 TAP_553 +*36879 TAP_554 +*36880 TAP_555 +*36881 TAP_556 +*36882 TAP_557 +*36883 TAP_558 +*36884 TAP_559 +*36885 TAP_560 +*36886 TAP_561 +*36887 TAP_562 +*36888 TAP_563 +*36889 TAP_564 +*36890 TAP_565 +*36891 TAP_566 +*36892 TAP_567 +*36893 TAP_568 +*36894 TAP_569 +*36895 TAP_570 +*36896 TAP_571 +*36897 TAP_572 +*36898 TAP_573 +*36899 TAP_574 +*36900 TAP_575 +*36901 TAP_576 +*36902 TAP_577 +*36903 TAP_578 +*36904 TAP_579 +*36905 TAP_580 +*36906 TAP_581 +*36907 TAP_582 +*36908 TAP_583 +*36909 TAP_584 +*36910 TAP_585 +*36911 TAP_586 +*36912 TAP_587 +*36913 TAP_588 +*36914 TAP_589 +*36915 TAP_590 +*36916 TAP_591 +*36917 TAP_592 +*36918 TAP_593 +*36919 TAP_594 +*36920 TAP_595 +*36921 TAP_596 +*36922 TAP_597 +*36923 TAP_598 +*36924 TAP_599 +*36925 TAP_600 +*36926 TAP_601 +*36927 TAP_602 +*36928 TAP_603 +*36929 TAP_604 +*36930 TAP_605 +*36931 TAP_606 +*36932 TAP_607 +*36933 TAP_608 +*36934 TAP_609 +*36935 TAP_610 +*36936 TAP_611 +*36937 TAP_612 +*36938 TAP_613 +*36939 TAP_614 +*36940 TAP_615 +*36941 TAP_616 +*36942 TAP_617 +*36943 TAP_618 +*36944 TAP_619 +*36945 TAP_620 +*36946 TAP_621 +*36947 TAP_622 +*36948 TAP_623 +*36949 TAP_624 +*36950 TAP_625 +*36951 TAP_626 +*36952 TAP_627 +*36953 TAP_628 +*36954 TAP_629 +*36955 TAP_630 +*36956 TAP_631 +*36957 TAP_632 +*36958 TAP_633 +*36959 TAP_634 +*36960 TAP_635 +*36961 TAP_636 +*36962 TAP_637 +*36963 TAP_638 +*36964 TAP_639 +*36965 TAP_640 +*36966 TAP_641 +*36967 TAP_642 +*36968 TAP_643 +*36969 TAP_644 +*36970 TAP_645 +*36971 TAP_646 +*36972 TAP_647 +*36973 TAP_648 +*36974 TAP_649 +*36975 TAP_650 +*36976 TAP_651 +*36977 TAP_652 +*36978 TAP_653 +*36979 TAP_654 +*36980 TAP_655 +*36981 TAP_656 +*36982 TAP_657 +*36983 TAP_658 +*36984 TAP_659 +*36985 TAP_660 +*36986 TAP_661 +*36987 TAP_662 +*36988 TAP_663 +*36989 TAP_664 +*36990 TAP_665 +*36991 TAP_666 +*36992 TAP_667 +*36993 TAP_668 +*36994 TAP_669 +*36995 TAP_670 +*36996 TAP_671 +*36997 TAP_672 +*36998 TAP_673 +*36999 TAP_674 +*37000 TAP_675 +*37001 TAP_676 +*37002 TAP_677 +*37003 TAP_678 +*37004 TAP_679 +*37005 TAP_680 +*37006 TAP_681 +*37007 TAP_682 +*37008 TAP_683 +*37009 TAP_684 +*37010 TAP_685 +*37011 TAP_686 +*37012 TAP_687 +*37013 TAP_688 +*37014 TAP_689 +*37015 TAP_690 +*37016 TAP_691 +*37017 TAP_692 +*37018 TAP_693 +*37019 TAP_694 +*37020 TAP_695 +*37021 TAP_696 +*37022 TAP_697 +*37023 TAP_698 +*37024 TAP_699 +*37025 TAP_700 +*37026 TAP_701 +*37027 TAP_702 +*37028 TAP_703 +*37029 TAP_704 +*37030 TAP_705 +*37031 TAP_706 +*37032 TAP_707 +*37033 TAP_708 +*37034 TAP_709 +*37035 TAP_710 +*37036 TAP_711 +*37037 TAP_712 +*37038 TAP_713 +*37039 TAP_714 +*37040 TAP_715 +*37041 TAP_716 +*37042 TAP_717 +*37043 TAP_718 +*37044 TAP_719 +*37045 TAP_720 +*37046 TAP_721 +*37047 TAP_722 +*37048 TAP_723 +*37049 TAP_724 +*37050 TAP_725 +*37051 TAP_726 +*37052 TAP_727 +*37053 TAP_728 +*37054 TAP_729 +*37055 TAP_730 +*37056 TAP_731 +*37057 TAP_732 +*37058 TAP_733 +*37059 TAP_734 +*37060 TAP_735 +*37061 TAP_736 +*37062 TAP_737 +*37063 TAP_738 +*37064 TAP_739 +*37065 TAP_740 +*37066 TAP_741 +*37067 TAP_742 +*37068 TAP_743 +*37069 TAP_744 +*37070 TAP_745 +*37071 TAP_746 +*37072 TAP_747 +*37073 TAP_748 +*37074 TAP_749 +*37075 TAP_750 +*37076 TAP_751 +*37077 TAP_752 +*37078 TAP_753 +*37079 TAP_754 +*37080 TAP_755 +*37081 TAP_756 +*37082 TAP_757 +*37083 TAP_758 +*37084 TAP_759 +*37085 TAP_760 +*37086 TAP_761 +*37087 TAP_762 +*37088 TAP_763 +*37089 TAP_764 +*37090 TAP_765 +*37091 TAP_766 +*37092 TAP_767 +*37093 TAP_768 +*37094 TAP_769 +*37095 TAP_770 +*37096 TAP_771 +*37097 TAP_772 +*37098 TAP_773 +*37099 TAP_774 +*37100 TAP_775 +*37101 TAP_776 +*37102 TAP_777 +*37103 TAP_778 +*37104 TAP_779 +*37105 TAP_780 +*37106 TAP_781 +*37107 TAP_782 +*37108 TAP_783 +*37109 TAP_784 +*37110 TAP_785 +*37111 TAP_786 +*37112 TAP_787 +*37113 TAP_788 +*37114 TAP_789 +*37115 TAP_790 +*37116 TAP_791 +*37117 TAP_792 +*37118 TAP_793 +*37119 TAP_794 +*37120 TAP_795 +*37121 TAP_796 +*37122 TAP_797 +*37123 TAP_798 +*37124 TAP_799 +*37125 TAP_800 +*37126 TAP_801 +*37127 TAP_802 +*37128 TAP_803 +*37129 TAP_804 +*37130 TAP_805 +*37131 TAP_806 +*37132 TAP_807 +*37133 TAP_808 +*37134 TAP_809 +*37135 TAP_810 +*37136 TAP_811 +*37137 TAP_812 +*37138 TAP_813 +*37139 TAP_814 +*37140 TAP_815 +*37141 TAP_816 +*37142 TAP_817 +*37143 TAP_818 +*37144 TAP_819 +*37145 TAP_820 +*37146 TAP_821 +*37147 TAP_822 +*37148 TAP_823 +*37149 TAP_824 +*37150 TAP_825 +*37151 TAP_826 +*37152 TAP_827 +*37153 TAP_828 +*37154 TAP_829 +*37155 TAP_830 +*37156 TAP_831 +*37157 TAP_832 +*37158 TAP_833 +*37159 TAP_834 +*37160 TAP_835 +*37161 TAP_836 +*37162 TAP_837 +*37163 TAP_838 +*37164 TAP_839 +*37165 TAP_840 +*37166 TAP_841 +*37167 TAP_842 +*37168 TAP_843 +*37169 TAP_844 +*37170 TAP_845 +*37171 TAP_846 +*37172 TAP_847 +*37173 TAP_848 +*37174 TAP_849 +*37175 TAP_850 +*37176 TAP_851 +*37177 TAP_852 +*37178 TAP_853 +*37179 TAP_854 +*37180 TAP_855 +*37181 TAP_856 +*37182 TAP_857 +*37183 TAP_858 +*37184 TAP_859 +*37185 TAP_860 +*37186 TAP_861 +*37187 TAP_862 +*37188 TAP_863 +*37189 TAP_864 +*37190 TAP_865 +*37191 TAP_866 +*37192 TAP_867 +*37193 TAP_868 +*37194 TAP_869 +*37195 TAP_870 +*37196 TAP_871 +*37197 TAP_872 +*37198 TAP_873 +*37199 TAP_874 +*37200 TAP_875 +*37201 TAP_876 +*37202 TAP_877 +*37203 TAP_878 +*37204 TAP_879 +*37205 TAP_880 +*37206 TAP_881 +*37207 TAP_882 +*37208 TAP_883 +*37209 TAP_884 +*37210 TAP_885 +*37211 TAP_886 +*37212 TAP_887 +*37213 TAP_888 +*37214 TAP_889 +*37215 TAP_890 +*37216 TAP_891 +*37217 TAP_892 +*37218 TAP_893 +*37219 TAP_894 +*37220 TAP_895 +*37221 TAP_896 +*37222 TAP_897 +*37223 TAP_898 +*37224 TAP_899 +*37225 TAP_900 +*37226 TAP_901 +*37227 TAP_902 +*37228 TAP_903 +*37229 TAP_904 +*37230 TAP_905 +*37231 TAP_906 +*37232 TAP_907 +*37233 TAP_908 +*37234 TAP_909 +*37235 TAP_910 +*37236 TAP_911 +*37237 TAP_912 +*37238 TAP_913 +*37239 TAP_914 +*37240 TAP_915 +*37241 TAP_916 +*37242 TAP_917 +*37243 TAP_918 +*37244 TAP_919 +*37245 TAP_920 +*37246 TAP_921 +*37247 TAP_922 +*37248 TAP_923 +*37249 TAP_924 +*37250 TAP_925 +*37251 TAP_926 +*37252 TAP_927 +*37253 TAP_928 +*37254 TAP_929 +*37255 TAP_930 +*37256 TAP_931 +*37257 TAP_932 +*37258 TAP_933 +*37259 TAP_934 +*37260 TAP_935 +*37261 TAP_936 +*37262 TAP_937 +*37263 TAP_938 +*37264 TAP_939 +*37265 TAP_940 +*37266 TAP_941 +*37267 TAP_942 +*37268 TAP_943 +*37269 TAP_944 +*37270 TAP_945 +*37271 TAP_946 +*37272 TAP_947 +*37273 TAP_948 +*37274 TAP_949 +*37275 TAP_950 +*37276 TAP_951 +*37277 TAP_952 +*37278 TAP_953 +*37279 TAP_954 +*37280 TAP_955 +*37281 TAP_956 +*37282 TAP_957 +*37283 TAP_958 +*37284 TAP_959 +*37285 TAP_960 +*37286 TAP_961 +*37287 TAP_962 +*37288 TAP_963 +*37289 TAP_964 +*37290 TAP_965 +*37291 TAP_966 +*37292 TAP_967 +*37293 TAP_968 +*37294 TAP_969 +*37295 TAP_970 +*37296 TAP_971 +*37297 TAP_972 +*37298 TAP_973 +*37299 TAP_974 +*37300 TAP_975 +*37301 TAP_976 +*37302 TAP_977 +*37303 TAP_978 +*37304 TAP_979 +*37305 TAP_980 +*37306 TAP_981 +*37307 TAP_982 +*37308 TAP_983 +*37309 TAP_984 +*37310 TAP_985 +*37311 TAP_986 +*37312 TAP_987 +*37313 TAP_988 +*37314 TAP_989 +*37315 TAP_990 +*37316 TAP_991 +*37317 TAP_992 +*37318 TAP_993 +*37319 TAP_994 +*37320 TAP_995 +*37321 TAP_996 +*37322 TAP_997 +*37323 TAP_998 +*37324 TAP_999 +*37325 _0462_ +*37326 _0463_ +*37327 _0464_ +*37328 _0465_ +*37329 _0466_ +*37330 _0467_ +*37331 _0468_ +*37332 _0469_ +*37333 _0470_ +*37334 _0471_ +*37335 _0472_ +*37336 _0473_ +*37337 _0474_ +*37338 _0475_ +*37339 _0476_ +*37340 _0477_ +*37341 _0478_ +*37342 _0479_ +*37343 _0480_ +*37344 _0481_ +*37345 _0482_ +*37346 _0483_ +*37347 _0484_ +*37348 _0485_ +*37349 _0486_ +*37350 _0487_ +*37351 _0488_ +*37352 _0489_ +*37353 _0490_ +*37354 _0491_ +*37355 _0492_ +*37356 _0493_ +*37357 _0494_ +*37358 _0495_ +*37359 _0496_ +*37360 _0497_ +*37361 _0498_ +*37362 _0499_ +*37363 _0500_ +*37364 _0501_ +*37365 _0502_ +*37366 _0503_ +*37367 _0504_ +*37368 _0505_ +*37369 _0506_ +*37370 _0507_ +*37371 _0508_ +*37372 _0509_ +*37373 _0510_ +*37374 _0511_ +*37375 _0512_ +*37376 _0513_ +*37377 _0514_ +*37378 _0515_ +*37379 _0516_ +*37380 _0517_ +*37381 _0518_ +*37382 _0519_ +*37383 _0520_ +*37384 _0521_ +*37385 _0522_ +*37386 _0523_ +*37387 _0524_ +*37388 _0525_ +*37389 _0526_ +*37390 _0527_ +*37391 _0528_ +*37392 _0529_ +*37393 _0530_ +*37394 _0531_ +*37395 _0532_ +*37396 _0533_ +*37397 _0534_ +*37398 _0535_ +*37399 _0536_ +*37400 _0537_ +*37401 _0538_ +*37402 _0539_ +*37403 _0540_ +*37404 _0541_ +*37405 _0542_ +*37406 _0543_ +*37407 _0544_ +*37408 _0545_ +*37409 _0546_ +*37410 _0547_ +*37411 _0548_ +*37412 _0549_ +*37413 _0550_ +*37414 _0551_ +*37415 _0552_ +*37416 _0553_ +*37417 _0554_ +*37418 _0555_ +*37419 _0556_ +*37420 _0557_ +*37421 _0558_ +*37422 _0559_ +*37423 _0560_ +*37424 _0561_ +*37425 _0562_ +*37426 _0563_ +*37427 _0564_ +*37428 _0565_ +*37429 _0566_ +*37430 _0567_ +*37431 _0568_ +*37432 _0569_ +*37433 _0570_ +*37434 _0571_ +*37435 _0572_ +*37436 _0573_ +*37437 _0574_ +*37438 _0575_ +*37439 _0576_ +*37440 _0577_ +*37441 _0578_ +*37442 _0579_ +*37443 _0580_ +*37444 _0581_ +*37445 _0582_ +*37446 _0583_ +*37447 _0584_ +*37448 _0585_ +*37449 _0586_ +*37450 _0587_ +*37451 _0588_ +*37452 _0589_ +*37453 _0590_ +*37454 _0591_ +*37455 _0592_ +*37456 _0593_ +*37457 _0594_ +*37458 _0595_ +*37459 _0596_ +*37460 _0597_ +*37461 _0598_ +*37462 _0599_ +*37463 _0600_ +*37464 _0601_ +*37465 _0602_ +*37466 _0603_ +*37467 _0604_ +*37468 _0605_ +*37469 _0606_ +*37470 _0607_ +*37471 _0608_ +*37472 _0609_ +*37473 _0610_ +*37474 _0611_ +*37475 _0612_ +*37476 _0613_ +*37477 _0614_ +*37478 _0615_ +*37479 _0616_ +*37480 _0617_ +*37481 _0618_ +*37482 _0619_ +*37483 _0620_ +*37484 _0621_ +*37485 _0622_ +*37486 _0623_ +*37487 _0624_ +*37488 _0625_ +*37489 _0626_ +*37490 _0627_ +*37491 _0628_ +*37492 _0629_ +*37493 _0630_ +*37494 _0631_ +*37495 _0632_ +*37496 _0633_ +*37497 _0634_ +*37498 _0635_ +*37499 _0636_ +*37500 _0637_ +*37501 _0638_ +*37502 _0639_ +*37503 _0640_ +*37504 _0641_ +*37505 _0642_ +*37506 _0643_ +*37507 _0644_ +*37508 _0645_ +*37509 _0646_ +*37510 _0647_ +*37511 _0648_ +*37512 _0649_ +*37513 _0650_ +*37514 _0651_ +*37515 _0652_ +*37516 _0653_ +*37517 _0654_ +*37518 _0655_ +*37519 _0656_ +*37520 _0657_ +*37521 _0658_ +*37522 _0659_ +*37523 _0660_ +*37524 _0661_ +*37525 _0662_ +*37526 _0663_ +*37527 _0664_ +*37528 _0665_ +*37529 _0666_ +*37530 _0667_ +*37531 _0668_ +*37532 _0669_ +*37533 _0670_ +*37534 _0671_ +*37535 _0672_ +*37536 _0673_ +*37537 _0674_ +*37538 _0675_ +*37539 _0676_ +*37540 _0677_ +*37541 _0678_ +*37542 _0679_ +*37543 _0680_ +*37544 _0681_ +*37545 _0682_ +*37546 _0683_ +*37547 _0684_ +*37548 _0685_ +*37549 _0686_ +*37550 _0687_ +*37551 _0688_ +*37552 _0689_ +*37553 _0690_ +*37554 _0691_ +*37555 _0692_ +*37556 _0693_ +*37557 _0694_ +*37558 _0695_ +*37559 _0696_ +*37560 _0697_ +*37561 _0698_ +*37562 _0699_ +*37563 _0700_ +*37564 _0701_ +*37565 _0702_ +*37566 _0703_ +*37567 _0704_ +*37568 _0705_ +*37569 _0706_ +*37570 _0707_ +*37571 _0708_ +*37572 _0709_ +*37573 _0710_ +*37574 _0711_ +*37575 _0712_ +*37576 _0713_ +*37577 _0714_ +*37578 _0715_ +*37579 _0716_ +*37580 _0717_ +*37581 _0718_ +*37582 _0719_ +*37583 _0720_ +*37584 _0721_ +*37585 _0722_ +*37586 _0723_ +*37587 _0724_ +*37588 _0725_ +*37589 _0726_ +*37590 _0727_ +*37591 _0728_ +*37592 _0729_ +*37593 _0730_ +*37594 _0731_ +*37595 _0732_ +*37596 _0733_ +*37597 _0734_ +*37598 _0735_ +*37599 _0736_ +*37600 _0737_ +*37601 _0738_ +*37602 _0739_ +*37603 _0740_ +*37604 _0741_ +*37605 _0742_ +*37606 _0743_ +*37607 _0744_ +*37608 _0745_ +*37609 _0746_ +*37610 _0747_ +*37611 _0748_ +*37612 _0749_ +*37613 _0750_ +*37614 _0751_ +*37615 _0752_ +*37616 _0753_ +*37617 _0754_ +*37618 _0755_ +*37619 _0756_ +*37620 _0757_ +*37621 _0758_ +*37622 _0759_ +*37623 _0760_ +*37624 _0761_ +*37625 _0762_ +*37626 _0763_ +*37627 _0764_ +*37628 _0765_ +*37629 _0766_ +*37630 _0767_ +*37631 _0768_ +*37632 _0769_ +*37633 _0770_ +*37634 _0771_ +*37635 _0772_ +*37636 _0773_ +*37637 _0774_ +*37638 _0775_ +*37639 _0776_ +*37640 _0777_ +*37641 _0778_ +*37642 _0779_ +*37643 _0780_ +*37644 _0781_ +*37645 _0782_ +*37646 _0783_ +*37647 _0784_ +*37648 _0785_ +*37649 _0786_ +*37650 _0787_ +*37651 _0788_ +*37652 _0789_ +*37653 _0790_ +*37654 _0791_ +*37655 _0792_ +*37656 _0793_ +*37657 _0794_ +*37658 _0795_ +*37659 _0796_ +*37660 _0797_ +*37661 _0798_ +*37662 _0799_ +*37663 _0800_ +*37664 _0801_ +*37665 _0802_ +*37666 _0803_ +*37667 _0804_ +*37668 _0805_ +*37669 _0806_ +*37670 _0807_ +*37671 _0808_ +*37672 _0809_ +*37673 _0810_ +*37674 _0811_ +*37675 _0812_ +*37676 _0813_ +*37677 _0814_ +*37678 _0815_ +*37679 _0816_ +*37680 _0817_ +*37681 _0818_ +*37682 _0819_ +*37683 _0820_ +*37684 _0821_ +*37685 _0822_ +*37686 _0823_ +*37687 _0824_ +*37688 _0825_ +*37689 _0826_ +*37690 _0827_ +*37691 _0828_ +*37692 _0829_ +*37693 _0830_ +*37694 _0831_ +*37695 _0832_ +*37696 _0833_ +*37697 _0834_ +*37698 _0835_ +*37699 _0836_ +*37700 _0837_ +*37701 _0838_ +*37702 _0839_ +*37703 _0840_ +*37704 _0841_ +*37705 _0842_ +*37706 _0843_ +*37707 _0844_ +*37708 _0845_ +*37709 _0846_ +*37710 _0847_ +*37711 _0848_ +*37712 _0849_ +*37713 _0850_ +*37714 _0851_ +*37715 _0852_ +*37716 _0853_ +*37717 _0854_ +*37718 _0855_ +*37719 _0856_ +*37720 _0857_ +*37721 _0858_ +*37722 _0859_ +*37723 _0860_ +*37724 _0861_ +*37725 _0862_ +*37726 _0863_ +*37727 _0864_ +*37728 _0865_ +*37729 _0866_ +*37730 _0867_ +*37731 _0868_ +*37732 _0869_ +*37733 _0870_ +*37734 _0871_ +*37735 _0872_ +*37736 _0873_ +*37737 _0874_ +*37738 _0875_ +*37739 _0876_ +*37740 _0877_ +*37741 _0878_ +*37742 _0879_ +*37743 _0880_ +*37744 _0881_ +*37745 _0882_ +*37746 _0883_ +*37747 _0884_ +*37748 _0885_ +*37749 _0886_ +*37750 _0887_ +*37751 _0888_ +*37752 _0889_ +*37753 _0890_ +*37754 _0891_ +*37755 _0892_ +*37756 _0893_ +*37757 _0894_ +*37758 _0895_ +*37759 _0896_ +*37760 _0897_ +*37761 _0898_ +*37762 _0899_ +*37763 _0900_ +*37764 _0901_ +*37765 _0902_ +*37766 _0903_ +*37767 _0904_ +*37768 _0905_ +*37769 _0906_ +*37770 _0907_ +*37771 _0908_ +*37772 _0909_ +*37773 _0910_ +*37774 _0911_ +*37775 _0912_ +*37776 _0913_ +*37777 _0914_ +*37778 _0915_ +*37779 _0916_ +*37780 _0917_ +*37781 _0918_ +*37782 _0919_ +*37783 _0920_ +*37784 _0921_ +*37785 _0922_ +*37786 _0923_ +*37787 _0924_ +*37788 _0925_ +*37789 _0926_ +*37790 _0927_ +*37791 _0928_ +*37792 _0929_ +*37793 _0930_ +*37794 _0931_ +*37795 _0932_ +*37796 _0933_ +*37797 _0934_ +*37798 _0935_ +*37799 _0936_ +*37800 _0937_ +*37801 _0938_ +*37802 _0939_ +*37803 _0940_ +*37804 _0941_ +*37805 _0942_ +*37806 _0943_ +*37807 _0944_ +*37808 _0945_ +*37809 _0946_ +*37810 _0947_ +*37811 _0948_ +*37812 _0949_ +*37813 _0950_ +*37814 _0951_ +*37815 _0952_ +*37816 _0953_ +*37817 _0954_ +*37818 _0955_ +*37819 _0956_ +*37820 _0957_ +*37821 _0958_ +*37822 _0959_ +*37823 _0960_ +*37824 _0961_ +*37825 _0962_ +*37826 _0963_ +*37827 _0964_ +*37828 _0965_ +*37829 _0966_ +*37830 _0967_ +*37831 _0968_ +*37832 _0969_ +*37833 _0970_ +*37834 _0971_ +*37835 _0972_ +*37836 _0973_ +*37837 _0974_ +*37838 _0975_ +*37839 _0976_ +*37840 _0977_ +*37841 _0978_ +*37842 _0979_ +*37843 _0980_ +*37844 _0981_ +*37845 _0982_ +*37846 _0983_ +*37847 _0984_ +*37848 _0985_ +*37849 _0986_ +*37850 _0987_ +*37851 _0988_ +*37852 _0989_ +*37853 _0990_ +*37854 _0991_ +*37855 _0992_ +*37856 _0993_ +*37857 _0994_ +*37858 _0995_ +*37859 _0996_ +*37860 _0997_ +*37861 _0998_ +*37862 _0999_ +*37863 _1000_ +*37864 _1001_ +*37865 _1002_ +*37866 _1003_ +*37867 _1004_ +*37868 _1005_ +*37869 _1006_ +*37870 _1007_ +*37871 _1008_ +*37872 _1009_ +*37873 _1010_ +*37874 _1011_ +*37875 _1012_ +*37876 _1013_ +*37877 _1014_ +*37878 _1015_ +*37879 _1016_ +*37880 _1017_ +*37881 _1018_ +*37882 _1019_ +*37883 _1020_ +*37884 _1021_ +*37885 _1022_ +*37886 _1023_ +*37887 _1024_ +*37888 _1025_ +*37889 _1026_ +*37890 _1027_ +*37891 _1028_ +*37892 _1029_ +*37893 _1030_ +*37894 _1031_ +*37895 _1032_ +*37896 _1033_ +*37897 _1034_ +*37898 _1035_ +*37899 _1036_ +*37900 _1037_ +*37901 _1038_ +*37902 _1039_ +*37903 _1040_ +*37904 _1041_ +*37905 _1042_ +*37906 _1043_ +*37907 _1044_ +*37908 _1045_ +*37909 _1046_ +*37910 _1047_ +*37911 _1048_ +*37912 _1049_ +*37913 _1050_ +*37914 _1051_ +*37915 _1052_ +*37916 _1053_ +*37917 _1054_ +*37918 _1055_ +*37919 _1056_ +*37920 _1057_ +*37921 _1058_ +*37922 _1059_ +*37923 _1060_ +*37924 _1061_ +*37925 _1062_ +*37926 _1063_ +*37927 _1064_ +*37928 _1065_ +*37929 _1066_ +*37930 _1067_ +*37931 _1068_ +*37932 _1069_ +*37933 _1070_ +*37934 _1071_ +*37935 _1072_ +*37936 _1073_ +*37937 _1074_ +*37938 _1075_ +*37939 _1076_ +*37940 _1077_ +*37941 _1078_ +*37942 _1079_ +*37943 _1080_ +*37944 _1081_ +*37945 _1082_ +*37946 _1083_ +*37947 _1084_ +*37948 _1085_ +*37949 _1086_ +*37950 _1087_ +*37951 _1088_ +*37952 _1089_ +*37953 _1090_ +*37954 _1091_ +*37955 _1092_ +*37956 _1093_ +*37957 _1094_ +*37958 _1095_ +*37959 _1096_ +*37960 _1097_ +*37961 _1098_ +*37962 _1099_ +*37963 _1100_ +*37964 _1101_ +*37965 _1102_ +*37966 _1103_ +*37967 _1104_ +*37968 _1105_ +*37969 _1106_ +*37970 _1107_ +*37971 _1108_ +*37972 _1109_ +*37973 _1110_ +*37974 _1111_ +*37975 _1112_ +*37976 _1113_ +*37977 _1114_ +*37978 _1115_ +*37979 _1116_ +*37980 _1117_ +*37981 _1118_ +*37982 _1119_ +*37983 _1120_ +*37984 _1121_ +*37985 _1122_ +*37986 _1123_ +*37987 _1124_ +*37988 _1125_ +*37989 _1126_ +*37990 _1127_ +*37991 _1128_ +*37992 _1129_ +*37993 _1130_ +*37994 _1131_ +*37995 _1132_ +*37996 _1133_ +*37997 _1134_ +*37998 _1135_ +*37999 _1136_ +*38000 _1137_ +*38001 _1138_ +*38002 _1139_ +*38003 _1140_ +*38004 _1141_ +*38005 _1142_ +*38006 _1143_ +*38007 _1144_ +*38008 _1145_ +*38009 _1146_ +*38010 _1147_ +*38011 _1148_ +*38012 _1149_ +*38013 _1150_ +*38014 _1151_ +*38015 _1152_ +*38016 _1153_ +*38017 _1154_ +*38018 _1155_ +*38019 _1156_ +*38020 _1157_ +*38021 _1158_ +*38022 _1159_ +*38023 _1160_ +*38024 _1161_ +*38025 _1162_ +*38026 _1163_ +*38027 _1164_ +*38028 _1165_ +*38029 _1166_ +*38030 _1167_ +*38031 _1168_ +*38032 _1169_ +*38033 _1170_ +*38034 _1171_ +*38035 _1172_ +*38036 _1173_ +*38037 _1174_ +*38038 _1175_ +*38039 _1176_ +*38040 _1177_ +*38041 _1178_ +*38042 _1179_ +*38043 _1180_ +*38044 _1181_ +*38045 _1182_ +*38046 _1183_ +*38047 _1184_ +*38048 _1185_ +*38049 _1186_ +*38050 _1187_ +*38051 _1188_ +*38052 _1189_ +*38053 _1190_ +*38054 _1191_ +*38055 _1192_ +*38056 _1193_ +*38057 _1194_ +*38058 _1195_ +*38059 _1196_ +*38060 _1197_ +*38061 _1198_ +*38062 _1199_ +*38063 _1200_ +*38064 _1201_ +*38065 _1202_ +*38066 _1203_ +*38067 _1204_ +*38068 _1205_ +*38069 _1206_ +*38070 _1207_ +*38071 _1208_ +*38072 _1209_ +*38073 _1210_ +*38074 _1211_ +*38075 _1212_ +*38076 _1213_ +*38077 _1214_ +*38078 _1215_ +*38079 _1216_ +*38080 _1217_ +*38081 _1218_ +*38082 _1219_ +*38083 _1220_ +*38084 _1221_ +*38085 _1222_ +*38086 _1223_ +*38087 _1224_ +*38088 _1225_ +*38089 _1226_ +*38090 _1227_ +*38091 _1228_ +*38092 _1229_ +*38093 _1230_ +*38094 _1231_ +*38095 _1232_ +*38096 _1233_ +*38097 _1234_ +*38098 _1235_ +*38099 _1236_ +*38100 _1237_ +*38101 _1238_ +*38102 _1239_ +*38103 _1240_ +*38104 _1241_ +*38105 _1242_ +*38106 _1243_ +*38107 _1244_ +*38108 _1245_ +*38109 _1246_ +*38110 _1247_ +*38111 _1248_ +*38112 _1249_ +*38113 _1250_ +*38114 _1251_ +*38115 _1252_ +*38116 _1253_ +*38117 _1254_ +*38118 _1255_ +*38119 _1256_ +*38120 _1257_ +*38121 _1258_ +*38122 _1259_ +*38123 _1260_ +*38124 _1261_ +*38125 _1262_ +*38126 _1263_ +*38127 _1264_ +*38128 _1265_ +*38129 _1266_ +*38130 _1267_ +*38131 _1268_ +*38132 _1269_ +*38133 _1270_ +*38134 _1271_ +*38135 _1272_ +*38136 _1273_ +*38137 _1274_ +*38138 _1275_ +*38139 _1276_ +*38140 _1277_ +*38141 _1278_ +*38142 _1279_ +*38143 _1280_ +*38144 _1281_ +*38145 _1282_ +*38146 _1283_ +*38147 _1284_ +*38148 _1285_ +*38149 _1286_ +*38150 _1287_ +*38151 _1288_ +*38152 _1289_ +*38153 _1290_ +*38154 _1291_ +*38155 _1292_ +*38156 _1293_ +*38157 _1294_ +*38158 _1295_ +*38159 _1296_ +*38160 _1297_ +*38161 _1298_ +*38162 _1299_ +*38163 _1300_ +*38164 _1301_ +*38165 _1302_ +*38166 _1303_ +*38167 _1304_ +*38168 _1305_ +*38169 _1306_ +*38170 _1307_ +*38171 _1308_ +*38172 _1309_ +*38173 _1310_ +*38174 _1311_ +*38175 _1312_ +*38176 _1313_ +*38177 _1314_ +*38178 _1315_ +*38179 _1316_ +*38180 _1317_ +*38181 _1318_ +*38182 _1319_ +*38183 _1320_ +*38184 _1321_ +*38185 _1322_ +*38186 _1323_ +*38187 _1324_ +*38188 _1325_ +*38189 _1326_ +*38190 _1327_ +*38191 _1328_ +*38192 _1329_ +*38193 _1330_ +*38194 _1331_ +*38195 _1332_ +*38196 _1333_ +*38197 _1334_ +*38198 _1335_ +*38199 _1336_ +*38200 _1337_ +*38201 _1338_ +*38202 _1339_ +*38203 _1340_ +*38204 _1341_ +*38205 _1342_ +*38206 _1343_ +*38207 _1344_ +*38208 _1345_ +*38209 _1346_ +*38210 _1347_ +*38211 _1348_ +*38212 _1349_ +*38213 _1350_ +*38214 _1351_ +*38215 _1352_ +*38216 _1353_ +*38217 _1354_ +*38218 _1355_ +*38219 _1356_ +*38220 _1357_ +*38221 _1358_ +*38222 _1359_ +*38223 _1360_ +*38224 _1361_ +*38225 _1362_ +*38226 _1363_ +*38227 _1364_ +*38228 _1365_ +*38229 _1366_ +*38230 _1367_ +*38231 _1368_ +*38232 _1369_ +*38233 _1370_ +*38234 _1371_ +*38235 _1372_ +*38236 _1373_ +*38237 _1374_ +*38238 _1375_ +*38239 _1376_ +*38240 _1377_ +*38241 _1378_ +*38242 _1379_ +*38243 _1380_ +*38244 _1381_ +*38245 _1382_ +*38246 _1383_ +*38247 _1384_ +*38248 _1385_ +*38249 _1386_ +*38250 _1387_ +*38251 _1388_ +*38252 _1389_ +*38253 _1390_ +*38254 _1391_ +*38255 _1392_ +*38256 _1393_ +*38257 _1394_ +*38258 _1395_ +*38259 _1396_ +*38260 _1397_ +*38261 _1398_ +*38262 _1399_ +*38263 _1400_ +*38264 _1401_ +*38265 _1402_ +*38266 _1403_ +*38267 _1404_ +*38268 _1405_ +*38269 _1406_ +*38270 _1407_ +*38271 _1408_ +*38272 _1409_ +*38273 _1410_ +*38274 _1411_ +*38275 _1412_ +*38276 _1413_ +*38277 _1414_ +*38278 _1415_ +*38279 _1416_ +*38280 _1417_ +*38281 _1418_ +*38282 _1419_ +*38283 _1420_ +*38284 _1421_ +*38285 _1422_ +*38286 _1423_ +*38287 _1424_ +*38288 _1425_ +*38289 _1426_ +*38290 _1427_ +*38291 _1428_ +*38292 _1429_ +*38293 _1430_ +*38294 _1431_ +*38295 _1432_ +*38296 _1433_ +*38297 _1434_ +*38298 _1435_ +*38299 _1436_ +*38300 _1437_ +*38301 _1438_ +*38302 _1439_ +*38303 _1440_ +*38304 _1441_ +*38305 _1442_ +*38306 _1443_ +*38307 _1444_ +*38308 _1445_ +*38309 _1446_ +*38310 _1447_ +*38311 _1448_ +*38312 _1449_ +*38313 _1450_ +*38314 _1451_ +*38315 _1452_ +*38316 _1453_ +*38317 _1454_ +*38318 _1455_ +*38319 _1456_ +*38320 _1457_ +*38321 _1458_ +*38322 _1459_ +*38323 _1460_ +*38324 _1461_ +*38325 _1462_ +*38326 _1463_ +*38327 _1464_ +*38328 _1465_ +*38329 _1466_ +*38330 _1467_ +*38331 _1468_ +*38332 _1469_ +*38333 _1470_ +*38334 _1471_ +*38335 _1472_ +*38336 _1473_ +*38337 _1474_ +*38338 _1475_ +*38339 _1476_ +*38340 _1477_ +*38341 _1478_ +*38342 _1479_ +*38343 _1480_ +*38344 _1481_ +*38345 _1482_ +*38346 _1483_ +*38347 _1484_ +*38348 _1485_ +*38349 _1486_ +*38350 _1487_ +*38351 _1488_ +*38352 _1489_ +*38353 _1490_ +*38354 _1491_ +*38355 _1492_ +*38356 _1493_ +*38357 _1494_ +*38358 _1495_ +*38359 _1496_ +*38360 _1497_ +*38361 _1498_ +*38362 _1499_ +*38363 _1500_ +*38364 _1501_ +*38365 _1502_ +*38366 _1503_ +*38367 _1504_ +*38368 _1505_ +*38369 _1506_ +*38370 _1507_ +*38371 _1508_ +*38372 _1509_ +*38373 _1510_ +*38374 _1511_ +*38375 _1512_ +*38376 _1513_ +*38377 _1514_ +*38378 _1515_ +*38379 _1516_ +*38380 _1517_ +*38381 _1518_ +*38382 _1519_ +*38383 _1520_ +*38384 _1521_ +*38385 _1522_ +*38386 _1523_ +*38387 _1524_ +*38388 _1525_ +*38389 _1526_ +*38390 _1527_ +*38391 _1528_ +*38392 _1529_ +*38393 _1530_ +*38394 _1531_ +*38395 _1532_ +*38396 _1533_ +*38397 _1534_ +*38398 _1535_ +*38399 _1536_ +*38400 _1537_ +*38401 _1538_ +*38402 _1539_ +*38403 _1540_ +*38404 _1541_ +*38405 _1542_ +*38406 _1543_ +*38407 _1544_ +*38408 _1545_ +*38409 _1546_ +*38410 _1547_ +*38411 _1548_ +*38412 _1549_ +*38413 input1 +*38414 input10 +*38415 input100 +*38416 input101 +*38417 input102 +*38418 input103 +*38419 input104 +*38420 input105 +*38421 input106 +*38422 input107 +*38423 input108 +*38424 input109 +*38425 input11 +*38426 input110 +*38427 input111 +*38428 input112 +*38429 input113 +*38430 input114 +*38431 input115 +*38432 input116 +*38433 input117 +*38434 input118 +*38435 input119 +*38436 input12 +*38437 input120 +*38438 input121 +*38439 input122 +*38440 input123 +*38441 input124 +*38442 input125 +*38443 input126 +*38444 input127 +*38445 input128 +*38446 input129 +*38447 input13 +*38448 input130 +*38449 input131 +*38450 input132 +*38451 input133 +*38452 input134 +*38453 input135 +*38454 input136 +*38455 input137 +*38456 input138 +*38457 input139 +*38458 input14 +*38459 input140 +*38460 input141 +*38461 input142 +*38462 input143 +*38463 input144 +*38464 input145 +*38465 input146 +*38466 input147 +*38467 input148 +*38468 input149 +*38469 input15 +*38470 input150 +*38471 input151 +*38472 input152 +*38473 input153 +*38474 input154 +*38475 input155 +*38476 input156 +*38477 input157 +*38478 input158 +*38479 input159 +*38480 input16 +*38481 input160 +*38482 input161 +*38483 input162 +*38484 input163 +*38485 input164 +*38486 input165 +*38487 input166 +*38488 input167 +*38489 input168 +*38490 input169 +*38491 input17 +*38492 input170 +*38493 input171 +*38494 input172 +*38495 input173 +*38496 input174 +*38497 input175 +*38498 input176 +*38499 input177 +*38500 input178 +*38501 input179 +*38502 input18 +*38503 input180 +*38504 input181 +*38505 input182 +*38506 input183 +*38507 input184 +*38508 input185 +*38509 input186 +*38510 input187 +*38511 input188 +*38512 input189 +*38513 input19 +*38514 input190 +*38515 input191 +*38516 input192 +*38517 input193 +*38518 input194 +*38519 input195 +*38520 input196 +*38521 input197 +*38522 input198 +*38523 input199 +*38524 input2 +*38525 input20 +*38526 input200 +*38527 input201 +*38528 input202 +*38529 input203 +*38530 input204 +*38531 input205 +*38532 input206 +*38533 input207 +*38534 input208 +*38535 input209 +*38536 input21 +*38537 input210 +*38538 input211 +*38539 input212 +*38540 input213 +*38541 input214 +*38542 input215 +*38543 input216 +*38544 input217 +*38545 input218 +*38546 input219 +*38547 input22 +*38548 input220 +*38549 input221 +*38550 input222 +*38551 input223 +*38552 input224 +*38553 input225 +*38554 input226 +*38555 input227 +*38556 input228 +*38557 input229 +*38558 input23 +*38559 input230 +*38560 input231 +*38561 input232 +*38562 input233 +*38563 input234 +*38564 input235 +*38565 input236 +*38566 input237 +*38567 input238 +*38568 input239 +*38569 input24 +*38570 input240 +*38571 input241 +*38572 input242 +*38573 input243 +*38574 input244 +*38575 input245 +*38576 input246 +*38577 input247 +*38578 input248 +*38579 input249 +*38580 input25 +*38581 input250 +*38582 input251 +*38583 input252 +*38584 input253 +*38585 input254 +*38586 input255 +*38587 input256 +*38588 input257 +*38589 input258 +*38590 input259 +*38591 input26 +*38592 input260 +*38593 input261 +*38594 input262 +*38595 input263 +*38596 input264 +*38597 input265 +*38598 input266 +*38599 input267 +*38600 input268 +*38601 input269 +*38602 input27 +*38603 input270 +*38604 input271 +*38605 input272 +*38606 input273 +*38607 input274 +*38608 input275 +*38609 input276 +*38610 input277 +*38611 input278 +*38612 input279 +*38613 input28 +*38614 input280 +*38615 input281 +*38616 input282 +*38617 input283 +*38618 input284 +*38619 input285 +*38620 input286 +*38621 input287 +*38622 input288 +*38623 input289 +*38624 input29 +*38625 input290 +*38626 input291 +*38627 input292 +*38628 input293 +*38629 input294 +*38630 input295 +*38631 input296 +*38632 input297 +*38633 input298 +*38634 input299 +*38635 input3 +*38636 input30 +*38637 input300 +*38638 input301 +*38639 input302 +*38640 input303 +*38641 input304 +*38642 input305 +*38643 input306 +*38644 input307 +*38645 input308 +*38646 input309 +*38647 input31 +*38648 input310 +*38649 input311 +*38650 input312 +*38651 input313 +*38652 input314 +*38653 input315 +*38654 input316 +*38655 input317 +*38656 input318 +*38657 input319 +*38658 input32 +*38659 input320 +*38660 input321 +*38661 input322 +*38662 input323 +*38663 input324 +*38664 input325 +*38665 input326 +*38666 input327 +*38667 input328 +*38668 input329 +*38669 input33 +*38670 input330 +*38671 input331 +*38672 input332 +*38673 input333 +*38674 input334 +*38675 input335 +*38676 input336 +*38677 input337 +*38678 input338 +*38679 input339 +*38680 input34 +*38681 input340 +*38682 input341 +*38683 input342 +*38684 input343 +*38685 input344 +*38686 input345 +*38687 input346 +*38688 input347 +*38689 input348 +*38690 input349 +*38691 input35 +*38692 input350 +*38693 input351 +*38694 input352 +*38695 input353 +*38696 input354 +*38697 input355 +*38698 input356 +*38699 input357 +*38700 input358 +*38701 input359 +*38702 input36 +*38703 input360 +*38704 input361 +*38705 input362 +*38706 input363 +*38707 input364 +*38708 input365 +*38709 input366 +*38710 input367 +*38711 input368 +*38712 input369 +*38713 input37 +*38714 input370 +*38715 input371 +*38716 input372 +*38717 input373 +*38718 input374 +*38719 input375 +*38720 input376 +*38721 input377 +*38722 input378 +*38723 input379 +*38724 input38 +*38725 input380 +*38726 input381 +*38727 input382 +*38728 input383 +*38729 input384 +*38730 input385 +*38731 input386 +*38732 input387 +*38733 input388 +*38734 input389 +*38735 input39 +*38736 input390 +*38737 input391 +*38738 input392 +*38739 input393 +*38740 input394 +*38741 input395 +*38742 input396 +*38743 input397 +*38744 input398 +*38745 input399 +*38746 input4 +*38747 input40 +*38748 input400 +*38749 input401 +*38750 input402 +*38751 input403 +*38752 input404 +*38753 input405 +*38754 input406 +*38755 input407 +*38756 input408 +*38757 input409 +*38758 input41 +*38759 input410 +*38760 input411 +*38761 input412 +*38762 input413 +*38763 input414 +*38764 input415 +*38765 input416 +*38766 input417 +*38767 input418 +*38768 input419 +*38769 input42 +*38770 input420 +*38771 input421 +*38772 input422 +*38773 input423 +*38774 input424 +*38775 input425 +*38776 input426 +*38777 input427 +*38778 input428 +*38779 input429 +*38780 input43 +*38781 input430 +*38782 input431 +*38783 input432 +*38784 input433 +*38785 input434 +*38786 input435 +*38787 input436 +*38788 input437 +*38789 input438 +*38790 input439 +*38791 input44 +*38792 input440 +*38793 input441 +*38794 input442 +*38795 input443 +*38796 input444 +*38797 input445 +*38798 input446 +*38799 input447 +*38800 input448 +*38801 input449 +*38802 input45 +*38803 input450 +*38804 input451 +*38805 input452 +*38806 input453 +*38807 input454 +*38808 input455 +*38809 input456 +*38810 input457 +*38811 input458 +*38812 input459 +*38813 input46 +*38814 input460 +*38815 input461 +*38816 input462 +*38817 input47 +*38818 input48 +*38819 input49 +*38820 input5 +*38821 input50 +*38822 input51 +*38823 input52 +*38824 input53 +*38825 input54 +*38826 input55 +*38827 input56 +*38828 input57 +*38829 input58 +*38830 input59 +*38831 input6 +*38832 input60 +*38833 input61 +*38834 input62 +*38835 input63 +*38836 input64 +*38837 input65 +*38838 input66 +*38839 input67 +*38840 input68 +*38841 input69 +*38842 input7 +*38843 input70 +*38844 input71 +*38845 input72 +*38846 input73 +*38847 input74 +*38848 input75 +*38849 input76 +*38850 input77 +*38851 input78 +*38852 input79 +*38853 input8 +*38854 input80 +*38855 input81 +*38856 input82 +*38857 input83 +*38858 input84 +*38859 input85 +*38860 input86 +*38861 input87 +*38862 input88 +*38863 input89 +*38864 input9 +*38865 input90 +*38866 input91 +*38867 input92 +*38868 input93 +*38869 input94 +*38870 input95 +*38871 input96 +*38872 input97 +*38873 input98 +*38874 input99 +*38875 max_length1327 +*38876 max_length1834 +*38877 max_length1881 +*38878 max_length1889 +*38879 max_length1893 +*38880 max_length1898 +*38881 max_length1902 +*38882 max_length1906 +*38883 max_length1910 +*38884 max_length1918 +*38885 max_length1925 +*38886 max_length1928 +*38887 max_length1964 +*38888 max_length1966 +*38889 mprj2_logic_high_inst +*38890 mprj_logic_high_inst +*38891 output463 +*38892 output464 +*38893 output465 +*38894 output466 +*38895 output467 +*38896 output468 +*38897 output469 +*38898 output470 +*38899 output471 +*38900 output472 +*38901 output473 +*38902 output474 +*38903 output475 +*38904 output476 +*38905 output477 +*38906 output478 +*38907 output479 +*38908 output480 +*38909 output481 +*38910 output482 +*38911 output483 +*38912 output484 +*38913 output485 +*38914 output486 +*38915 output487 +*38916 output488 +*38917 output489 +*38918 output490 +*38919 output491 +*38920 output492 +*38921 output493 +*38922 output494 +*38923 output495 +*38924 output496 +*38925 output497 +*38926 output498 +*38927 output499 +*38928 output500 +*38929 output501 +*38930 output502 +*38931 output503 +*38932 output504 +*38933 output505 +*38934 output506 +*38935 output507 +*38936 output508 +*38937 output509 +*38938 output510 +*38939 output511 +*38940 output512 +*38941 output513 +*38942 output514 +*38943 output515 +*38944 output516 +*38945 output517 +*38946 output518 +*38947 output519 +*38948 output520 +*38949 output521 +*38950 output522 +*38951 output523 +*38952 output524 +*38953 output525 +*38954 output526 +*38955 output527 +*38956 output528 +*38957 output529 +*38958 output530 +*38959 output531 +*38960 output532 +*38961 output533 +*38962 output534 +*38963 output535 +*38964 output536 +*38965 output537 +*38966 output538 +*38967 output539 +*38968 output540 +*38969 output541 +*38970 output542 +*38971 output543 +*38972 output544 +*38973 output545 +*38974 output546 +*38975 output547 +*38976 output548 +*38977 output549 +*38978 output550 +*38979 output551 +*38980 output552 +*38981 output553 +*38982 output554 +*38983 output555 +*38984 output556 +*38985 output557 +*38986 output558 +*38987 output559 +*38988 output560 +*38989 output561 +*38990 output562 +*38991 output563 +*38992 output564 +*38993 output565 +*38994 output566 +*38995 output567 +*38996 output568 +*38997 output569 +*38998 output570 +*38999 output571 +*39000 output572 +*39001 output573 +*39002 output574 +*39003 output575 +*39004 output576 +*39005 output577 +*39006 output578 +*39007 output579 +*39008 output580 +*39009 output581 +*39010 output582 +*39011 output583 +*39012 output584 +*39013 output585 +*39014 output586 +*39015 output587 +*39016 output588 +*39017 output589 +*39018 output590 +*39019 output591 +*39020 output592 +*39021 output593 +*39022 output594 +*39023 output595 +*39024 output596 +*39025 output597 +*39026 output598 +*39027 output599 +*39028 output600 +*39029 output601 +*39030 output602 +*39031 output603 +*39032 output604 +*39033 output605 +*39034 output606 +*39035 output607 +*39036 output608 +*39037 output609 +*39038 output610 +*39039 output611 +*39040 output612 +*39041 output613 +*39042 output614 +*39043 output615 +*39044 output616 +*39045 output617 +*39046 output618 +*39047 output619 +*39048 output620 +*39049 output621 +*39050 output622 +*39051 output623 +*39052 output624 +*39053 output625 +*39054 output626 +*39055 output627 +*39056 output628 +*39057 output629 +*39058 output630 +*39059 output631 +*39060 output632 +*39061 output633 +*39062 output634 +*39063 output635 +*39064 output636 +*39065 output637 +*39066 output638 +*39067 output639 +*39068 output640 +*39069 output641 +*39070 output642 +*39071 output643 +*39072 output644 +*39073 output645 +*39074 output646 +*39075 output647 +*39076 output648 +*39077 output649 +*39078 output650 +*39079 output651 +*39080 output652 +*39081 output653 +*39082 output654 +*39083 output655 +*39084 output656 +*39085 output657 +*39086 output658 +*39087 output659 +*39088 output660 +*39089 output661 +*39090 output662 +*39091 output663 +*39092 output664 +*39093 output665 +*39094 output666 +*39095 output667 +*39096 output668 +*39097 output669 +*39098 output670 +*39099 output671 +*39100 output672 +*39101 output673 +*39102 output674 +*39103 output675 +*39104 output676 +*39105 output677 +*39106 output678 +*39107 output679 +*39108 output680 +*39109 output681 +*39110 output682 +*39111 output683 +*39112 output684 +*39113 output685 +*39114 output686 +*39115 output687 +*39116 output688 +*39117 output689 +*39118 output690 +*39119 output691 +*39120 output692 +*39121 output693 +*39122 output694 +*39123 output695 +*39124 output696 +*39125 output697 +*39126 output698 +*39127 output699 +*39128 output700 +*39129 output701 +*39130 output702 +*39131 output703 +*39132 output704 +*39133 output705 +*39134 output706 +*39135 output707 +*39136 output708 +*39137 output709 +*39138 output710 +*39139 output711 +*39140 output712 +*39141 output713 +*39142 output714 +*39143 output715 +*39144 output716 +*39145 output717 +*39146 output718 +*39147 output719 +*39148 output720 +*39149 output721 +*39150 output722 +*39151 output723 +*39152 output724 +*39153 output725 +*39154 output726 +*39155 output727 +*39156 output728 +*39157 output729 +*39158 output730 +*39159 output731 +*39160 output732 +*39161 output733 +*39162 output734 +*39163 output735 +*39164 output736 +*39165 output737 +*39166 output738 +*39167 output739 +*39168 output740 +*39169 output741 +*39170 output742 +*39171 output743 +*39172 output744 +*39173 output745 +*39174 output746 +*39175 output747 +*39176 output748 +*39177 output749 +*39178 output750 +*39179 output751 +*39180 output752 +*39181 output753 +*39182 output754 +*39183 output755 +*39184 output756 +*39185 output757 +*39186 output758 +*39187 output759 +*39188 output760 +*39189 output761 +*39190 output762 +*39191 output763 +*39192 output764 +*39193 output765 +*39194 output766 +*39195 output767 +*39196 output768 +*39197 output769 +*39198 output770 +*39199 output771 +*39200 output772 +*39201 output773 +*39202 output774 +*39203 output775 +*39204 output776 +*39205 output777 +*39206 output778 +*39207 output779 +*39208 output780 +*39209 output781 +*39210 output782 +*39211 output783 +*39212 output784 +*39213 output785 +*39214 output786 +*39215 output787 +*39216 output788 +*39217 output789 +*39218 output790 +*39219 output791 +*39220 output792 +*39221 output793 +*39222 output794 +*39223 output795 +*39224 output796 +*39225 output797 +*39226 output798 +*39227 output799 +*39228 output800 +*39229 output801 +*39230 output802 +*39231 output803 +*39232 output804 +*39233 output805 +*39234 output806 +*39235 output807 +*39236 output808 +*39237 output809 +*39238 output810 +*39239 output811 +*39240 output812 +*39241 output813 +*39242 output814 +*39243 output815 +*39244 output816 +*39245 output817 +*39246 output818 +*39247 output819 +*39248 output820 +*39249 output821 +*39250 output822 +*39251 output823 +*39252 output824 +*39253 output825 +*39254 output826 +*39255 output827 +*39256 output828 +*39257 output829 +*39258 output830 +*39259 output831 +*39260 output832 +*39261 output833 +*39262 output834 +*39263 output835 +*39264 output836 +*39265 output837 +*39266 output838 +*39267 output839 +*39268 output840 +*39269 output841 +*39270 output842 +*39271 output843 +*39272 output844 +*39273 output845 +*39274 output846 +*39275 output847 +*39276 output848 +*39277 output849 +*39278 output850 +*39279 output851 +*39280 output852 +*39281 output853 +*39282 output854 +*39283 output855 +*39284 output856 +*39285 output857 +*39286 output858 +*39287 output859 +*39288 output860 +*39289 output861 +*39290 output862 +*39291 output863 +*39292 output864 +*39293 output865 +*39294 output866 +*39295 output867 +*39296 output868 +*39297 output869 +*39298 output870 +*39299 output871 +*39300 output872 +*39301 output873 +*39302 output874 +*39303 output875 +*39304 output876 +*39305 output877 +*39306 output878 +*39307 output879 +*39308 output880 +*39309 output881 +*39310 output882 +*39311 output883 +*39312 output884 +*39313 output885 +*39314 output886 +*39315 output887 +*39316 output888 +*39317 output889 +*39318 output890 +*39319 output891 +*39320 output892 +*39321 output893 +*39322 output894 +*39323 output895 +*39324 output896 +*39325 output897 +*39326 output898 +*39327 output899 +*39328 output900 +*39329 output901 +*39330 output902 +*39331 output903 +*39332 output904 +*39333 output905 +*39334 output906 +*39335 output907 +*39336 output908 +*39337 output909 +*39338 output910 +*39339 output911 +*39340 output912 +*39341 output913 +*39342 output914 +*39343 output915 +*39344 output916 +*39345 output917 +*39346 output918 +*39347 output919 +*39348 output920 +*39349 output921 +*39350 output922 +*39351 output923 +*39352 output924 +*39353 output925 +*39354 output926 +*39355 output927 +*39356 output928 +*39357 output929 +*39358 output930 +*39359 output931 +*39360 output932 +*39361 output933 +*39362 output934 +*39363 output935 +*39364 output936 +*39365 output937 +*39366 output938 +*39367 output939 +*39368 output940 +*39369 output941 +*39370 output942 +*39371 output943 +*39372 output944 +*39373 output945 +*39374 output946 +*39375 output947 +*39376 output948 +*39377 output949 +*39378 output950 +*39379 output951 +*39380 output952 +*39381 output953 +*39382 output954 +*39383 output955 +*39384 output956 +*39385 output957 +*39386 output958 +*39387 output959 +*39388 output960 +*39389 powergood_check +*39390 user_irq_gates\[0\] +*39391 user_irq_gates\[1\] +*39392 user_irq_gates\[2\] +*39393 user_to_mprj_in_gates\[0\] +*39394 user_to_mprj_in_gates\[100\] +*39395 user_to_mprj_in_gates\[101\] +*39396 user_to_mprj_in_gates\[102\] +*39397 user_to_mprj_in_gates\[103\] +*39398 user_to_mprj_in_gates\[104\] +*39399 user_to_mprj_in_gates\[105\] +*39400 user_to_mprj_in_gates\[106\] +*39401 user_to_mprj_in_gates\[107\] +*39402 user_to_mprj_in_gates\[108\] +*39403 user_to_mprj_in_gates\[109\] +*39404 user_to_mprj_in_gates\[10\] +*39405 user_to_mprj_in_gates\[110\] +*39406 user_to_mprj_in_gates\[111\] +*39407 user_to_mprj_in_gates\[112\] +*39408 user_to_mprj_in_gates\[113\] +*39409 user_to_mprj_in_gates\[114\] +*39410 user_to_mprj_in_gates\[115\] +*39411 user_to_mprj_in_gates\[116\] +*39412 user_to_mprj_in_gates\[117\] +*39413 user_to_mprj_in_gates\[118\] +*39414 user_to_mprj_in_gates\[119\] +*39415 user_to_mprj_in_gates\[11\] +*39416 user_to_mprj_in_gates\[120\] +*39417 user_to_mprj_in_gates\[121\] +*39418 user_to_mprj_in_gates\[122\] +*39419 user_to_mprj_in_gates\[123\] +*39420 user_to_mprj_in_gates\[124\] +*39421 user_to_mprj_in_gates\[125\] +*39422 user_to_mprj_in_gates\[126\] +*39423 user_to_mprj_in_gates\[127\] +*39424 user_to_mprj_in_gates\[12\] +*39425 user_to_mprj_in_gates\[13\] +*39426 user_to_mprj_in_gates\[14\] +*39427 user_to_mprj_in_gates\[15\] +*39428 user_to_mprj_in_gates\[16\] +*39429 user_to_mprj_in_gates\[17\] +*39430 user_to_mprj_in_gates\[18\] +*39431 user_to_mprj_in_gates\[19\] +*39432 user_to_mprj_in_gates\[1\] +*39433 user_to_mprj_in_gates\[20\] +*39434 user_to_mprj_in_gates\[21\] +*39435 user_to_mprj_in_gates\[22\] +*39436 user_to_mprj_in_gates\[23\] +*39437 user_to_mprj_in_gates\[24\] +*39438 user_to_mprj_in_gates\[25\] +*39439 user_to_mprj_in_gates\[26\] +*39440 user_to_mprj_in_gates\[27\] +*39441 user_to_mprj_in_gates\[28\] +*39442 user_to_mprj_in_gates\[29\] +*39443 user_to_mprj_in_gates\[2\] +*39444 user_to_mprj_in_gates\[30\] +*39445 user_to_mprj_in_gates\[31\] +*39446 user_to_mprj_in_gates\[32\] +*39447 user_to_mprj_in_gates\[33\] +*39448 user_to_mprj_in_gates\[34\] +*39449 user_to_mprj_in_gates\[35\] +*39450 user_to_mprj_in_gates\[36\] +*39451 user_to_mprj_in_gates\[37\] +*39452 user_to_mprj_in_gates\[38\] +*39453 user_to_mprj_in_gates\[39\] +*39454 user_to_mprj_in_gates\[3\] +*39455 user_to_mprj_in_gates\[40\] +*39456 user_to_mprj_in_gates\[41\] +*39457 user_to_mprj_in_gates\[42\] +*39458 user_to_mprj_in_gates\[43\] +*39459 user_to_mprj_in_gates\[44\] +*39460 user_to_mprj_in_gates\[45\] +*39461 user_to_mprj_in_gates\[46\] +*39462 user_to_mprj_in_gates\[47\] +*39463 user_to_mprj_in_gates\[48\] +*39464 user_to_mprj_in_gates\[49\] +*39465 user_to_mprj_in_gates\[4\] +*39466 user_to_mprj_in_gates\[50\] +*39467 user_to_mprj_in_gates\[51\] +*39468 user_to_mprj_in_gates\[52\] +*39469 user_to_mprj_in_gates\[53\] +*39470 user_to_mprj_in_gates\[54\] +*39471 user_to_mprj_in_gates\[55\] +*39472 user_to_mprj_in_gates\[56\] +*39473 user_to_mprj_in_gates\[57\] +*39474 user_to_mprj_in_gates\[58\] +*39475 user_to_mprj_in_gates\[59\] +*39476 user_to_mprj_in_gates\[5\] +*39477 user_to_mprj_in_gates\[60\] +*39478 user_to_mprj_in_gates\[61\] +*39479 user_to_mprj_in_gates\[62\] +*39480 user_to_mprj_in_gates\[63\] +*39481 user_to_mprj_in_gates\[64\] +*39482 user_to_mprj_in_gates\[65\] +*39483 user_to_mprj_in_gates\[66\] +*39484 user_to_mprj_in_gates\[67\] +*39485 user_to_mprj_in_gates\[68\] +*39486 user_to_mprj_in_gates\[69\] +*39487 user_to_mprj_in_gates\[6\] +*39488 user_to_mprj_in_gates\[70\] +*39489 user_to_mprj_in_gates\[71\] +*39490 user_to_mprj_in_gates\[72\] +*39491 user_to_mprj_in_gates\[73\] +*39492 user_to_mprj_in_gates\[74\] +*39493 user_to_mprj_in_gates\[75\] +*39494 user_to_mprj_in_gates\[76\] +*39495 user_to_mprj_in_gates\[77\] +*39496 user_to_mprj_in_gates\[78\] +*39497 user_to_mprj_in_gates\[79\] +*39498 user_to_mprj_in_gates\[7\] +*39499 user_to_mprj_in_gates\[80\] +*39500 user_to_mprj_in_gates\[81\] +*39501 user_to_mprj_in_gates\[82\] +*39502 user_to_mprj_in_gates\[83\] +*39503 user_to_mprj_in_gates\[84\] +*39504 user_to_mprj_in_gates\[85\] +*39505 user_to_mprj_in_gates\[86\] +*39506 user_to_mprj_in_gates\[87\] +*39507 user_to_mprj_in_gates\[88\] +*39508 user_to_mprj_in_gates\[89\] +*39509 user_to_mprj_in_gates\[8\] +*39510 user_to_mprj_in_gates\[90\] +*39511 user_to_mprj_in_gates\[91\] +*39512 user_to_mprj_in_gates\[92\] +*39513 user_to_mprj_in_gates\[93\] +*39514 user_to_mprj_in_gates\[94\] +*39515 user_to_mprj_in_gates\[95\] +*39516 user_to_mprj_in_gates\[96\] +*39517 user_to_mprj_in_gates\[97\] +*39518 user_to_mprj_in_gates\[98\] +*39519 user_to_mprj_in_gates\[99\] +*39520 user_to_mprj_in_gates\[9\] +*39521 user_wb_ack_gate +*39522 user_wb_dat_gates\[0\] +*39523 user_wb_dat_gates\[10\] +*39524 user_wb_dat_gates\[11\] +*39525 user_wb_dat_gates\[12\] +*39526 user_wb_dat_gates\[13\] +*39527 user_wb_dat_gates\[14\] +*39528 user_wb_dat_gates\[15\] +*39529 user_wb_dat_gates\[16\] +*39530 user_wb_dat_gates\[17\] +*39531 user_wb_dat_gates\[18\] +*39532 user_wb_dat_gates\[19\] +*39533 user_wb_dat_gates\[1\] +*39534 user_wb_dat_gates\[20\] +*39535 user_wb_dat_gates\[21\] +*39536 user_wb_dat_gates\[22\] +*39537 user_wb_dat_gates\[23\] +*39538 user_wb_dat_gates\[24\] +*39539 user_wb_dat_gates\[25\] +*39540 user_wb_dat_gates\[26\] +*39541 user_wb_dat_gates\[27\] +*39542 user_wb_dat_gates\[28\] +*39543 user_wb_dat_gates\[29\] +*39544 user_wb_dat_gates\[2\] +*39545 user_wb_dat_gates\[30\] +*39546 user_wb_dat_gates\[31\] +*39547 user_wb_dat_gates\[3\] +*39548 user_wb_dat_gates\[4\] +*39549 user_wb_dat_gates\[5\] +*39550 user_wb_dat_gates\[6\] +*39551 user_wb_dat_gates\[7\] +*39552 user_wb_dat_gates\[8\] +*39553 user_wb_dat_gates\[9\] +*39554 wire1000 +*39555 wire1001 +*39556 wire1002 +*39557 wire1003 +*39558 wire1004 +*39559 wire1005 +*39560 wire1006 +*39561 wire1007 +*39562 wire1008 +*39563 wire1009 +*39564 wire1010 +*39565 wire1011 +*39566 wire1012 +*39567 wire1013 +*39568 wire1014 +*39569 wire1015 +*39570 wire1016 +*39571 wire1017 +*39572 wire1018 +*39573 wire1019 +*39574 wire1020 +*39575 wire1021 +*39576 wire1022 +*39577 wire1023 +*39578 wire1024 +*39579 wire1025 +*39580 wire1026 +*39581 wire1027 +*39582 wire1028 +*39583 wire1029 +*39584 wire1030 +*39585 wire1031 +*39586 wire1032 +*39587 wire1033 +*39588 wire1034 +*39589 wire1035 +*39590 wire1036 +*39591 wire1037 +*39592 wire1038 +*39593 wire1039 +*39594 wire1040 +*39595 wire1041 +*39596 wire1042 +*39597 wire1043 +*39598 wire1044 +*39599 wire1045 +*39600 wire1046 +*39601 wire1047 +*39602 wire1048 +*39603 wire1049 +*39604 wire1050 +*39605 wire1051 +*39606 wire1052 +*39607 wire1053 +*39608 wire1054 +*39609 wire1055 +*39610 wire1056 +*39611 wire1057 +*39612 wire1058 +*39613 wire1059 +*39614 wire1060 +*39615 wire1061 +*39616 wire1062 +*39617 wire1063 +*39618 wire1064 +*39619 wire1065 +*39620 wire1066 +*39621 wire1067 +*39622 wire1068 +*39623 wire1069 +*39624 wire1070 +*39625 wire1071 +*39626 wire1072 +*39627 wire1073 +*39628 wire1074 +*39629 wire1075 +*39630 wire1076 +*39631 wire1077 +*39632 wire1078 +*39633 wire1079 +*39634 wire1080 +*39635 wire1081 +*39636 wire1082 +*39637 wire1083 +*39638 wire1084 +*39639 wire1085 +*39640 wire1086 +*39641 wire1087 +*39642 wire1088 +*39643 wire1089 +*39644 wire1090 +*39645 wire1091 +*39646 wire1092 +*39647 wire1093 +*39648 wire1094 +*39649 wire1095 +*39650 wire1096 +*39651 wire1097 +*39652 wire1098 +*39653 wire1099 +*39654 wire1100 +*39655 wire1101 +*39656 wire1102 +*39657 wire1103 +*39658 wire1104 +*39659 wire1105 +*39660 wire1106 +*39661 wire1107 +*39662 wire1108 +*39663 wire1109 +*39664 wire1110 +*39665 wire1111 +*39666 wire1112 +*39667 wire1113 +*39668 wire1114 +*39669 wire1115 +*39670 wire1116 +*39671 wire1117 +*39672 wire1118 +*39673 wire1119 +*39674 wire1120 +*39675 wire1121 +*39676 wire1122 +*39677 wire1123 +*39678 wire1124 +*39679 wire1125 +*39680 wire1126 +*39681 wire1127 +*39682 wire1128 +*39683 wire1129 +*39684 wire1130 +*39685 wire1131 +*39686 wire1132 +*39687 wire1133 +*39688 wire1134 +*39689 wire1135 +*39690 wire1136 +*39691 wire1137 +*39692 wire1138 +*39693 wire1139 +*39694 wire1140 +*39695 wire1141 +*39696 wire1142 +*39697 wire1143 +*39698 wire1144 +*39699 wire1145 +*39700 wire1146 +*39701 wire1147 +*39702 wire1148 +*39703 wire1149 +*39704 wire1150 +*39705 wire1151 +*39706 wire1152 +*39707 wire1153 +*39708 wire1154 +*39709 wire1155 +*39710 wire1156 +*39711 wire1157 +*39712 wire1158 +*39713 wire1159 +*39714 wire1160 +*39715 wire1161 +*39716 wire1162 +*39717 wire1163 +*39718 wire1164 +*39719 wire1165 +*39720 wire1166 +*39721 wire1167 +*39722 wire1168 +*39723 wire1169 +*39724 wire1170 +*39725 wire1171 +*39726 wire1172 +*39727 wire1173 +*39728 wire1174 +*39729 wire1175 +*39730 wire1176 +*39731 wire1177 +*39732 wire1178 +*39733 wire1179 +*39734 wire1180 +*39735 wire1181 +*39736 wire1182 +*39737 wire1183 +*39738 wire1184 +*39739 wire1185 +*39740 wire1186 +*39741 wire1187 +*39742 wire1188 +*39743 wire1189 +*39744 wire1190 +*39745 wire1191 +*39746 wire1192 +*39747 wire1193 +*39748 wire1194 +*39749 wire1195 +*39750 wire1196 +*39751 wire1197 +*39752 wire1198 +*39753 wire1199 +*39754 wire1200 +*39755 wire1201 +*39756 wire1202 +*39757 wire1203 +*39758 wire1204 +*39759 wire1205 +*39760 wire1206 +*39761 wire1207 +*39762 wire1208 +*39763 wire1209 +*39764 wire1210 +*39765 wire1211 +*39766 wire1212 +*39767 wire1213 +*39768 wire1214 +*39769 wire1215 +*39770 wire1216 +*39771 wire1217 +*39772 wire1218 +*39773 wire1219 +*39774 wire1220 +*39775 wire1221 +*39776 wire1222 +*39777 wire1223 +*39778 wire1224 +*39779 wire1225 +*39780 wire1226 +*39781 wire1227 +*39782 wire1228 +*39783 wire1229 +*39784 wire1230 +*39785 wire1231 +*39786 wire1232 +*39787 wire1233 +*39788 wire1234 +*39789 wire1235 +*39790 wire1236 +*39791 wire1237 +*39792 wire1238 +*39793 wire1239 +*39794 wire1240 +*39795 wire1241 +*39796 wire1242 +*39797 wire1243 +*39798 wire1244 +*39799 wire1245 +*39800 wire1246 +*39801 wire1247 +*39802 wire1248 +*39803 wire1249 +*39804 wire1250 +*39805 wire1251 +*39806 wire1252 +*39807 wire1253 +*39808 wire1254 +*39809 wire1255 +*39810 wire1256 +*39811 wire1257 +*39812 wire1258 +*39813 wire1259 +*39814 wire1260 +*39815 wire1261 +*39816 wire1262 +*39817 wire1263 +*39818 wire1264 +*39819 wire1265 +*39820 wire1266 +*39821 wire1267 +*39822 wire1268 +*39823 wire1269 +*39824 wire1270 +*39825 wire1271 +*39826 wire1272 +*39827 wire1273 +*39828 wire1274 +*39829 wire1275 +*39830 wire1276 +*39831 wire1277 +*39832 wire1278 +*39833 wire1279 +*39834 wire1280 +*39835 wire1281 +*39836 wire1282 +*39837 wire1283 +*39838 wire1284 +*39839 wire1285 +*39840 wire1286 +*39841 wire1287 +*39842 wire1288 +*39843 wire1289 +*39844 wire1290 +*39845 wire1291 +*39846 wire1292 +*39847 wire1293 +*39848 wire1294 +*39849 wire1295 +*39850 wire1296 +*39851 wire1297 +*39852 wire1298 +*39853 wire1299 +*39854 wire1300 +*39855 wire1301 +*39856 wire1302 +*39857 wire1303 +*39858 wire1304 +*39859 wire1305 +*39860 wire1306 +*39861 wire1307 +*39862 wire1308 +*39863 wire1309 +*39864 wire1310 +*39865 wire1311 +*39866 wire1312 +*39867 wire1313 +*39868 wire1314 +*39869 wire1315 +*39870 wire1316 +*39871 wire1317 +*39872 wire1318 +*39873 wire1319 +*39874 wire1320 +*39875 wire1321 +*39876 wire1322 +*39877 wire1323 +*39878 wire1324 +*39879 wire1325 +*39880 wire1326 +*39881 wire1328 +*39882 wire1329 +*39883 wire1330 +*39884 wire1331 +*39885 wire1332 +*39886 wire1333 +*39887 wire1334 +*39888 wire1335 +*39889 wire1336 +*39890 wire1337 +*39891 wire1338 +*39892 wire1339 +*39893 wire1340 +*39894 wire1341 +*39895 wire1342 +*39896 wire1343 +*39897 wire1344 +*39898 wire1345 +*39899 wire1346 +*39900 wire1347 +*39901 wire1348 +*39902 wire1349 +*39903 wire1350 +*39904 wire1351 +*39905 wire1352 +*39906 wire1353 +*39907 wire1354 +*39908 wire1355 +*39909 wire1356 +*39910 wire1357 +*39911 wire1358 +*39912 wire1359 +*39913 wire1360 +*39914 wire1361 +*39915 wire1362 +*39916 wire1363 +*39917 wire1364 +*39918 wire1365 +*39919 wire1366 +*39920 wire1367 +*39921 wire1368 +*39922 wire1369 +*39923 wire1370 +*39924 wire1371 +*39925 wire1372 +*39926 wire1373 +*39927 wire1374 +*39928 wire1375 +*39929 wire1376 +*39930 wire1377 +*39931 wire1378 +*39932 wire1379 +*39933 wire1380 +*39934 wire1381 +*39935 wire1382 +*39936 wire1383 +*39937 wire1384 +*39938 wire1385 +*39939 wire1386 +*39940 wire1387 +*39941 wire1388 +*39942 wire1389 +*39943 wire1390 +*39944 wire1391 +*39945 wire1392 +*39946 wire1393 +*39947 wire1394 +*39948 wire1395 +*39949 wire1396 +*39950 wire1397 +*39951 wire1398 +*39952 wire1399 +*39953 wire1400 +*39954 wire1401 +*39955 wire1402 +*39956 wire1403 +*39957 wire1404 +*39958 wire1405 +*39959 wire1406 +*39960 wire1407 +*39961 wire1408 +*39962 wire1409 +*39963 wire1410 +*39964 wire1411 +*39965 wire1412 +*39966 wire1413 +*39967 wire1414 +*39968 wire1415 +*39969 wire1416 +*39970 wire1417 +*39971 wire1418 +*39972 wire1419 +*39973 wire1420 +*39974 wire1421 +*39975 wire1422 +*39976 wire1423 +*39977 wire1424 +*39978 wire1425 +*39979 wire1426 +*39980 wire1427 +*39981 wire1428 +*39982 wire1429 +*39983 wire1430 +*39984 wire1431 +*39985 wire1432 +*39986 wire1433 +*39987 wire1434 +*39988 wire1435 +*39989 wire1436 +*39990 wire1437 +*39991 wire1438 +*39992 wire1439 +*39993 wire1440 +*39994 wire1441 +*39995 wire1442 +*39996 wire1443 +*39997 wire1444 +*39998 wire1445 +*39999 wire1446 +*40000 wire1447 +*40001 wire1448 +*40002 wire1449 +*40003 wire1450 +*40004 wire1451 +*40005 wire1452 +*40006 wire1453 +*40007 wire1454 +*40008 wire1455 +*40009 wire1456 +*40010 wire1457 +*40011 wire1458 +*40012 wire1459 +*40013 wire1460 +*40014 wire1461 +*40015 wire1462 +*40016 wire1463 +*40017 wire1464 +*40018 wire1465 +*40019 wire1466 +*40020 wire1467 +*40021 wire1468 +*40022 wire1469 +*40023 wire1470 +*40024 wire1471 +*40025 wire1472 +*40026 wire1473 +*40027 wire1474 +*40028 wire1475 +*40029 wire1476 +*40030 wire1477 +*40031 wire1478 +*40032 wire1479 +*40033 wire1480 +*40034 wire1481 +*40035 wire1482 +*40036 wire1483 +*40037 wire1484 +*40038 wire1485 +*40039 wire1486 +*40040 wire1487 +*40041 wire1488 +*40042 wire1489 +*40043 wire1490 +*40044 wire1491 +*40045 wire1492 +*40046 wire1493 +*40047 wire1494 +*40048 wire1495 +*40049 wire1496 +*40050 wire1497 +*40051 wire1498 +*40052 wire1499 +*40053 wire1500 +*40054 wire1501 +*40055 wire1502 +*40056 wire1503 +*40057 wire1504 +*40058 wire1505 +*40059 wire1506 +*40060 wire1507 +*40061 wire1508 +*40062 wire1509 +*40063 wire1510 +*40064 wire1511 +*40065 wire1512 +*40066 wire1513 +*40067 wire1514 +*40068 wire1515 +*40069 wire1516 +*40070 wire1517 +*40071 wire1518 +*40072 wire1519 +*40073 wire1520 +*40074 wire1521 +*40075 wire1522 +*40076 wire1523 +*40077 wire1524 +*40078 wire1525 +*40079 wire1526 +*40080 wire1527 +*40081 wire1528 +*40082 wire1529 +*40083 wire1530 +*40084 wire1531 +*40085 wire1532 +*40086 wire1533 +*40087 wire1534 +*40088 wire1535 +*40089 wire1536 +*40090 wire1537 +*40091 wire1538 +*40092 wire1539 +*40093 wire1540 +*40094 wire1541 +*40095 wire1542 +*40096 wire1543 +*40097 wire1544 +*40098 wire1545 +*40099 wire1546 +*40100 wire1547 +*40101 wire1548 +*40102 wire1549 +*40103 wire1550 +*40104 wire1551 +*40105 wire1552 +*40106 wire1553 +*40107 wire1554 +*40108 wire1555 +*40109 wire1556 +*40110 wire1557 +*40111 wire1558 +*40112 wire1559 +*40113 wire1560 +*40114 wire1561 +*40115 wire1562 +*40116 wire1563 +*40117 wire1564 +*40118 wire1565 +*40119 wire1566 +*40120 wire1567 +*40121 wire1568 +*40122 wire1569 +*40123 wire1570 +*40124 wire1571 +*40125 wire1572 +*40126 wire1573 +*40127 wire1574 +*40128 wire1575 +*40129 wire1576 +*40130 wire1577 +*40131 wire1578 +*40132 wire1579 +*40133 wire1580 +*40134 wire1581 +*40135 wire1582 +*40136 wire1583 +*40137 wire1584 +*40138 wire1585 +*40139 wire1586 +*40140 wire1587 +*40141 wire1588 +*40142 wire1589 +*40143 wire1590 +*40144 wire1591 +*40145 wire1592 +*40146 wire1593 +*40147 wire1594 +*40148 wire1595 +*40149 wire1596 +*40150 wire1597 +*40151 wire1598 +*40152 wire1599 +*40153 wire1600 +*40154 wire1601 +*40155 wire1602 +*40156 wire1603 +*40157 wire1604 +*40158 wire1605 +*40159 wire1606 +*40160 wire1607 +*40161 wire1608 +*40162 wire1609 +*40163 wire1610 +*40164 wire1611 +*40165 wire1612 +*40166 wire1613 +*40167 wire1614 +*40168 wire1615 +*40169 wire1616 +*40170 wire1617 +*40171 wire1618 +*40172 wire1619 +*40173 wire1620 +*40174 wire1621 +*40175 wire1622 +*40176 wire1623 +*40177 wire1624 +*40178 wire1625 +*40179 wire1626 +*40180 wire1627 +*40181 wire1628 +*40182 wire1629 +*40183 wire1630 +*40184 wire1631 +*40185 wire1632 +*40186 wire1633 +*40187 wire1634 +*40188 wire1635 +*40189 wire1636 +*40190 wire1637 +*40191 wire1638 +*40192 wire1639 +*40193 wire1640 +*40194 wire1641 +*40195 wire1642 +*40196 wire1643 +*40197 wire1644 +*40198 wire1645 +*40199 wire1646 +*40200 wire1647 +*40201 wire1648 +*40202 wire1649 +*40203 wire1650 +*40204 wire1651 +*40205 wire1652 +*40206 wire1653 +*40207 wire1654 +*40208 wire1655 +*40209 wire1656 +*40210 wire1657 +*40211 wire1658 +*40212 wire1659 +*40213 wire1660 +*40214 wire1661 +*40215 wire1662 +*40216 wire1663 +*40217 wire1664 +*40218 wire1665 +*40219 wire1666 +*40220 wire1667 +*40221 wire1668 +*40222 wire1669 +*40223 wire1670 +*40224 wire1671 +*40225 wire1672 +*40226 wire1673 +*40227 wire1674 +*40228 wire1675 +*40229 wire1676 +*40230 wire1677 +*40231 wire1678 +*40232 wire1679 +*40233 wire1680 +*40234 wire1681 +*40235 wire1682 +*40236 wire1683 +*40237 wire1684 +*40238 wire1685 +*40239 wire1686 +*40240 wire1687 +*40241 wire1688 +*40242 wire1689 +*40243 wire1690 +*40244 wire1691 +*40245 wire1692 +*40246 wire1693 +*40247 wire1694 +*40248 wire1695 +*40249 wire1696 +*40250 wire1697 +*40251 wire1698 +*40252 wire1699 +*40253 wire1700 +*40254 wire1701 +*40255 wire1702 +*40256 wire1703 +*40257 wire1704 +*40258 wire1705 +*40259 wire1706 +*40260 wire1707 +*40261 wire1708 +*40262 wire1709 +*40263 wire1710 +*40264 wire1711 +*40265 wire1712 +*40266 wire1713 +*40267 wire1714 +*40268 wire1715 +*40269 wire1716 +*40270 wire1717 +*40271 wire1718 +*40272 wire1719 +*40273 wire1720 +*40274 wire1721 +*40275 wire1722 +*40276 wire1723 +*40277 wire1724 +*40278 wire1725 +*40279 wire1726 +*40280 wire1727 +*40281 wire1728 +*40282 wire1729 +*40283 wire1730 +*40284 wire1731 +*40285 wire1732 +*40286 wire1733 +*40287 wire1734 +*40288 wire1735 +*40289 wire1736 +*40290 wire1737 +*40291 wire1738 +*40292 wire1739 +*40293 wire1740 +*40294 wire1741 +*40295 wire1742 +*40296 wire1743 +*40297 wire1744 +*40298 wire1745 +*40299 wire1746 +*40300 wire1747 +*40301 wire1748 +*40302 wire1749 +*40303 wire1750 +*40304 wire1751 +*40305 wire1752 +*40306 wire1753 +*40307 wire1754 +*40308 wire1755 +*40309 wire1756 +*40310 wire1757 +*40311 wire1758 +*40312 wire1759 +*40313 wire1760 +*40314 wire1761 +*40315 wire1762 +*40316 wire1763 +*40317 wire1764 +*40318 wire1765 +*40319 wire1766 +*40320 wire1767 +*40321 wire1768 +*40322 wire1769 +*40323 wire1770 +*40324 wire1771 +*40325 wire1772 +*40326 wire1773 +*40327 wire1774 +*40328 wire1775 +*40329 wire1776 +*40330 wire1777 +*40331 wire1778 +*40332 wire1779 +*40333 wire1780 +*40334 wire1781 +*40335 wire1782 +*40336 wire1783 +*40337 wire1784 +*40338 wire1785 +*40339 wire1786 +*40340 wire1787 +*40341 wire1788 +*40342 wire1789 +*40343 wire1790 +*40344 wire1791 +*40345 wire1792 +*40346 wire1793 +*40347 wire1794 +*40348 wire1795 +*40349 wire1796 +*40350 wire1797 +*40351 wire1798 +*40352 wire1799 +*40353 wire1800 +*40354 wire1801 +*40355 wire1802 +*40356 wire1803 +*40357 wire1804 +*40358 wire1805 +*40359 wire1806 +*40360 wire1807 +*40361 wire1808 +*40362 wire1809 +*40363 wire1810 +*40364 wire1811 +*40365 wire1812 +*40366 wire1813 +*40367 wire1814 +*40368 wire1815 +*40369 wire1816 +*40370 wire1817 +*40371 wire1818 +*40372 wire1819 +*40373 wire1820 +*40374 wire1821 +*40375 wire1822 +*40376 wire1823 +*40377 wire1824 +*40378 wire1825 +*40379 wire1826 +*40380 wire1827 +*40381 wire1828 +*40382 wire1829 +*40383 wire1830 +*40384 wire1831 +*40385 wire1832 +*40386 wire1833 +*40387 wire1835 +*40388 wire1836 +*40389 wire1837 +*40390 wire1838 +*40391 wire1839 +*40392 wire1840 +*40393 wire1841 +*40394 wire1842 +*40395 wire1843 +*40396 wire1844 +*40397 wire1845 +*40398 wire1846 +*40399 wire1847 +*40400 wire1848 +*40401 wire1849 +*40402 wire1850 +*40403 wire1851 +*40404 wire1852 +*40405 wire1853 +*40406 wire1854 +*40407 wire1855 +*40408 wire1856 +*40409 wire1857 +*40410 wire1858 +*40411 wire1859 +*40412 wire1860 +*40413 wire1861 +*40414 wire1862 +*40415 wire1863 +*40416 wire1864 +*40417 wire1865 +*40418 wire1866 +*40419 wire1867 +*40420 wire1868 +*40421 wire1869 +*40422 wire1870 +*40423 wire1871 +*40424 wire1872 +*40425 wire1873 +*40426 wire1874 +*40427 wire1875 +*40428 wire1876 +*40429 wire1877 +*40430 wire1878 +*40431 wire1879 +*40432 wire1880 +*40433 wire1882 +*40434 wire1883 +*40435 wire1884 +*40436 wire1885 +*40437 wire1886 +*40438 wire1887 +*40439 wire1888 +*40440 wire1890 +*40441 wire1891 +*40442 wire1892 +*40443 wire1894 +*40444 wire1895 +*40445 wire1896 +*40446 wire1897 +*40447 wire1899 +*40448 wire1900 +*40449 wire1901 +*40450 wire1903 +*40451 wire1904 +*40452 wire1905 +*40453 wire1907 +*40454 wire1908 +*40455 wire1909 +*40456 wire1911 +*40457 wire1912 +*40458 wire1913 +*40459 wire1914 +*40460 wire1915 +*40461 wire1916 +*40462 wire1917 +*40463 wire1919 +*40464 wire1920 +*40465 wire1921 +*40466 wire1922 +*40467 wire1923 +*40468 wire1924 +*40469 wire1926 +*40470 wire1927 +*40471 wire1929 +*40472 wire1930 +*40473 wire1931 +*40474 wire1932 +*40475 wire1933 +*40476 wire1934 +*40477 wire1935 +*40478 wire1936 +*40479 wire1937 +*40480 wire1938 +*40481 wire1939 +*40482 wire1940 +*40483 wire1941 +*40484 wire1942 +*40485 wire1943 +*40486 wire1944 +*40487 wire1945 +*40488 wire1946 +*40489 wire1947 +*40490 wire1948 +*40491 wire1949 +*40492 wire1950 +*40493 wire1951 +*40494 wire1952 +*40495 wire1953 +*40496 wire1954 +*40497 wire1955 +*40498 wire1956 +*40499 wire1957 +*40500 wire1958 +*40501 wire1959 +*40502 wire1960 +*40503 wire1961 +*40504 wire1962 +*40505 wire1963 +*40506 wire1965 +*40507 wire1967 +*40508 wire1968 +*40509 wire1969 +*40510 wire1970 +*40511 wire1971 +*40512 wire1972 +*40513 wire1973 +*40514 wire1974 +*40515 wire1975 +*40516 wire1976 +*40517 wire1977 +*40518 wire1978 +*40519 wire1979 +*40520 wire1980 +*40521 wire1981 +*40522 wire1982 +*40523 wire1983 +*40524 wire1984 +*40525 wire1985 +*40526 wire1986 +*40527 wire1987 +*40528 wire1988 +*40529 wire1989 +*40530 wire1990 +*40531 wire1991 +*40532 wire1992 +*40533 wire1993 +*40534 wire1994 +*40535 wire1995 +*40536 wire1996 +*40537 wire1997 +*40538 wire1998 +*40539 wire1999 +*40540 wire2000 +*40541 wire2001 +*40542 wire2002 +*40543 wire2003 +*40544 wire2004 +*40545 wire2005 +*40546 wire2006 +*40547 wire2007 +*40548 wire2008 +*40549 wire2009 +*40550 wire2010 +*40551 wire2011 +*40552 wire2012 +*40553 wire2013 +*40554 wire2014 +*40555 wire2015 +*40556 wire2016 +*40557 wire2017 +*40558 wire2018 +*40559 wire2019 +*40560 wire2020 +*40561 wire2021 +*40562 wire2022 +*40563 wire2023 +*40564 wire2024 +*40565 wire2025 +*40566 wire2026 +*40567 wire2027 +*40568 wire2028 +*40569 wire2029 +*40570 wire2030 +*40571 wire2031 +*40572 wire2032 +*40573 wire2033 +*40574 wire2034 +*40575 wire2035 +*40576 wire2036 +*40577 wire2037 +*40578 wire2038 +*40579 wire2039 +*40580 wire2040 +*40581 wire2041 +*40582 wire2042 +*40583 wire2043 +*40584 wire2044 +*40585 wire2045 +*40586 wire2046 +*40587 wire2047 +*40588 wire2048 +*40589 wire2049 +*40590 wire2050 +*40591 wire2051 +*40592 wire2052 +*40593 wire2053 +*40594 wire2054 +*40595 wire2055 +*40596 wire2056 +*40597 wire2057 +*40598 wire2058 +*40599 wire2059 +*40600 wire2060 +*40601 wire2061 +*40602 wire2062 +*40603 wire2063 +*40604 wire2064 +*40605 wire2065 +*40606 wire2066 +*40607 wire2067 +*40608 wire2068 +*40609 wire2069 +*40610 wire2070 +*40611 wire2071 +*40612 wire2072 +*40613 wire2073 +*40614 wire2074 +*40615 wire2075 +*40616 wire2076 +*40617 wire2077 +*40618 wire2078 +*40619 wire2079 +*40620 wire2080 +*40621 wire2081 +*40622 wire2082 +*40623 wire2083 +*40624 wire2084 +*40625 wire2085 +*40626 wire2086 +*40627 wire2087 +*40628 wire2088 +*40629 wire2089 +*40630 wire2090 +*40631 wire2091 +*40632 wire2092 +*40633 wire2093 +*40634 wire2094 +*40635 wire2095 +*40636 wire2096 +*40637 wire2097 +*40638 wire2098 +*40639 wire2099 +*40640 wire2100 +*40641 wire2101 +*40642 wire2102 +*40643 wire2103 +*40644 wire2104 +*40645 wire2105 +*40646 wire2106 +*40647 wire2107 +*40648 wire2108 +*40649 wire2109 +*40650 wire2110 +*40651 wire2111 +*40652 wire2112 +*40653 wire2113 +*40654 wire2114 +*40655 wire2115 +*40656 wire2116 +*40657 wire2117 +*40658 wire2118 +*40659 wire2119 +*40660 wire2120 +*40661 wire2121 +*40662 wire2122 +*40663 wire2123 +*40664 wire2124 +*40665 wire2125 +*40666 wire2126 +*40667 wire2127 +*40668 wire2128 +*40669 wire2129 +*40670 wire2130 +*40671 wire2131 +*40672 wire2132 +*40673 wire2133 +*40674 wire2134 +*40675 wire2135 +*40676 wire2136 +*40677 wire2137 +*40678 wire2138 +*40679 wire2139 +*40680 wire2140 +*40681 wire2141 +*40682 wire2142 +*40683 wire2143 +*40684 wire2144 +*40685 wire2145 +*40686 wire2146 +*40687 wire2147 +*40688 wire2148 +*40689 wire2149 +*40690 wire2150 +*40691 wire2151 +*40692 wire2152 +*40693 wire2153 +*40694 wire2154 +*40695 wire2155 +*40696 wire2156 +*40697 wire2157 +*40698 wire2158 +*40699 wire2159 +*40700 wire2160 +*40701 wire2161 +*40702 wire2162 +*40703 wire2163 +*40704 wire2164 +*40705 wire2165 +*40706 wire2166 +*40707 wire2167 +*40708 wire2168 +*40709 wire2169 +*40710 wire2170 +*40711 wire2171 +*40712 wire2172 +*40713 wire2173 +*40714 wire2174 +*40715 wire2175 +*40716 wire2176 +*40717 wire2177 +*40718 wire2178 +*40719 wire2179 +*40720 wire2180 +*40721 wire2181 +*40722 wire2182 +*40723 wire2183 +*40724 wire2184 +*40725 wire2185 +*40726 wire2186 +*40727 wire2187 +*40728 wire2188 +*40729 wire2189 +*40730 wire2190 +*40731 wire2191 +*40732 wire2192 +*40733 wire2193 +*40734 wire2194 +*40735 wire2195 +*40736 wire2196 +*40737 wire2197 +*40738 wire2198 +*40739 wire2199 +*40740 wire2200 +*40741 wire2201 +*40742 wire2202 +*40743 wire2203 +*40744 wire2204 +*40745 wire2205 +*40746 wire2206 +*40747 wire2207 +*40748 wire2208 +*40749 wire2209 +*40750 wire2210 +*40751 wire2211 +*40752 wire2212 +*40753 wire2213 +*40754 wire2214 +*40755 wire2215 +*40756 wire2216 +*40757 wire2217 +*40758 wire2218 +*40759 wire2219 +*40760 wire2220 +*40761 wire2221 +*40762 wire2222 +*40763 wire2223 +*40764 wire2224 +*40765 wire2225 +*40766 wire2226 +*40767 wire2227 +*40768 wire2228 +*40769 wire2229 +*40770 wire2230 +*40771 wire2231 +*40772 wire2232 +*40773 wire2233 +*40774 wire2234 +*40775 wire2235 +*40776 wire2236 +*40777 wire2237 +*40778 wire2238 +*40779 wire2239 +*40780 wire2240 +*40781 wire2241 +*40782 wire2242 +*40783 wire2243 +*40784 wire2244 +*40785 wire2245 +*40786 wire2246 +*40787 wire2247 +*40788 wire2248 +*40789 wire2249 +*40790 wire2250 +*40791 wire2251 +*40792 wire2252 +*40793 wire2253 +*40794 wire2254 +*40795 wire2255 +*40796 wire2256 +*40797 wire2257 +*40798 wire2258 +*40799 wire2259 +*40800 wire2260 +*40801 wire2261 +*40802 wire2262 +*40803 wire2263 +*40804 wire2264 +*40805 wire2265 +*40806 wire2266 +*40807 wire2267 +*40808 wire2268 +*40809 wire2269 +*40810 wire2270 +*40811 wire2271 +*40812 wire2272 +*40813 wire2273 +*40814 wire2274 +*40815 wire2275 +*40816 wire2276 +*40817 wire2277 +*40818 wire2278 +*40819 wire2279 +*40820 wire2280 +*40821 wire2281 +*40822 wire2282 +*40823 wire2283 +*40824 wire2284 +*40825 wire2285 +*40826 wire2286 +*40827 wire2287 +*40828 wire2288 +*40829 wire2289 +*40830 wire2290 +*40831 wire2291 +*40832 wire2292 +*40833 wire2293 +*40834 wire2294 +*40835 wire2295 +*40836 wire2296 +*40837 wire2297 +*40838 wire2298 +*40839 wire2299 +*40840 wire2300 +*40841 wire2301 +*40842 wire2302 +*40843 wire2303 +*40844 wire2304 +*40845 wire2305 +*40846 wire2306 +*40847 wire2307 +*40848 wire2308 +*40849 wire2309 +*40850 wire2310 +*40851 wire2311 +*40852 wire2312 +*40853 wire2313 +*40854 wire2314 +*40855 wire2315 +*40856 wire2316 +*40857 wire2317 +*40858 wire2318 +*40859 wire2319 +*40860 wire2320 +*40861 wire2321 +*40862 wire2322 +*40863 wire2323 +*40864 wire2324 +*40865 wire2325 +*40866 wire2326 +*40867 wire2327 +*40868 wire2328 +*40869 wire2329 +*40870 wire2330 +*40871 wire2331 +*40872 wire2332 +*40873 wire2333 +*40874 wire2334 +*40875 wire2335 +*40876 wire2336 +*40877 wire2337 +*40878 wire2338 +*40879 wire2339 +*40880 wire2340 +*40881 wire2341 +*40882 wire2342 +*40883 wire2343 +*40884 wire2344 +*40885 wire2345 +*40886 wire2346 +*40887 wire2347 +*40888 wire2348 +*40889 wire2349 +*40890 wire2350 +*40891 wire2351 +*40892 wire2352 +*40893 wire2353 +*40894 wire2354 +*40895 wire2355 +*40896 wire2356 +*40897 wire2357 +*40898 wire2358 +*40899 wire2359 +*40900 wire2360 +*40901 wire2361 +*40902 wire2362 +*40903 wire2363 +*40904 wire2364 +*40905 wire2365 +*40906 wire2366 +*40907 wire2367 +*40908 wire2368 +*40909 wire2369 +*40910 wire2370 +*40911 wire2371 +*40912 wire2372 +*40913 wire2373 +*40914 wire2374 +*40915 wire2375 +*40916 wire2376 +*40917 wire2377 +*40918 wire2378 +*40919 wire2379 +*40920 wire2380 +*40921 wire2381 +*40922 wire2382 +*40923 wire2383 +*40924 wire2384 +*40925 wire2385 +*40926 wire2386 +*40927 wire2387 +*40928 wire2388 +*40929 wire2389 +*40930 wire2390 +*40931 wire2391 +*40932 wire2392 +*40933 wire2393 +*40934 wire2394 +*40935 wire2395 +*40936 wire2396 +*40937 wire2397 +*40938 wire2398 +*40939 wire2399 +*40940 wire2400 +*40941 wire2401 +*40942 wire2402 +*40943 wire2403 +*40944 wire2404 +*40945 wire2405 +*40946 wire2406 +*40947 wire2407 +*40948 wire2408 +*40949 wire2409 +*40950 wire2410 +*40951 wire2411 +*40952 wire2412 +*40953 wire2413 +*40954 wire2414 +*40955 wire2415 +*40956 wire2416 +*40957 wire2417 +*40958 wire2418 +*40959 wire2419 +*40960 wire2420 +*40961 wire2421 +*40962 wire2422 +*40963 wire2423 +*40964 wire2424 +*40965 wire2425 +*40966 wire2426 +*40967 wire2427 +*40968 wire2428 +*40969 wire2429 +*40970 wire2430 +*40971 wire2431 +*40972 wire2432 +*40973 wire2433 +*40974 wire2434 +*40975 wire2435 +*40976 wire2436 +*40977 wire2437 +*40978 wire2438 +*40979 wire2439 +*40980 wire2440 +*40981 wire2441 +*40982 wire2442 +*40983 wire2443 +*40984 wire2444 +*40985 wire2445 +*40986 wire2446 +*40987 wire2447 +*40988 wire2448 +*40989 wire2449 +*40990 wire2450 +*40991 wire2451 +*40992 wire2452 +*40993 wire2453 +*40994 wire2454 +*40995 wire2455 +*40996 wire2456 +*40997 wire2457 +*40998 wire2458 +*40999 wire2459 +*41000 wire2460 +*41001 wire2461 +*41002 wire2462 +*41003 wire2463 +*41004 wire2464 +*41005 wire2465 +*41006 wire2466 +*41007 wire2467 +*41008 wire2468 +*41009 wire2469 +*41010 wire2470 +*41011 wire2471 +*41012 wire2472 +*41013 wire2473 +*41014 wire2474 +*41015 wire2475 +*41016 wire2476 +*41017 wire2477 +*41018 wire2478 +*41019 wire2479 +*41020 wire2480 +*41021 wire2481 +*41022 wire2482 +*41023 wire2483 +*41024 wire2484 +*41025 wire2485 +*41026 wire2486 +*41027 wire2487 +*41028 wire2488 +*41029 wire2489 +*41030 wire2490 +*41031 wire2491 +*41032 wire2492 +*41033 wire2493 +*41034 wire2494 +*41035 wire2495 +*41036 wire2496 +*41037 wire2497 +*41038 wire2498 +*41039 wire2499 +*41040 wire2500 +*41041 wire2501 +*41042 wire2502 +*41043 wire2503 +*41044 wire2504 +*41045 wire2505 +*41046 wire2506 +*41047 wire2507 +*41048 wire2508 +*41049 wire2509 +*41050 wire2510 +*41051 wire2511 +*41052 wire2512 +*41053 wire2513 +*41054 wire2514 +*41055 wire2515 +*41056 wire2516 +*41057 wire2517 +*41058 wire2518 +*41059 wire2519 +*41060 wire2520 +*41061 wire2521 +*41062 wire2522 +*41063 wire2523 +*41064 wire2524 +*41065 wire2525 +*41066 wire2526 +*41067 wire2527 +*41068 wire2528 +*41069 wire2529 +*41070 wire2530 +*41071 wire2531 +*41072 wire2532 +*41073 wire2533 +*41074 wire2534 +*41075 wire2535 +*41076 wire2536 +*41077 wire2537 +*41078 wire2538 +*41079 wire2539 +*41080 wire2540 +*41081 wire2541 +*41082 wire2542 +*41083 wire2543 +*41084 wire2544 +*41085 wire2545 +*41086 wire2546 +*41087 wire2547 +*41088 wire2548 +*41089 wire2549 +*41090 wire2550 +*41091 wire2551 +*41092 wire2552 +*41093 wire2553 +*41094 wire2554 +*41095 wire2555 +*41096 wire2556 +*41097 wire2557 +*41098 wire2558 +*41099 wire2559 +*41100 wire2560 +*41101 wire2561 +*41102 wire2562 +*41103 wire2563 +*41104 wire2564 +*41105 wire2565 +*41106 wire2566 +*41107 wire2567 +*41108 wire2568 +*41109 wire2569 +*41110 wire2570 +*41111 wire2571 +*41112 wire2572 +*41113 wire2573 +*41114 wire2574 +*41115 wire2575 +*41116 wire2576 +*41117 wire2577 +*41118 wire2578 +*41119 wire2579 +*41120 wire2580 +*41121 wire2581 +*41122 wire2582 +*41123 wire2583 +*41124 wire2584 +*41125 wire2585 +*41126 wire2586 +*41127 wire2587 +*41128 wire2588 +*41129 wire2589 +*41130 wire2590 +*41131 wire2591 +*41132 wire2592 +*41133 wire2593 +*41134 wire2594 +*41135 wire2595 +*41136 wire2596 +*41137 wire2597 +*41138 wire2598 +*41139 wire2599 +*41140 wire2600 +*41141 wire2601 +*41142 wire2602 +*41143 wire2603 +*41144 wire2604 +*41145 wire2605 +*41146 wire2606 +*41147 wire2607 +*41148 wire2608 +*41149 wire2609 +*41150 wire2610 +*41151 wire2611 +*41152 wire2612 +*41153 wire2613 +*41154 wire2614 +*41155 wire2615 +*41156 wire2616 +*41157 wire2617 +*41158 wire2618 +*41159 wire2619 +*41160 wire2620 +*41161 wire2621 +*41162 wire2622 +*41163 wire2623 +*41164 wire2624 +*41165 wire2625 +*41166 wire2626 +*41167 wire2627 +*41168 wire2628 +*41169 wire2629 +*41170 wire2630 +*41171 wire2631 +*41172 wire2632 +*41173 wire2633 +*41174 wire2634 +*41175 wire2635 +*41176 wire2636 +*41177 wire2637 +*41178 wire2638 +*41179 wire2639 +*41180 wire2640 +*41181 wire2641 +*41182 wire2642 +*41183 wire2643 +*41184 wire2644 +*41185 wire2645 +*41186 wire2646 +*41187 wire2647 +*41188 wire2648 +*41189 wire2649 +*41190 wire2650 +*41191 wire2651 +*41192 wire2652 +*41193 wire2653 +*41194 wire2654 +*41195 wire2655 +*41196 wire2656 +*41197 wire2657 +*41198 wire2658 +*41199 wire2659 +*41200 wire2660 +*41201 wire2661 +*41202 wire2662 +*41203 wire2663 +*41204 wire2664 +*41205 wire2665 +*41206 wire2666 +*41207 wire2667 +*41208 wire2668 +*41209 wire2669 +*41210 wire2670 +*41211 wire2671 +*41212 wire2672 +*41213 wire2673 +*41214 wire2674 +*41215 wire2675 +*41216 wire2676 +*41217 wire2677 +*41218 wire2678 +*41219 wire2679 +*41220 wire2680 +*41221 wire2681 +*41222 wire2682 +*41223 wire2683 +*41224 wire2684 +*41225 wire2685 +*41226 wire2686 +*41227 wire2687 +*41228 wire2688 +*41229 wire2689 +*41230 wire2690 +*41231 wire2691 +*41232 wire2692 +*41233 wire2693 +*41234 wire2694 +*41235 wire2695 +*41236 wire2696 +*41237 wire2697 +*41238 wire2698 +*41239 wire2699 +*41240 wire2700 +*41241 wire2701 +*41242 wire2702 +*41243 wire2703 +*41244 wire2704 +*41245 wire2705 +*41246 wire2706 +*41247 wire2707 +*41248 wire2708 +*41249 wire2709 +*41250 wire2710 +*41251 wire2711 +*41252 wire2712 +*41253 wire2713 +*41254 wire2714 +*41255 wire2715 +*41256 wire2716 +*41257 wire2717 +*41258 wire2718 +*41259 wire2719 +*41260 wire2720 +*41261 wire2721 +*41262 wire2722 +*41263 wire2723 +*41264 wire2724 +*41265 wire2725 +*41266 wire2726 +*41267 wire2727 +*41268 wire2728 +*41269 wire2729 +*41270 wire2730 +*41271 wire2731 +*41272 wire2732 +*41273 wire2733 +*41274 wire2734 +*41275 wire2735 +*41276 wire2736 +*41277 wire2737 +*41278 wire2738 +*41279 wire2739 +*41280 wire2740 +*41281 wire2741 +*41282 wire2742 +*41283 wire2743 +*41284 wire2744 +*41285 wire2745 +*41286 wire2746 +*41287 wire2747 +*41288 wire2748 +*41289 wire2749 +*41290 wire2750 +*41291 wire2751 +*41292 wire2752 +*41293 wire2753 +*41294 wire2754 +*41295 wire2755 +*41296 wire2756 +*41297 wire2757 +*41298 wire2758 +*41299 wire2759 +*41300 wire2760 +*41301 wire2761 +*41302 wire2762 +*41303 wire2763 +*41304 wire2764 +*41305 wire2765 +*41306 wire2766 +*41307 wire2767 +*41308 wire2768 +*41309 wire2769 +*41310 wire2770 +*41311 wire2771 +*41312 wire2772 +*41313 wire2773 +*41314 wire2774 +*41315 wire2775 +*41316 wire2776 +*41317 wire2777 +*41318 wire2778 +*41319 wire2779 +*41320 wire2780 +*41321 wire2781 +*41322 wire2782 +*41323 wire2783 +*41324 wire2784 +*41325 wire2785 +*41326 wire2786 +*41327 wire2787 +*41328 wire2788 +*41329 wire2789 +*41330 wire2790 +*41331 wire2791 +*41332 wire2792 +*41333 wire2793 +*41334 wire2794 +*41335 wire2795 +*41336 wire2796 +*41337 wire2797 +*41338 wire2798 +*41339 wire2799 +*41340 wire2800 +*41341 wire2801 +*41342 wire2802 +*41343 wire2803 +*41344 wire2804 +*41345 wire2805 +*41346 wire2806 +*41347 wire2807 +*41348 wire2808 +*41349 wire2809 +*41350 wire2810 +*41351 wire2811 +*41352 wire2812 +*41353 wire2813 +*41354 wire2814 +*41355 wire2815 +*41356 wire2816 +*41357 wire2817 +*41358 wire2818 +*41359 wire2819 +*41360 wire2820 +*41361 wire2821 +*41362 wire2822 +*41363 wire2823 +*41364 wire2824 +*41365 wire2825 +*41366 wire2826 +*41367 wire2827 +*41368 wire2828 +*41369 wire2829 +*41370 wire2830 +*41371 wire2831 +*41372 wire2832 +*41373 wire2833 +*41374 wire2834 +*41375 wire2835 +*41376 wire2836 +*41377 wire2837 +*41378 wire2838 +*41379 wire2839 +*41380 wire2840 +*41381 wire2841 +*41382 wire2842 +*41383 wire2843 +*41384 wire2844 +*41385 wire2845 +*41386 wire2846 +*41387 wire2847 +*41388 wire2848 +*41389 wire2849 +*41390 wire2850 +*41391 wire2851 +*41392 wire2852 +*41393 wire2853 +*41394 wire2854 +*41395 wire2855 +*41396 wire961 +*41397 wire962 +*41398 wire963 +*41399 wire964 +*41400 wire965 +*41401 wire966 +*41402 wire967 +*41403 wire968 +*41404 wire969 +*41405 wire970 +*41406 wire971 +*41407 wire972 +*41408 wire973 +*41409 wire974 +*41410 wire975 +*41411 wire976 +*41412 wire977 +*41413 wire978 +*41414 wire979 +*41415 wire980 +*41416 wire981 +*41417 wire982 +*41418 wire983 +*41419 wire984 +*41420 wire985 +*41421 wire986 +*41422 wire987 +*41423 wire988 +*41424 wire989 +*41425 wire990 +*41426 wire991 +*41427 wire992 +*41428 wire993 +*41429 wire994 +*41430 wire995 +*41431 wire996 +*41432 wire997 +*41433 wire998 +*41434 wire999 + +*PORTS +caravel_clk I +caravel_clk2 I +caravel_rstn I +la_data_in_core[0] O +la_data_in_core[100] O +la_data_in_core[101] O +la_data_in_core[102] O +la_data_in_core[103] O +la_data_in_core[104] O +la_data_in_core[105] O +la_data_in_core[106] O +la_data_in_core[107] O +la_data_in_core[108] O +la_data_in_core[109] O +la_data_in_core[10] O +la_data_in_core[110] O +la_data_in_core[111] O +la_data_in_core[112] O +la_data_in_core[113] O +la_data_in_core[114] O +la_data_in_core[115] O +la_data_in_core[116] O +la_data_in_core[117] O +la_data_in_core[118] O +la_data_in_core[119] O +la_data_in_core[11] O +la_data_in_core[120] O +la_data_in_core[121] O +la_data_in_core[122] O +la_data_in_core[123] O +la_data_in_core[124] O +la_data_in_core[125] O +la_data_in_core[126] O +la_data_in_core[127] O +la_data_in_core[12] O +la_data_in_core[13] O +la_data_in_core[14] O +la_data_in_core[15] O +la_data_in_core[16] O +la_data_in_core[17] O +la_data_in_core[18] O +la_data_in_core[19] O +la_data_in_core[1] O +la_data_in_core[20] O +la_data_in_core[21] O +la_data_in_core[22] O +la_data_in_core[23] O +la_data_in_core[24] O +la_data_in_core[25] O +la_data_in_core[26] O +la_data_in_core[27] O +la_data_in_core[28] O +la_data_in_core[29] O +la_data_in_core[2] O +la_data_in_core[30] O +la_data_in_core[31] O +la_data_in_core[32] O +la_data_in_core[33] O +la_data_in_core[34] O +la_data_in_core[35] O +la_data_in_core[36] O +la_data_in_core[37] O +la_data_in_core[38] O +la_data_in_core[39] O +la_data_in_core[3] O +la_data_in_core[40] O +la_data_in_core[41] O +la_data_in_core[42] O +la_data_in_core[43] O +la_data_in_core[44] O +la_data_in_core[45] O +la_data_in_core[46] O +la_data_in_core[47] O +la_data_in_core[48] O +la_data_in_core[49] O +la_data_in_core[4] O +la_data_in_core[50] O +la_data_in_core[51] O +la_data_in_core[52] O +la_data_in_core[53] O +la_data_in_core[54] O +la_data_in_core[55] O +la_data_in_core[56] O +la_data_in_core[57] O +la_data_in_core[58] O +la_data_in_core[59] O +la_data_in_core[5] O +la_data_in_core[60] O +la_data_in_core[61] O +la_data_in_core[62] O +la_data_in_core[63] O +la_data_in_core[64] O +la_data_in_core[65] O +la_data_in_core[66] O +la_data_in_core[67] O +la_data_in_core[68] O +la_data_in_core[69] O +la_data_in_core[6] O +la_data_in_core[70] O +la_data_in_core[71] O +la_data_in_core[72] O +la_data_in_core[73] O +la_data_in_core[74] O +la_data_in_core[75] O +la_data_in_core[76] O +la_data_in_core[77] O +la_data_in_core[78] O +la_data_in_core[79] O +la_data_in_core[7] O +la_data_in_core[80] O +la_data_in_core[81] O +la_data_in_core[82] O +la_data_in_core[83] O +la_data_in_core[84] O +la_data_in_core[85] O +la_data_in_core[86] O +la_data_in_core[87] O +la_data_in_core[88] O +la_data_in_core[89] O +la_data_in_core[8] O +la_data_in_core[90] O +la_data_in_core[91] O +la_data_in_core[92] O +la_data_in_core[93] O +la_data_in_core[94] O +la_data_in_core[95] O +la_data_in_core[96] O +la_data_in_core[97] O +la_data_in_core[98] O +la_data_in_core[99] O +la_data_in_core[9] O +la_data_in_mprj[0] O +la_data_in_mprj[100] O +la_data_in_mprj[101] O +la_data_in_mprj[102] O +la_data_in_mprj[103] O +la_data_in_mprj[104] O +la_data_in_mprj[105] O +la_data_in_mprj[106] O +la_data_in_mprj[107] O +la_data_in_mprj[108] O +la_data_in_mprj[109] O +la_data_in_mprj[10] O +la_data_in_mprj[110] O +la_data_in_mprj[111] O +la_data_in_mprj[112] O +la_data_in_mprj[113] O +la_data_in_mprj[114] O +la_data_in_mprj[115] O +la_data_in_mprj[116] O +la_data_in_mprj[117] O +la_data_in_mprj[118] O +la_data_in_mprj[119] O +la_data_in_mprj[11] O +la_data_in_mprj[120] O +la_data_in_mprj[121] O +la_data_in_mprj[122] O +la_data_in_mprj[123] O +la_data_in_mprj[124] O +la_data_in_mprj[125] O +la_data_in_mprj[126] O +la_data_in_mprj[127] O +la_data_in_mprj[12] O +la_data_in_mprj[13] O +la_data_in_mprj[14] O +la_data_in_mprj[15] O +la_data_in_mprj[16] O +la_data_in_mprj[17] O +la_data_in_mprj[18] O +la_data_in_mprj[19] O +la_data_in_mprj[1] O +la_data_in_mprj[20] O +la_data_in_mprj[21] O +la_data_in_mprj[22] O +la_data_in_mprj[23] O +la_data_in_mprj[24] O +la_data_in_mprj[25] O +la_data_in_mprj[26] O +la_data_in_mprj[27] O +la_data_in_mprj[28] O +la_data_in_mprj[29] O +la_data_in_mprj[2] O +la_data_in_mprj[30] O +la_data_in_mprj[31] O +la_data_in_mprj[32] O +la_data_in_mprj[33] O +la_data_in_mprj[34] O +la_data_in_mprj[35] O +la_data_in_mprj[36] O +la_data_in_mprj[37] O +la_data_in_mprj[38] O +la_data_in_mprj[39] O +la_data_in_mprj[3] O +la_data_in_mprj[40] O +la_data_in_mprj[41] O +la_data_in_mprj[42] O +la_data_in_mprj[43] O +la_data_in_mprj[44] O +la_data_in_mprj[45] O +la_data_in_mprj[46] O +la_data_in_mprj[47] O +la_data_in_mprj[48] O +la_data_in_mprj[49] O +la_data_in_mprj[4] O +la_data_in_mprj[50] O +la_data_in_mprj[51] O +la_data_in_mprj[52] O +la_data_in_mprj[53] O +la_data_in_mprj[54] O +la_data_in_mprj[55] O +la_data_in_mprj[56] O +la_data_in_mprj[57] O +la_data_in_mprj[58] O +la_data_in_mprj[59] O +la_data_in_mprj[5] O +la_data_in_mprj[60] O +la_data_in_mprj[61] O +la_data_in_mprj[62] O +la_data_in_mprj[63] O +la_data_in_mprj[64] O +la_data_in_mprj[65] O +la_data_in_mprj[66] O +la_data_in_mprj[67] O +la_data_in_mprj[68] O +la_data_in_mprj[69] O +la_data_in_mprj[6] O +la_data_in_mprj[70] O +la_data_in_mprj[71] O +la_data_in_mprj[72] O +la_data_in_mprj[73] O +la_data_in_mprj[74] O +la_data_in_mprj[75] O +la_data_in_mprj[76] O +la_data_in_mprj[77] O +la_data_in_mprj[78] O +la_data_in_mprj[79] O +la_data_in_mprj[7] O +la_data_in_mprj[80] O +la_data_in_mprj[81] O +la_data_in_mprj[82] O +la_data_in_mprj[83] O +la_data_in_mprj[84] O +la_data_in_mprj[85] O +la_data_in_mprj[86] O +la_data_in_mprj[87] O +la_data_in_mprj[88] O +la_data_in_mprj[89] O +la_data_in_mprj[8] O +la_data_in_mprj[90] O +la_data_in_mprj[91] O +la_data_in_mprj[92] O +la_data_in_mprj[93] O +la_data_in_mprj[94] O +la_data_in_mprj[95] O +la_data_in_mprj[96] O +la_data_in_mprj[97] O +la_data_in_mprj[98] O +la_data_in_mprj[99] O +la_data_in_mprj[9] O +la_data_out_core[0] I +la_data_out_core[100] I +la_data_out_core[101] I +la_data_out_core[102] I +la_data_out_core[103] I +la_data_out_core[104] I +la_data_out_core[105] I +la_data_out_core[106] I +la_data_out_core[107] I +la_data_out_core[108] I +la_data_out_core[109] I +la_data_out_core[10] I +la_data_out_core[110] I +la_data_out_core[111] I +la_data_out_core[112] I +la_data_out_core[113] I +la_data_out_core[114] I +la_data_out_core[115] I +la_data_out_core[116] I +la_data_out_core[117] I +la_data_out_core[118] I +la_data_out_core[119] I +la_data_out_core[11] I +la_data_out_core[120] I +la_data_out_core[121] I +la_data_out_core[122] I +la_data_out_core[123] I +la_data_out_core[124] I +la_data_out_core[125] I +la_data_out_core[126] I +la_data_out_core[127] I +la_data_out_core[12] I +la_data_out_core[13] I +la_data_out_core[14] I +la_data_out_core[15] I +la_data_out_core[16] I +la_data_out_core[17] I +la_data_out_core[18] I +la_data_out_core[19] I +la_data_out_core[1] I +la_data_out_core[20] I +la_data_out_core[21] I +la_data_out_core[22] I +la_data_out_core[23] I +la_data_out_core[24] I +la_data_out_core[25] I +la_data_out_core[26] I +la_data_out_core[27] I +la_data_out_core[28] I +la_data_out_core[29] I +la_data_out_core[2] I +la_data_out_core[30] I +la_data_out_core[31] I +la_data_out_core[32] I +la_data_out_core[33] I +la_data_out_core[34] I +la_data_out_core[35] I +la_data_out_core[36] I +la_data_out_core[37] I +la_data_out_core[38] I +la_data_out_core[39] I +la_data_out_core[3] I +la_data_out_core[40] I +la_data_out_core[41] I +la_data_out_core[42] I +la_data_out_core[43] I +la_data_out_core[44] I +la_data_out_core[45] I +la_data_out_core[46] I +la_data_out_core[47] I +la_data_out_core[48] I +la_data_out_core[49] I +la_data_out_core[4] I +la_data_out_core[50] I +la_data_out_core[51] I +la_data_out_core[52] I +la_data_out_core[53] I +la_data_out_core[54] I +la_data_out_core[55] I +la_data_out_core[56] I +la_data_out_core[57] I +la_data_out_core[58] I +la_data_out_core[59] I +la_data_out_core[5] I +la_data_out_core[60] I +la_data_out_core[61] I +la_data_out_core[62] I +la_data_out_core[63] I +la_data_out_core[64] I +la_data_out_core[65] I +la_data_out_core[66] I +la_data_out_core[67] I +la_data_out_core[68] I +la_data_out_core[69] I +la_data_out_core[6] I +la_data_out_core[70] I +la_data_out_core[71] I +la_data_out_core[72] I +la_data_out_core[73] I +la_data_out_core[74] I +la_data_out_core[75] I +la_data_out_core[76] I +la_data_out_core[77] I +la_data_out_core[78] I +la_data_out_core[79] I +la_data_out_core[7] I +la_data_out_core[80] I +la_data_out_core[81] I +la_data_out_core[82] I +la_data_out_core[83] I +la_data_out_core[84] I +la_data_out_core[85] I +la_data_out_core[86] I +la_data_out_core[87] I +la_data_out_core[88] I +la_data_out_core[89] I +la_data_out_core[8] I +la_data_out_core[90] I +la_data_out_core[91] I +la_data_out_core[92] I +la_data_out_core[93] I +la_data_out_core[94] I +la_data_out_core[95] I +la_data_out_core[96] I +la_data_out_core[97] I +la_data_out_core[98] I +la_data_out_core[99] I +la_data_out_core[9] I +la_data_out_mprj[0] I +la_data_out_mprj[100] I +la_data_out_mprj[101] I +la_data_out_mprj[102] I +la_data_out_mprj[103] I +la_data_out_mprj[104] I +la_data_out_mprj[105] I +la_data_out_mprj[106] I +la_data_out_mprj[107] I +la_data_out_mprj[108] I +la_data_out_mprj[109] I +la_data_out_mprj[10] I +la_data_out_mprj[110] I +la_data_out_mprj[111] I +la_data_out_mprj[112] I +la_data_out_mprj[113] I +la_data_out_mprj[114] I +la_data_out_mprj[115] I +la_data_out_mprj[116] I +la_data_out_mprj[117] I +la_data_out_mprj[118] I +la_data_out_mprj[119] I +la_data_out_mprj[11] I +la_data_out_mprj[120] I +la_data_out_mprj[121] I +la_data_out_mprj[122] I +la_data_out_mprj[123] I +la_data_out_mprj[124] I +la_data_out_mprj[125] I +la_data_out_mprj[126] I +la_data_out_mprj[127] I +la_data_out_mprj[12] I +la_data_out_mprj[13] I +la_data_out_mprj[14] I +la_data_out_mprj[15] I +la_data_out_mprj[16] I +la_data_out_mprj[17] I +la_data_out_mprj[18] I +la_data_out_mprj[19] I +la_data_out_mprj[1] I +la_data_out_mprj[20] I +la_data_out_mprj[21] I +la_data_out_mprj[22] I +la_data_out_mprj[23] I +la_data_out_mprj[24] I +la_data_out_mprj[25] I +la_data_out_mprj[26] I +la_data_out_mprj[27] I +la_data_out_mprj[28] I +la_data_out_mprj[29] I +la_data_out_mprj[2] I +la_data_out_mprj[30] I +la_data_out_mprj[31] I +la_data_out_mprj[32] I +la_data_out_mprj[33] I +la_data_out_mprj[34] I +la_data_out_mprj[35] I +la_data_out_mprj[36] I +la_data_out_mprj[37] I +la_data_out_mprj[38] I +la_data_out_mprj[39] I +la_data_out_mprj[3] I +la_data_out_mprj[40] I +la_data_out_mprj[41] I +la_data_out_mprj[42] I +la_data_out_mprj[43] I +la_data_out_mprj[44] I +la_data_out_mprj[45] I +la_data_out_mprj[46] I +la_data_out_mprj[47] I +la_data_out_mprj[48] I +la_data_out_mprj[49] I +la_data_out_mprj[4] I +la_data_out_mprj[50] I +la_data_out_mprj[51] I +la_data_out_mprj[52] I +la_data_out_mprj[53] I +la_data_out_mprj[54] I +la_data_out_mprj[55] I +la_data_out_mprj[56] I +la_data_out_mprj[57] I +la_data_out_mprj[58] I +la_data_out_mprj[59] I +la_data_out_mprj[5] I +la_data_out_mprj[60] I +la_data_out_mprj[61] I +la_data_out_mprj[62] I +la_data_out_mprj[63] I +la_data_out_mprj[64] I +la_data_out_mprj[65] I +la_data_out_mprj[66] I +la_data_out_mprj[67] I +la_data_out_mprj[68] I +la_data_out_mprj[69] I +la_data_out_mprj[6] I +la_data_out_mprj[70] I +la_data_out_mprj[71] I +la_data_out_mprj[72] I +la_data_out_mprj[73] I +la_data_out_mprj[74] I +la_data_out_mprj[75] I +la_data_out_mprj[76] I +la_data_out_mprj[77] I +la_data_out_mprj[78] I +la_data_out_mprj[79] I +la_data_out_mprj[7] I +la_data_out_mprj[80] I +la_data_out_mprj[81] I +la_data_out_mprj[82] I +la_data_out_mprj[83] I +la_data_out_mprj[84] I +la_data_out_mprj[85] I +la_data_out_mprj[86] I +la_data_out_mprj[87] I +la_data_out_mprj[88] I +la_data_out_mprj[89] I +la_data_out_mprj[8] I +la_data_out_mprj[90] I +la_data_out_mprj[91] I +la_data_out_mprj[92] I +la_data_out_mprj[93] I +la_data_out_mprj[94] I +la_data_out_mprj[95] I +la_data_out_mprj[96] I +la_data_out_mprj[97] I +la_data_out_mprj[98] I +la_data_out_mprj[99] I +la_data_out_mprj[9] I +la_iena_mprj[0] I +la_iena_mprj[100] I +la_iena_mprj[101] I +la_iena_mprj[102] I +la_iena_mprj[103] I +la_iena_mprj[104] I +la_iena_mprj[105] I +la_iena_mprj[106] I +la_iena_mprj[107] I +la_iena_mprj[108] I +la_iena_mprj[109] I +la_iena_mprj[10] I +la_iena_mprj[110] I +la_iena_mprj[111] I +la_iena_mprj[112] I +la_iena_mprj[113] I +la_iena_mprj[114] I +la_iena_mprj[115] I +la_iena_mprj[116] I +la_iena_mprj[117] I +la_iena_mprj[118] I +la_iena_mprj[119] I +la_iena_mprj[11] I +la_iena_mprj[120] I +la_iena_mprj[121] I +la_iena_mprj[122] I +la_iena_mprj[123] I +la_iena_mprj[124] I +la_iena_mprj[125] I +la_iena_mprj[126] I +la_iena_mprj[127] I +la_iena_mprj[12] I +la_iena_mprj[13] I +la_iena_mprj[14] I +la_iena_mprj[15] I +la_iena_mprj[16] I +la_iena_mprj[17] I +la_iena_mprj[18] I +la_iena_mprj[19] I +la_iena_mprj[1] I +la_iena_mprj[20] I +la_iena_mprj[21] I +la_iena_mprj[22] I +la_iena_mprj[23] I +la_iena_mprj[24] I +la_iena_mprj[25] I +la_iena_mprj[26] I +la_iena_mprj[27] I +la_iena_mprj[28] I +la_iena_mprj[29] I +la_iena_mprj[2] I +la_iena_mprj[30] I +la_iena_mprj[31] I +la_iena_mprj[32] I +la_iena_mprj[33] I +la_iena_mprj[34] I +la_iena_mprj[35] I +la_iena_mprj[36] I +la_iena_mprj[37] I +la_iena_mprj[38] I +la_iena_mprj[39] I +la_iena_mprj[3] I +la_iena_mprj[40] I +la_iena_mprj[41] I +la_iena_mprj[42] I +la_iena_mprj[43] I +la_iena_mprj[44] I +la_iena_mprj[45] I +la_iena_mprj[46] I +la_iena_mprj[47] I +la_iena_mprj[48] I +la_iena_mprj[49] I +la_iena_mprj[4] I +la_iena_mprj[50] I +la_iena_mprj[51] I +la_iena_mprj[52] I +la_iena_mprj[53] I +la_iena_mprj[54] I +la_iena_mprj[55] I +la_iena_mprj[56] I +la_iena_mprj[57] I +la_iena_mprj[58] I +la_iena_mprj[59] I +la_iena_mprj[5] I +la_iena_mprj[60] I +la_iena_mprj[61] I +la_iena_mprj[62] I +la_iena_mprj[63] I +la_iena_mprj[64] I +la_iena_mprj[65] I +la_iena_mprj[66] I +la_iena_mprj[67] I +la_iena_mprj[68] I +la_iena_mprj[69] I +la_iena_mprj[6] I +la_iena_mprj[70] I +la_iena_mprj[71] I +la_iena_mprj[72] I +la_iena_mprj[73] I +la_iena_mprj[74] I +la_iena_mprj[75] I +la_iena_mprj[76] I +la_iena_mprj[77] I +la_iena_mprj[78] I +la_iena_mprj[79] I +la_iena_mprj[7] I +la_iena_mprj[80] I +la_iena_mprj[81] I +la_iena_mprj[82] I +la_iena_mprj[83] I +la_iena_mprj[84] I +la_iena_mprj[85] I +la_iena_mprj[86] I +la_iena_mprj[87] I +la_iena_mprj[88] I +la_iena_mprj[89] I +la_iena_mprj[8] I +la_iena_mprj[90] I +la_iena_mprj[91] I +la_iena_mprj[92] I +la_iena_mprj[93] I +la_iena_mprj[94] I +la_iena_mprj[95] I +la_iena_mprj[96] I +la_iena_mprj[97] I +la_iena_mprj[98] I +la_iena_mprj[99] I +la_iena_mprj[9] I +la_oenb_core[0] O +la_oenb_core[100] O +la_oenb_core[101] O +la_oenb_core[102] O +la_oenb_core[103] O +la_oenb_core[104] O +la_oenb_core[105] O +la_oenb_core[106] O +la_oenb_core[107] O +la_oenb_core[108] O +la_oenb_core[109] O +la_oenb_core[10] O +la_oenb_core[110] O +la_oenb_core[111] O +la_oenb_core[112] O +la_oenb_core[113] O +la_oenb_core[114] O +la_oenb_core[115] O +la_oenb_core[116] O +la_oenb_core[117] O +la_oenb_core[118] O +la_oenb_core[119] O +la_oenb_core[11] O +la_oenb_core[120] O +la_oenb_core[121] O +la_oenb_core[122] O +la_oenb_core[123] O +la_oenb_core[124] O +la_oenb_core[125] O +la_oenb_core[126] O +la_oenb_core[127] O +la_oenb_core[12] O +la_oenb_core[13] O +la_oenb_core[14] O +la_oenb_core[15] O +la_oenb_core[16] O +la_oenb_core[17] O +la_oenb_core[18] O +la_oenb_core[19] O +la_oenb_core[1] O +la_oenb_core[20] O +la_oenb_core[21] O +la_oenb_core[22] O +la_oenb_core[23] O +la_oenb_core[24] O +la_oenb_core[25] O +la_oenb_core[26] O +la_oenb_core[27] O +la_oenb_core[28] O +la_oenb_core[29] O +la_oenb_core[2] O +la_oenb_core[30] O +la_oenb_core[31] O +la_oenb_core[32] O +la_oenb_core[33] O +la_oenb_core[34] O +la_oenb_core[35] O +la_oenb_core[36] O +la_oenb_core[37] O +la_oenb_core[38] O +la_oenb_core[39] O +la_oenb_core[3] O +la_oenb_core[40] O +la_oenb_core[41] O +la_oenb_core[42] O +la_oenb_core[43] O +la_oenb_core[44] O +la_oenb_core[45] O +la_oenb_core[46] O +la_oenb_core[47] O +la_oenb_core[48] O +la_oenb_core[49] O +la_oenb_core[4] O +la_oenb_core[50] O +la_oenb_core[51] O +la_oenb_core[52] O +la_oenb_core[53] O +la_oenb_core[54] O +la_oenb_core[55] O +la_oenb_core[56] O +la_oenb_core[57] O +la_oenb_core[58] O +la_oenb_core[59] O +la_oenb_core[5] O +la_oenb_core[60] O +la_oenb_core[61] O +la_oenb_core[62] O +la_oenb_core[63] O +la_oenb_core[64] O +la_oenb_core[65] O +la_oenb_core[66] O +la_oenb_core[67] O +la_oenb_core[68] O +la_oenb_core[69] O +la_oenb_core[6] O +la_oenb_core[70] O +la_oenb_core[71] O +la_oenb_core[72] O +la_oenb_core[73] O +la_oenb_core[74] O +la_oenb_core[75] O +la_oenb_core[76] O +la_oenb_core[77] O +la_oenb_core[78] O +la_oenb_core[79] O +la_oenb_core[7] O +la_oenb_core[80] O +la_oenb_core[81] O +la_oenb_core[82] O +la_oenb_core[83] O +la_oenb_core[84] O +la_oenb_core[85] O +la_oenb_core[86] O +la_oenb_core[87] O +la_oenb_core[88] O +la_oenb_core[89] O +la_oenb_core[8] O +la_oenb_core[90] O +la_oenb_core[91] O +la_oenb_core[92] O +la_oenb_core[93] O +la_oenb_core[94] O +la_oenb_core[95] O +la_oenb_core[96] O +la_oenb_core[97] O +la_oenb_core[98] O +la_oenb_core[99] O +la_oenb_core[9] O +la_oenb_mprj[0] I +la_oenb_mprj[100] I +la_oenb_mprj[101] I +la_oenb_mprj[102] I +la_oenb_mprj[103] I +la_oenb_mprj[104] I +la_oenb_mprj[105] I +la_oenb_mprj[106] I +la_oenb_mprj[107] I +la_oenb_mprj[108] I +la_oenb_mprj[109] I +la_oenb_mprj[10] I +la_oenb_mprj[110] I +la_oenb_mprj[111] I +la_oenb_mprj[112] I +la_oenb_mprj[113] I +la_oenb_mprj[114] I +la_oenb_mprj[115] I +la_oenb_mprj[116] I +la_oenb_mprj[117] I +la_oenb_mprj[118] I +la_oenb_mprj[119] I +la_oenb_mprj[11] I +la_oenb_mprj[120] I +la_oenb_mprj[121] I +la_oenb_mprj[122] I +la_oenb_mprj[123] I +la_oenb_mprj[124] I +la_oenb_mprj[125] I +la_oenb_mprj[126] I +la_oenb_mprj[127] I +la_oenb_mprj[12] I +la_oenb_mprj[13] I +la_oenb_mprj[14] I +la_oenb_mprj[15] I +la_oenb_mprj[16] I +la_oenb_mprj[17] I +la_oenb_mprj[18] I +la_oenb_mprj[19] I +la_oenb_mprj[1] I +la_oenb_mprj[20] I +la_oenb_mprj[21] I +la_oenb_mprj[22] I +la_oenb_mprj[23] I +la_oenb_mprj[24] I +la_oenb_mprj[25] I +la_oenb_mprj[26] I +la_oenb_mprj[27] I +la_oenb_mprj[28] I +la_oenb_mprj[29] I +la_oenb_mprj[2] I +la_oenb_mprj[30] I +la_oenb_mprj[31] I +la_oenb_mprj[32] I +la_oenb_mprj[33] I +la_oenb_mprj[34] I +la_oenb_mprj[35] I +la_oenb_mprj[36] I +la_oenb_mprj[37] I +la_oenb_mprj[38] I +la_oenb_mprj[39] I +la_oenb_mprj[3] I +la_oenb_mprj[40] I +la_oenb_mprj[41] I +la_oenb_mprj[42] I +la_oenb_mprj[43] I +la_oenb_mprj[44] I +la_oenb_mprj[45] I +la_oenb_mprj[46] I +la_oenb_mprj[47] I +la_oenb_mprj[48] I +la_oenb_mprj[49] I +la_oenb_mprj[4] I +la_oenb_mprj[50] I +la_oenb_mprj[51] I +la_oenb_mprj[52] I +la_oenb_mprj[53] I +la_oenb_mprj[54] I +la_oenb_mprj[55] I +la_oenb_mprj[56] I +la_oenb_mprj[57] I +la_oenb_mprj[58] I +la_oenb_mprj[59] I +la_oenb_mprj[5] I +la_oenb_mprj[60] I +la_oenb_mprj[61] I +la_oenb_mprj[62] I +la_oenb_mprj[63] I +la_oenb_mprj[64] I +la_oenb_mprj[65] I +la_oenb_mprj[66] I +la_oenb_mprj[67] I +la_oenb_mprj[68] I +la_oenb_mprj[69] I +la_oenb_mprj[6] I +la_oenb_mprj[70] I +la_oenb_mprj[71] I +la_oenb_mprj[72] I +la_oenb_mprj[73] I +la_oenb_mprj[74] I +la_oenb_mprj[75] I +la_oenb_mprj[76] I +la_oenb_mprj[77] I +la_oenb_mprj[78] I +la_oenb_mprj[79] I +la_oenb_mprj[7] I +la_oenb_mprj[80] I +la_oenb_mprj[81] I +la_oenb_mprj[82] I +la_oenb_mprj[83] I +la_oenb_mprj[84] I +la_oenb_mprj[85] I +la_oenb_mprj[86] I +la_oenb_mprj[87] I +la_oenb_mprj[88] I +la_oenb_mprj[89] I +la_oenb_mprj[8] I +la_oenb_mprj[90] I +la_oenb_mprj[91] I +la_oenb_mprj[92] I +la_oenb_mprj[93] I +la_oenb_mprj[94] I +la_oenb_mprj[95] I +la_oenb_mprj[96] I +la_oenb_mprj[97] I +la_oenb_mprj[98] I +la_oenb_mprj[99] I +la_oenb_mprj[9] I +mprj_ack_i_core O +mprj_ack_i_user I +mprj_adr_o_core[0] I +mprj_adr_o_core[10] I +mprj_adr_o_core[11] I +mprj_adr_o_core[12] I +mprj_adr_o_core[13] I +mprj_adr_o_core[14] I +mprj_adr_o_core[15] I +mprj_adr_o_core[16] I +mprj_adr_o_core[17] I +mprj_adr_o_core[18] I +mprj_adr_o_core[19] I +mprj_adr_o_core[1] I +mprj_adr_o_core[20] I +mprj_adr_o_core[21] I +mprj_adr_o_core[22] I +mprj_adr_o_core[23] I +mprj_adr_o_core[24] I +mprj_adr_o_core[25] I +mprj_adr_o_core[26] I +mprj_adr_o_core[27] I +mprj_adr_o_core[28] I +mprj_adr_o_core[29] I +mprj_adr_o_core[2] I +mprj_adr_o_core[30] I +mprj_adr_o_core[31] I +mprj_adr_o_core[3] I +mprj_adr_o_core[4] I +mprj_adr_o_core[5] I +mprj_adr_o_core[6] I +mprj_adr_o_core[7] I +mprj_adr_o_core[8] I +mprj_adr_o_core[9] I +mprj_adr_o_user[0] O +mprj_adr_o_user[10] O +mprj_adr_o_user[11] O +mprj_adr_o_user[12] O +mprj_adr_o_user[13] O +mprj_adr_o_user[14] O +mprj_adr_o_user[15] O +mprj_adr_o_user[16] O +mprj_adr_o_user[17] O +mprj_adr_o_user[18] O +mprj_adr_o_user[19] O +mprj_adr_o_user[1] O +mprj_adr_o_user[20] O +mprj_adr_o_user[21] O +mprj_adr_o_user[22] O +mprj_adr_o_user[23] O +mprj_adr_o_user[24] O +mprj_adr_o_user[25] O +mprj_adr_o_user[26] O +mprj_adr_o_user[27] O +mprj_adr_o_user[28] O +mprj_adr_o_user[29] O +mprj_adr_o_user[2] O +mprj_adr_o_user[30] O +mprj_adr_o_user[31] O +mprj_adr_o_user[3] O +mprj_adr_o_user[4] O +mprj_adr_o_user[5] O +mprj_adr_o_user[6] O +mprj_adr_o_user[7] O +mprj_adr_o_user[8] O +mprj_adr_o_user[9] O +mprj_cyc_o_core I +mprj_cyc_o_user O +mprj_dat_i_core[0] O +mprj_dat_i_core[10] O +mprj_dat_i_core[11] O +mprj_dat_i_core[12] O +mprj_dat_i_core[13] O +mprj_dat_i_core[14] O +mprj_dat_i_core[15] O +mprj_dat_i_core[16] O +mprj_dat_i_core[17] O +mprj_dat_i_core[18] O +mprj_dat_i_core[19] O +mprj_dat_i_core[1] O +mprj_dat_i_core[20] O +mprj_dat_i_core[21] O +mprj_dat_i_core[22] O +mprj_dat_i_core[23] O +mprj_dat_i_core[24] O +mprj_dat_i_core[25] O +mprj_dat_i_core[26] O +mprj_dat_i_core[27] O +mprj_dat_i_core[28] O +mprj_dat_i_core[29] O +mprj_dat_i_core[2] O +mprj_dat_i_core[30] O +mprj_dat_i_core[31] O +mprj_dat_i_core[3] O +mprj_dat_i_core[4] O +mprj_dat_i_core[5] O +mprj_dat_i_core[6] O +mprj_dat_i_core[7] O +mprj_dat_i_core[8] O +mprj_dat_i_core[9] O +mprj_dat_i_user[0] I +mprj_dat_i_user[10] I +mprj_dat_i_user[11] I +mprj_dat_i_user[12] I +mprj_dat_i_user[13] I +mprj_dat_i_user[14] I +mprj_dat_i_user[15] I +mprj_dat_i_user[16] I +mprj_dat_i_user[17] I +mprj_dat_i_user[18] I +mprj_dat_i_user[19] I +mprj_dat_i_user[1] I +mprj_dat_i_user[20] I +mprj_dat_i_user[21] I +mprj_dat_i_user[22] I +mprj_dat_i_user[23] I +mprj_dat_i_user[24] I +mprj_dat_i_user[25] I +mprj_dat_i_user[26] I +mprj_dat_i_user[27] I +mprj_dat_i_user[28] I +mprj_dat_i_user[29] I +mprj_dat_i_user[2] I +mprj_dat_i_user[30] I +mprj_dat_i_user[31] I +mprj_dat_i_user[3] I +mprj_dat_i_user[4] I +mprj_dat_i_user[5] I +mprj_dat_i_user[6] I +mprj_dat_i_user[7] I +mprj_dat_i_user[8] I +mprj_dat_i_user[9] I +mprj_dat_o_core[0] I +mprj_dat_o_core[10] I +mprj_dat_o_core[11] I +mprj_dat_o_core[12] I +mprj_dat_o_core[13] I +mprj_dat_o_core[14] I +mprj_dat_o_core[15] I +mprj_dat_o_core[16] I +mprj_dat_o_core[17] I +mprj_dat_o_core[18] I +mprj_dat_o_core[19] I +mprj_dat_o_core[1] I +mprj_dat_o_core[20] I +mprj_dat_o_core[21] I +mprj_dat_o_core[22] I +mprj_dat_o_core[23] I +mprj_dat_o_core[24] I +mprj_dat_o_core[25] I +mprj_dat_o_core[26] I +mprj_dat_o_core[27] I +mprj_dat_o_core[28] I +mprj_dat_o_core[29] I +mprj_dat_o_core[2] I +mprj_dat_o_core[30] I +mprj_dat_o_core[31] I +mprj_dat_o_core[3] I +mprj_dat_o_core[4] I +mprj_dat_o_core[5] I +mprj_dat_o_core[6] I +mprj_dat_o_core[7] I +mprj_dat_o_core[8] I +mprj_dat_o_core[9] I +mprj_dat_o_user[0] O +mprj_dat_o_user[10] O +mprj_dat_o_user[11] O +mprj_dat_o_user[12] O +mprj_dat_o_user[13] O +mprj_dat_o_user[14] O +mprj_dat_o_user[15] O +mprj_dat_o_user[16] O +mprj_dat_o_user[17] O +mprj_dat_o_user[18] O +mprj_dat_o_user[19] O +mprj_dat_o_user[1] O +mprj_dat_o_user[20] O +mprj_dat_o_user[21] O +mprj_dat_o_user[22] O +mprj_dat_o_user[23] O +mprj_dat_o_user[24] O +mprj_dat_o_user[25] O +mprj_dat_o_user[26] O +mprj_dat_o_user[27] O +mprj_dat_o_user[28] O +mprj_dat_o_user[29] O +mprj_dat_o_user[2] O +mprj_dat_o_user[30] O +mprj_dat_o_user[31] O +mprj_dat_o_user[3] O +mprj_dat_o_user[4] O +mprj_dat_o_user[5] O +mprj_dat_o_user[6] O +mprj_dat_o_user[7] O +mprj_dat_o_user[8] O +mprj_dat_o_user[9] O +mprj_iena_wb I +mprj_sel_o_core[0] I +mprj_sel_o_core[1] I +mprj_sel_o_core[2] I +mprj_sel_o_core[3] I +mprj_sel_o_user[0] O +mprj_sel_o_user[1] O +mprj_sel_o_user[2] O +mprj_sel_o_user[3] O +mprj_stb_o_core I +mprj_stb_o_user O +mprj_we_o_core I +mprj_we_o_user O +user1_vcc_powergood O +user1_vdd_powergood O +user2_vcc_powergood O +user2_vdd_powergood O +user_clock O +user_clock2 O +user_irq[0] O +user_irq[1] O +user_irq[2] O +user_irq_core[0] I +user_irq_core[1] I +user_irq_core[2] I +user_irq_ena[0] I +user_irq_ena[1] I +user_irq_ena[2] I +user_reset O + +*D_NET *1 0.000742654 +*CONN +*P caravel_clk I +*I *38413:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6661:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 caravel_clk 0.000227582 +2 *38413:A 0 +3 *6661:DIODE 0.000143745 +4 *1:10 0.000371327 +5 *6661:DIODE *2348:13 0 +*RES +1 caravel_clk *1:10 8.96699 +2 *1:10 *6661:DIODE 12.3 +3 *1:10 *38413:A 9.3 +*END + +*D_NET *2 0.000876363 +*CONN +*P caravel_clk2 I +*I *38524:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6772:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 caravel_clk2 0.000294437 +2 *38524:A 0 +3 *6772:DIODE 0.000143745 +4 *2:10 0.000438182 +5 *2:10 *3459:8 0 +*RES +1 caravel_clk2 *2:10 10.4848 +2 *2:10 *6772:DIODE 12.3 +3 *2:10 *38524:A 9.3 +*END + +*D_NET *3 0.000647627 +*CONN +*P caravel_rstn I +*I *38635:A I *D sky130_fd_sc_hd__buf_6 +*I *6883:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 caravel_rstn 0.000235329 +2 *38635:A 2.28093e-05 +3 *6883:DIODE 6.56751e-05 +4 *3:7 0.000323813 +5 *6883:DIODE *3459:8 0 +6 *38635:A *3459:8 0 +*RES +1 caravel_rstn *3:7 4.26227 +2 *3:7 *6883:DIODE 15.2911 +3 *3:7 *38635:A 14.3804 +*END + +*D_NET *4 0.00113435 +*CONN +*P la_data_in_core[0] O +*I *38891:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[0] 0.000567174 +2 *38891:X 0.000567174 +3 la_data_in_core[0] *260:11 0 +4 la_data_in_core[0] *320:21 0 +5 la_data_in_core[0] *2518:59 0 +*RES +1 *38891:X la_data_in_core[0] 26.6929 +*END + +*D_NET *5 0.00103853 +*CONN +*P la_data_in_core[100] O +*I *38892:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[100] 0.000519264 +2 *38892:X 0.000519264 +3 la_data_in_core[100] la_oenb_core[99] 0 +4 la_data_in_core[100] *261:7 0 +5 la_data_in_core[100] *2468:27 0 +6 la_data_in_core[100] *5025:31 0 +*RES +1 *38892:X la_data_in_core[100] 25.4964 +*END + +*D_NET *6 0.000869456 +*CONN +*P la_data_in_core[101] O +*I *38893:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[101] 0.000434728 +2 *38893:X 0.000434728 +3 la_data_in_core[101] la_oenb_core[100] 0 +4 la_data_in_core[101] *262:7 0 +5 la_data_in_core[101] *2468:27 0 +6 la_data_in_core[101] *4609:43 0 +*RES +1 *38893:X la_data_in_core[101] 23.4786 +*END + +*D_NET *7 0.00137757 +*CONN +*P la_data_in_core[102] O +*I *38894:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[102] 0.000688786 +2 *38894:X 0.000688786 +3 la_data_in_core[102] la_oenb_core[101] 0 +4 la_data_in_core[102] *39148:A 0 +5 la_data_in_core[102] *263:7 0 +6 la_data_in_core[102] *2470:39 0 +7 la_data_in_core[102] *4894:6 0 +8 la_data_in_core[102] *4898:21 0 +9 la_data_in_core[102] *5017:17 0 +10 la_data_in_core[102] *5032:39 0 +*RES +1 *38894:X la_data_in_core[102] 37.6929 +*END + +*D_NET *8 0.00126 +*CONN +*P la_data_in_core[103] O +*I *38895:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[103] 0.000629998 +2 *38895:X 0.000629998 +3 la_data_in_core[103] *264:7 0 +4 la_data_in_core[103] *2470:39 0 +*RES +1 *38895:X la_data_in_core[103] 27.4429 +*END + +*D_NET *9 0.00114949 +*CONN +*P la_data_in_core[104] O +*I *38896:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[104] 0.000574746 +2 *38896:X 0.000574746 +3 la_data_in_core[104] la_oenb_core[103] 0 +4 la_data_in_core[104] *265:7 0 +5 la_data_in_core[104] *1554:15 0 +6 la_data_in_core[104] *4609:26 0 +7 la_data_in_core[104] *4909:24 0 +*RES +1 *38896:X la_data_in_core[104] 26.6214 +*END + +*D_NET *10 0.000920451 +*CONN +*P la_data_in_core[105] O +*I *38897:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[105] 0.000460226 +2 *38897:X 0.000460226 +3 la_data_in_core[105] la_oenb_core[104] 0 +4 la_data_in_core[105] *266:7 0 +5 la_data_in_core[105] *4902:21 0 +6 la_data_in_core[105] *4909:39 0 +7 la_data_in_core[105] *5032:38 0 +*RES +1 *38897:X la_data_in_core[105] 24.2643 +*END + +*D_NET *11 0.000628779 +*CONN +*P la_data_in_core[106] O +*I *38898:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[106] 0.000314389 +2 *38898:X 0.000314389 +3 la_data_in_core[106] la_oenb_core[105] 0 +4 la_data_in_core[106] *267:7 0 +5 la_data_in_core[106] *2470:19 0 +*RES +1 *38898:X la_data_in_core[106] 20.7464 +*END + +*D_NET *12 0.00104806 +*CONN +*P la_data_in_core[107] O +*I *38899:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[107] 0.000524032 +2 *38899:X 0.000524032 +3 la_data_in_core[107] la_oenb_core[106] 0 +4 la_data_in_core[107] *38898:A 0 +5 la_data_in_core[107] *39153:A 0 +6 la_data_in_core[107] *268:7 0 +7 la_data_in_core[107] *1020:13 0 +8 la_data_in_core[107] *4616:34 0 +9 la_data_in_core[107] *5032:25 0 +*RES +1 *38899:X la_data_in_core[107] 25.3714 +*END + +*D_NET *13 0.000870853 +*CONN +*P la_data_in_core[108] O +*I *38900:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[108] 0.000435427 +2 *38900:X 0.000435427 +3 la_data_in_core[108] *269:7 0 +4 la_data_in_core[108] *5032:25 0 +*RES +1 *38900:X la_data_in_core[108] 23.6036 +*END + +*D_NET *14 0.00110256 +*CONN +*P la_data_in_core[109] O +*I *38901:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[109] 0.00055128 +2 *38901:X 0.00055128 +3 la_data_in_core[109] la_oenb_core[108] 0 +4 la_data_in_core[109] *39155:A 0 +5 la_data_in_core[109] *270:7 0 +6 la_data_in_core[109] *4900:25 0 +*RES +1 *38901:X la_data_in_core[109] 25.8 +*END + +*D_NET *15 0.000981046 +*CONN +*P la_data_in_core[10] O +*I *38902:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[10] 0.000490523 +2 *38902:X 0.000490523 +3 la_data_in_core[10] *2520:33 0 +4 la_data_in_core[10] *2523:28 0 +5 la_data_in_core[10] *2640:39 0 +6 la_data_in_core[10] *2710:54 0 +*RES +1 *38902:X la_data_in_core[10] 24.8536 +*END + +*D_NET *16 0.00126 +*CONN +*P la_data_in_core[110] O +*I *38903:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[110] 0.000629998 +2 *38903:X 0.000629998 +3 la_data_in_core[110] *38901:A 0 +4 la_data_in_core[110] *272:9 0 +5 la_data_in_core[110] *4900:25 0 +*RES +1 *38903:X la_data_in_core[110] 27.4429 +*END + +*D_NET *17 0.000967429 +*CONN +*P la_data_in_core[111] O +*I *38904:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[111] 0.000483715 +2 *38904:X 0.000483715 +3 la_data_in_core[111] la_oenb_core[110] 0 +4 la_data_in_core[111] *273:12 0 +5 la_data_in_core[111] *4900:18 0 +*RES +1 *38904:X la_data_in_core[111] 24.7821 +*END + +*D_NET *18 0.00081191 +*CONN +*P la_data_in_core[112] O +*I *38905:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[112] 0.000405955 +2 *38905:X 0.000405955 +3 la_data_in_core[112] la_oenb_core[111] 0 +4 la_data_in_core[112] *39160:A 0 +5 la_data_in_core[112] *274:7 0 +6 la_data_in_core[112] *4906:15 0 +*RES +1 *38905:X la_data_in_core[112] 23.0321 +*END + +*D_NET *19 0.000804875 +*CONN +*P la_data_in_core[113] O +*I *38906:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[113] 0.000402438 +2 *38906:X 0.000402438 +3 la_data_in_core[113] la_oenb_core[112] 0 +4 la_data_in_core[113] *275:11 0 +5 la_data_in_core[113] *4621:21 0 +*RES +1 *38906:X la_data_in_core[113] 22.7107 +*END + +*D_NET *20 0.0010324 +*CONN +*P la_data_in_core[114] O +*I *38907:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[114] 0.000516199 +2 *38907:X 0.000516199 +3 la_data_in_core[114] la_oenb_core[113] 0 +4 la_data_in_core[114] *276:13 0 +5 la_data_in_core[114] *2462:30 0 +6 la_data_in_core[114] *4912:29 0 +*RES +1 *38907:X la_data_in_core[114] 25.1214 +*END + +*D_NET *21 0.000869113 +*CONN +*P la_data_in_core[115] O +*I *38908:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[115] 0.000434557 +2 *38908:X 0.000434557 +3 la_data_in_core[115] la_oenb_core[114] 0 +4 la_data_in_core[115] *277:9 0 +*RES +1 *38908:X la_data_in_core[115] 23.7286 +*END + +*D_NET *22 0.00128011 +*CONN +*P la_data_in_core[116] O +*I *38909:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[116] 0.000640055 +2 *38909:X 0.000640055 +3 la_data_in_core[116] la_oenb_core[115] 0 +4 la_data_in_core[116] *38909:A 0 +5 la_data_in_core[116] *39163:A 0 +6 la_data_in_core[116] *278:10 0 +7 la_data_in_core[116] *4910:11 0 +*RES +1 *38909:X la_data_in_core[116] 27.6393 +*END + +*D_NET *23 0.00126635 +*CONN +*P la_data_in_core[117] O +*I *38910:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[117] 0.000633176 +2 *38910:X 0.000633176 +3 la_data_in_core[117] la_oenb_core[116] 0 +4 la_data_in_core[117] *279:10 0 +5 la_data_in_core[117] *4910:11 0 +*RES +1 *38910:X la_data_in_core[117] 27.4429 +*END + +*D_NET *24 0.000967429 +*CONN +*P la_data_in_core[118] O +*I *38911:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[118] 0.000483715 +2 *38911:X 0.000483715 +3 la_data_in_core[118] *280:10 0 +4 la_data_in_core[118] *4916:15 0 +*RES +1 *38911:X la_data_in_core[118] 24.7821 +*END + +*D_NET *25 0.000780236 +*CONN +*P la_data_in_core[119] O +*I *38912:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[119] 0.000390118 +2 *38912:X 0.000390118 +3 la_data_in_core[119] la_oenb_core[118] 0 +4 la_data_in_core[119] *39167:A 0 +5 la_data_in_core[119] *281:7 0 +6 la_data_in_core[119] *4924:15 0 +*RES +1 *38912:X la_data_in_core[119] 22.6571 +*END + +*D_NET *26 0.000473451 +*CONN +*P la_data_in_core[11] O +*I *38913:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[11] 0.000236725 +2 *38913:X 0.000236725 +3 la_data_in_core[11] la_oenb_core[10] 0 +4 la_data_in_core[11] *282:9 0 +*RES +1 *38913:X la_data_in_core[11] 19.175 +*END + +*D_NET *27 0.000630255 +*CONN +*P la_data_in_core[120] O +*I *38914:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[120] 0.000315127 +2 *38914:X 0.000315127 +3 la_data_in_core[120] la_oenb_core[119] 0 +4 la_data_in_core[120] *283:7 0 +*RES +1 *38914:X la_data_in_core[120] 20.8714 +*END + +*D_NET *28 0.00102837 +*CONN +*P la_data_in_core[121] O +*I *38915:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[121] 0.000514184 +2 *38915:X 0.000514184 +3 la_data_in_core[121] la_oenb_core[120] 0 +4 la_data_in_core[121] *39170:A 0 +5 la_data_in_core[121] *284:10 0 +6 la_data_in_core[121] *4607:17 0 +7 la_data_in_core[121] *4635:17 0 +*RES +1 *38915:X la_data_in_core[121] 25.2464 +*END + +*D_NET *29 0.0010087 +*CONN +*P la_data_in_core[122] O +*I *38916:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[122] 0.000504352 +2 *38916:X 0.000504352 +3 la_data_in_core[122] la_oenb_core[121] 0 +4 la_data_in_core[122] *7044:DIODE 0 +5 la_data_in_core[122] *285:10 0 +6 la_data_in_core[122] *4607:17 0 +7 la_data_in_core[122] *4635:17 0 +8 la_data_in_core[122] *4924:19 0 +*RES +1 *38916:X la_data_in_core[122] 25.0857 +*END + +*D_NET *30 0.00110256 +*CONN +*P la_data_in_core[123] O +*I *38917:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[123] 0.00055128 +2 *38917:X 0.00055128 +3 la_data_in_core[123] *286:7 0 +4 la_data_in_core[123] *4633:13 0 +*RES +1 *38917:X la_data_in_core[123] 25.8 +*END + +*D_NET *31 0.00126 +*CONN +*P la_data_in_core[124] O +*I *38918:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[124] 0.000629998 +2 *38918:X 0.000629998 +3 la_data_in_core[124] la_oenb_core[123] 0 +4 la_data_in_core[124] *38917:A 0 +5 la_data_in_core[124] *287:7 0 +6 la_data_in_core[124] *4633:13 0 +*RES +1 *38918:X la_data_in_core[124] 27.4429 +*END + +*D_NET *32 0.000967429 +*CONN +*P la_data_in_core[125] O +*I *38919:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[125] 0.000483715 +2 *38919:X 0.000483715 +3 la_data_in_core[125] la_oenb_core[124] 0 +4 la_data_in_core[125] *288:10 0 +5 la_data_in_core[125] *4633:12 0 +6 la_data_in_core[125] *4922:8 0 +*RES +1 *38919:X la_data_in_core[125] 24.7821 +*END + +*D_NET *33 0.000780236 +*CONN +*P la_data_in_core[126] O +*I *38920:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[126] 0.000390118 +2 *38920:X 0.000390118 +3 la_data_in_core[126] la_oenb_core[125] 0 +4 la_data_in_core[126] *39175:A 0 +5 la_data_in_core[126] *289:12 0 +*RES +1 *38920:X la_data_in_core[126] 22.6571 +*END + +*D_NET *34 0.000821432 +*CONN +*P la_data_in_core[127] O +*I *38921:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[127] 0.000410716 +2 *38921:X 0.000410716 +3 la_data_in_core[127] la_oenb_core[126] 0 +4 la_data_in_core[127] *290:7 0 +5 la_data_in_core[127] *4638:11 0 +6 la_data_in_core[127] *4641:12 0 +*RES +1 *38921:X la_data_in_core[127] 22.9607 +*END + +*D_NET *35 0.000619211 +*CONN +*P la_data_in_core[12] O +*I *38922:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[12] 0.000309605 +2 *38922:X 0.000309605 +3 la_data_in_core[12] la_oenb_core[11] 0 +4 la_data_in_core[12] *291:15 0 +5 la_data_in_core[12] *2640:23 0 +6 la_data_in_core[12] *2666:24 0 +*RES +1 *38922:X la_data_in_core[12] 20.7821 +*END + +*D_NET *36 0.000800339 +*CONN +*P la_data_in_core[13] O +*I *38923:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[13] 0.00040017 +2 *38923:X 0.00040017 +3 la_data_in_core[13] la_oenb_core[12] 0 +4 la_data_in_core[13] *38923:A 0 +5 la_data_in_core[13] *292:12 0 +6 la_data_in_core[13] *335:13 0 +7 la_data_in_core[13] *2497:32 0 +8 la_data_in_core[13] *2502:44 0 +9 la_data_in_core[13] *2526:28 0 +10 la_data_in_core[13] *2640:23 0 +*RES +1 *38923:X la_data_in_core[13] 22.5857 +*END + +*D_NET *37 0.000914085 +*CONN +*P la_data_in_core[14] O +*I *38924:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[14] 0.000457042 +2 *38924:X 0.000457042 +3 la_data_in_core[14] la_oenb_core[13] 0 +4 la_data_in_core[14] *38924:A 0 +5 la_data_in_core[14] *2497:32 0 +6 la_data_in_core[14] *2520:31 0 +7 la_data_in_core[14] *2663:18 0 +*RES +1 *38924:X la_data_in_core[14] 23.8893 +*END + +*D_NET *38 0.000908618 +*CONN +*P la_data_in_core[15] O +*I *38925:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[15] 0.000454309 +2 *38925:X 0.000454309 +3 la_data_in_core[15] la_oenb_core[14] 0 +4 la_data_in_core[15] *294:19 0 +5 la_data_in_core[15] *2520:27 0 +6 la_data_in_core[15] *2663:18 0 +7 la_data_in_core[15] *4933:61 0 +*RES +1 *38925:X la_data_in_core[15] 23.8893 +*END + +*D_NET *39 0.00147506 +*CONN +*P la_data_in_core[16] O +*I *38926:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[16] 0.00073753 +2 *38926:X 0.00073753 +3 la_data_in_core[16] la_oenb_core[15] 0 +4 la_data_in_core[16] *38928:A 0 +5 la_data_in_core[16] *295:12 0 +6 la_data_in_core[16] *2497:41 0 +*RES +1 *38926:X la_data_in_core[16] 39.0321 +*END + +*D_NET *40 0.000751301 +*CONN +*P la_data_in_core[17] O +*I *38927:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[17] 0.000375651 +2 *38927:X 0.000375651 +3 la_data_in_core[17] la_oenb_core[16] 0 +4 la_data_in_core[17] *296:12 0 +5 la_data_in_core[17] *2493:32 0 +6 la_data_in_core[17] *2518:17 0 +7 la_data_in_core[17] *4665:14 0 +8 la_data_in_core[17] *4934:29 0 +*RES +1 *38927:X la_data_in_core[17] 22.2464 +*END + +*D_NET *41 0.00047349 +*CONN +*P la_data_in_core[18] O +*I *38928:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[18] 0.000236745 +2 *38928:X 0.000236745 +3 la_data_in_core[18] la_oenb_core[17] 0 +4 la_data_in_core[18] *4966:14 0 +*RES +1 *38928:X la_data_in_core[18] 19.175 +*END + +*D_NET *42 0.000593003 +*CONN +*P la_data_in_core[19] O +*I *38929:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[19] 0.000296501 +2 *38929:X 0.000296501 +3 la_data_in_core[19] la_oenb_core[18] 0 +4 la_data_in_core[19] *298:11 0 +*RES +1 *38929:X la_data_in_core[19] 20.5321 +*END + +*D_NET *43 0.000908618 +*CONN +*P la_data_in_core[1] O +*I *38930:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[1] 0.000454309 +2 *38930:X 0.000454309 +3 la_data_in_core[1] la_oenb_core[0] 0 +4 la_data_in_core[1] *38930:A 0 +5 la_data_in_core[1] *299:9 0 +6 la_data_in_core[1] *2642:43 0 +7 la_data_in_core[1] *2645:23 0 +8 la_data_in_core[1] *4935:25 0 +*RES +1 *38930:X la_data_in_core[1] 23.8893 +*END + +*D_NET *44 0.000721543 +*CONN +*P la_data_in_core[20] O +*I *38931:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[20] 0.000360771 +2 *38931:X 0.000360771 +3 la_data_in_core[20] *300:7 0 +4 la_data_in_core[20] *341:29 0 +5 la_data_in_core[20] *1004:8 0 +6 la_data_in_core[20] *2640:23 0 +7 la_data_in_core[20] *4670:22 0 +8 la_data_in_core[20] *4670:32 0 +*RES +1 *38931:X la_data_in_core[20] 21.7643 +*END + +*D_NET *45 0.000910134 +*CONN +*P la_data_in_core[21] O +*I *38932:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[21] 0.000455067 +2 *38932:X 0.000455067 +3 la_data_in_core[21] *301:7 0 +4 la_data_in_core[21] *304:19 0 +5 la_data_in_core[21] *341:26 0 +6 la_data_in_core[21] *2493:51 0 +7 la_data_in_core[21] *4655:21 0 +8 la_data_in_core[21] *4966:14 0 +*RES +1 *38932:X la_data_in_core[21] 24.0143 +*END + +*D_NET *46 0.000908697 +*CONN +*P la_data_in_core[22] O +*I *38933:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[22] 0.000454349 +2 *38933:X 0.000454349 +3 la_data_in_core[22] la_oenb_core[21] 0 +4 la_data_in_core[22] *2493:51 0 +5 la_data_in_core[22] *2575:41 0 +6 la_data_in_core[22] *4655:21 0 +7 la_data_in_core[22] *4938:8 0 +*RES +1 *38933:X la_data_in_core[22] 23.8893 +*END + +*D_NET *47 0.00122793 +*CONN +*P la_data_in_core[23] O +*I *38934:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[23] 0.000613967 +2 *38934:X 0.000613967 +3 la_data_in_core[23] la_oenb_core[22] 0 +4 la_data_in_core[23] *38934:A 0 +5 la_data_in_core[23] *2640:22 0 +6 la_data_in_core[23] *2710:15 0 +7 la_data_in_core[23] *4966:26 0 +*RES +1 *38934:X la_data_in_core[23] 27.4429 +*END + +*D_NET *48 0.000743898 +*CONN +*P la_data_in_core[24] O +*I *38935:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[24] 0.000371949 +2 *38935:X 0.000371949 +3 la_data_in_core[24] la_oenb_core[23] 0 +4 la_data_in_core[24] *2758:56 0 +*RES +1 *38935:X la_data_in_core[24] 22.2464 +*END + +*D_NET *49 0.000473372 +*CONN +*P la_data_in_core[25] O +*I *38936:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[25] 0.000236686 +2 *38936:X 0.000236686 +3 la_data_in_core[25] la_oenb_core[24] 0 +*RES +1 *38936:X la_data_in_core[25] 19.175 +*END + +*D_NET *50 0.000603427 +*CONN +*P la_data_in_core[26] O +*I *38937:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[26] 0.000301714 +2 *38937:X 0.000301714 +3 la_data_in_core[26] la_oenb_core[25] 0 +4 la_data_in_core[26] *306:7 0 +5 la_data_in_core[26] *2492:85 0 +6 la_data_in_core[26] *4670:37 0 +*RES +1 *38937:X la_data_in_core[26] 20.5321 +*END + +*D_NET *51 0.000721543 +*CONN +*P la_data_in_core[27] O +*I *38938:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[27] 0.000360771 +2 *38938:X 0.000360771 +3 la_data_in_core[27] la_oenb_core[26] 0 +4 la_data_in_core[27] *38938:A 0 +5 la_data_in_core[27] *2492:85 0 +6 la_data_in_core[27] *4670:37 0 +7 la_data_in_core[27] *4955:16 0 +*RES +1 *38938:X la_data_in_core[27] 21.7643 +*END + +*D_NET *52 0.000987336 +*CONN +*P la_data_in_core[28] O +*I *38939:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[28] 0.000493668 +2 *38939:X 0.000493668 +3 la_data_in_core[28] la_oenb_core[27] 0 +4 la_data_in_core[28] *308:7 0 +5 la_data_in_core[28] *2451:34 0 +6 la_data_in_core[28] *2485:41 0 +*RES +1 *38939:X la_data_in_core[28] 24.7107 +*END + +*D_NET *53 0.000908579 +*CONN +*P la_data_in_core[29] O +*I *38940:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[29] 0.00045429 +2 *38940:X 0.00045429 +3 la_data_in_core[29] la_oenb_core[28] 0 +4 la_data_in_core[29] *309:11 0 +5 la_data_in_core[29] *2451:34 0 +6 la_data_in_core[29] *2485:41 0 +7 la_data_in_core[29] *2758:73 0 +*RES +1 *38940:X la_data_in_core[29] 23.8893 +*END + +*D_NET *54 0.00115464 +*CONN +*P la_data_in_core[2] O +*I *38941:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[2] 0.000577322 +2 *38941:X 0.000577322 +3 la_data_in_core[2] la_oenb_core[1] 0 +4 la_data_in_core[2] *7063:DIODE 0 +5 la_data_in_core[2] *38941:A 0 +6 la_data_in_core[2] *310:7 0 +7 la_data_in_core[2] *2526:48 0 +8 la_data_in_core[2] *2647:65 0 +9 la_data_in_core[2] *2709:7 0 +*RES +1 *38941:X la_data_in_core[2] 26.6214 +*END + +*D_NET *55 0.00115562 +*CONN +*P la_data_in_core[30] O +*I *38942:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[30] 0.000577811 +2 *38942:X 0.000577811 +3 la_data_in_core[30] *311:13 0 +4 la_data_in_core[30] *2451:34 0 +5 la_data_in_core[30] *2711:25 0 +*RES +1 *38942:X la_data_in_core[30] 26.8714 +*END + +*D_NET *56 0.000744016 +*CONN +*P la_data_in_core[31] O +*I *38943:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[31] 0.000372008 +2 *38943:X 0.000372008 +3 la_data_in_core[31] la_oenb_core[30] 0 +4 la_data_in_core[31] *312:16 0 +*RES +1 *38943:X la_data_in_core[31] 22.2464 +*END + +*D_NET *57 0.000567677 +*CONN +*P la_data_in_core[32] O +*I *38944:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[32] 0.000283838 +2 *38944:X 0.000283838 +3 la_data_in_core[32] la_oenb_core[31] 0 +*RES +1 *38944:X la_data_in_core[32] 20.2464 +*END + +*D_NET *58 0.000603348 +*CONN +*P la_data_in_core[33] O +*I *38945:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[33] 0.000301674 +2 *38945:X 0.000301674 +3 la_data_in_core[33] la_oenb_core[32] 0 +4 la_data_in_core[33] *314:10 0 +5 la_data_in_core[33] *1004:20 0 +6 la_data_in_core[33] *4955:17 0 +*RES +1 *38945:X la_data_in_core[33] 20.5321 +*END + +*D_NET *59 0.000721503 +*CONN +*P la_data_in_core[34] O +*I *38946:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[34] 0.000360752 +2 *38946:X 0.000360752 +3 la_data_in_core[34] la_oenb_core[33] 0 +4 la_data_in_core[34] *38946:A 0 +5 la_data_in_core[34] *315:10 0 +6 la_data_in_core[34] *1004:20 0 +7 la_data_in_core[34] *4955:17 0 +*RES +1 *38946:X la_data_in_core[34] 21.7643 +*END + +*D_NET *60 0.000925799 +*CONN +*P la_data_in_core[35] O +*I *38947:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[35] 0.0004629 +2 *38947:X 0.0004629 +3 la_data_in_core[35] la_oenb_core[34] 0 +4 la_data_in_core[35] *38947:A 0 +5 la_data_in_core[35] *316:7 0 +6 la_data_in_core[35] *2484:51 0 +7 la_data_in_core[35] *4963:57 0 +*RES +1 *38947:X la_data_in_core[35] 24.2643 +*END + +*D_NET *61 0.000908697 +*CONN +*P la_data_in_core[36] O +*I *38948:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[36] 0.000454349 +2 *38948:X 0.000454349 +3 la_data_in_core[36] la_oenb_core[35] 0 +4 la_data_in_core[36] *38948:A 0 +5 la_data_in_core[36] *317:7 0 +6 la_data_in_core[36] *2484:51 0 +7 la_data_in_core[36] *4963:57 0 +8 la_data_in_core[36] *5049:18 0 +*RES +1 *38948:X la_data_in_core[36] 23.8893 +*END + +*D_NET *62 0.0011752 +*CONN +*P la_data_in_core[37] O +*I *38949:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[37] 0.0005876 +2 *38949:X 0.0005876 +3 la_data_in_core[37] la_oenb_core[36] 0 +4 la_data_in_core[37] *318:7 0 +5 la_data_in_core[37] *2575:15 0 +6 la_data_in_core[37] *4673:44 0 +7 la_data_in_core[37] *5069:36 0 +*RES +1 *38949:X la_data_in_core[37] 27.2464 +*END + +*D_NET *63 0.000751262 +*CONN +*P la_data_in_core[38] O +*I *38950:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[38] 0.000375631 +2 *38950:X 0.000375631 +3 la_data_in_core[38] la_oenb_core[37] 0 +4 la_data_in_core[38] *319:5 0 +5 la_data_in_core[38] *2484:51 0 +*RES +1 *38950:X la_data_in_core[38] 22.2464 +*END + +*D_NET *64 0.00047349 +*CONN +*P la_data_in_core[39] O +*I *38951:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[39] 0.000236745 +2 *38951:X 0.000236745 +3 la_data_in_core[39] la_oenb_core[38] 0 +*RES +1 *38951:X la_data_in_core[39] 19.175 +*END + +*D_NET *65 0.000744016 +*CONN +*P la_data_in_core[3] O +*I *38952:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[3] 0.000372008 +2 *38952:X 0.000372008 +3 la_data_in_core[3] la_oenb_core[2] 0 +4 la_data_in_core[3] *330:15 0 +*RES +1 *38952:X la_data_in_core[3] 22.2464 +*END + +*D_NET *66 0.000676414 +*CONN +*P la_data_in_core[40] O +*I *38953:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[40] 0.000338207 +2 *38953:X 0.000338207 +3 la_data_in_core[40] *322:11 0 +4 la_data_in_core[40] *4678:15 0 +5 la_data_in_core[40] *4956:15 0 +*RES +1 *38953:X la_data_in_core[40] 21.4786 +*END + +*D_NET *67 0.000721621 +*CONN +*P la_data_in_core[41] O +*I *38954:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[41] 0.000360811 +2 *38954:X 0.000360811 +3 la_data_in_core[41] la_oenb_core[40] 0 +4 la_data_in_core[41] *323:9 0 +5 la_data_in_core[41] *4678:15 0 +6 la_data_in_core[41] *4963:59 0 +7 la_data_in_core[41] *4965:31 0 +*RES +1 *38954:X la_data_in_core[41] 21.7643 +*END + +*D_NET *68 0.000918779 +*CONN +*P la_data_in_core[42] O +*I *38955:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[42] 0.000459389 +2 *38955:X 0.000459389 +3 la_data_in_core[42] la_oenb_core[41] 0 +4 la_data_in_core[42] *324:7 0 +5 la_data_in_core[42] *2451:44 0 +6 la_data_in_core[42] *3799:23 0 +*RES +1 *38955:X la_data_in_core[42] 24.1393 +*END + +*D_NET *69 0.000910291 +*CONN +*P la_data_in_core[43] O +*I *38956:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[43] 0.000455145 +2 *38956:X 0.000455145 +3 la_data_in_core[43] *325:15 0 +4 la_data_in_core[43] *2451:44 0 +5 la_data_in_core[43] *3799:23 0 +*RES +1 *38956:X la_data_in_core[43] 24.0143 +*END + +*D_NET *70 0.00114945 +*CONN +*P la_data_in_core[44] O +*I *38957:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[44] 0.000574727 +2 *38957:X 0.000574727 +3 la_data_in_core[44] la_oenb_core[43] 0 +4 la_data_in_core[44] *38957:A 0 +5 la_data_in_core[44] *39212:A 0 +6 la_data_in_core[44] *39879:A 0 +7 la_data_in_core[44] *326:10 0 +8 la_data_in_core[44] *4684:30 0 +9 la_data_in_core[44] *4687:19 0 +10 la_data_in_core[44] *4979:13 0 +*RES +1 *38957:X la_data_in_core[44] 26.6214 +*END + +*D_NET *71 0.00075138 +*CONN +*P la_data_in_core[45] O +*I *38958:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[45] 0.00037569 +2 *38958:X 0.00037569 +3 la_data_in_core[45] la_oenb_core[44] 0 +4 la_data_in_core[45] *327:12 0 +5 la_data_in_core[45] *2451:47 0 +6 la_data_in_core[45] *3799:25 0 +*RES +1 *38958:X la_data_in_core[45] 22.2464 +*END + +*D_NET *72 0.00047349 +*CONN +*P la_data_in_core[46] O +*I *38959:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[46] 0.000236745 +2 *38959:X 0.000236745 +3 la_data_in_core[46] la_oenb_core[45] 0 +4 la_data_in_core[46] *328:14 0 +*RES +1 *38959:X la_data_in_core[46] 19.175 +*END + +*D_NET *73 0.000603545 +*CONN +*P la_data_in_core[47] O +*I *38960:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[47] 0.000301773 +2 *38960:X 0.000301773 +3 la_data_in_core[47] la_oenb_core[46] 0 +4 la_data_in_core[47] *38960:A 0 +5 la_data_in_core[47] *329:9 0 +6 la_data_in_core[47] *2576:9 0 +7 la_data_in_core[47] *3798:29 0 +*RES +1 *38960:X la_data_in_core[47] 20.5321 +*END + +*D_NET *74 0.000987533 +*CONN +*P la_data_in_core[48] O +*I *38961:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[48] 0.000493766 +2 *38961:X 0.000493766 +3 la_data_in_core[48] la_oenb_core[47] 0 +4 la_data_in_core[48] *38961:A 0 +5 la_data_in_core[48] *39215:A 0 +6 la_data_in_core[48] *330:9 0 +7 la_data_in_core[48] *3799:25 0 +*RES +1 *38961:X la_data_in_core[48] 24.7107 +*END + +*D_NET *75 0.000908815 +*CONN +*P la_data_in_core[49] O +*I *38962:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[49] 0.000454408 +2 *38962:X 0.000454408 +3 la_data_in_core[49] la_oenb_core[48] 0 +4 la_data_in_core[49] *39215:A 0 +5 la_data_in_core[49] *331:7 0 +6 la_data_in_core[49] *3799:25 0 +7 la_data_in_core[49] *3804:23 0 +8 la_data_in_core[49] *3804:27 0 +*RES +1 *38962:X la_data_in_core[49] 23.8893 +*END + +*D_NET *76 0.00047349 +*CONN +*P la_data_in_core[4] O +*I *38963:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[4] 0.000236745 +2 *38963:X 0.000236745 +3 la_data_in_core[4] la_oenb_core[3] 0 +4 la_data_in_core[4] *38963:A 0 +5 la_data_in_core[4] *332:15 0 +*RES +1 *38963:X la_data_in_core[4] 19.175 +*END + +*D_NET *77 0.000800339 +*CONN +*P la_data_in_core[50] O +*I *38964:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[50] 0.000400169 +2 *38964:X 0.000400169 +3 la_data_in_core[50] la_oenb_core[49] 0 +4 la_data_in_core[50] *38964:A 0 +5 la_data_in_core[50] *333:18 0 +6 la_data_in_core[50] *2576:9 0 +7 la_data_in_core[50] *3798:29 0 +*RES +1 *38964:X la_data_in_core[50] 22.5857 +*END + +*D_NET *78 0.00114497 +*CONN +*P la_data_in_core[51] O +*I *38965:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[51] 0.000572484 +2 *38965:X 0.000572484 +3 la_data_in_core[51] la_oenb_core[50] 0 +4 la_data_in_core[51] *39220:A 0 +5 la_data_in_core[51] *334:7 0 +6 la_data_in_core[51] *3799:25 0 +7 la_data_in_core[51] *3804:27 0 +8 la_data_in_core[51] *4690:19 0 +9 la_data_in_core[51] *4986:24 0 +*RES +1 *38965:X la_data_in_core[51] 26.3536 +*END + +*D_NET *79 0.00100863 +*CONN +*P la_data_in_core[52] O +*I *38966:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[52] 0.000504313 +2 *38966:X 0.000504313 +3 la_data_in_core[52] la_oenb_core[51] 0 +4 la_data_in_core[52] *38966:A 0 +5 la_data_in_core[52] *39221:A 0 +6 la_data_in_core[52] *335:7 0 +7 la_data_in_core[52] *3799:25 0 +8 la_data_in_core[52] *3804:27 0 +9 la_data_in_core[52] *4974:42 0 +10 la_data_in_core[52] *4986:24 0 +*RES +1 *38966:X la_data_in_core[52] 25.0857 +*END + +*D_NET *80 0.0011293 +*CONN +*P la_data_in_core[53] O +*I *38967:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[53] 0.000564651 +2 *38967:X 0.000564651 +3 la_data_in_core[53] *336:10 0 +4 la_data_in_core[53] *2576:9 0 +5 la_data_in_core[53] *3798:29 0 +*RES +1 *38967:X la_data_in_core[53] 26.1036 +*END + +*D_NET *81 0.00143156 +*CONN +*P la_data_in_core[54] O +*I *38968:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[54] 0.000715778 +2 *38968:X 0.000715778 +3 la_data_in_core[54] la_oenb_core[53] 0 +4 la_data_in_core[54] *38967:A 0 +5 la_data_in_core[54] *38968:A 0 +6 la_data_in_core[54] *337:16 0 +7 la_data_in_core[54] *2576:9 0 +8 la_data_in_core[54] *3798:29 0 +*RES +1 *38968:X la_data_in_core[54] 29.2821 +*END + +*D_NET *82 0.00105479 +*CONN +*P la_data_in_core[55] O +*I *38969:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[55] 0.000527395 +2 *38969:X 0.000527395 +3 la_data_in_core[55] la_oenb_core[54] 0 +4 la_data_in_core[55] *338:12 0 +5 la_data_in_core[55] *1522:27 0 +*RES +1 *38969:X la_data_in_core[55] 25.7286 +*END + +*D_NET *83 0.00113367 +*CONN +*P la_data_in_core[56] O +*I *38970:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[56] 0.000566835 +2 *38970:X 0.000566835 +3 la_data_in_core[56] la_oenb_core[55] 0 +4 la_data_in_core[56] *339:7 0 +5 la_data_in_core[56] *2746:32 0 +6 la_data_in_core[56] *4980:12 0 +*RES +1 *38970:X la_data_in_core[56] 26.3714 +*END + +*D_NET *84 0.000636612 +*CONN +*P la_data_in_core[57] O +*I *38971:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[57] 0.000318306 +2 *38971:X 0.000318306 +3 la_data_in_core[57] la_oenb_core[56] 0 +4 la_data_in_core[57] *39227:A 0 +5 la_data_in_core[57] *340:19 0 +6 la_data_in_core[57] *1004:20 0 +*RES +1 *38971:X la_data_in_core[57] 20.8714 +*END + +*D_NET *85 0.00105511 +*CONN +*P la_data_in_core[58] O +*I *38972:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[58] 0.000527555 +2 *38972:X 0.000527555 +3 la_data_in_core[58] *3804:47 0 +4 la_data_in_core[58] *4697:12 0 +5 la_data_in_core[58] *4709:25 0 +*RES +1 *38972:X la_data_in_core[58] 25.55 +*END + +*D_NET *86 0.00123777 +*CONN +*P la_data_in_core[59] O +*I *38973:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[59] 0.000618886 +2 *38973:X 0.000618886 +3 la_data_in_core[59] la_oenb_core[58] 0 +4 la_data_in_core[59] *38973:A 0 +5 la_data_in_core[59] *39228:A 0 +6 la_data_in_core[59] *342:7 0 +7 la_data_in_core[59] *3799:37 0 +8 la_data_in_core[59] *3804:47 0 +9 la_data_in_core[59] *4709:25 0 +*RES +1 *38973:X la_data_in_core[59] 27.3714 +*END + +*D_NET *87 0.000682263 +*CONN +*P la_data_in_core[5] O +*I *38974:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[5] 0.000341131 +2 *38974:X 0.000341131 +3 la_data_in_core[5] la_oenb_core[4] 0 +4 la_data_in_core[5] *38974:A 0 +5 la_data_in_core[5] *343:7 0 +6 la_data_in_core[5] *2530:47 0 +7 la_data_in_core[5] *2553:29 0 +*RES +1 *38974:X la_data_in_core[5] 21.3536 +*END + +*D_NET *88 0.00130434 +*CONN +*P la_data_in_core[60] O +*I *38975:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[60] 0.000652168 +2 *38975:X 0.000652168 +3 la_data_in_core[60] la_oenb_core[59] 0 +4 la_data_in_core[60] *38975:A 0 +5 la_data_in_core[60] *344:7 0 +6 la_data_in_core[60] *1004:20 0 +7 la_data_in_core[60] *2576:9 0 +8 la_data_in_core[60] *4701:26 0 +9 la_data_in_core[60] *4707:25 0 +*RES +1 *38975:X la_data_in_core[60] 28.1929 +*END + +*D_NET *89 0.00126 +*CONN +*P la_data_in_core[61] O +*I *38976:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[61] 0.000629998 +2 *38976:X 0.000629998 +3 la_data_in_core[61] la_oenb_core[60] 0 +4 la_data_in_core[61] *345:7 0 +5 la_data_in_core[61] *1004:20 0 +6 la_data_in_core[61] *4707:25 0 +7 la_data_in_core[61] *4710:13 0 +*RES +1 *38976:X la_data_in_core[61] 27.4429 +*END + +*D_NET *90 0.000985569 +*CONN +*P la_data_in_core[62] O +*I *38977:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[62] 0.000492784 +2 *38977:X 0.000492784 +3 la_data_in_core[62] la_oenb_core[61] 0 +4 la_data_in_core[62] *39229:A 0 +5 la_data_in_core[62] *346:9 0 +6 la_data_in_core[62] *4705:31 0 +7 la_data_in_core[62] *5112:11 0 +*RES +1 *38977:X la_data_in_core[62] 24.9071 +*END + +*D_NET *91 0.000910291 +*CONN +*P la_data_in_core[63] O +*I *38978:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[63] 0.000455145 +2 *38978:X 0.000455145 +3 la_data_in_core[63] *347:10 0 +4 la_data_in_core[63] *3804:63 0 +5 la_data_in_core[63] *4709:39 0 +6 la_data_in_core[63] *4990:21 0 +*RES +1 *38978:X la_data_in_core[63] 24.0143 +*END + +*D_NET *92 0.000800339 +*CONN +*P la_data_in_core[64] O +*I *38979:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[64] 0.000400169 +2 *38979:X 0.000400169 +3 la_data_in_core[64] la_oenb_core[63] 0 +4 la_data_in_core[64] *38979:A 0 +5 la_data_in_core[64] *348:7 0 +6 la_data_in_core[64] *4709:41 0 +7 la_data_in_core[64] *4710:15 0 +*RES +1 *38979:X la_data_in_core[64] 22.5857 +*END + +*D_NET *93 0.0011566 +*CONN +*P la_data_in_core[65] O +*I *38980:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[65] 0.000578302 +2 *38980:X 0.000578302 +3 la_data_in_core[65] la_oenb_core[64] 0 +4 la_data_in_core[65] *38980:A 0 +5 la_data_in_core[65] *39235:A 0 +6 la_data_in_core[65] *349:9 0 +7 la_data_in_core[65] *1537:36 0 +8 la_data_in_core[65] *4989:21 0 +9 la_data_in_core[65] *4994:21 0 +*RES +1 *38980:X la_data_in_core[65] 26.7286 +*END + +*D_NET *94 0.000992187 +*CONN +*P la_data_in_core[66] O +*I *38981:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[66] 0.000496094 +2 *38981:X 0.000496094 +3 la_data_in_core[66] la_oenb_core[65] 0 +4 la_data_in_core[66] *38981:A 0 +5 la_data_in_core[66] *350:10 0 +6 la_data_in_core[66] *4989:21 0 +7 la_data_in_core[66] *4994:21 0 +*RES +1 *38981:X la_data_in_core[66] 24.8357 +*END + +*D_NET *95 0.00129382 +*CONN +*P la_data_in_core[67] O +*I *38982:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[67] 0.000646908 +2 *38982:X 0.000646908 +3 la_data_in_core[67] la_oenb_core[66] 0 +4 la_data_in_core[67] *38982:A 0 +5 la_data_in_core[67] *351:7 0 +6 la_data_in_core[67] *1004:20 0 +7 la_data_in_core[67] *4709:41 0 +8 la_data_in_core[67] *4710:15 0 +*RES +1 *38982:X la_data_in_core[67] 27.8893 +*END + +*D_NET *96 0.00145422 +*CONN +*P la_data_in_core[68] O +*I *38983:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[68] 0.000727111 +2 *38983:X 0.000727111 +3 la_data_in_core[68] *38983:A 0 +4 la_data_in_core[68] *352:10 0 +5 la_data_in_core[68] *1004:20 0 +6 la_data_in_core[68] *4710:15 0 +7 la_data_in_core[68] *4989:20 0 +*RES +1 *38983:X la_data_in_core[68] 29.5321 +*END + +*D_NET *97 0.00114934 +*CONN +*P la_data_in_core[69] O +*I *38984:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[69] 0.000574668 +2 *38984:X 0.000574668 +3 la_data_in_core[69] la_oenb_core[68] 0 +4 la_data_in_core[69] *38984:A 0 +5 la_data_in_core[69] *353:10 0 +6 la_data_in_core[69] *1527:8 0 +7 la_data_in_core[69] *4719:8 0 +8 la_data_in_core[69] *4996:10 0 +*RES +1 *38984:X la_data_in_core[69] 26.6214 +*END + +*D_NET *98 0.000721543 +*CONN +*P la_data_in_core[6] O +*I *38985:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[6] 0.000360771 +2 *38985:X 0.000360771 +3 la_data_in_core[6] la_oenb_core[5] 0 +4 la_data_in_core[6] *354:11 0 +5 la_data_in_core[6] *2523:47 0 +6 la_data_in_core[6] *2553:22 0 +*RES +1 *38985:X la_data_in_core[6] 21.7643 +*END + +*D_NET *99 0.000863608 +*CONN +*P la_data_in_core[70] O +*I *38986:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[70] 0.000431804 +2 *38986:X 0.000431804 +3 la_data_in_core[70] la_oenb_core[69] 0 +4 la_data_in_core[70] *355:16 0 +5 la_data_in_core[70] *4996:10 0 +*RES +1 *38986:X la_data_in_core[70] 23.6036 +*END + +*D_NET *100 0.000804993 +*CONN +*P la_data_in_core[71] O +*I *38987:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[71] 0.000402497 +2 *38987:X 0.000402497 +3 la_data_in_core[71] la_oenb_core[70] 0 +4 la_data_in_core[71] *38987:A 0 +5 la_data_in_core[71] *356:7 0 +6 la_data_in_core[71] *1004:20 0 +*RES +1 *38987:X la_data_in_core[71] 22.7107 +*END + +*D_NET *101 0.00116063 +*CONN +*P la_data_in_core[72] O +*I *38988:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[72] 0.000580317 +2 *38988:X 0.000580317 +3 la_data_in_core[72] la_oenb_core[71] 0 +4 la_data_in_core[72] *38988:A 0 +5 la_data_in_core[72] *39242:A 0 +6 la_data_in_core[72] *357:7 0 +7 la_data_in_core[72] *4719:11 0 +*RES +1 *38988:X la_data_in_core[72] 26.6036 +*END + +*D_NET *102 0.000870932 +*CONN +*P la_data_in_core[73] O +*I *38989:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[73] 0.000435466 +2 *38989:X 0.000435466 +3 la_data_in_core[73] *39242:A 0 +4 la_data_in_core[73] *39243:A 0 +5 la_data_in_core[73] *39244:A 0 +6 la_data_in_core[73] *358:9 0 +*RES +1 *38989:X la_data_in_core[73] 23.6036 +*END + +*D_NET *103 0.00110256 +*CONN +*P la_data_in_core[74] O +*I *38990:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[74] 0.00055128 +2 *38990:X 0.00055128 +3 la_data_in_core[74] la_oenb_core[73] 0 +4 la_data_in_core[74] *359:7 0 +5 la_data_in_core[74] *1004:20 0 +*RES +1 *38990:X la_data_in_core[74] 25.8 +*END + +*D_NET *104 0.00148444 +*CONN +*P la_data_in_core[75] O +*I *38991:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[75] 0.00074222 +2 *38991:X 0.00074222 +3 la_data_in_core[75] la_oenb_core[74] 0 +4 la_data_in_core[75] *38990:A 0 +5 la_data_in_core[75] *360:7 0 +6 la_data_in_core[75] *1004:20 0 +7 la_data_in_core[75] *4718:23 0 +*RES +1 *38991:X la_data_in_core[75] 30.0857 +*END + +*D_NET *105 0.000972935 +*CONN +*P la_data_in_core[76] O +*I *38992:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[76] 0.000486468 +2 *38992:X 0.000486468 +3 la_data_in_core[76] la_oenb_core[75] 0 +4 la_data_in_core[76] *7094:DIODE 0 +5 la_data_in_core[76] *38991:A 0 +6 la_data_in_core[76] *361:7 0 +7 la_data_in_core[76] *5003:16 0 +*RES +1 *38992:X la_data_in_core[76] 24.7821 +*END + +*D_NET *106 0.000806011 +*CONN +*P la_data_in_core[77] O +*I *38993:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[77] 0.000403006 +2 *38993:X 0.000403006 +3 la_data_in_core[77] la_oenb_core[76] 0 +4 la_data_in_core[77] *362:7 0 +*RES +1 *38993:X la_data_in_core[77] 22.9071 +*END + +*D_NET *107 0.000630255 +*CONN +*P la_data_in_core[78] O +*I *38994:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[78] 0.000315127 +2 *38994:X 0.000315127 +3 la_data_in_core[78] *363:7 0 +4 la_data_in_core[78] *1004:20 0 +5 la_data_in_core[78] *4718:23 0 +*RES +1 *38994:X la_data_in_core[78] 20.8714 +*END + +*D_NET *108 0.00108177 +*CONN +*P la_data_in_core[79] O +*I *38995:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[79] 0.000540886 +2 *38995:X 0.000540886 +3 la_data_in_core[79] la_oenb_core[78] 0 +4 la_data_in_core[79] *38994:A 0 +5 la_data_in_core[79] *39249:A 0 +6 la_data_in_core[79] *364:7 0 +7 la_data_in_core[79] *5002:53 0 +8 la_data_in_core[79] *5006:31 0 +*RES +1 *38995:X la_data_in_core[79] 25.8536 +*END + +*D_NET *109 0.00115344 +*CONN +*P la_data_in_core[7] O +*I *38996:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[7] 0.000576722 +2 *38996:X 0.000576722 +3 la_data_in_core[7] la_oenb_core[6] 0 +4 la_data_in_core[7] *365:9 0 +5 la_data_in_core[7] *2520:33 0 +6 la_data_in_core[7] *2553:22 0 +7 la_data_in_core[7] *4663:15 0 +*RES +1 *38996:X la_data_in_core[7] 26.4964 +*END + +*D_NET *110 0.000869074 +*CONN +*P la_data_in_core[80] O +*I *38997:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[80] 0.000434537 +2 *38997:X 0.000434537 +3 la_data_in_core[80] la_oenb_core[79] 0 +4 la_data_in_core[80] *366:7 0 +*RES +1 *38997:X la_data_in_core[80] 23.7286 +*END + +*D_NET *111 0.00109938 +*CONN +*P la_data_in_core[81] O +*I *38998:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[81] 0.000549691 +2 *38998:X 0.000549691 +3 la_data_in_core[81] la_oenb_core[80] 0 +4 la_data_in_core[81] *367:7 0 +5 la_data_in_core[81] *1004:20 0 +6 la_data_in_core[81] *5007:23 0 +*RES +1 *38998:X la_data_in_core[81] 25.8 +*END + +*D_NET *112 0.00126635 +*CONN +*P la_data_in_core[82] O +*I *38999:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[82] 0.000633176 +2 *38999:X 0.000633176 +3 la_data_in_core[82] la_oenb_core[81] 0 +4 la_data_in_core[82] *38998:A 0 +5 la_data_in_core[82] *368:9 0 +6 la_data_in_core[82] *1004:20 0 +7 la_data_in_core[82] *4722:27 0 +8 la_data_in_core[82] *5007:23 0 +*RES +1 *38999:X la_data_in_core[82] 27.4429 +*END + +*D_NET *113 0.000967351 +*CONN +*P la_data_in_core[83] O +*I *39000:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[83] 0.000483675 +2 *39000:X 0.000483675 +3 la_data_in_core[83] *369:19 0 +4 la_data_in_core[83] *4718:6 0 +*RES +1 *39000:X la_data_in_core[83] 24.7821 +*END + +*D_NET *114 0.00078 +*CONN +*P la_data_in_core[84] O +*I *39001:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[84] 0.00039 +2 *39001:X 0.00039 +3 la_data_in_core[84] la_oenb_core[83] 0 +4 la_data_in_core[84] *370:9 0 +*RES +1 *39001:X la_data_in_core[84] 22.6571 +*END + +*D_NET *115 0.000630255 +*CONN +*P la_data_in_core[85] O +*I *39002:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[85] 0.000315127 +2 *39002:X 0.000315127 +3 la_data_in_core[85] *371:7 0 +4 la_data_in_core[85] *1004:20 0 +5 la_data_in_core[85] *5013:29 0 +*RES +1 *39002:X la_data_in_core[85] 20.8714 +*END + +*D_NET *116 0.00110561 +*CONN +*P la_data_in_core[86] O +*I *39003:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[86] 0.000552805 +2 *39003:X 0.000552805 +3 la_data_in_core[86] la_oenb_core[85] 0 +4 la_data_in_core[86] *372:13 0 +5 la_data_in_core[86] *2448:54 0 +6 la_data_in_core[86] *4731:29 0 +7 la_data_in_core[86] *5008:11 0 +8 la_data_in_core[86] *5012:22 0 +*RES +1 *39003:X la_data_in_core[86] 25.9429 +*END + +*D_NET *117 0.000869456 +*CONN +*P la_data_in_core[87] O +*I *39004:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[87] 0.000434728 +2 *39004:X 0.000434728 +3 la_data_in_core[87] la_oenb_core[86] 0 +4 la_data_in_core[87] *39258:A 0 +5 la_data_in_core[87] *2448:54 0 +6 la_data_in_core[87] *5008:11 0 +*RES +1 *39004:X la_data_in_core[87] 23.4786 +*END + +*D_NET *118 0.00110855 +*CONN +*P la_data_in_core[88] O +*I *39005:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[88] 0.000554275 +2 *39005:X 0.000554275 +3 la_data_in_core[88] *39259:A 0 +4 la_data_in_core[88] *374:5 0 +5 la_data_in_core[88] *1004:20 0 +6 la_data_in_core[88] *5013:29 0 +7 la_data_in_core[88] *5017:43 0 +*RES +1 *39005:X la_data_in_core[88] 25.8 +*END + +*D_NET *119 0.00145299 +*CONN +*P la_data_in_core[89] O +*I *39006:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[89] 0.000726496 +2 *39006:X 0.000726496 +3 la_data_in_core[89] la_data_in_core[90] 0 +4 la_data_in_core[89] la_oenb_core[88] 0 +5 la_data_in_core[89] la_oenb_core[89] 0 +6 la_data_in_core[89] *5012:16 0 +*RES +1 *39006:X la_data_in_core[89] 38.4071 +*END + +*D_NET *120 0.00113375 +*CONN +*P la_data_in_core[8] O +*I *39007:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[8] 0.000566874 +2 *39007:X 0.000566874 +3 la_data_in_core[8] *376:9 0 +4 la_data_in_core[8] *2520:33 0 +5 la_data_in_core[8] *2526:28 0 +6 la_data_in_core[8] *2633:34 0 +7 la_data_in_core[8] *4663:15 0 +*RES +1 *39007:X la_data_in_core[8] 26.3714 +*END + +*D_NET *121 0.00114914 +*CONN +*P la_data_in_core[90] O +*I *39008:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[90] 0.000574569 +2 *39008:X 0.000574569 +3 la_data_in_core[90] la_oenb_core[89] 0 +4 la_data_in_core[90] *39008:A 0 +5 la_data_in_core[90] *377:17 0 +6 la_data_in_core[90] *2447:31 0 +7 la_data_in_core[90] *2459:16 0 +8 la_data_in_core[90] *2459:19 0 +9 la_data_in_core[90] *4720:24 0 +10 la_data_in_core[90] *5010:31 0 +11 la_data_in_core[89] la_data_in_core[90] 0 +*RES +1 *39008:X la_data_in_core[90] 26.6214 +*END + +*D_NET *122 0.000780078 +*CONN +*P la_data_in_core[91] O +*I *39009:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[91] 0.000390039 +2 *39009:X 0.000390039 +3 la_data_in_core[91] *378:13 0 +4 la_data_in_core[91] *5013:17 0 +*RES +1 *39009:X la_data_in_core[91] 22.6571 +*END + +*D_NET *123 0.000636612 +*CONN +*P la_data_in_core[92] O +*I *39010:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[92] 0.000318306 +2 *39010:X 0.000318306 +3 la_data_in_core[92] la_oenb_core[91] 0 +4 la_data_in_core[92] *379:7 0 +5 la_data_in_core[92] *1004:20 0 +6 la_data_in_core[92] *4734:45 0 +*RES +1 *39010:X la_data_in_core[92] 20.8714 +*END + +*D_NET *124 0.00102833 +*CONN +*P la_data_in_core[93] O +*I *39011:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[93] 0.000514164 +2 *39011:X 0.000514164 +3 la_data_in_core[93] *6457:DIODE 0 +4 la_data_in_core[93] *380:5 0 +5 la_data_in_core[93] *2458:23 0 +6 la_data_in_core[93] *2458:30 0 +7 la_data_in_core[93] *2475:51 0 +8 la_data_in_core[93] *4734:26 0 +9 la_data_in_core[93] *5024:40 0 +*RES +1 *39011:X la_data_in_core[93] 25.2464 +*END + +*D_NET *125 0.000869456 +*CONN +*P la_data_in_core[94] O +*I *39012:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[94] 0.000434728 +2 *39012:X 0.000434728 +3 la_data_in_core[94] la_oenb_core[93] 0 +4 la_data_in_core[94] *381:10 0 +5 la_data_in_core[94] *1003:13 0 +6 la_data_in_core[94] *2475:51 0 +7 la_data_in_core[94] *5010:28 0 +*RES +1 *39012:X la_data_in_core[94] 23.4786 +*END + +*D_NET *126 0.0011293 +*CONN +*P la_data_in_core[95] O +*I *39013:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[95] 0.000564651 +2 *39013:X 0.000564651 +3 la_data_in_core[95] la_oenb_core[94] 0 +4 la_data_in_core[95] *39267:A 0 +5 la_data_in_core[95] *2464:40 0 +6 la_data_in_core[95] *5017:30 0 +*RES +1 *39013:X la_data_in_core[95] 26.1036 +*END + +*D_NET *127 0.00132522 +*CONN +*P la_data_in_core[96] O +*I *39014:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[96] 0.000662612 +2 *39014:X 0.000662612 +3 la_data_in_core[96] la_oenb_core[95] 0 +4 la_data_in_core[96] *39013:A 0 +5 la_data_in_core[96] *383:12 0 +6 la_data_in_core[96] *2464:40 0 +7 la_data_in_core[96] *5017:30 0 +*RES +1 *39014:X la_data_in_core[96] 28.175 +*END + +*D_NET *128 0.000985687 +*CONN +*P la_data_in_core[97] O +*I *39015:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[97] 0.000492843 +2 *39015:X 0.000492843 +3 la_data_in_core[97] la_oenb_core[96] 0 +4 la_data_in_core[97] *39268:A 0 +5 la_data_in_core[97] *384:7 0 +6 la_data_in_core[97] *1552:52 0 +7 la_data_in_core[97] *2458:30 0 +8 la_data_in_core[97] *2459:30 0 +9 la_data_in_core[97] *2464:40 0 +*RES +1 *39015:X la_data_in_core[97] 24.9071 +*END + +*D_NET *129 0.000910134 +*CONN +*P la_data_in_core[98] O +*I *39016:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[98] 0.000455067 +2 *39016:X 0.000455067 +3 la_data_in_core[98] *385:7 0 +4 la_data_in_core[98] *1552:52 0 +5 la_data_in_core[98] *2468:27 0 +*RES +1 *39016:X la_data_in_core[98] 24.0143 +*END + +*D_NET *130 0.0008003 +*CONN +*P la_data_in_core[99] O +*I *39017:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[99] 0.00040015 +2 *39017:X 0.00040015 +3 la_data_in_core[99] la_oenb_core[98] 0 +4 la_data_in_core[99] *39017:A 0 +5 la_data_in_core[99] *1004:20 0 +6 la_data_in_core[99] *5017:17 0 +*RES +1 *39017:X la_data_in_core[99] 22.5857 +*END + +*D_NET *131 0.00114934 +*CONN +*P la_data_in_core[9] O +*I *39018:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_core[9] 0.000574668 +2 *39018:X 0.000574668 +3 la_data_in_core[9] la_oenb_core[8] 0 +4 la_data_in_core[9] *38902:A 0 +5 la_data_in_core[9] *387:5 0 +6 la_data_in_core[9] *2640:39 0 +7 la_data_in_core[9] *2647:51 0 +8 la_data_in_core[9] *2651:20 0 +9 la_data_in_core[9] *2663:25 0 +*RES +1 *39018:X la_data_in_core[9] 26.6214 +*END + +*D_NET *132 0.000650996 +*CONN +*P la_data_in_mprj[0] O +*I *39019:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[0] 0.000325498 +2 *39019:X 0.000325498 +3 la_data_in_mprj[0] *39019:A 0 +4 la_data_in_mprj[0] *39058:A 0 +5 la_data_in_mprj[0] *388:7 0 +*RES +1 *39019:X la_data_in_mprj[0] 20.7821 +*END + +*D_NET *133 0.000414843 +*CONN +*P la_data_in_mprj[100] O +*I *39020:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[100] 0.000207422 +2 *39020:X 0.000207422 +3 la_data_in_mprj[100] *389:8 0 +4 la_data_in_mprj[100] *898:11 0 +5 la_data_in_mprj[100] *2389:29 0 +6 la_data_in_mprj[100] *2760:44 0 +7 la_data_in_mprj[100] *4648:17 0 +8 la_data_in_mprj[100] *4887:11 0 +*RES +1 *39020:X la_data_in_mprj[100] 18.3179 +*END + +*D_NET *134 0.000572239 +*CONN +*P la_data_in_mprj[101] O +*I *39021:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[101] 0.000286119 +2 *39021:X 0.000286119 +3 la_data_in_mprj[101] *39020:A 0 +4 la_data_in_mprj[101] *2727:18 0 +*RES +1 *39021:X la_data_in_mprj[101] 19.9607 +*END + +*D_NET *135 0.000599597 +*CONN +*P la_data_in_mprj[102] O +*I *39022:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[102] 0.000299798 +2 *39022:X 0.000299798 +3 la_data_in_mprj[102] *2445:48 0 +4 la_data_in_mprj[102] *3508:28 0 +*RES +1 *39022:X la_data_in_mprj[102] 29.4786 +*END + +*D_NET *136 0.000257211 +*CONN +*P la_data_in_mprj[103] O +*I *39023:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[103] 0.000128606 +2 *39023:X 0.000128606 +3 la_data_in_mprj[103] *392:7 0 +4 la_data_in_mprj[103] *775:12 0 +*RES +1 *39023:X la_data_in_mprj[103] 16.675 +*END + +*D_NET *137 0.00020607 +*CONN +*P la_data_in_mprj[104] O +*I *39024:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[104] 0.000103035 +2 *39024:X 0.000103035 +3 la_data_in_mprj[104] *393:8 0 +4 la_data_in_mprj[104] *3250:64 0 +*RES +1 *39024:X la_data_in_mprj[104] 16.1393 +*END + +*D_NET *138 0.000336125 +*CONN +*P la_data_in_mprj[105] O +*I *39025:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[105] 0.000168063 +2 *39025:X 0.000168063 +3 la_data_in_mprj[105] *394:7 0 +4 la_data_in_mprj[105] *777:14 0 +5 la_data_in_mprj[105] *1220:53 0 +6 la_data_in_mprj[105] *3430:53 0 +7 la_data_in_mprj[105] *4753:30 0 +*RES +1 *39025:X la_data_in_mprj[105] 17.4964 +*END + +*D_NET *139 0.000336125 +*CONN +*P la_data_in_mprj[106] O +*I *39026:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[106] 0.000168063 +2 *39026:X 0.000168063 +3 la_data_in_mprj[106] *395:8 0 +4 la_data_in_mprj[106] *778:8 0 +5 la_data_in_mprj[106] *1220:53 0 +6 la_data_in_mprj[106] *2399:8 0 +7 la_data_in_mprj[106] *4756:49 0 +*RES +1 *39026:X la_data_in_mprj[106] 17.4964 +*END + +*D_NET *140 0.000414843 +*CONN +*P la_data_in_mprj[107] O +*I *39027:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[107] 0.000207422 +2 *39027:X 0.000207422 +3 la_data_in_mprj[107] *39026:A 0 +4 la_data_in_mprj[107] *779:17 0 +5 la_data_in_mprj[107] *2782:14 0 +6 la_data_in_mprj[107] *2984:11 0 +7 la_data_in_mprj[107] *4753:30 0 +*RES +1 *39027:X la_data_in_mprj[107] 18.3179 +*END + +*D_NET *141 0.000625762 +*CONN +*P la_data_in_mprj[108] O +*I *39028:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[108] 0.000312881 +2 *39028:X 0.000312881 +3 la_data_in_mprj[108] *38096:C 0 +4 la_data_in_mprj[108] *39027:A 0 +5 la_data_in_mprj[108] *396:25 0 +6 la_data_in_mprj[108] *397:8 0 +7 la_data_in_mprj[108] *3430:55 0 +*RES +1 *39028:X la_data_in_mprj[108] 20.5679 +*END + +*D_NET *142 0.000576567 +*CONN +*P la_data_in_mprj[109] O +*I *39029:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[109] 0.000288284 +2 *39029:X 0.000288284 +3 la_data_in_mprj[109] *38460:A 0 +4 la_data_in_mprj[109] *525:17 0 +5 la_data_in_mprj[109] *3134:16 0 +6 la_data_in_mprj[109] *3417:7 0 +7 la_data_in_mprj[109] *4182:68 0 +8 la_data_in_mprj[109] *4753:17 0 +*RES +1 *39029:X la_data_in_mprj[109] 20.2286 +*END + +*D_NET *143 0.000572278 +*CONN +*P la_data_in_mprj[10] O +*I *39030:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[10] 0.000286139 +2 *39030:X 0.000286139 +3 la_data_in_mprj[10] *399:11 0 +4 la_data_in_mprj[10] *899:5 0 +5 la_data_in_mprj[10] *4115:8 0 +6 la_data_in_mprj[10] *4571:32 0 +7 la_data_in_mprj[10] *4693:9 0 +*RES +1 *39030:X la_data_in_mprj[10] 19.9607 +*END + +*D_NET *144 0.000257408 +*CONN +*P la_data_in_mprj[110] O +*I *39031:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[110] 0.000128704 +2 *39031:X 0.000128704 +*RES +1 *39031:X la_data_in_mprj[110] 16.675 +*END + +*D_NET *145 0.000205992 +*CONN +*P la_data_in_mprj[111] O +*I *39032:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[111] 0.000102996 +2 *39032:X 0.000102996 +3 la_data_in_mprj[111] *401:8 0 +4 la_data_in_mprj[111] *3141:30 0 +5 la_data_in_mprj[111] *3291:37 0 +*RES +1 *39032:X la_data_in_mprj[111] 16.1393 +*END + +*D_NET *146 0.000389531 +*CONN +*P la_data_in_mprj[112] O +*I *39033:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[112] 0.000194765 +2 *39033:X 0.000194765 +3 la_data_in_mprj[112] *7125:DIODE 0 +4 la_data_in_mprj[112] *525:17 0 +5 la_data_in_mprj[112] *3106:96 0 +6 la_data_in_mprj[112] *4756:35 0 +*RES +1 *39033:X la_data_in_mprj[112] 18.1036 +*END + +*D_NET *147 0.000336125 +*CONN +*P la_data_in_mprj[113] O +*I *39034:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[113] 0.000168063 +2 *39034:X 0.000168063 +3 la_data_in_mprj[113] *38607:A 0 +4 la_data_in_mprj[113] *528:31 0 +5 la_data_in_mprj[113] *2442:79 0 +6 la_data_in_mprj[113] *2805:27 0 +*RES +1 *39034:X la_data_in_mprj[113] 17.4964 +*END + +*D_NET *148 0.000414804 +*CONN +*P la_data_in_mprj[114] O +*I *39035:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[114] 0.000207402 +2 *39035:X 0.000207402 +3 la_data_in_mprj[114] *787:16 0 +4 la_data_in_mprj[114] *5182:142 0 +*RES +1 *39035:X la_data_in_mprj[114] 18.3179 +*END + +*D_NET *149 0.000572239 +*CONN +*P la_data_in_mprj[115] O +*I *39036:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[115] 0.000286119 +2 *39036:X 0.000286119 +3 la_data_in_mprj[115] *5165:35 0 +4 la_data_in_mprj[115] *5182:142 0 +*RES +1 *39036:X la_data_in_mprj[115] 19.9607 +*END + +*D_NET *150 0.0010779 +*CONN +*P la_data_in_mprj[116] O +*I *39037:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[116] 0.000538949 +2 *39037:X 0.000538949 +3 la_data_in_mprj[116] *2984:36 0 +4 la_data_in_mprj[116] *5105:39 0 +5 la_data_in_mprj[116] *5182:142 0 +6 la_data_in_mprj[116] *5196:39 0 +*RES +1 *39037:X la_data_in_mprj[116] 30.9023 +*END + +*D_NET *151 0.000257408 +*CONN +*P la_data_in_mprj[117] O +*I *39038:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[117] 0.000128704 +2 *39038:X 0.000128704 +3 la_data_in_mprj[117] *407:10 0 +4 la_data_in_mprj[117] *5165:35 0 +5 la_data_in_mprj[117] *5182:120 0 +*RES +1 *39038:X la_data_in_mprj[117] 16.675 +*END + +*D_NET *152 0.000345434 +*CONN +*P la_data_in_mprj[118] O +*I *39039:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[118] 0.000172717 +2 *39039:X 0.000172717 +3 la_data_in_mprj[118] *2382:110 0 +*RES +1 *39039:X la_data_in_mprj[118] 17.6393 +*END + +*D_NET *153 0.000350375 +*CONN +*P la_data_in_mprj[119] O +*I *39040:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[119] 0.000175187 +2 *39040:X 0.000175187 +3 la_data_in_mprj[119] *3034:73 0 +4 la_data_in_mprj[119] *3106:69 0 +*RES +1 *39040:X la_data_in_mprj[119] 17.6214 +*END + +*D_NET *154 0.000576724 +*CONN +*P la_data_in_mprj[11] O +*I *39041:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[11] 0.000288362 +2 *39041:X 0.000288362 +3 la_data_in_mprj[11] *39030:A 0 +4 la_data_in_mprj[11] *410:7 0 +5 la_data_in_mprj[11] *783:5 0 +6 la_data_in_mprj[11] *4504:34 0 +7 la_data_in_mprj[11] *4571:32 0 +8 la_data_in_mprj[11] *4789:32 0 +*RES +1 *39041:X la_data_in_mprj[11] 20.2286 +*END + +*D_NET *155 0.000341553 +*CONN +*P la_data_in_mprj[120] O +*I *39042:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[120] 0.000170776 +2 *39042:X 0.000170776 +3 la_data_in_mprj[120] *38615:A 0 +4 la_data_in_mprj[120] *793:13 0 +*RES +1 *39042:X la_data_in_mprj[120] 17.4964 +*END + +*D_NET *156 0.00041628 +*CONN +*P la_data_in_mprj[121] O +*I *39043:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[121] 0.00020814 +2 *39043:X 0.00020814 +3 la_data_in_mprj[121] *39040:A 0 +4 la_data_in_mprj[121] *406:49 0 +5 la_data_in_mprj[121] *2938:24 0 +6 la_data_in_mprj[121] *5194:22 0 +*RES +1 *39043:X la_data_in_mprj[121] 18.4429 +*END + +*D_NET *157 0.000572278 +*CONN +*P la_data_in_mprj[122] O +*I *39044:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[122] 0.000286139 +2 *39044:X 0.000286139 +3 la_data_in_mprj[122] *413:13 0 +4 la_data_in_mprj[122] *540:55 0 +5 la_data_in_mprj[122] *4774:57 0 +*RES +1 *39044:X la_data_in_mprj[122] 19.9607 +*END + +*D_NET *158 0.000586963 +*CONN +*P la_data_in_mprj[123] O +*I *39045:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[123] 0.000293482 +2 *39045:X 0.000293482 +3 la_data_in_mprj[123] *1862:22 0 +4 la_data_in_mprj[123] *2408:16 0 +5 la_data_in_mprj[123] *4393:16 0 +6 la_data_in_mprj[123] *4524:31 0 +*RES +1 *39045:X la_data_in_mprj[123] 20.4786 +*END + +*D_NET *159 0.000257369 +*CONN +*P la_data_in_mprj[124] O +*I *39046:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[124] 0.000128684 +2 *39046:X 0.000128684 +3 la_data_in_mprj[124] *1829:51 0 +4 la_data_in_mprj[124] *2927:66 0 +*RES +1 *39046:X la_data_in_mprj[124] 16.675 +*END + +*D_NET *160 0.000206031 +*CONN +*P la_data_in_mprj[125] O +*I *39047:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[125] 0.000103016 +2 *39047:X 0.000103016 +3 la_data_in_mprj[125] *38620:A 0 +*RES +1 *39047:X la_data_in_mprj[125] 16.1393 +*END + +*D_NET *161 0.000346286 +*CONN +*P la_data_in_mprj[126] O +*I *39048:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[126] 0.000173143 +2 *39048:X 0.000173143 +3 la_data_in_mprj[126] *417:13 0 +4 la_data_in_mprj[126] *544:49 0 +5 la_data_in_mprj[126] *1857:28 0 +6 la_data_in_mprj[126] *4438:19 0 +7 la_data_in_mprj[126] *4780:120 0 +*RES +1 *39048:X la_data_in_mprj[126] 17.7464 +*END + +*D_NET *162 0.000336126 +*CONN +*P la_data_in_mprj[127] O +*I *39049:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[127] 0.000168063 +2 *39049:X 0.000168063 +3 la_data_in_mprj[127] *4438:19 0 +4 la_data_in_mprj[127] *5171:77 0 +*RES +1 *39049:X la_data_in_mprj[127] 17.4964 +*END + +*D_NET *163 0.000257408 +*CONN +*P la_data_in_mprj[12] O +*I *39050:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[12] 0.000128704 +2 *39050:X 0.000128704 +3 la_data_in_mprj[12] *419:5 0 +4 la_data_in_mprj[12] *794:5 0 +5 la_data_in_mprj[12] *2827:13 0 +6 la_data_in_mprj[12] *3049:11 0 +*RES +1 *39050:X la_data_in_mprj[12] 16.675 +*END + +*D_NET *164 0.00053292 +*CONN +*P la_data_in_mprj[13] O +*I *39051:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[13] 0.00026646 +2 *39051:X 0.00026646 +3 la_data_in_mprj[13] *420:5 0 +4 la_data_in_mprj[13] *803:9 0 +5 la_data_in_mprj[13] *4482:14 0 +6 la_data_in_mprj[13] *4560:12 0 +7 la_data_in_mprj[13] *4785:17 0 +*RES +1 *39051:X la_data_in_mprj[13] 19.55 +*END + +*D_NET *165 0.000650996 +*CONN +*P la_data_in_mprj[14] O +*I *39052:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[14] 0.000325498 +2 *39052:X 0.000325498 +3 la_data_in_mprj[14] *39052:A 0 +4 la_data_in_mprj[14] *2827:13 0 +5 la_data_in_mprj[14] *3627:16 0 +6 la_data_in_mprj[14] *4482:14 0 +7 la_data_in_mprj[14] *4854:8 0 +*RES +1 *39052:X la_data_in_mprj[14] 20.7821 +*END + +*D_NET *166 0.000650996 +*CONN +*P la_data_in_mprj[15] O +*I *39053:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[15] 0.000325498 +2 *39053:X 0.000325498 +3 la_data_in_mprj[15] *39053:A 0 +4 la_data_in_mprj[15] *40514:A 0 +5 la_data_in_mprj[15] *805:11 0 +6 la_data_in_mprj[15] *2827:13 0 +7 la_data_in_mprj[15] *4789:45 0 +*RES +1 *39053:X la_data_in_mprj[15] 20.7821 +*END + +*D_NET *167 0.000586403 +*CONN +*P la_data_in_mprj[16] O +*I *39054:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[16] 0.000293202 +2 *39054:X 0.000293202 +3 la_data_in_mprj[16] *7149:DIODE 0 +4 la_data_in_mprj[16] *423:7 0 +5 la_data_in_mprj[16] *806:5 0 +6 la_data_in_mprj[16] *4433:37 0 +7 la_data_in_mprj[16] *4789:45 0 +*RES +1 *39054:X la_data_in_mprj[16] 20.1571 +*END + +*D_NET *168 0.00088707 +*CONN +*P la_data_in_mprj[17] O +*I *39055:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[17] 0.000443535 +2 *39055:X 0.000443535 +3 la_data_in_mprj[17] *38747:A 0 +4 la_data_in_mprj[17] *39055:A 0 +5 la_data_in_mprj[17] *424:5 0 +6 la_data_in_mprj[17] *807:5 0 +7 la_data_in_mprj[17] *4433:37 0 +8 la_data_in_mprj[17] *4538:7 0 +*RES +1 *39055:X la_data_in_mprj[17] 23.2464 +*END + +*D_NET *169 0.000694801 +*CONN +*P la_data_in_mprj[18] O +*I *39056:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[18] 0.0003474 +2 *39056:X 0.0003474 +3 la_data_in_mprj[18] *6626:DIODE 0 +4 la_data_in_mprj[18] *37518:B 0 +5 la_data_in_mprj[18] *39056:A 0 +6 la_data_in_mprj[18] *553:11 0 +7 la_data_in_mprj[18] *3115:8 0 +8 la_data_in_mprj[18] *4424:21 0 +9 la_data_in_mprj[18] *4482:20 0 +10 la_data_in_mprj[18] *4582:13 0 +11 la_data_in_mprj[18] *4593:7 0 +12 la_data_in_mprj[18] *4866:10 0 +*RES +1 *39056:X la_data_in_mprj[18] 21.4607 +*END + +*D_NET *170 0.000375484 +*CONN +*P la_data_in_mprj[19] O +*I *39057:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[19] 0.000187742 +2 *39057:X 0.000187742 +3 la_data_in_mprj[19] *426:7 0 +4 la_data_in_mprj[19] *809:9 0 +5 la_data_in_mprj[19] *4891:19 0 +*RES +1 *39057:X la_data_in_mprj[19] 17.9071 +*END + +*D_NET *171 0.000650996 +*CONN +*P la_data_in_mprj[1] O +*I *39058:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[1] 0.000325498 +2 *39058:X 0.000325498 +3 la_data_in_mprj[1] *39058:A 0 +4 la_data_in_mprj[1] *427:8 0 +5 la_data_in_mprj[1] *772:5 0 +6 la_data_in_mprj[1] *4515:11 0 +*RES +1 *39058:X la_data_in_mprj[1] 20.7821 +*END + +*D_NET *172 0.000389609 +*CONN +*P la_data_in_mprj[20] O +*I *39059:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[20] 0.000194805 +2 *39059:X 0.000194805 +3 la_data_in_mprj[20] *428:7 0 +4 la_data_in_mprj[20] *810:9 0 +5 la_data_in_mprj[20] *4891:19 0 +*RES +1 *39059:X la_data_in_mprj[20] 18.1036 +*END + +*D_NET *173 0.000336125 +*CONN +*P la_data_in_mprj[21] O +*I *39060:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[21] 0.000168063 +2 *39060:X 0.000168063 +3 la_data_in_mprj[21] *6949:DIODE 0 +4 la_data_in_mprj[21] *429:5 0 +5 la_data_in_mprj[21] *812:5 0 +6 la_data_in_mprj[21] *4637:30 0 +*RES +1 *39060:X la_data_in_mprj[21] 17.4964 +*END + +*D_NET *174 0.000507686 +*CONN +*P la_data_in_mprj[22] O +*I *39061:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[22] 0.000253843 +2 *39061:X 0.000253843 +3 la_data_in_mprj[22] *39061:A 0 +4 la_data_in_mprj[22] *430:11 0 +5 la_data_in_mprj[22] *813:8 0 +6 la_data_in_mprj[22] *4891:19 0 +*RES +1 *39061:X la_data_in_mprj[22] 19.3357 +*END + +*D_NET *175 0.000729713 +*CONN +*P la_data_in_mprj[23] O +*I *39062:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[23] 0.000364857 +2 *39062:X 0.000364857 +3 la_data_in_mprj[23] *39062:A 0 +4 la_data_in_mprj[23] *431:5 0 +5 la_data_in_mprj[23] *559:8 0 +6 la_data_in_mprj[23] *814:8 0 +7 la_data_in_mprj[23] *3380:20 0 +*RES +1 *39062:X la_data_in_mprj[23] 21.6036 +*END + +*D_NET *176 0.000743839 +*CONN +*P la_data_in_mprj[24] O +*I *39063:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[24] 0.000371919 +2 *39063:X 0.000371919 +3 la_data_in_mprj[24] *6633:DIODE 0 +4 la_data_in_mprj[24] *39063:A 0 +5 la_data_in_mprj[24] *432:5 0 +6 la_data_in_mprj[24] *815:8 0 +7 la_data_in_mprj[24] *4891:9 0 +*RES +1 *39063:X la_data_in_mprj[24] 21.8 +*END + +*D_NET *177 0.000694722 +*CONN +*P la_data_in_mprj[25] O +*I *39064:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[25] 0.000347361 +2 *39064:X 0.000347361 +3 la_data_in_mprj[25] *6634:DIODE 0 +4 la_data_in_mprj[25] *39064:A 0 +5 la_data_in_mprj[25] *433:5 0 +6 la_data_in_mprj[25] *3193:8 0 +7 la_data_in_mprj[25] *3380:10 0 +8 la_data_in_mprj[25] *4649:13 0 +9 la_data_in_mprj[25] *5201:10 0 +*RES +1 *39064:X la_data_in_mprj[25] 21.4607 +*END + +*D_NET *178 0.000375445 +*CONN +*P la_data_in_mprj[26] O +*I *39065:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[26] 0.000187722 +2 *39065:X 0.000187722 +3 la_data_in_mprj[26] *434:8 0 +4 la_data_in_mprj[26] *817:8 0 +5 la_data_in_mprj[26] *4891:9 0 +*RES +1 *39065:X la_data_in_mprj[26] 17.9071 +*END + +*D_NET *179 0.00053292 +*CONN +*P la_data_in_mprj[27] O +*I *39066:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[27] 0.00026646 +2 *39066:X 0.00026646 +3 la_data_in_mprj[27] *435:5 0 +4 la_data_in_mprj[27] *4434:8 0 +5 la_data_in_mprj[27] *4796:18 0 +*RES +1 *39066:X la_data_in_mprj[27] 19.55 +*END + +*D_NET *180 0.000507647 +*CONN +*P la_data_in_mprj[28] O +*I *39067:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[28] 0.000253823 +2 *39067:X 0.000253823 +3 la_data_in_mprj[28] *39067:A 0 +4 la_data_in_mprj[28] *39068:A 0 +5 la_data_in_mprj[28] *301:47 0 +6 la_data_in_mprj[28] *819:8 0 +7 la_data_in_mprj[28] *2357:16 0 +8 la_data_in_mprj[28] *4891:9 0 +*RES +1 *39067:X la_data_in_mprj[28] 19.3357 +*END + +*D_NET *181 0.000650996 +*CONN +*P la_data_in_mprj[29] O +*I *39068:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[29] 0.000325498 +2 *39068:X 0.000325498 +3 la_data_in_mprj[29] *39068:A 0 +4 la_data_in_mprj[29] *437:11 0 +5 la_data_in_mprj[29] *820:5 0 +*RES +1 *39068:X la_data_in_mprj[29] 20.7821 +*END + +*D_NET *182 0.000414843 +*CONN +*P la_data_in_mprj[2] O +*I *39069:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[2] 0.000207422 +2 *39069:X 0.000207422 +3 la_data_in_mprj[2] *438:5 0 +4 la_data_in_mprj[2] *811:5 0 +5 la_data_in_mprj[2] *4515:11 0 +*RES +1 *39069:X la_data_in_mprj[2] 18.3179 +*END + +*D_NET *183 0.000729713 +*CONN +*P la_data_in_mprj[30] O +*I *39070:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[30] 0.000364857 +2 *39070:X 0.000364857 +3 la_data_in_mprj[30] *39070:A 0 +4 la_data_in_mprj[30] *439:5 0 +5 la_data_in_mprj[30] *821:7 0 +6 la_data_in_mprj[30] *3377:9 0 +*RES +1 *39070:X la_data_in_mprj[30] 21.6036 +*END + +*D_NET *184 0.000887109 +*CONN +*P la_data_in_mprj[31] O +*I *39071:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[31] 0.000443555 +2 *39071:X 0.000443555 +3 la_data_in_mprj[31] *39071:A 0 +4 la_data_in_mprj[31] *440:5 0 +5 la_data_in_mprj[31] *568:11 0 +6 la_data_in_mprj[31] *823:11 0 +7 la_data_in_mprj[31] *3282:6 0 +8 la_data_in_mprj[31] *3377:9 0 +*RES +1 *39071:X la_data_in_mprj[31] 23.2464 +*END + +*D_NET *185 0.000694683 +*CONN +*P la_data_in_mprj[32] O +*I *39072:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[32] 0.000347341 +2 *39072:X 0.000347341 +3 la_data_in_mprj[32] *441:9 0 +4 la_data_in_mprj[32] *824:5 0 +5 la_data_in_mprj[32] *3315:12 0 +6 la_data_in_mprj[32] *4430:96 0 +7 la_data_in_mprj[32] *4726:8 0 +*RES +1 *39072:X la_data_in_mprj[32] 21.4607 +*END + +*D_NET *186 0.000375484 +*CONN +*P la_data_in_mprj[33] O +*I *39073:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[33] 0.000187742 +2 *39073:X 0.000187742 +3 la_data_in_mprj[33] *442:5 0 +4 la_data_in_mprj[33] *825:5 0 +5 la_data_in_mprj[33] *2007:19 0 +6 la_data_in_mprj[33] *3293:11 0 +7 la_data_in_mprj[33] *3377:9 0 +*RES +1 *39073:X la_data_in_mprj[33] 17.9071 +*END + +*D_NET *187 0.000532919 +*CONN +*P la_data_in_mprj[34] O +*I *39074:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[34] 0.00026646 +2 *39074:X 0.00026646 +3 la_data_in_mprj[34] *443:5 0 +4 la_data_in_mprj[34] *826:5 0 +5 la_data_in_mprj[34] *3293:11 0 +6 la_data_in_mprj[34] *3377:9 0 +*RES +1 *39074:X la_data_in_mprj[34] 19.55 +*END + +*D_NET *188 0.000650996 +*CONN +*P la_data_in_mprj[35] O +*I *39075:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[35] 0.000325498 +2 *39075:X 0.000325498 +3 la_data_in_mprj[35] *39076:A 0 +4 la_data_in_mprj[35] *827:5 0 +5 la_data_in_mprj[35] *1985:13 0 +6 la_data_in_mprj[35] *3377:9 0 +*RES +1 *39075:X la_data_in_mprj[35] 20.7821 +*END + +*D_NET *189 0.000650996 +*CONN +*P la_data_in_mprj[36] O +*I *39076:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[36] 0.000325498 +2 *39076:X 0.000325498 +3 la_data_in_mprj[36] *39076:A 0 +4 la_data_in_mprj[36] *445:5 0 +5 la_data_in_mprj[36] *828:5 0 +6 la_data_in_mprj[36] *3377:9 0 +*RES +1 *39076:X la_data_in_mprj[36] 20.7821 +*END + +*D_NET *190 0.000729714 +*CONN +*P la_data_in_mprj[37] O +*I *39077:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[37] 0.000364857 +2 *39077:X 0.000364857 +3 la_data_in_mprj[37] *446:5 0 +4 la_data_in_mprj[37] *829:5 0 +5 la_data_in_mprj[37] *3377:9 0 +*RES +1 *39077:X la_data_in_mprj[37] 21.6036 +*END + +*D_NET *191 0.000887149 +*CONN +*P la_data_in_mprj[38] O +*I *39078:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[38] 0.000443574 +2 *39078:X 0.000443574 +3 la_data_in_mprj[38] *447:5 0 +4 la_data_in_mprj[38] *575:15 0 +5 la_data_in_mprj[38] *830:7 0 +6 la_data_in_mprj[38] *3360:9 0 +7 la_data_in_mprj[38] *3377:9 0 +*RES +1 *39078:X la_data_in_mprj[38] 23.2464 +*END + +*D_NET *192 0.000694801 +*CONN +*P la_data_in_mprj[39] O +*I *39079:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[39] 0.0003474 +2 *39079:X 0.0003474 +3 la_data_in_mprj[39] *831:7 0 +4 la_data_in_mprj[39] *3346:14 0 +5 la_data_in_mprj[39] *4804:11 0 +6 la_data_in_mprj[39] *4823:27 0 +7 la_data_in_mprj[39] *4825:23 0 +*RES +1 *39079:X la_data_in_mprj[39] 21.4607 +*END + +*D_NET *193 0.000901477 +*CONN +*P la_data_in_mprj[3] O +*I *39080:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[3] 0.000450738 +2 *39080:X 0.000450738 +3 la_data_in_mprj[3] *39069:A 0 +4 la_data_in_mprj[3] *449:7 0 +5 la_data_in_mprj[3] *822:9 0 +6 la_data_in_mprj[3] *1775:16 0 +7 la_data_in_mprj[3] *4693:9 0 +*RES +1 *39080:X la_data_in_mprj[3] 23.3714 +*END + +*D_NET *194 0.000257329 +*CONN +*P la_data_in_mprj[40] O +*I *39081:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[40] 0.000128665 +2 *39081:X 0.000128665 +3 la_data_in_mprj[40] *6797:DIODE 0 +4 la_data_in_mprj[40] *450:5 0 +5 la_data_in_mprj[40] *832:5 0 +6 la_data_in_mprj[40] *3455:24 0 +*RES +1 *39081:X la_data_in_mprj[40] 16.675 +*END + +*D_NET *195 0.000532919 +*CONN +*P la_data_in_mprj[41] O +*I *39082:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[41] 0.00026646 +2 *39082:X 0.00026646 +3 la_data_in_mprj[41] *451:5 0 +4 la_data_in_mprj[41] *834:13 0 +5 la_data_in_mprj[41] *4452:10 0 +6 la_data_in_mprj[41] *4819:19 0 +*RES +1 *39082:X la_data_in_mprj[41] 19.55 +*END + +*D_NET *196 0.000650996 +*CONN +*P la_data_in_mprj[42] O +*I *39083:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[42] 0.000325498 +2 *39083:X 0.000325498 +3 la_data_in_mprj[42] *39083:A 0 +4 la_data_in_mprj[42] *452:7 0 +5 la_data_in_mprj[42] *3377:9 0 +6 la_data_in_mprj[42] *3448:18 0 +7 la_data_in_mprj[42] *3455:9 0 +8 la_data_in_mprj[42] *5201:16 0 +*RES +1 *39083:X la_data_in_mprj[42] 20.7821 +*END + +*D_NET *197 0.000507646 +*CONN +*P la_data_in_mprj[43] O +*I *39084:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[43] 0.000253823 +2 *39084:X 0.000253823 +3 la_data_in_mprj[43] *453:5 0 +4 la_data_in_mprj[43] *836:5 0 +5 la_data_in_mprj[43] *2012:26 0 +6 la_data_in_mprj[43] *3448:18 0 +7 la_data_in_mprj[43] *3455:9 0 +*RES +1 *39084:X la_data_in_mprj[43] 19.3357 +*END + +*D_NET *198 0.000729713 +*CONN +*P la_data_in_mprj[44] O +*I *39085:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[44] 0.000364857 +2 *39085:X 0.000364857 +3 la_data_in_mprj[44] *39085:A 0 +4 la_data_in_mprj[44] *454:5 0 +5 la_data_in_mprj[44] *837:5 0 +6 la_data_in_mprj[44] *3448:18 0 +7 la_data_in_mprj[44] *3455:9 0 +*RES +1 *39085:X la_data_in_mprj[44] 21.6036 +*END + +*D_NET *199 0.000960911 +*CONN +*P la_data_in_mprj[45] O +*I *39086:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[45] 0.000480455 +2 *39086:X 0.000480455 +3 la_data_in_mprj[45] *455:7 0 +4 la_data_in_mprj[45] *583:8 0 +5 la_data_in_mprj[45] *3057:12 0 +6 la_data_in_mprj[45] *3448:11 0 +7 la_data_in_mprj[45] *4456:14 0 +8 la_data_in_mprj[45] *5026:32 0 +*RES +1 *39086:X la_data_in_mprj[45] 24.1036 +*END + +*D_NET *200 0.000768991 +*CONN +*P la_data_in_mprj[46] O +*I *39087:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[46] 0.000384496 +2 *39087:X 0.000384496 +3 la_data_in_mprj[46] *6659:DIODE 0 +4 la_data_in_mprj[46] *456:8 0 +5 la_data_in_mprj[46] *839:5 0 +6 la_data_in_mprj[46] *3146:12 0 +7 la_data_in_mprj[46] *3461:16 0 +8 la_data_in_mprj[46] *4462:21 0 +*RES +1 *39087:X la_data_in_mprj[46] 23.175 +*END + +*D_NET *201 0.000259476 +*CONN +*P la_data_in_mprj[47] O +*I *39088:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[47] 0.000129738 +2 *39088:X 0.000129738 +3 la_data_in_mprj[47] *40539:A 0 +4 la_data_in_mprj[47] *457:5 0 +5 la_data_in_mprj[47] *840:5 0 +*RES +1 *39088:X la_data_in_mprj[47] 16.7464 +*END + +*D_NET *202 0.000532919 +*CONN +*P la_data_in_mprj[48] O +*I *39089:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[48] 0.00026646 +2 *39089:X 0.00026646 +3 la_data_in_mprj[48] *39090:A 0 +4 la_data_in_mprj[48] *458:7 0 +5 la_data_in_mprj[48] *841:7 0 +6 la_data_in_mprj[48] *4831:21 0 +*RES +1 *39089:X la_data_in_mprj[48] 19.55 +*END + +*D_NET *203 0.000650996 +*CONN +*P la_data_in_mprj[49] O +*I *39090:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[49] 0.000325498 +2 *39090:X 0.000325498 +3 la_data_in_mprj[49] *39090:A 0 +4 la_data_in_mprj[49] *39092:A 0 +5 la_data_in_mprj[49] *842:8 0 +6 la_data_in_mprj[49] *4893:14 0 +7 la_data_in_mprj[49] *5026:13 0 +*RES +1 *39090:X la_data_in_mprj[49] 20.7821 +*END + +*D_NET *204 0.000694879 +*CONN +*P la_data_in_mprj[4] O +*I *39091:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[4] 0.00034744 +2 *39091:X 0.00034744 +3 la_data_in_mprj[4] *6986:DIODE 0 +4 la_data_in_mprj[4] *39091:A 0 +5 la_data_in_mprj[4] *460:7 0 +6 la_data_in_mprj[4] *833:5 0 +7 la_data_in_mprj[4] *4439:24 0 +8 la_data_in_mprj[4] *4511:24 0 +9 la_data_in_mprj[4] *4571:7 0 +10 la_data_in_mprj[4] *4937:8 0 +*RES +1 *39091:X la_data_in_mprj[4] 21.4607 +*END + +*D_NET *205 0.000650996 +*CONN +*P la_data_in_mprj[50] O +*I *39092:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[50] 0.000325498 +2 *39092:X 0.000325498 +3 la_data_in_mprj[50] *39092:A 0 +4 la_data_in_mprj[50] *461:5 0 +5 la_data_in_mprj[50] *843:5 0 +6 la_data_in_mprj[50] *5026:13 0 +*RES +1 *39092:X la_data_in_mprj[50] 20.7821 +*END + +*D_NET *206 0.000874238 +*CONN +*P la_data_in_mprj[51] O +*I *39093:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[51] 0.000437119 +2 *39093:X 0.000437119 +3 la_data_in_mprj[51] *462:7 0 +4 la_data_in_mprj[51] *845:5 0 +5 la_data_in_mprj[51] *4959:17 0 +6 la_data_in_mprj[51] *5026:13 0 +*RES +1 *39093:X la_data_in_mprj[51] 32.2464 +*END + +*D_NET *207 0.000960733 +*CONN +*P la_data_in_mprj[52] O +*I *39094:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[52] 0.000480366 +2 *39094:X 0.000480366 +3 la_data_in_mprj[52] *40534:A 0 +4 la_data_in_mprj[52] *40535:A 0 +5 la_data_in_mprj[52] *463:5 0 +6 la_data_in_mprj[52] *846:8 0 +7 la_data_in_mprj[52] *3560:17 0 +8 la_data_in_mprj[52] *5026:13 0 +*RES +1 *39094:X la_data_in_mprj[52] 24.3536 +*END + +*D_NET *208 0.000997723 +*CONN +*P la_data_in_mprj[53] O +*I *39095:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[53] 0.000498861 +2 *39095:X 0.000498861 +3 la_data_in_mprj[53] *7182:DIODE 0 +4 la_data_in_mprj[53] *39095:A 0 +5 la_data_in_mprj[53] *40196:A 0 +6 la_data_in_mprj[53] *464:13 0 +7 la_data_in_mprj[53] *847:5 0 +8 la_data_in_mprj[53] *4470:16 0 +9 la_data_in_mprj[53] *4834:40 0 +10 la_data_in_mprj[53] *5015:11 0 +11 la_data_in_mprj[53] *5048:54 0 +*RES +1 *39095:X la_data_in_mprj[53] 24.675 +*END + +*D_NET *209 0.000375406 +*CONN +*P la_data_in_mprj[54] O +*I *39096:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[54] 0.000187703 +2 *39096:X 0.000187703 +3 la_data_in_mprj[54] *1985:9 0 +4 la_data_in_mprj[54] *3062:8 0 +5 la_data_in_mprj[54] *5026:13 0 +*RES +1 *39096:X la_data_in_mprj[54] 17.9071 +*END + +*D_NET *210 0.000389413 +*CONN +*P la_data_in_mprj[55] O +*I *39097:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[55] 0.000194706 +2 *39097:X 0.000194706 +3 la_data_in_mprj[55] *39097:A 0 +4 la_data_in_mprj[55] *3346:8 0 +5 la_data_in_mprj[55] *5026:11 0 +6 la_data_in_mprj[55] *5048:37 0 +*RES +1 *39097:X la_data_in_mprj[55] 18.1036 +*END + +*D_NET *211 0.000650996 +*CONN +*P la_data_in_mprj[56] O +*I *39098:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[56] 0.000325498 +2 *39098:X 0.000325498 +3 la_data_in_mprj[56] *39099:A 0 +4 la_data_in_mprj[56] *40437:A 0 +5 la_data_in_mprj[56] *467:5 0 +6 la_data_in_mprj[56] *850:13 0 +7 la_data_in_mprj[56] *5048:37 0 +*RES +1 *39098:X la_data_in_mprj[56] 20.7821 +*END + +*D_NET *212 0.000650996 +*CONN +*P la_data_in_mprj[57] O +*I *39099:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[57] 0.000325498 +2 *39099:X 0.000325498 +3 la_data_in_mprj[57] *39099:A 0 +4 la_data_in_mprj[57] *468:8 0 +5 la_data_in_mprj[57] *851:7 0 +6 la_data_in_mprj[57] *3660:22 0 +7 la_data_in_mprj[57] *4468:34 0 +8 la_data_in_mprj[57] *5048:37 0 +*RES +1 *39099:X la_data_in_mprj[57] 20.7821 +*END + +*D_NET *213 0.000742974 +*CONN +*P la_data_in_mprj[58] O +*I *39100:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[58] 0.000371487 +2 *39100:X 0.000371487 +3 la_data_in_mprj[58] *469:5 0 +4 la_data_in_mprj[58] *852:5 0 +5 la_data_in_mprj[58] *3660:22 0 +6 la_data_in_mprj[58] *4840:15 0 +*RES +1 *39100:X la_data_in_mprj[58] 21.8536 +*END + +*D_NET *214 0.000572278 +*CONN +*P la_data_in_mprj[59] O +*I *39101:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[59] 0.000286139 +2 *39101:X 0.000286139 +3 la_data_in_mprj[59] *470:7 0 +4 la_data_in_mprj[59] *854:8 0 +5 la_data_in_mprj[59] *2049:13 0 +6 la_data_in_mprj[59] *4474:11 0 +*RES +1 *39101:X la_data_in_mprj[59] 19.9607 +*END + +*D_NET *215 0.000257408 +*CONN +*P la_data_in_mprj[5] O +*I *39102:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[5] 0.000128704 +2 *39102:X 0.000128704 +3 la_data_in_mprj[5] *471:7 0 +4 la_data_in_mprj[5] *844:8 0 +5 la_data_in_mprj[5] *3505:10 0 +6 la_data_in_mprj[5] *4693:9 0 +*RES +1 *39102:X la_data_in_mprj[5] 16.675 +*END + +*D_NET *216 0.000694879 +*CONN +*P la_data_in_mprj[60] O +*I *39103:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[60] 0.00034744 +2 *39103:X 0.00034744 +3 la_data_in_mprj[60] *854:8 0 +4 la_data_in_mprj[60] *3331:19 0 +5 la_data_in_mprj[60] *3638:12 0 +6 la_data_in_mprj[60] *3660:10 0 +7 la_data_in_mprj[60] *4474:11 0 +8 la_data_in_mprj[60] *4476:10 0 +*RES +1 *39103:X la_data_in_mprj[60] 21.4607 +*END + +*D_NET *217 0.000375484 +*CONN +*P la_data_in_mprj[61] O +*I *39104:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[61] 0.000187742 +2 *39104:X 0.000187742 +3 la_data_in_mprj[61] *473:5 0 +4 la_data_in_mprj[61] *2071:11 0 +5 la_data_in_mprj[61] *4841:17 0 +*RES +1 *39104:X la_data_in_mprj[61] 17.9071 +*END + +*D_NET *218 0.00053288 +*CONN +*P la_data_in_mprj[62] O +*I *39105:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[62] 0.00026644 +2 *39105:X 0.00026644 +3 la_data_in_mprj[62] *474:5 0 +4 la_data_in_mprj[62] *857:9 0 +5 la_data_in_mprj[62] *4468:34 0 +*RES +1 *39105:X la_data_in_mprj[62] 19.55 +*END + +*D_NET *219 0.000507686 +*CONN +*P la_data_in_mprj[63] O +*I *39106:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[63] 0.000253843 +2 *39106:X 0.000253843 +3 la_data_in_mprj[63] *39106:A 0 +4 la_data_in_mprj[63] *475:5 0 +5 la_data_in_mprj[63] *858:5 0 +6 la_data_in_mprj[63] *4468:34 0 +*RES +1 *39106:X la_data_in_mprj[63] 19.3357 +*END + +*D_NET *220 0.000650996 +*CONN +*P la_data_in_mprj[64] O +*I *39107:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[64] 0.000325498 +2 *39107:X 0.000325498 +3 la_data_in_mprj[64] *476:5 0 +4 la_data_in_mprj[64] *859:5 0 +5 la_data_in_mprj[64] *4468:34 0 +*RES +1 *39107:X la_data_in_mprj[64] 20.7821 +*END + +*D_NET *221 0.000729714 +*CONN +*P la_data_in_mprj[65] O +*I *39108:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[65] 0.000364857 +2 *39108:X 0.000364857 +3 la_data_in_mprj[65] *477:9 0 +4 la_data_in_mprj[65] *860:9 0 +5 la_data_in_mprj[65] *3146:8 0 +6 la_data_in_mprj[65] *4468:34 0 +*RES +1 *39108:X la_data_in_mprj[65] 21.6036 +*END + +*D_NET *222 0.000887149 +*CONN +*P la_data_in_mprj[66] O +*I *39109:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[66] 0.000443574 +2 *39109:X 0.000443574 +3 la_data_in_mprj[66] *38869:A 0 +4 la_data_in_mprj[66] *478:5 0 +5 la_data_in_mprj[66] *861:5 0 +6 la_data_in_mprj[66] *3341:9 0 +7 la_data_in_mprj[66] *4468:34 0 +8 la_data_in_mprj[66] *5137:11 0 +*RES +1 *39109:X la_data_in_mprj[66] 23.2464 +*END + +*D_NET *223 0.000576803 +*CONN +*P la_data_in_mprj[67] O +*I *39110:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[67] 0.000288402 +2 *39110:X 0.000288402 +3 la_data_in_mprj[67] *6828:DIODE 0 +4 la_data_in_mprj[67] *39111:A 0 +5 la_data_in_mprj[67] *479:5 0 +6 la_data_in_mprj[67] *862:8 0 +*RES +1 *39110:X la_data_in_mprj[67] 20.2286 +*END + +*D_NET *224 0.000375484 +*CONN +*P la_data_in_mprj[68] O +*I *39111:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[68] 0.000187742 +2 *39111:X 0.000187742 +3 la_data_in_mprj[68] *480:9 0 +4 la_data_in_mprj[68] *1981:15 0 +5 la_data_in_mprj[68] *3341:9 0 +*RES +1 *39111:X la_data_in_mprj[68] 17.9071 +*END + +*D_NET *225 0.00020607 +*CONN +*P la_data_in_mprj[69] O +*I *39112:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[69] 0.000103035 +2 *39112:X 0.000103035 +3 la_data_in_mprj[69] *481:5 0 +4 la_data_in_mprj[69] *864:5 0 +*RES +1 *39112:X la_data_in_mprj[69] 16.1393 +*END + +*D_NET *226 0.00020607 +*CONN +*P la_data_in_mprj[6] O +*I *39113:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[6] 0.000103035 +2 *39113:X 0.000103035 +3 la_data_in_mprj[6] *482:5 0 +4 la_data_in_mprj[6] *855:5 0 +*RES +1 *39113:X la_data_in_mprj[6] 16.1393 +*END + +*D_NET *227 0.000336125 +*CONN +*P la_data_in_mprj[70] O +*I *39114:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[70] 0.000168063 +2 *39114:X 0.000168063 +3 la_data_in_mprj[70] *39112:A 0 +4 la_data_in_mprj[70] *483:5 0 +5 la_data_in_mprj[70] *865:8 0 +6 la_data_in_mprj[70] *3727:8 0 +*RES +1 *39114:X la_data_in_mprj[70] 17.4964 +*END + +*D_NET *228 0.000336125 +*CONN +*P la_data_in_mprj[71] O +*I *39115:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[71] 0.000168063 +2 *39115:X 0.000168063 +3 la_data_in_mprj[71] *39114:A 0 +4 la_data_in_mprj[71] *484:5 0 +5 la_data_in_mprj[71] *867:8 0 +6 la_data_in_mprj[71] *2089:7 0 +7 la_data_in_mprj[71] *3727:8 0 +*RES +1 *39115:X la_data_in_mprj[71] 17.4964 +*END + +*D_NET *229 0.000414843 +*CONN +*P la_data_in_mprj[72] O +*I *39116:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[72] 0.000207422 +2 *39116:X 0.000207422 +3 la_data_in_mprj[72] *39115:A 0 +4 la_data_in_mprj[72] *485:5 0 +5 la_data_in_mprj[72] *2129:13 0 +6 la_data_in_mprj[72] *3320:12 0 +*RES +1 *39116:X la_data_in_mprj[72] 18.3179 +*END + +*D_NET *230 0.000572278 +*CONN +*P la_data_in_mprj[73] O +*I *39117:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[73] 0.000286139 +2 *39117:X 0.000286139 +3 la_data_in_mprj[73] *38417:A 0 +4 la_data_in_mprj[73] *39115:A 0 +5 la_data_in_mprj[73] *2372:8 0 +6 la_data_in_mprj[73] *3320:12 0 +7 la_data_in_mprj[73] *4857:30 0 +*RES +1 *39117:X la_data_in_mprj[73] 19.9607 +*END + +*D_NET *231 0.000576803 +*CONN +*P la_data_in_mprj[74] O +*I *39118:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[74] 0.000288402 +2 *39118:X 0.000288402 +3 la_data_in_mprj[74] *487:5 0 +4 la_data_in_mprj[74] *870:5 0 +5 la_data_in_mprj[74] *1987:13 0 +6 la_data_in_mprj[74] *3805:8 0 +7 la_data_in_mprj[74] *4488:11 0 +8 la_data_in_mprj[74] *4490:16 0 +*RES +1 *39118:X la_data_in_mprj[74] 20.2286 +*END + +*D_NET *232 0.000257369 +*CONN +*P la_data_in_mprj[75] O +*I *39119:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[75] 0.000128684 +2 *39119:X 0.000128684 +3 la_data_in_mprj[75] *488:5 0 +4 la_data_in_mprj[75] *871:5 0 +5 la_data_in_mprj[75] *3782:9 0 +*RES +1 *39119:X la_data_in_mprj[75] 16.675 +*END + +*D_NET *233 0.000205992 +*CONN +*P la_data_in_mprj[76] O +*I *39120:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[76] 0.000102996 +2 *39120:X 0.000102996 +3 la_data_in_mprj[76] *489:7 0 +4 la_data_in_mprj[76] *872:7 0 +*RES +1 *39120:X la_data_in_mprj[76] 16.1393 +*END + +*D_NET *234 0.000341592 +*CONN +*P la_data_in_mprj[77] O +*I *39121:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[77] 0.000170796 +2 *39121:X 0.000170796 +3 la_data_in_mprj[77] *38704:A 0 +4 la_data_in_mprj[77] *490:5 0 +5 la_data_in_mprj[77] *873:5 0 +6 la_data_in_mprj[77] *2008:13 0 +7 la_data_in_mprj[77] *3760:35 0 +8 la_data_in_mprj[77] *4861:29 0 +*RES +1 *39121:X la_data_in_mprj[77] 17.4964 +*END + +*D_NET *235 0.000336008 +*CONN +*P la_data_in_mprj[78] O +*I *39122:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[78] 0.000168004 +2 *39122:X 0.000168004 +3 la_data_in_mprj[78] *491:9 0 +4 la_data_in_mprj[78] *2383:20 0 +5 la_data_in_mprj[78] *3760:35 0 +6 la_data_in_mprj[78] *3805:12 0 +7 la_data_in_mprj[78] *4861:29 0 +*RES +1 *39122:X la_data_in_mprj[78] 17.4964 +*END + +*D_NET *236 0.000414843 +*CONN +*P la_data_in_mprj[79] O +*I *39123:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[79] 0.000207422 +2 *39123:X 0.000207422 +3 la_data_in_mprj[79] *875:8 0 +4 la_data_in_mprj[79] *3320:54 0 +5 la_data_in_mprj[79] *3760:50 0 +6 la_data_in_mprj[79] *4857:18 0 +7 la_data_in_mprj[79] *4861:29 0 +*RES +1 *39123:X la_data_in_mprj[79] 18.3179 +*END + +*D_NET *237 0.000336125 +*CONN +*P la_data_in_mprj[7] O +*I *39124:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[7] 0.000168063 +2 *39124:X 0.000168063 +3 la_data_in_mprj[7] *7187:DIODE 0 +4 la_data_in_mprj[7] *493:12 0 +5 la_data_in_mprj[7] *866:7 0 +*RES +1 *39124:X la_data_in_mprj[7] 17.4964 +*END + +*D_NET *238 0.000646508 +*CONN +*P la_data_in_mprj[80] O +*I *39125:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[80] 0.000323254 +2 *39125:X 0.000323254 +3 la_data_in_mprj[80] *40591:A 0 +4 la_data_in_mprj[80] *494:16 0 +5 la_data_in_mprj[80] *2141:26 0 +6 la_data_in_mprj[80] *4861:13 0 +*RES +1 *39125:X la_data_in_mprj[80] 21.675 +*END + +*D_NET *239 0.000586688 +*CONN +*P la_data_in_mprj[81] O +*I *39126:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[81] 0.000293344 +2 *39126:X 0.000293344 +*RES +1 *39126:X la_data_in_mprj[81] 20.4786 +*END + +*D_NET *240 0.000425003 +*CONN +*P la_data_in_mprj[82] O +*I *39127:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[82] 0.000212502 +2 *39127:X 0.000212502 +3 la_data_in_mprj[82] *1981:41 0 +4 la_data_in_mprj[82] *2115:49 0 +5 la_data_in_mprj[82] *2461:20 0 +6 la_data_in_mprj[82] *3893:22 0 +*RES +1 *39127:X la_data_in_mprj[82] 18.5679 +*END + +*D_NET *241 0.000205992 +*CONN +*P la_data_in_mprj[83] O +*I *39128:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[83] 0.000102996 +2 *39128:X 0.000102996 +*RES +1 *39128:X la_data_in_mprj[83] 16.1393 +*END + +*D_NET *242 0.000335968 +*CONN +*P la_data_in_mprj[84] O +*I *39129:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[84] 0.000167984 +2 *39129:X 0.000167984 +3 la_data_in_mprj[84] *2483:19 0 +4 la_data_in_mprj[84] *4872:19 0 +*RES +1 *39129:X la_data_in_mprj[84] 17.4964 +*END + +*D_NET *243 0.000336125 +*CONN +*P la_data_in_mprj[85] O +*I *39130:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[85] 0.000168063 +2 *39130:X 0.000168063 +3 la_data_in_mprj[85] *39129:A 0 +4 la_data_in_mprj[85] *499:9 0 +5 la_data_in_mprj[85] *4495:40 0 +*RES +1 *39130:X la_data_in_mprj[85] 17.4964 +*END + +*D_NET *244 0.000414804 +*CONN +*P la_data_in_mprj[86] O +*I *39131:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[86] 0.000207402 +2 *39131:X 0.000207402 +3 la_data_in_mprj[86] *39129:A 0 +4 la_data_in_mprj[86] *2069:19 0 +5 la_data_in_mprj[86] *2080:33 0 +6 la_data_in_mprj[86] *2407:35 0 +*RES +1 *39131:X la_data_in_mprj[86] 18.3179 +*END + +*D_NET *245 0.000602298 +*CONN +*P la_data_in_mprj[87] O +*I *39132:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[87] 0.000301149 +2 *39132:X 0.000301149 +3 la_data_in_mprj[87] *2407:35 0 +*RES +1 *39132:X la_data_in_mprj[87] 20.5143 +*END + +*D_NET *246 0.000576449 +*CONN +*P la_data_in_mprj[88] O +*I *39133:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[88] 0.000288225 +2 *39133:X 0.000288225 +3 la_data_in_mprj[88] *2516:12 0 +4 la_data_in_mprj[88] *2527:7 0 +*RES +1 *39133:X la_data_in_mprj[88] 20.2286 +*END + +*D_NET *247 0.00025729 +*CONN +*P la_data_in_mprj[89] O +*I *39134:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[89] 0.000128645 +2 *39134:X 0.000128645 +3 la_data_in_mprj[89] *4875:47 0 +*RES +1 *39134:X la_data_in_mprj[89] 16.675 +*END + +*D_NET *248 0.000336125 +*CONN +*P la_data_in_mprj[8] O +*I *39135:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[8] 0.000168063 +2 *39135:X 0.000168063 +3 la_data_in_mprj[8] *504:5 0 +4 la_data_in_mprj[8] *877:8 0 +5 la_data_in_mprj[8] *4693:9 0 +*RES +1 *39135:X la_data_in_mprj[8] 17.4964 +*END + +*D_NET *249 0.000206031 +*CONN +*P la_data_in_mprj[90] O +*I *39136:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[90] 0.000103016 +2 *39136:X 0.000103016 +3 la_data_in_mprj[90] *1236:11 0 +*RES +1 *39136:X la_data_in_mprj[90] 16.1393 +*END + +*D_NET *250 0.000336125 +*CONN +*P la_data_in_mprj[91] O +*I *39137:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[91] 0.000168063 +2 *39137:X 0.000168063 +3 la_data_in_mprj[91] *4880:39 0 +*RES +1 *39137:X la_data_in_mprj[91] 17.4964 +*END + +*D_NET *251 0.000336086 +*CONN +*P la_data_in_mprj[92] O +*I *39138:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[92] 0.000168043 +2 *39138:X 0.000168043 +3 la_data_in_mprj[92] *507:17 0 +4 la_data_in_mprj[92] *1216:17 0 +5 la_data_in_mprj[92] *2516:26 0 +6 la_data_in_mprj[92] *4880:39 0 +*RES +1 *39138:X la_data_in_mprj[92] 17.4964 +*END + +*D_NET *252 0.000424924 +*CONN +*P la_data_in_mprj[93] O +*I *39139:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[93] 0.000212462 +2 *39139:X 0.000212462 +3 la_data_in_mprj[93] *2390:30 0 +4 la_data_in_mprj[93] *3971:20 0 +5 la_data_in_mprj[93] *4880:39 0 +*RES +1 *39139:X la_data_in_mprj[93] 18.5679 +*END + +*D_NET *253 0.000592596 +*CONN +*P la_data_in_mprj[94] O +*I *39140:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[94] 0.000296298 +2 *39140:X 0.000296298 +3 la_data_in_mprj[94] *38441:A 0 +4 la_data_in_mprj[94] *1219:10 0 +5 la_data_in_mprj[94] *2051:49 0 +6 la_data_in_mprj[94] *2424:31 0 +7 la_data_in_mprj[94] *4508:23 0 +*RES +1 *39140:X la_data_in_mprj[94] 20.2107 +*END + +*D_NET *254 0.000576685 +*CONN +*P la_data_in_mprj[95] O +*I *39141:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[95] 0.000288343 +2 *39141:X 0.000288343 +3 la_data_in_mprj[95] *6720:DIODE 0 +4 la_data_in_mprj[95] *510:7 0 +5 la_data_in_mprj[95] *1213:7 0 +6 la_data_in_mprj[95] *2124:55 0 +7 la_data_in_mprj[95] *2428:20 0 +8 la_data_in_mprj[95] *4506:49 0 +9 la_data_in_mprj[95] *4880:27 0 +10 la_data_in_mprj[95] *4885:29 0 +*RES +1 *39141:X la_data_in_mprj[95] 20.2286 +*END + +*D_NET *255 0.000259476 +*CONN +*P la_data_in_mprj[96] O +*I *39142:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[96] 0.000129738 +2 *39142:X 0.000129738 +*RES +1 *39142:X la_data_in_mprj[96] 16.7464 +*END + +*D_NET *256 0.000206031 +*CONN +*P la_data_in_mprj[97] O +*I *39143:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[97] 0.000103016 +2 *39143:X 0.000103016 +*RES +1 *39143:X la_data_in_mprj[97] 16.1393 +*END + +*D_NET *257 0.000336086 +*CONN +*P la_data_in_mprj[98] O +*I *39144:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[98] 0.000168043 +2 *39144:X 0.000168043 +3 la_data_in_mprj[98] *39143:A 0 +4 la_data_in_mprj[98] *4887:11 0 +*RES +1 *39144:X la_data_in_mprj[98] 17.4964 +*END + +*D_NET *258 0.000336125 +*CONN +*P la_data_in_mprj[99] O +*I *39145:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[99] 0.000168063 +2 *39145:X 0.000168063 +3 la_data_in_mprj[99] *514:8 0 +4 la_data_in_mprj[99] *2460:29 0 +5 la_data_in_mprj[99] *3973:49 0 +6 la_data_in_mprj[99] *4508:41 0 +7 la_data_in_mprj[99] *4887:11 0 +*RES +1 *39145:X la_data_in_mprj[99] 17.4964 +*END + +*D_NET *259 0.000414843 +*CONN +*P la_data_in_mprj[9] O +*I *39146:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_data_in_mprj[9] 0.000207422 +2 *39146:X 0.000207422 +3 la_data_in_mprj[9] *515:5 0 +4 la_data_in_mprj[9] *888:7 0 +5 la_data_in_mprj[9] *4693:9 0 +*RES +1 *39146:X la_data_in_mprj[9] 18.3179 +*END + +*D_NET *260 0.0377167 +*CONN +*P la_data_out_core[0] I +*I *39393:A I *D sky130_fd_sc_hd__nand2_1 +*I *7349:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[0] 0.00201583 +2 *39393:A 0.000144601 +3 *7349:DIODE 0.000117709 +4 *260:17 0.00369069 +5 *260:16 0.00342838 +6 *260:14 0.0131518 +7 *260:13 0.0131518 +8 *260:11 0.00201583 +9 *7349:DIODE *38400:A 0 +10 *7349:DIODE *4463:32 0 +11 *7349:DIODE *5181:11 0 +12 *39393:A *2705:15 0 +13 *260:11 la_oenb_core[0] 0 +14 *260:11 *39365:A 0 +15 *260:11 *271:37 0 +16 *260:11 *320:21 0 +17 *260:11 *2520:57 0 +18 *260:11 *2663:59 0 +19 *260:11 *5053:10 0 +20 *260:14 *39845:A 0 +21 *260:14 *298:18 0 +22 *260:14 *1366:13 0 +23 *260:14 *2781:11 0 +24 *260:14 *2781:13 0 +25 *260:14 *2785:15 0 +26 *260:17 *5322:DIODE 0 +27 *260:17 *38400:A 0 +28 *260:17 *39438:A 0 +29 *260:17 *40061:A 0 +30 *260:17 *305:19 0 +31 *260:17 *1344:8 0 +32 *260:17 *1347:30 0 +33 *260:17 *1629:10 0 +34 *260:17 *1642:13 0 +35 *260:17 *2791:14 0 +36 *260:17 *2797:14 0 +37 *260:17 *3570:37 0 +38 *260:17 *3585:44 0 +39 *260:17 *4190:60 0 +40 *260:17 *4463:32 0 +41 *260:17 *4463:71 0 +42 *260:17 *4608:8 0 +43 *260:17 *4786:8 0 +44 *260:17 *4795:45 0 +45 la_data_in_core[0] *260:11 0 +*RES +1 la_data_out_core[0] *260:11 45.8393 +2 *260:11 *260:13 4.5 +3 *260:13 *260:14 274.482 +4 *260:14 *260:16 4.5 +5 *260:16 *260:17 77.8393 +6 *260:17 *7349:DIODE 16.3893 +7 *260:17 *39393:A 16.8179 +*END + +*D_NET *261 0.0163412 +*CONN +*P la_data_out_core[100] I +*I *7350:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39394:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[100] 0.000998851 +2 *7350:DIODE 4.92253e-05 +3 *39394:A 0.000211289 +4 *261:15 0.00259393 +5 *261:13 0.00448468 +6 *261:10 0.00215127 +7 *261:8 0.00242656 +8 *261:7 0.00342541 +9 *7350:DIODE *4780:35 0 +10 *39394:A *39394:B 0 +11 *261:7 *1552:37 0 +12 *261:7 *5025:14 0 +13 *261:8 *39643:A 0 +14 *261:8 *3625:49 0 +15 *261:8 *4896:19 0 +16 *261:8 *4902:11 0 +17 *261:13 *266:7 0 +18 *261:13 *1552:16 0 +19 *261:13 *2810:16 0 +20 *261:13 *3040:26 0 +21 *261:13 *3512:50 0 +22 *261:13 *3779:50 0 +23 *261:15 *368:79 0 +24 *261:15 *2423:31 0 +25 *261:15 *3040:26 0 +26 *261:15 *3040:34 0 +27 *261:15 *3394:32 0 +28 *261:15 *5074:56 0 +29 la_data_in_core[100] *261:7 0 +*RES +1 la_data_out_core[100] *261:7 27.1786 +2 *261:7 *261:8 50.6429 +3 *261:8 *261:10 4.5 +4 *261:10 *261:13 48.8839 +5 *261:13 *261:15 53.0982 +6 *261:15 *39394:A 18.4429 +7 *261:15 *7350:DIODE 14.8714 +*END + +*D_NET *262 0.0285517 +*CONN +*P la_data_out_core[101] I +*I *7352:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39395:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[101] 0.00141331 +2 *7352:DIODE 0.000144601 +3 *39395:A 2.26741e-05 +4 *262:17 0.0010966 +5 *262:11 0.00324315 +6 *262:10 0.00231383 +7 *262:8 0.0094521 +8 *262:7 0.0108654 +9 *7352:DIODE *39395:B 0 +10 *7352:DIODE *4043:25 0 +11 *39395:A *4043:25 0 +12 *262:7 la_oenb_core[101] 0 +13 *262:7 *38301:A 0 +14 *262:7 *359:29 0 +15 *262:7 *1004:23 0 +16 *262:7 *1549:44 0 +17 *262:7 *1552:37 0 +18 *262:7 *2447:14 0 +19 *262:7 *4731:8 0 +20 *262:7 *4898:21 0 +21 *262:8 *268:8 0 +22 *262:8 *276:14 0 +23 *262:8 *378:16 0 +24 *262:8 *1015:122 0 +25 *262:11 *38117:A 0 +26 *262:11 *268:13 0 +27 *262:11 *1589:22 0 +28 *262:11 *1737:16 0 +29 *262:11 *1849:8 0 +30 *262:11 *2833:62 0 +31 *262:11 *4621:6 0 +32 *262:11 *4920:11 0 +33 *262:17 *37713:A 0 +34 *262:17 *38103:A 0 +35 *262:17 *39395:B 0 +36 *262:17 *39507:A 0 +37 *262:17 *1589:22 0 +38 *262:17 *1599:24 0 +39 *262:17 *1715:14 0 +40 *262:17 *1750:14 0 +41 *262:17 *1750:18 0 +42 *262:17 *1839:12 0 +43 *262:17 *2808:38 0 +44 *262:17 *4621:6 0 +45 la_data_in_core[101] *262:7 0 +*RES +1 la_data_out_core[101] *262:7 36.5893 +2 *262:7 *262:8 197.268 +3 *262:8 *262:10 4.5 +4 *262:10 *262:11 52.5982 +5 *262:11 *262:17 25.5446 +6 *262:17 *39395:A 9.83571 +7 *262:17 *7352:DIODE 12.3179 +*END + +*D_NET *263 0.0238935 +*CONN +*P la_data_out_core[102] I +*I *39396:A I *D sky130_fd_sc_hd__nand2_2 +*I *7354:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[102] 0.00153357 +2 *39396:A 0.00018396 +3 *7354:DIODE 0 +4 *263:17 0.00018396 +5 *263:15 0.00358743 +6 *263:14 0.00358743 +7 *263:12 0.00467985 +8 *263:10 0.0066418 +9 *263:7 0.00349552 +10 *39396:A *39396:B 0 +11 *39396:A *1734:21 0 +12 *39396:A *1734:29 0 +13 *39396:A *3095:9 0 +14 *263:7 la_oenb_core[102] 0 +15 *263:7 *4894:6 0 +16 *263:7 *4909:24 0 +17 *263:7 *5017:8 0 +18 *263:10 *266:19 0 +19 *263:10 *3094:11 0 +20 *263:10 *3118:20 0 +21 *263:10 *3414:15 0 +22 *263:10 *3652:41 0 +23 *263:12 *3414:15 0 +24 *263:15 *6199:DIODE 0 +25 *263:15 *37696:A 0 +26 *263:15 *38372:A 0 +27 *263:15 *1461:15 0 +28 *263:15 *1583:11 0 +29 *263:15 *1585:6 0 +30 *263:15 *3405:71 0 +31 *263:15 *3482:36 0 +32 *263:15 *3486:20 0 +33 *263:15 *3699:16 0 +34 *263:15 *4216:108 0 +35 *263:15 *4610:20 0 +36 *263:15 *4777:14 0 +37 *263:15 *4779:16 0 +38 la_data_in_core[102] *263:7 0 +*RES +1 la_data_out_core[102] *263:7 39.3214 +2 *263:7 *263:10 41.0089 +3 *263:10 *263:12 97.6696 +4 *263:12 *263:14 4.5 +5 *263:14 *263:15 81.4821 +6 *263:15 *263:17 4.5 +7 *263:17 *7354:DIODE 9.3 +8 *263:17 *39396:A 13.1393 +*END + +*D_NET *264 0.0203652 +*CONN +*P la_data_out_core[103] I +*I *7355:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39397:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[103] 0.000905176 +2 *7355:DIODE 0.000121071 +3 *39397:A 5.21932e-05 +4 *264:15 0.00019686 +5 *264:11 0.00266301 +6 *264:10 0.00263941 +7 *264:8 0.00644115 +8 *264:7 0.00734633 +9 *7355:DIODE *39397:B 0 +10 *7355:DIODE *4405:9 0 +11 *39397:A *39397:B 0 +12 *39397:A *39636:A 0 +13 *39397:A *4405:9 0 +14 *264:7 *39150:A 0 +15 *264:7 *377:44 0 +16 *264:7 *4611:6 0 +17 *264:7 *4896:22 0 +18 *264:8 *366:8 0 +19 *264:8 *366:14 0 +20 *264:8 *371:8 0 +21 *264:11 *1018:71 0 +22 *264:11 *1426:6 0 +23 *264:11 *1467:8 0 +24 *264:11 *3046:26 0 +25 *264:11 *4914:28 0 +26 *264:15 *1018:71 0 +27 la_data_in_core[103] *264:7 0 +*RES +1 la_data_out_core[103] *264:7 25.0536 +2 *264:7 *264:8 134.429 +3 *264:8 *264:10 4.5 +4 *264:10 *264:11 59.9286 +5 *264:11 *264:15 5.03571 +6 *264:15 *39397:A 10.4518 +7 *264:15 *7355:DIODE 11.8893 +*END + +*D_NET *265 0.0249055 +*CONN +*P la_data_out_core[104] I +*I *39398:A I *D sky130_fd_sc_hd__nand2_2 +*I *7357:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[104] 0.000129384 +2 *39398:A 6.50276e-05 +3 *7357:DIODE 9.91025e-05 +4 *265:27 0.00145516 +5 *265:23 0.00287103 +6 *265:10 0.00869025 +7 *265:9 0.00711025 +8 *265:7 0.00217797 +9 *265:5 0.00230736 +10 *7357:DIODE *290:17 0 +11 *39398:A *2969:5 0 +12 *39398:A *5183:9 0 +13 *265:7 la_oenb_core[103] 0 +14 *265:7 *1554:14 0 +15 *265:7 *2957:10 0 +16 *265:7 *3010:38 0 +17 *265:7 *3097:18 0 +18 *265:7 *3707:8 0 +19 *265:7 *3712:28 0 +20 *265:7 *4609:26 0 +21 *265:7 *5031:25 0 +22 *265:10 *277:14 0 +23 *265:10 *2444:9 0 +24 *265:10 *4729:11 0 +25 *265:10 *4741:9 0 +26 *265:23 *5549:DIODE 0 +27 *265:23 *37694:B 0 +28 *265:23 *290:17 0 +29 *265:23 *1021:19 0 +30 *265:23 *2423:11 0 +31 *265:23 *3474:42 0 +32 *265:23 *4610:10 0 +33 *265:23 *4743:16 0 +34 *265:27 *5524:DIODE 0 +35 *265:27 *37692:B 0 +36 *265:27 *290:17 0 +37 *265:27 *1744:28 0 +38 *265:27 *4610:10 0 +39 *265:27 *4743:16 0 +40 *265:27 *4765:12 0 +41 la_data_in_core[104] *265:7 0 +*RES +1 la_data_out_core[104] *265:5 2.9375 +2 *265:5 *265:7 49.4554 +3 *265:7 *265:9 4.5 +4 *265:9 *265:10 148.393 +5 *265:10 *265:23 49.6161 +6 *265:23 *265:27 29.3482 +7 *265:27 *7357:DIODE 16.05 +8 *265:27 *39398:A 15.1571 +*END + +*D_NET *266 0.0168169 +*CONN +*P la_data_out_core[105] I +*I *7359:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39399:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[105] 0.0015469 +2 *7359:DIODE 0 +3 *39399:A 0.000776569 +4 *266:48 0.00235482 +5 *266:23 0.00377268 +6 *266:22 0.00300728 +7 *266:19 0.00231231 +8 *266:7 0.00304637 +9 *39399:A *39529:A 0 +10 *39399:A *2971:48 0 +11 *39399:A *3142:19 0 +12 *39399:A *3142:40 0 +13 *266:7 *7026:DIODE 0 +14 *266:7 *368:39 0 +15 *266:7 *1552:16 0 +16 *266:7 *3040:26 0 +17 *266:7 *4902:21 0 +18 *266:7 *5032:38 0 +19 *266:19 *1019:20 0 +20 *266:19 *3040:25 0 +21 *266:22 *3118:20 0 +22 *266:22 *3118:21 0 +23 *266:22 *3652:41 0 +24 *266:23 *1007:187 0 +25 *266:23 *2441:29 0 +26 *266:23 *2812:36 0 +27 *266:23 *2814:46 0 +28 *266:23 *2819:20 0 +29 *266:23 *3770:34 0 +30 *266:23 *4339:66 0 +31 *266:48 *1007:199 0 +32 *266:48 *1031:93 0 +33 *266:48 *1261:31 0 +34 *266:48 *1453:16 0 +35 *266:48 *2812:36 0 +36 *266:48 *3194:17 0 +37 *266:48 *3478:61 0 +38 *266:48 *4758:27 0 +39 la_data_in_core[105] *266:7 0 +40 *261:13 *266:7 0 +41 *263:10 *266:19 0 +*RES +1 la_data_out_core[105] *266:7 39.625 +2 *266:7 *266:19 37.3492 +3 *266:19 *266:22 21.4643 +4 *266:22 *266:23 49.8661 +5 *266:23 *266:48 49.4911 +6 *266:48 *39399:A 44.7107 +7 *266:48 *7359:DIODE 9.3 +*END + +*D_NET *267 0.0282552 +*CONN +*P la_data_out_core[106] I +*I *7361:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39400:A I *D sky130_fd_sc_hd__nand2_2 +*CAP +1 la_data_out_core[106] 0.000945033 +2 *7361:DIODE 0 +3 *39400:A 0.000189324 +4 *267:15 0.00467124 +5 *267:14 0.00448191 +6 *267:12 0.00226014 +7 *267:8 0.00851134 +8 *267:7 0.00719624 +9 *39400:A *1732:8 0 +10 *39400:A *2964:5 0 +11 *39400:A *2976:14 0 +12 *267:7 *360:20 0 +13 *267:7 *4897:24 0 +14 *267:7 *5031:8 0 +15 *267:8 *281:8 0 +16 *267:8 *281:12 0 +17 *267:8 *1467:16 0 +18 *267:8 *4918:11 0 +19 *267:12 *1587:16 0 +20 *267:12 *4918:11 0 +21 *267:15 *278:17 0 +22 *267:15 *287:7 0 +23 *267:15 *288:11 0 +24 *267:15 *1243:40 0 +25 *267:15 *1590:30 0 +26 *267:15 *1625:10 0 +27 *267:15 *2976:14 0 +28 *267:15 *2990:42 0 +29 *267:15 *3935:44 0 +30 *267:15 *4312:38 0 +31 *267:15 *4587:8 0 +32 *267:15 *4636:8 0 +33 *267:15 *4770:10 0 +34 la_data_in_core[106] *267:7 0 +*RES +1 la_data_out_core[106] *267:7 25.9643 +2 *267:7 *267:8 130.527 +3 *267:8 *267:12 47.1696 +4 *267:12 *267:14 4.5 +5 *267:14 *267:15 101.821 +6 *267:15 *39400:A 17.8357 +7 *267:15 *7361:DIODE 13.8 +*END + +*D_NET *268 0.0195921 +*CONN +*P la_data_out_core[107] I +*I *39401:A I *D sky130_fd_sc_hd__nand2_2 +*I *7362:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[107] 0.00138653 +2 *39401:A 0.000253693 +3 *7362:DIODE 0 +4 *268:13 0.00185031 +5 *268:8 0.00815584 +6 *268:7 0.00794576 +7 *39401:A *1256:11 0 +8 *268:7 la_oenb_core[107] 0 +9 *268:7 *379:23 0 +10 *268:7 *1020:13 0 +11 *268:7 *2462:43 0 +12 *268:7 *3273:24 0 +13 *268:7 *4616:34 0 +14 *268:7 *4899:8 0 +15 *268:8 *276:14 0 +16 *268:8 *361:38 0 +17 *268:8 *1015:122 0 +18 *268:13 *285:11 0 +19 *268:13 *1849:8 0 +20 la_data_in_core[107] *268:7 0 +21 *262:8 *268:8 0 +22 *262:11 *268:13 0 +*RES +1 la_data_out_core[107] *268:7 35.9821 +2 *268:7 *268:8 136.893 +3 *268:8 *268:13 45.25 +4 *268:13 *7362:DIODE 9.3 +5 *268:13 *39401:A 14.925 +*END + +*D_NET *269 0.0277507 +*CONN +*P la_data_out_core[108] I +*I *39402:A I *D sky130_fd_sc_hd__nand2_2 +*I *7363:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[108] 0.00105222 +2 *39402:A 0.00018396 +3 *7363:DIODE 0 +4 *269:21 0.00018396 +5 *269:19 0.003629 +6 *269:18 0.003629 +7 *269:16 0.00587344 +8 *269:14 0.0077847 +9 *269:10 0.00313672 +10 *269:7 0.00227769 +11 *39402:A *1606:9 0 +12 *39402:A *3026:9 0 +13 *39402:A *4765:9 0 +14 *269:7 *2457:16 0 +15 *269:7 *2462:36 0 +16 *269:7 *2468:18 0 +17 *269:7 *4907:8 0 +18 *269:10 *38337:A 0 +19 *269:10 *2457:9 0 +20 *269:10 *5032:10 0 +21 *269:14 *287:8 0 +22 *269:14 *2457:9 0 +23 *269:16 *38379:A 0 +24 *269:16 *275:14 0 +25 *269:16 *287:8 0 +26 *269:16 *377:46 0 +27 *269:16 *377:54 0 +28 *269:16 *1588:15 0 +29 *269:16 *2457:9 0 +30 *269:19 *37655:A 0 +31 *269:19 *38384:A 0 +32 *269:19 *272:15 0 +33 *269:19 *386:33 0 +34 *269:19 *1118:15 0 +35 *269:19 *1335:16 0 +36 *269:19 *1620:9 0 +37 *269:19 *2990:48 0 +38 *269:19 *3396:53 0 +39 *269:19 *4767:8 0 +40 la_data_in_core[108] *269:7 0 +*RES +1 la_data_out_core[108] *269:7 28.3929 +2 *269:7 *269:10 25.6071 +3 *269:10 *269:14 39.9821 +4 *269:14 *269:16 122.518 +5 *269:16 *269:18 4.5 +6 *269:18 *269:19 82.3929 +7 *269:19 *269:21 4.5 +8 *269:21 *7363:DIODE 9.3 +9 *269:21 *39402:A 13.1393 +*END + +*D_NET *270 0.0176603 +*CONN +*P la_data_out_core[109] I +*I *39403:A I *D sky130_fd_sc_hd__nand2_2 +*I *7364:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[109] 0.00161655 +2 *39403:A 0.000159146 +3 *7364:DIODE 0 +4 *270:17 0.00146124 +5 *270:8 0.00705447 +6 *270:7 0.00736892 +7 *39403:A *39403:B 0 +8 *39403:A *1846:13 0 +9 *39403:A *2801:62 0 +10 *270:7 la_oenb_core[109] 0 +11 *270:7 *39155:A 0 +12 *270:7 *2468:6 0 +13 *270:7 *4624:14 0 +14 *270:7 *4901:18 0 +15 *270:8 *286:8 0 +16 *270:8 *386:16 0 +17 *270:8 *386:27 0 +18 *270:8 *1264:9 0 +19 *270:8 *4728:9 0 +20 *270:17 *39392:B 0 +21 *270:17 *2456:6 0 +22 *270:17 *4621:6 0 +23 la_data_in_core[109] *270:7 0 +*RES +1 la_data_out_core[109] *270:7 41.1429 +2 *270:7 *270:8 120.054 +3 *270:8 *270:17 38.625 +4 *270:17 *7364:DIODE 9.3 +5 *270:17 *39403:A 12.6214 +*END + +*D_NET *271 0.0213982 +*CONN +*P la_data_out_core[10] I +*I *7365:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39404:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[10] 0.00155162 +2 *7365:DIODE 8.17123e-05 +3 *39404:A 2.56688e-05 +4 *271:51 0.00222283 +5 *271:37 0.00418628 +6 *271:36 0.00207083 +7 *271:34 0.00370572 +8 *271:33 0.00485382 +9 *271:27 0.00269972 +10 *7365:DIODE *3878:31 0 +11 *7365:DIODE *4817:29 0 +12 *39404:A *3878:31 0 +13 *39404:A *4817:29 0 +14 *271:27 la_oenb_core[10] 0 +15 *271:27 *39682:A 0 +16 *271:27 *39725:A 0 +17 *271:27 *39809:A 0 +18 *271:27 *39851:A 0 +19 *271:27 *1007:78 0 +20 *271:27 *2493:14 0 +21 *271:27 *2530:20 0 +22 *271:27 *2541:50 0 +23 *271:27 *2582:24 0 +24 *271:27 *2586:20 0 +25 *271:27 *2600:20 0 +26 *271:27 *2630:12 0 +27 *271:27 *2647:51 0 +28 *271:27 *2894:34 0 +29 *271:27 *4632:20 0 +30 *271:33 *376:9 0 +31 *271:33 *2578:39 0 +32 *271:33 *2630:24 0 +33 *271:33 *2631:5 0 +34 *271:33 *2780:27 0 +35 *271:34 *2586:21 0 +36 *271:37 *1029:25 0 +37 *271:37 *1182:15 0 +38 *271:37 *1277:52 0 +39 *271:37 *1392:22 0 +40 *271:37 *1520:16 0 +41 *271:37 *2761:26 0 +42 *271:37 *3855:48 0 +43 *271:37 *5053:10 0 +44 *271:51 *3383:20 0 +45 *271:51 *3437:66 0 +46 *271:51 *3457:36 0 +47 *271:51 *4211:36 0 +48 *271:51 *4715:18 0 +49 *260:11 *271:37 0 +*RES +1 la_data_out_core[10] *271:27 48.7321 +2 *271:27 *271:33 33.1429 +3 *271:33 *271:34 77.3393 +4 *271:34 *271:36 4.5 +5 *271:36 *271:37 47.0268 +6 *271:37 *271:51 46.6603 +7 *271:51 *39404:A 9.83571 +8 *271:51 *7365:DIODE 11.0679 +*END + +*D_NET *272 0.0232686 +*CONN +*P la_data_out_core[110] I +*I *39405:A I *D sky130_fd_sc_hd__nand2_2 +*I *7366:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[110] 0.00198113 +2 *39405:A 0.000213051 +3 *7366:DIODE 0 +4 *272:15 0.00138183 +5 *272:10 0.00944012 +6 *272:9 0.0102525 +7 *39405:A *1737:7 0 +8 *272:9 *38901:A 0 +9 *272:9 *287:17 0 +10 *272:9 *2456:12 0 +11 *272:9 *2467:8 0 +12 *272:9 *4903:6 0 +13 *272:9 *5032:10 0 +14 *272:10 *274:10 0 +15 *272:10 *3711:9 0 +16 *272:10 *4741:15 0 +17 *272:15 *4925:8 0 +18 la_data_in_core[110] *272:9 0 +19 *269:19 *272:15 0 +*RES +1 la_data_out_core[110] *272:9 49.4821 +2 *272:9 *272:10 172.625 +3 *272:10 *272:15 35.5357 +4 *272:15 *7366:DIODE 9.3 +5 *272:15 *39405:A 13.7464 +*END + +*D_NET *273 0.0278995 +*CONN +*P la_data_out_core[111] I +*I *7367:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39406:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[111] 0.000872817 +2 *7367:DIODE 6.35302e-05 +3 *39406:A 7.89315e-05 +4 *273:21 0.000142462 +5 *273:19 0.00225336 +6 *273:18 0.00225336 +7 *273:16 0.00909787 +8 *273:15 0.0106811 +9 *273:12 0.00245606 +10 *7367:DIODE *37419:A 0 +11 *39406:A *1610:7 0 +12 *273:12 *385:8 0 +13 *273:12 *2463:9 0 +14 *273:12 *4609:6 0 +15 *273:12 *4618:33 0 +16 *273:15 *4609:6 0 +17 *273:15 *4900:18 0 +18 *273:16 *374:20 0 +19 *273:19 *6544:DIODE 0 +20 *273:19 *1594:8 0 +21 *273:19 *3392:111 0 +22 la_data_in_core[111] *273:12 0 +*RES +1 la_data_out_core[111] *273:12 28.5536 +2 *273:12 *273:15 40.4464 +3 *273:15 *273:16 189.875 +4 *273:16 *273:18 4.5 +5 *273:18 *273:19 51.125 +6 *273:19 *273:21 4.5 +7 *273:21 *39406:A 10.9786 +8 *273:21 *7367:DIODE 10.6571 +*END + +*D_NET *274 0.0233734 +*CONN +*P la_data_out_core[112] I +*I *39407:A I *D sky130_fd_sc_hd__nand2_2 +*I *7368:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[112] 0.00205738 +2 *39407:A 0.000198505 +3 *7368:DIODE 0 +4 *274:15 0.00122021 +5 *274:10 0.00943079 +6 *274:9 0.00840909 +7 *274:7 0.00205738 +8 *39407:A *39407:B 0 +9 *39407:A *1247:23 0 +10 *39407:A *3541:23 0 +11 *274:7 *39160:A 0 +12 *274:7 *1013:77 0 +13 *274:7 *3425:22 0 +14 *274:7 *3425:24 0 +15 *274:7 *4908:12 0 +16 *274:7 *4912:23 0 +17 *274:10 *386:32 0 +18 *274:10 *4741:15 0 +19 *274:15 *377:57 0 +20 *274:15 *1594:8 0 +21 la_data_in_core[112] *274:7 0 +22 *272:10 *274:10 0 +*RES +1 la_data_out_core[112] *274:7 46.7143 +2 *274:7 *274:9 4.5 +3 *274:9 *274:10 175.5 +4 *274:10 *274:15 32.1964 +5 *274:15 *7368:DIODE 9.3 +6 *274:15 *39407:A 13.4429 +*END + +*D_NET *275 0.0223848 +*CONN +*P la_data_out_core[113] I +*I *7369:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39408:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[113] 0.0018249 +2 *7369:DIODE 8.17123e-05 +3 *39408:A 0.000103103 +4 *275:19 0.000184815 +5 *275:17 0.00213149 +6 *275:16 0.00213149 +7 *275:14 0.00705121 +8 *275:13 0.00705121 +9 *275:11 0.0018249 +10 *275:11 *38906:A 0 +11 *275:11 *281:8 0 +12 *275:14 *287:8 0 +13 *275:14 *377:54 0 +14 *275:17 *37437:A 0 +15 *275:17 *39408:B 0 +16 *275:17 *377:57 0 +17 *275:17 *1594:8 0 +18 *275:17 *1595:8 0 +19 la_data_in_core[113] *275:11 0 +20 *269:16 *275:14 0 +*RES +1 la_data_out_core[113] *275:11 49.0536 +2 *275:11 *275:13 4.5 +3 *275:13 *275:14 147.161 +4 *275:14 *275:16 4.5 +5 *275:16 *275:17 48.3929 +6 *275:17 *275:19 4.5 +7 *275:19 *39408:A 11.5143 +8 *275:19 *7369:DIODE 11.0679 +*END + +*D_NET *276 0.0245695 +*CONN +*P la_data_out_core[114] I +*I *7370:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39409:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[114] 0.00157644 +2 *7370:DIODE 0.000101392 +3 *39409:A 0 +4 *276:22 0.00148579 +5 *276:17 0.00514975 +6 *276:16 0.00376535 +7 *276:14 0.00545718 +8 *276:13 0.00703362 +9 *276:13 la_oenb_core[113] 0 +10 *276:13 *4623:6 0 +11 *276:17 *5275:DIODE 0 +12 *276:17 *6193:DIODE 0 +13 *276:17 *39411:B 0 +14 *276:17 *289:15 0 +15 *276:17 *362:17 0 +16 *276:17 *3044:34 0 +17 *276:17 *3370:26 0 +18 *276:17 *3399:38 0 +19 *276:17 *4630:6 0 +20 *276:17 *4922:8 0 +21 *276:22 *1734:9 0 +22 *276:22 *1741:11 0 +23 la_data_in_core[114] *276:13 0 +24 *262:8 *276:14 0 +25 *268:8 *276:14 0 +*RES +1 la_data_out_core[114] *276:13 40.3571 +2 *276:13 *276:14 113.893 +3 *276:14 *276:16 4.5 +4 *276:16 *276:17 85.4286 +5 *276:17 *276:22 33.3929 +6 *276:22 *39409:A 9.3 +7 *276:22 *7370:DIODE 11.4786 +*END + +*D_NET *277 0.0170881 +*CONN +*P la_data_out_core[115] I +*I *7371:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39410:A I *D sky130_fd_sc_hd__nand2_2 +*CAP +1 la_data_out_core[115] 0.00188755 +2 *7371:DIODE 0 +3 *39410:A 0.000175993 +4 *277:17 0.00121106 +5 *277:14 0.00613802 +6 *277:13 0.00544545 +7 *277:9 0.00223004 +8 *39410:A *39405:B 0 +9 *39410:A *3754:13 0 +10 *277:9 *38907:A 0 +11 *277:9 *369:31 0 +12 *277:9 *384:13 0 +13 *277:13 *369:31 0 +14 *277:14 *4625:16 0 +15 *277:14 *4741:9 0 +16 *277:17 *1593:14 0 +17 la_data_in_core[115] *277:9 0 +18 *265:10 *277:14 0 +*RES +1 la_data_out_core[115] *277:9 42.9196 +2 *277:9 *277:13 12.2768 +3 *277:13 *277:14 106.5 +4 *277:14 *277:17 28 +5 *277:17 *39410:A 17.5321 +6 *277:17 *7371:DIODE 13.8 +*END + +*D_NET *278 0.0159431 +*CONN +*P la_data_out_core[116] I +*I *39411:A I *D sky130_fd_sc_hd__nand2_1 +*I *7372:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[116] 0.00211644 +2 *39411:A 0 +3 *7372:DIODE 0.000162783 +4 *278:20 0.000206634 +5 *278:17 0.00347229 +6 *278:16 0.00342844 +7 *278:14 0.00222004 +8 *278:10 0.00433648 +9 *7372:DIODE *39411:B 0 +10 *7372:DIODE *1716:13 0 +11 *7372:DIODE *1743:11 0 +12 *278:10 *7206:DIODE 0 +13 *278:10 *38909:A 0 +14 *278:10 *280:10 0 +15 *278:10 *1024:132 0 +16 *278:10 *2462:15 0 +17 *278:10 *4616:29 0 +18 *278:10 *4621:20 0 +19 *278:10 *4630:9 0 +20 *278:10 *4630:16 0 +21 *278:14 *280:10 0 +22 *278:14 *290:8 0 +23 *278:14 *1120:8 0 +24 *278:14 *4630:9 0 +25 *278:17 *39390:B 0 +26 *278:17 *287:7 0 +27 *278:17 *288:11 0 +28 *278:17 *1119:11 0 +29 *278:17 *1243:40 0 +30 *278:17 *1607:14 0 +31 *278:20 *1716:13 0 +32 la_data_in_core[116] *278:10 0 +33 *267:15 *278:17 0 +*RES +1 la_data_out_core[116] *278:10 49.7589 +2 *278:10 *278:14 46.3482 +3 *278:14 *278:16 4.5 +4 *278:16 *278:17 77.8393 +5 *278:17 *278:20 5.44643 +6 *278:20 *7372:DIODE 12.7286 +7 *278:20 *39411:A 9.3 +*END + +*D_NET *279 0.00810439 +*CONN +*P la_data_out_core[117] I +*I *39412:A I *D sky130_fd_sc_hd__nand2_2 +*I *7373:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[117] 0.00146556 +2 *39412:A 6.50276e-05 +3 *7373:DIODE 0.000125609 +4 *279:11 0.00258664 +5 *279:10 0.00386156 +6 *7373:DIODE *385:11 0 +7 *7373:DIODE *4911:6 0 +8 *39412:A *2922:5 0 +9 *279:10 la_oenb_core[117] 0 +10 *279:10 *39166:A 0 +11 *279:10 *381:14 0 +12 *279:11 *385:11 0 +13 *279:11 *4911:6 0 +14 la_data_in_core[117] *279:10 0 +*RES +1 la_data_out_core[117] *279:10 40.9286 +2 *279:10 *279:11 54.4643 +3 *279:11 *7373:DIODE 16.6571 +4 *279:11 *39412:A 15.1571 +*END + +*D_NET *280 0.011298 +*CONN +*P la_data_out_core[118] I +*I *7374:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39413:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[118] 0.00124535 +2 *7374:DIODE 0.00016428 +3 *39413:A 0 +4 *280:14 0.000426102 +5 *280:11 0.00423937 +6 *280:10 0.0052229 +7 *7374:DIODE *37426:A 0 +8 *7374:DIODE *1617:25 0 +9 *7374:DIODE *3396:31 0 +10 *7374:DIODE *3396:39 0 +11 *280:10 *4910:8 0 +12 *280:10 *4916:15 0 +13 *280:11 *38075:A 0 +14 *280:11 *39401:B 0 +15 *280:11 *370:51 0 +16 *280:11 *370:55 0 +17 *280:11 *378:19 0 +18 *280:11 *1221:26 0 +19 *280:11 *1594:16 0 +20 *280:11 *1851:14 0 +21 *280:11 *2811:81 0 +22 *280:11 *3046:32 0 +23 *280:11 *3476:45 0 +24 *280:11 *4616:14 0 +25 *280:11 *4629:16 0 +26 *280:14 *37426:A 0 +27 *280:14 *3396:31 0 +28 *280:14 *4779:16 0 +29 la_data_in_core[118] *280:10 0 +30 *278:10 *280:10 0 +31 *278:14 *280:10 0 +*RES +1 la_data_out_core[118] *280:10 35.9643 +2 *280:10 *280:11 90.2857 +3 *280:11 *280:14 9.96429 +4 *280:14 *39413:A 9.3 +5 *280:14 *7374:DIODE 12.8536 +*END + +*D_NET *281 0.0237554 +*CONN +*P la_data_out_core[119] I +*I *7375:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39414:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[119] 0.000974882 +2 *7375:DIODE 0.000104386 +3 *39414:A 0 +4 *281:53 0.000104386 +5 *281:51 0.00250186 +6 *281:15 0.00441245 +7 *281:12 0.00513117 +8 *281:10 0.00324111 +9 *281:8 0.00316539 +10 *281:7 0.00411974 +11 *7375:DIODE *1261:41 0 +12 *7375:DIODE *3392:63 0 +13 *281:7 *38911:A 0 +14 *281:7 *38912:A 0 +15 *281:7 *1021:19 0 +16 *281:7 *1594:16 0 +17 *281:7 *4616:14 0 +18 *281:7 *4616:29 0 +19 *281:7 *4621:14 0 +20 *281:7 *4630:16 0 +21 *281:7 *4924:15 0 +22 *281:8 *1467:16 0 +23 *281:8 *4918:11 0 +24 *281:12 *4896:19 0 +25 *281:15 *1552:16 0 +26 *281:15 *1566:20 0 +27 *281:15 *2471:6 0 +28 *281:15 *2721:14 0 +29 *281:15 *3479:98 0 +30 *281:51 *38364:A 0 +31 *281:51 *2970:45 0 +32 *281:51 *3199:63 0 +33 *281:51 *3412:136 0 +34 *281:51 *3473:36 0 +35 *281:51 *3745:49 0 +36 *281:51 *3958:25 0 +37 *281:51 *3990:52 0 +38 *281:51 *5187:32 0 +39 la_data_in_core[119] *281:7 0 +40 *267:8 *281:8 0 +41 *267:8 *281:12 0 +42 *275:11 *281:8 0 +*RES +1 la_data_out_core[119] *281:7 26.5714 +2 *281:7 *281:8 65.6339 +3 *281:8 *281:10 0.428571 +4 *281:10 *281:12 67.2768 +5 *281:12 *281:15 47.8839 +6 *281:15 *281:51 47.3537 +7 *281:51 *281:53 4.5 +8 *281:53 *39414:A 9.3 +9 *281:53 *7375:DIODE 11.4786 +*END + +*D_NET *282 0.0388908 +*CONN +*P la_data_out_core[11] I +*I *7376:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39415:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[11] 0.00179324 +2 *7376:DIODE 0 +3 *39415:A 0.000173692 +4 *282:64 0.00204751 +5 *282:50 0.00314982 +6 *282:36 0.0104329 +7 *282:35 0.0106842 +8 *282:18 0.00345363 +9 *282:12 0.00364442 +10 *282:9 0.00351134 +11 *39415:A *4418:19 0 +12 *282:9 *39842:A 0 +13 *282:9 *291:21 0 +14 *282:9 *295:13 0 +15 *282:9 *331:11 0 +16 *282:9 *1011:107 0 +17 *282:9 *1370:35 0 +18 *282:9 *2536:8 0 +19 *282:9 *2537:30 0 +20 *282:9 *2559:26 0 +21 *282:9 *2634:17 0 +22 *282:9 *2651:20 0 +23 *282:12 *2698:11 0 +24 *282:12 *2892:51 0 +25 *282:18 *37576:A 0 +26 *282:18 *2768:20 0 +27 *282:18 *3602:61 0 +28 *282:18 *3645:89 0 +29 *282:18 *3833:24 0 +30 *282:18 *3853:52 0 +31 *282:18 *3854:25 0 +32 *282:18 *4388:26 0 +33 *282:18 *4644:10 0 +34 *282:18 *4644:20 0 +35 *282:18 *5009:6 0 +36 *282:35 *40939:A 0 +37 *282:35 *1974:44 0 +38 *282:35 *3454:48 0 +39 *282:35 *3903:8 0 +40 *282:35 *3921:46 0 +41 *282:35 *4148:22 0 +42 *282:35 *4384:56 0 +43 *282:35 *4392:80 0 +44 *282:36 *1802:19 0 +45 *282:36 *2354:11 0 +46 *282:36 *2356:11 0 +47 *282:36 *3457:21 0 +48 *282:36 *3879:25 0 +49 *282:36 *3879:33 0 +50 *282:36 *3887:19 0 +51 *282:36 *3887:21 0 +52 *282:36 *3911:13 0 +53 *282:36 *4154:39 0 +54 *282:50 *37502:A 0 +55 *282:50 *37504:A 0 +56 *282:50 *37504:B 0 +57 *282:50 *295:24 0 +58 *282:50 *2356:11 0 +59 *282:50 *3093:15 0 +60 *282:50 *3390:17 0 +61 *282:50 *3887:25 0 +62 *282:64 *37504:A 0 +63 *282:64 *2356:9 0 +64 *282:64 *2356:11 0 +65 *282:64 *4418:11 0 +66 *282:64 *4418:19 0 +67 *282:64 *4420:28 0 +68 la_data_in_core[11] *282:9 0 +*RES +1 la_data_out_core[11] *282:9 45.2321 +2 *282:9 *282:12 40.3571 +3 *282:12 *282:18 49.7519 +4 *282:18 *282:35 29.583 +5 *282:35 *282:36 191.107 +6 *282:36 *282:50 40.2994 +7 *282:50 *282:64 39.3571 +8 *282:64 *39415:A 12.925 +9 *282:64 *7376:DIODE 9.3 +*END + +*D_NET *283 0.0117079 +*CONN +*P la_data_out_core[120] I +*I *7377:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39416:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[120] 5.70233e-05 +2 *7377:DIODE 0.000143745 +3 *39416:A 2.0535e-05 +4 *283:18 0.00207703 +5 *283:15 0.00237132 +6 *283:7 0.0037199 +7 *283:5 0.00331836 +8 *7377:DIODE *1620:9 0 +9 *7377:DIODE *3656:51 0 +10 *7377:DIODE *3737:33 0 +11 *39416:A *3656:49 0 +12 *283:7 la_oenb_core[119] 0 +13 *283:7 *378:19 0 +14 *283:7 *1264:8 0 +15 *283:7 *4607:22 0 +16 *283:7 *4625:10 0 +17 *283:7 *4629:16 0 +18 *283:7 *4635:6 0 +19 *283:15 *1464:21 0 +20 *283:15 *1609:8 0 +21 *283:15 *3754:13 0 +22 *283:18 *3480:39 0 +23 *283:18 *3656:51 0 +24 *283:18 *3737:33 0 +25 la_data_in_core[120] *283:7 0 +*RES +1 la_data_out_core[120] *283:5 1.29464 +2 *283:5 *283:7 74.0446 +3 *283:7 *283:15 23.1786 +4 *283:15 *283:18 39.9821 +5 *283:18 *39416:A 9.72857 +6 *283:18 *7377:DIODE 12.3 +*END + +*D_NET *284 0.0106254 +*CONN +*P la_data_out_core[121] I +*I *39417:A I *D sky130_fd_sc_hd__nand2_1 +*I *7378:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[121] 0.00120977 +2 *39417:A 0.000106525 +3 *7378:DIODE 0.00013106 +4 *284:23 0.00221535 +5 *284:13 0.00386535 +6 *284:10 0.00309735 +7 *7378:DIODE *1024:137 0 +8 *7378:DIODE *1617:25 0 +9 *7378:DIODE *2979:11 0 +10 *7378:DIODE *4043:25 0 +11 *39417:A *1617:25 0 +12 *39417:A *3396:39 0 +13 *284:10 *39170:A 0 +14 *284:10 *381:14 0 +15 *284:10 *4621:6 0 +16 *284:13 *1120:11 0 +17 *284:13 *1587:8 0 +18 *284:13 *4919:12 0 +19 *284:23 *6525:DIODE 0 +20 *284:23 *1024:137 0 +21 *284:23 *1582:13 0 +22 *284:23 *1587:8 0 +23 *284:23 *1599:18 0 +24 *284:23 *3401:36 0 +25 *284:23 *4919:12 0 +26 la_data_in_core[121] *284:10 0 +*RES +1 la_data_out_core[121] *284:10 35.5893 +2 *284:10 *284:13 42.8571 +3 *284:13 *284:23 45.1607 +4 *284:23 *7378:DIODE 16.6929 +5 *284:23 *39417:A 16.0857 +*END + +*D_NET *285 0.00790386 +*CONN +*P la_data_out_core[122] I +*I *7379:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39418:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[122] 0.00140581 +2 *7379:DIODE 0 +3 *39418:A 0.000150005 +4 *285:11 0.00254612 +5 *285:10 0.00380192 +6 *39418:A *39418:B 0 +7 *39418:A *379:27 0 +8 *39418:A *1335:19 0 +9 *39418:A *1849:8 0 +10 *285:10 la_oenb_core[122] 0 +11 *285:10 *7044:DIODE 0 +12 *285:10 *39171:A 0 +13 *285:10 *290:16 0 +14 *285:10 *1120:11 0 +15 *285:10 *4627:6 0 +16 *285:10 *4919:12 0 +17 *285:11 *379:27 0 +18 *285:11 *384:17 0 +19 *285:11 *1587:16 0 +20 *285:11 *1849:8 0 +21 la_data_in_core[122] *285:10 0 +22 *268:13 *285:11 0 +*RES +1 la_data_out_core[122] *285:10 39.6071 +2 *285:10 *285:11 54.4643 +3 *285:11 *39418:A 17.0143 +4 *285:11 *7379:DIODE 13.8 +*END + +*D_NET *286 0.0195026 +*CONN +*P la_data_out_core[123] I +*I *7380:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39419:A I *D sky130_fd_sc_hd__nand2_2 +*CAP +1 la_data_out_core[123] 0.00163997 +2 *7380:DIODE 4.23535e-05 +3 *39419:A 0.000124921 +4 *286:17 0.00129626 +5 *286:8 0.00794404 +6 *286:7 0.00845503 +7 *7380:DIODE *1623:11 0 +8 *7380:DIODE *2366:28 0 +9 *39419:A *1623:11 0 +10 *39419:A *2366:28 0 +11 *39419:A *4740:11 0 +12 *286:7 *381:17 0 +13 *286:7 *4921:8 0 +14 *286:8 *386:27 0 +15 *286:8 *1264:9 0 +16 *286:8 *4728:9 0 +17 *286:8 *5208:5 0 +18 *286:17 *364:11 0 +19 *286:17 *2470:8 0 +20 *286:17 *2836:43 0 +21 *286:17 *2974:34 0 +22 *286:17 *4912:8 0 +23 la_data_in_core[123] *286:7 0 +24 *270:8 *286:8 0 +*RES +1 la_data_out_core[123] *286:7 41.75 +2 *286:7 *286:8 142.232 +3 *286:8 *286:17 34.6964 +4 *286:17 *39419:A 11.9071 +5 *286:17 *7380:DIODE 10.2464 +*END + +*D_NET *287 0.0236857 +*CONN +*P la_data_out_core[124] I +*I *7381:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39420:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[124] 0.00105234 +2 *7381:DIODE 0.000108187 +3 *39420:A 0.000101619 +4 *287:29 0.000828711 +5 *287:17 0.0038644 +6 *287:16 0.00363872 +7 *287:8 0.0067163 +8 *287:7 0.00737541 +9 *7381:DIODE *3168:16 0 +10 *7381:DIODE *3419:28 0 +11 *7381:DIODE *4764:25 0 +12 *39420:A *3168:16 0 +13 *39420:A *3391:42 0 +14 *39420:A *4764:25 0 +15 *287:7 *38917:A 0 +16 *287:7 *1119:11 0 +17 *287:7 *4636:8 0 +18 *287:16 *377:46 0 +19 *287:16 *4609:6 0 +20 *287:17 *1016:232 0 +21 *287:17 *1573:14 0 +22 *287:17 *2467:8 0 +23 *287:17 *2806:92 0 +24 *287:17 *3028:44 0 +25 *287:17 *3284:37 0 +26 *287:17 *3656:13 0 +27 *287:17 *4903:6 0 +28 *287:17 *5019:14 0 +29 *287:29 *2411:18 0 +30 *287:29 *3397:31 0 +31 *287:29 *3421:65 0 +32 *287:29 *3481:68 0 +33 *287:29 *3999:56 0 +34 *287:29 *4026:124 0 +35 la_data_in_core[124] *287:7 0 +36 *267:15 *287:7 0 +37 *269:14 *287:8 0 +38 *269:16 *287:8 0 +39 *272:9 *287:17 0 +40 *275:14 *287:8 0 +41 *278:17 *287:7 0 +*RES +1 la_data_out_core[124] *287:7 28.3929 +2 *287:7 *287:8 131.964 +3 *287:8 *287:16 21.8393 +4 *287:16 *287:17 73.7411 +5 *287:17 *287:29 16.6034 +6 *287:29 *39420:A 18.3211 +7 *287:29 *7381:DIODE 17.8581 +*END + +*D_NET *288 0.0116661 +*CONN +*P la_data_out_core[125] I +*I *7382:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39421:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[125] 0.00130816 +2 *7382:DIODE 0.000104386 +3 *39421:A 0 +4 *288:13 0.000104386 +5 *288:11 0.00442048 +6 *288:10 0.00572865 +7 *7382:DIODE *1734:9 0 +8 *288:10 *4630:6 0 +9 *288:10 *4922:8 0 +10 *288:11 *39390:B 0 +11 *288:11 *39391:A 0 +12 *288:11 *381:17 0 +13 *288:11 *1119:11 0 +14 *288:11 *1589:10 0 +15 *288:11 *1607:14 0 +16 *288:11 *1625:10 0 +17 *288:11 *1846:10 0 +18 *288:11 *2423:10 0 +19 *288:11 *3957:50 0 +20 la_data_in_core[125] *288:10 0 +21 *267:15 *288:11 0 +22 *278:17 *288:11 0 +*RES +1 la_data_out_core[125] *288:10 37.6429 +2 *288:10 *288:11 100.304 +3 *288:11 *288:13 4.5 +4 *288:13 *39421:A 9.3 +5 *288:13 *7382:DIODE 11.4786 +*END + +*D_NET *289 0.0163068 +*CONN +*P la_data_out_core[126] I +*I *7383:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39422:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[126] 0.0011493 +2 *7383:DIODE 0 +3 *39422:A 0.000124066 +4 *289:16 0.00542082 +5 *289:15 0.00688003 +6 *289:12 0.00273258 +7 *39422:A *2441:11 0 +8 *289:12 *38389:A 0 +9 *289:12 *39175:A 0 +10 *289:12 *366:24 0 +11 *289:12 *1593:14 0 +12 *289:15 *1593:14 0 +13 *289:15 *4630:6 0 +14 *289:16 *2441:11 0 +15 *289:16 *4742:9 0 +16 la_data_in_core[126] *289:12 0 +17 *276:17 *289:15 0 +*RES +1 la_data_out_core[126] *289:12 34.7321 +2 *289:12 *289:15 40.4464 +3 *289:15 *289:16 110.607 +4 *289:16 *39422:A 11.8893 +5 *289:16 *7383:DIODE 9.3 +*END + +*D_NET *290 0.0183797 +*CONN +*P la_data_out_core[127] I +*I *7384:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39423:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[127] 0.000517535 +2 *7384:DIODE 0.000104386 +3 *39423:A 0 +4 *290:19 0.000104386 +5 *290:17 0.0045139 +6 *290:16 0.0059249 +7 *290:8 0.00405402 +8 *290:7 0.00316056 +9 *7384:DIODE *1600:9 0 +10 *7384:DIODE *1734:9 0 +11 *290:7 la_oenb_core[127] 0 +12 *290:7 *4641:12 0 +13 *290:8 *1120:8 0 +14 *290:16 *384:14 0 +15 *290:16 *2456:9 0 +16 *290:16 *4621:6 0 +17 *290:16 *4627:6 0 +18 *290:17 *1021:19 0 +19 *290:17 *1246:16 0 +20 *290:17 *1464:18 0 +21 *290:17 *1734:21 0 +22 *290:17 *4610:10 0 +23 *290:17 *4616:29 0 +24 *290:17 *4625:16 0 +25 *290:17 *4625:20 0 +26 *290:17 *4743:16 0 +27 *290:17 *4910:8 0 +28 la_data_in_core[127] *290:7 0 +29 *7357:DIODE *290:17 0 +30 *265:23 *290:17 0 +31 *265:27 *290:17 0 +32 *278:14 *290:8 0 +33 *285:10 *290:16 0 +*RES +1 la_data_out_core[127] *290:7 16.25 +2 *290:7 *290:8 55.1607 +3 *290:8 *290:16 43.1786 +4 *290:16 *290:17 102.429 +5 *290:17 *290:19 4.5 +6 *290:19 *39423:A 9.3 +7 *290:19 *7384:DIODE 11.4786 +*END + +*D_NET *291 0.0347908 +*CONN +*P la_data_out_core[12] I +*I *7385:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39424:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[12] 0.00167538 +2 *7385:DIODE 0 +3 *39424:A 0.000139467 +4 *291:37 0.000139467 +5 *291:35 0.00201599 +6 *291:33 0.00377484 +7 *291:28 0.0114865 +8 *291:27 0.0113078 +9 *291:21 0.00207808 +10 *291:15 0.00217323 +11 *39424:A *3069:9 0 +12 *291:15 la_oenb_core[12] 0 +13 *291:15 *7725:DIODE 0 +14 *291:15 *7731:DIODE 0 +15 *291:15 *39779:A 0 +16 *291:15 *1370:38 0 +17 *291:15 *1507:12 0 +18 *291:15 *2534:36 0 +19 *291:15 *2547:47 0 +20 *291:15 *2586:15 0 +21 *291:15 *2775:30 0 +22 *291:15 *4666:47 0 +23 *291:21 *2559:26 0 +24 *291:21 *2563:13 0 +25 *291:27 *2597:10 0 +26 *291:27 *4677:11 0 +27 *291:28 *1370:21 0 +28 *291:28 *2510:5 0 +29 *291:28 *2510:9 0 +30 *291:28 *2597:13 0 +31 *291:33 *40665:A 0 +32 *291:33 *1357:14 0 +33 *291:33 *1479:22 0 +34 *291:33 *1483:36 0 +35 *291:33 *1784:12 0 +36 *291:33 *3556:58 0 +37 *291:33 *5050:18 0 +38 *291:35 *8379:DIODE 0 +39 *291:35 *37916:C 0 +40 *291:35 *1357:14 0 +41 *291:35 *1784:12 0 +42 *291:35 *3593:25 0 +43 *291:35 *3874:18 0 +44 *291:35 *4150:27 0 +45 *291:35 *4184:48 0 +46 *291:35 *4421:12 0 +47 *291:35 *4422:11 0 +48 *291:35 *4538:8 0 +49 la_data_in_core[12] *291:15 0 +50 *282:9 *291:21 0 +*RES +1 la_data_out_core[12] *291:15 42.6786 +2 *291:15 *291:21 19.5 +3 *291:21 *291:27 42.0893 +4 *291:27 *291:28 203.018 +5 *291:28 *291:33 44.4732 +6 *291:33 *291:35 45.8125 +7 *291:35 *291:37 4.5 +8 *291:37 *39424:A 12.2107 +9 *291:37 *7385:DIODE 9.3 +*END + +*D_NET *292 0.0520529 +*CONN +*P la_data_out_core[13] I +*I *7387:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39425:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[13] 0.00273867 +2 *7387:DIODE 0 +3 *39425:A 0.000150005 +4 *292:17 0.00313055 +5 *292:16 0.00298055 +6 *292:14 0.0201572 +7 *292:12 0.0228959 +8 *39425:A *1629:13 0 +9 *39425:A *4475:19 0 +10 *39425:A *5181:14 0 +11 *292:12 *293:18 0 +12 *292:12 *295:12 0 +13 *292:12 *335:20 0 +14 *292:12 *1014:10 0 +15 *292:12 *2500:37 0 +16 *292:12 *2530:8 0 +17 *292:12 *2578:34 0 +18 *292:12 *2676:25 0 +19 *292:12 *4665:14 0 +20 *292:12 *4933:35 0 +21 *292:14 *293:18 0 +22 *292:14 *1002:8 0 +23 *292:14 *1014:10 0 +24 *292:14 *1030:8 0 +25 *292:14 *2582:40 0 +26 *292:14 *2623:57 0 +27 *292:14 *2629:11 0 +28 *292:17 *37894:C 0 +29 *292:17 *39427:B 0 +30 *292:17 *2672:8 0 +31 *292:17 *5181:14 0 +32 la_data_in_core[13] *292:12 0 +*RES +1 la_data_out_core[13] *292:12 37.6632 +2 *292:12 *292:14 73.0145 +3 *292:14 *292:16 3.41 +4 *292:16 *292:17 67.6696 +5 *292:17 *39425:A 17.0143 +6 *292:17 *7387:DIODE 13.8 +*END + +*D_NET *293 0.0467114 +*CONN +*P la_data_out_core[14] I +*I *7388:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39426:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[14] 0.00316548 +2 *7388:DIODE 0 +3 *39426:A 0.000229578 +4 *293:27 0.00364167 +5 *293:26 0.0034121 +6 *293:24 0.016523 +7 *293:23 0.0165486 +8 *293:18 0.00319104 +9 *39426:A *1630:8 0 +10 *39426:A *3874:25 0 +11 *39426:A *4475:8 0 +12 *39426:A *4798:11 0 +13 *293:18 la_oenb_core[14] 0 +14 *293:18 *295:12 0 +15 *293:18 *1001:22 0 +16 *293:18 *1005:76 0 +17 *293:18 *1025:62 0 +18 *293:18 *2498:50 0 +19 *293:18 *2526:13 0 +20 *293:18 *2531:50 0 +21 *293:18 *2551:42 0 +22 *293:18 *2578:34 0 +23 *293:18 *2629:11 0 +24 *293:18 *2757:14 0 +25 *293:18 *2757:24 0 +26 *293:18 *2895:35 0 +27 *293:24 *5852:DIODE 0 +28 *293:24 *39700:A 0 +29 *293:24 *39874:A 0 +30 *293:24 *1367:19 0 +31 *293:24 *2512:7 0 +32 *293:24 *2512:9 0 +33 *293:24 *2617:37 0 +34 *293:24 *2631:11 0 +35 *293:24 *2653:25 0 +36 *293:24 *2670:13 0 +37 *293:24 *2670:15 0 +38 *293:24 *2702:37 0 +39 *293:24 *2707:43 0 +40 *293:24 *2707:53 0 +41 *293:24 *4659:7 0 +42 *293:24 *4659:15 0 +43 *293:27 *6252:DIODE 0 +44 *293:27 *37510:B 0 +45 *293:27 *39436:B 0 +46 *293:27 *1029:7 0 +47 *293:27 *1154:16 0 +48 *293:27 *1470:24 0 +49 *293:27 *1477:23 0 +50 *293:27 *1630:8 0 +51 *293:27 *1643:14 0 +52 *293:27 *2913:10 0 +53 *293:27 *3149:44 0 +54 *293:27 *4422:45 0 +55 *293:27 *4475:8 0 +56 *293:27 *4712:8 0 +57 *293:27 *4800:28 0 +58 *293:27 *4803:11 0 +59 *292:12 *293:18 0 +60 *292:14 *293:18 0 +*RES +1 la_data_out_core[14] *293:18 46.7488 +2 *293:18 *293:23 8.49036 +3 *293:23 *293:24 344.714 +4 *293:24 *293:26 4.5 +5 *293:26 *293:27 77.5357 +6 *293:27 *39426:A 18.675 +7 *293:27 *7388:DIODE 13.8 +*END + +*D_NET *294 0.0501338 +*CONN +*P la_data_out_core[15] I +*I *7389:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39427:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[15] 0.00143851 +2 *7389:DIODE 8.57316e-05 +3 *39427:A 6.58832e-05 +4 *294:70 0.00295892 +5 *294:68 0.00491472 +6 *294:62 0.00977852 +7 *294:61 0.00780791 +8 *294:50 0.0029963 +9 *294:49 0.00341016 +10 *294:35 0.00204025 +11 *294:26 0.00629735 +12 *294:25 0.00585442 +13 *294:19 0.00248516 +14 *7389:DIODE *37769:A 0 +15 *7389:DIODE *1759:8 0 +16 *39427:A *5067:5 0 +17 *294:19 *39180:A 0 +18 *294:19 *1008:54 0 +19 *294:19 *2528:55 0 +20 *294:19 *2900:34 0 +21 *294:19 *4929:12 0 +22 *294:25 *37913:A 0 +23 *294:25 *39805:A 0 +24 *294:25 *2487:37 0 +25 *294:25 *2489:33 0 +26 *294:25 *2578:18 0 +27 *294:25 *2653:11 0 +28 *294:25 *2702:30 0 +29 *294:25 *2707:37 0 +30 *294:25 *2757:14 0 +31 *294:25 *5058:48 0 +32 *294:26 *298:18 0 +33 *294:26 *325:48 0 +34 *294:26 *1375:29 0 +35 *294:26 *2785:15 0 +36 *294:35 *322:27 0 +37 *294:35 *330:17 0 +38 *294:35 *376:21 0 +39 *294:35 *1029:31 0 +40 *294:35 *1657:18 0 +41 *294:35 *2884:10 0 +42 *294:35 *3547:18 0 +43 *294:35 *5063:10 0 +44 *294:49 *2784:11 0 +45 *294:49 *2869:14 0 +46 *294:49 *3561:61 0 +47 *294:49 *3618:20 0 +48 *294:50 *37757:A 0 +49 *294:50 *1277:63 0 +50 *294:50 *1662:23 0 +51 *294:50 *1663:10 0 +52 *294:50 *2502:16 0 +53 *294:50 *2779:5 0 +54 *294:50 *2790:17 0 +55 *294:50 *3614:49 0 +56 *294:61 *1362:21 0 +57 *294:61 *3554:63 0 +58 *294:62 *37547:A 0 +59 *294:62 *37901:A 0 +60 *294:62 *1342:14 0 +61 *294:62 *1362:9 0 +62 *294:62 *1661:31 0 +63 *294:62 *2790:17 0 +64 *294:62 *2912:17 0 +65 *294:62 *2912:21 0 +66 *294:62 *3579:59 0 +67 *294:62 *4651:12 0 +68 *294:68 *39445:A 0 +69 *294:68 *39445:B 0 +70 *294:68 *1486:14 0 +71 *294:68 *1649:15 0 +72 *294:68 *2799:10 0 +73 *294:68 *2912:17 0 +74 *294:70 *1486:14 0 +75 *294:70 *5067:5 0 +76 la_data_in_core[15] *294:19 0 +*RES +1 la_data_out_core[15] *294:19 46.25 +2 *294:19 *294:25 32.0357 +3 *294:25 *294:26 100.339 +4 *294:26 *294:35 46.2321 +5 *294:35 *294:49 29.6744 +6 *294:49 *294:50 59.6786 +7 *294:50 *294:61 16.7809 +8 *294:61 *294:62 160.098 +9 *294:62 *294:68 44.1071 +10 *294:68 *294:70 58.6518 +11 *294:70 *39427:A 10.675 +12 *294:70 *7389:DIODE 20.2464 +*END + +*D_NET *295 0.0453656 +*CONN +*P la_data_out_core[16] I +*I *39428:A I *D sky130_fd_sc_hd__nand2_1 +*I *7390:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[16] 0.00368623 +2 *39428:A 0.000204495 +3 *7390:DIODE 0 +4 *295:29 0.000216666 +5 *295:24 0.0149888 +6 *295:23 0.0162583 +7 *295:13 0.00380322 +8 *295:12 0.00620786 +9 *39428:A *39428:B 0 +10 *39428:A *3082:19 0 +11 *39428:A *4807:12 0 +12 *295:12 *39803:A 0 +13 *295:12 *2520:27 0 +14 *295:12 *2524:25 0 +15 *295:12 *2531:50 0 +16 *295:12 *2554:17 0 +17 *295:12 *2557:9 0 +18 *295:12 *2578:34 0 +19 *295:12 *2629:11 0 +20 *295:12 *2676:13 0 +21 *295:12 *2755:29 0 +22 *295:12 *2777:31 0 +23 *295:12 *2778:51 0 +24 *295:12 *2895:35 0 +25 *295:12 *4650:14 0 +26 *295:13 *1277:32 0 +27 *295:13 *1370:35 0 +28 *295:13 *1903:44 0 +29 *295:13 *2756:8 0 +30 *295:13 *2768:28 0 +31 *295:13 *2785:18 0 +32 *295:13 *3051:20 0 +33 *295:13 *3169:9 0 +34 *295:13 *3353:26 0 +35 *295:13 *3573:20 0 +36 *295:23 *8570:DIODE 0 +37 *295:23 *3056:20 0 +38 *295:23 *3058:28 0 +39 *295:23 *3170:27 0 +40 *295:23 *3859:18 0 +41 *295:23 *3910:10 0 +42 *295:23 *3918:24 0 +43 *295:24 *37498:B 0 +44 *295:24 *39455:B 0 +45 *295:24 *376:78 0 +46 *295:24 *1794:18 0 +47 *295:24 *2355:20 0 +48 *295:24 *3052:14 0 +49 *295:24 *3061:38 0 +50 *295:24 *3271:30 0 +51 *295:24 *3350:41 0 +52 *295:24 *3364:13 0 +53 *295:24 *3381:30 0 +54 *295:24 *3382:31 0 +55 *295:24 *3390:17 0 +56 *295:24 *3463:21 0 +57 *295:24 *3867:18 0 +58 *295:24 *3879:22 0 +59 *295:24 *3903:36 0 +60 *295:24 *4141:21 0 +61 *295:24 *4144:12 0 +62 *295:24 *4154:36 0 +63 *295:24 *4626:14 0 +64 *295:24 *4671:41 0 +65 *295:24 *4827:30 0 +66 *295:24 *5161:23 0 +67 *295:29 *4523:10 0 +68 la_data_in_core[16] *295:12 0 +69 *282:9 *295:13 0 +70 *282:50 *295:24 0 +71 *292:12 *295:12 0 +72 *293:18 *295:12 0 +*RES +1 la_data_out_core[16] *295:12 44.3281 +2 *295:12 *295:13 57.3036 +3 *295:13 *295:23 32.5529 +4 *295:23 *295:24 54.2411 +5 *295:24 *295:29 8.18679 +6 *295:29 *7390:DIODE 9.3 +7 *295:29 *39428:A 13.5679 +*END + +*D_NET *296 0.0395437 +*CONN +*P la_data_out_core[17] I +*I *7391:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39429:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[17] 0.00285496 +2 *7391:DIODE 0 +3 *39429:A 0.000175674 +4 *296:56 0.00157591 +5 *296:55 0.00389297 +6 *296:43 0.00436004 +7 *296:30 0.00504168 +8 *296:29 0.0048623 +9 *296:19 0.00374751 +10 *296:16 0.00611862 +11 *296:12 0.00691399 +12 *39429:A *3914:12 0 +13 *39429:A *4538:8 0 +14 *296:12 la_oenb_core[17] 0 +15 *296:12 *37925:A 0 +16 *296:12 *1030:8 0 +17 *296:12 *2518:17 0 +18 *296:12 *2584:30 0 +19 *296:12 *2753:25 0 +20 *296:12 *2902:40 0 +21 *296:16 *1009:56 0 +22 *296:16 *1030:8 0 +23 *296:16 *2503:16 0 +24 *296:16 *2753:25 0 +25 *296:19 *354:11 0 +26 *296:19 *2773:24 0 +27 *296:19 *2774:22 0 +28 *296:19 *2784:23 0 +29 *296:19 *2902:19 0 +30 *296:19 *3847:26 0 +31 *296:19 *3863:74 0 +32 *296:19 *4997:8 0 +33 *296:29 *1492:17 0 +34 *296:29 *3136:14 0 +35 *296:29 *3862:26 0 +36 *296:29 *3872:11 0 +37 *296:29 *3929:8 0 +38 *296:29 *4197:36 0 +39 *296:29 *4748:23 0 +40 *296:29 *4904:52 0 +41 *296:29 *5197:13 0 +42 *296:30 *37550:A 0 +43 *296:30 *376:46 0 +44 *296:30 *376:59 0 +45 *296:30 *2352:23 0 +46 *296:30 *3903:14 0 +47 *296:30 *3913:29 0 +48 *296:30 *3923:31 0 +49 *296:43 *40950:A 0 +50 *296:43 *41431:A 0 +51 *296:43 *376:71 0 +52 *296:43 *376:78 0 +53 *296:43 *3204:8 0 +54 *296:43 *3903:36 0 +55 *296:43 *3913:29 0 +56 *296:43 *3918:45 0 +57 *296:43 *4827:30 0 +58 *296:43 *5200:12 0 +59 *296:55 *39509:A 0 +60 *296:55 *376:78 0 +61 *296:55 *3052:14 0 +62 *296:55 *3249:34 0 +63 *296:55 *3851:17 0 +64 *296:55 *3877:20 0 +65 *296:55 *3900:25 0 +66 *296:55 *3903:36 0 +67 *296:55 *3913:53 0 +68 *296:55 *3924:15 0 +69 *296:55 *3928:9 0 +70 *296:55 *4817:14 0 +71 *296:56 *3917:15 0 +72 la_data_in_core[17] *296:12 0 +*RES +1 la_data_out_core[17] *296:12 41.8214 +2 *296:12 *296:16 18.1226 +3 *296:16 *296:19 46.8036 +4 *296:19 *296:29 42.8125 +5 *296:29 *296:30 66.25 +6 *296:30 *296:43 47.1511 +7 *296:43 *296:55 45.135 +8 *296:55 *296:56 29.2857 +9 *296:56 *39429:A 22.05 +10 *296:56 *7391:DIODE 9.3 +*END + +*D_NET *297 0.0469715 +*CONN +*P la_data_out_core[18] I +*I *7392:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39430:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[18] 3.30342e-05 +2 *7392:DIODE 1.91421e-05 +3 *39430:A 0.000156583 +4 *297:13 0.00461355 +5 *297:12 0.00443783 +6 *297:10 0.0188392 +7 *297:9 0.0188722 +8 *7392:DIODE *3149:41 0 +9 *39430:A *37342:A 0 +10 *39430:A *1762:14 0 +11 *39430:A *1786:30 0 +12 *39430:A *4126:28 0 +13 *39430:A *4803:19 0 +14 *297:10 *302:10 0 +15 *297:10 *303:10 0 +16 *297:10 *313:30 0 +17 *297:10 *320:18 0 +18 *297:13 mprj_adr_o_user[10] 0 +19 *297:13 mprj_dat_o_user[10] 0 +20 *297:13 *5756:DIODE 0 +21 *297:13 *37508:B 0 +22 *297:13 *39430:B 0 +23 *297:13 *310:27 0 +24 *297:13 *1001:16 0 +25 *297:13 *1153:8 0 +26 *297:13 *2588:14 0 +27 *297:13 *2912:14 0 +28 *297:13 *3127:32 0 +29 *297:13 *3149:41 0 +30 *297:13 *3644:72 0 +31 *297:13 *4422:45 0 +32 *297:13 *4811:10 0 +33 *297:13 *5160:22 0 +*RES +1 la_data_out_core[18] *297:9 5.25 +2 *297:9 *297:10 393.179 +3 *297:10 *297:12 4.5 +4 *297:12 *297:13 100.759 +5 *297:13 *39430:A 17.4161 +6 *297:13 *7392:DIODE 14.3804 +*END + +*D_NET *298 0.0567322 +*CONN +*P la_data_out_core[19] I +*I *7393:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39431:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[19] 0.00215108 +2 *7393:DIODE 0 +3 *39431:A 0.000124066 +4 *298:24 0.00166495 +5 *298:18 0.0260553 +6 *298:16 0.0245501 +7 *298:11 0.00218669 +8 *39431:A *1763:10 0 +9 *298:11 *311:33 0 +10 *298:11 *338:82 0 +11 *298:11 *1375:40 0 +12 *298:11 *1375:44 0 +13 *298:11 *2182:61 0 +14 *298:11 *2193:50 0 +15 *298:11 *2486:31 0 +16 *298:11 *2488:22 0 +17 *298:11 *2490:36 0 +18 *298:11 *2493:46 0 +19 *298:11 *2496:54 0 +20 *298:11 *2534:20 0 +21 *298:11 *2584:24 0 +22 *298:11 *2667:32 0 +23 *298:11 *2748:10 0 +24 *298:11 *2754:37 0 +25 *298:11 *4647:19 0 +26 *298:11 *4655:13 0 +27 *298:11 *4658:17 0 +28 *298:11 *4670:22 0 +29 *298:18 *38167:A 0 +30 *298:18 *1375:29 0 +31 *298:18 *1375:40 0 +32 *298:18 *1499:27 0 +33 *298:18 *2698:5 0 +34 *298:18 *2785:15 0 +35 *298:18 *2909:5 0 +36 *298:24 *1763:10 0 +37 la_data_in_core[19] *298:11 0 +38 *260:14 *298:18 0 +39 *294:26 *298:18 0 +*RES +1 la_data_out_core[19] *298:11 48.9107 +2 *298:11 *298:16 5.25893 +3 *298:16 *298:18 511.67 +4 *298:18 *298:24 43.5357 +5 *298:24 *39431:A 11.8893 +6 *298:24 *7393:DIODE 9.3 +*END + +*D_NET *299 0.0372914 +*CONN +*P la_data_out_core[1] I +*I *7394:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39432:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[1] 0.00205412 +2 *7394:DIODE 0 +3 *39432:A 0.000204495 +4 *299:40 0.00213618 +5 *299:35 0.00307579 +6 *299:30 0.011895 +7 *299:29 0.0107631 +8 *299:24 0.00256038 +9 *299:9 0.0046023 +10 *39432:A *39432:B 0 +11 *39432:A *3390:43 0 +12 *39432:A *5161:9 0 +13 *299:9 *38930:A 0 +14 *299:9 *1016:56 0 +15 *299:9 *2507:20 0 +16 *299:9 *2512:24 0 +17 *299:9 *2512:26 0 +18 *299:9 *2568:8 0 +19 *299:9 *2569:40 0 +20 *299:9 *2645:16 0 +21 *299:9 *2663:40 0 +22 *299:9 *4947:17 0 +23 *299:24 *39938:A 0 +24 *299:24 *300:10 0 +25 *299:24 *319:12 0 +26 *299:24 *1029:25 0 +27 *299:24 *1370:20 0 +28 *299:24 *2504:24 0 +29 *299:24 *2895:10 0 +30 *299:24 *4947:17 0 +31 *299:24 *4960:10 0 +32 *299:30 *310:24 0 +33 *299:30 *1352:9 0 +34 *299:30 *1478:11 0 +35 *299:30 *2506:7 0 +36 *299:30 *2506:11 0 +37 *299:30 *2513:5 0 +38 *299:30 *2892:19 0 +39 *299:30 *2901:15 0 +40 *299:30 *3597:44 0 +41 *299:30 *4642:10 0 +42 *299:30 *4749:13 0 +43 *299:30 *5054:32 0 +44 *299:35 *1153:14 0 +45 *299:35 *1479:10 0 +46 *299:35 *1773:8 0 +47 *299:35 *4371:22 0 +48 *299:35 *4700:10 0 +49 *299:40 *1468:14 0 +50 *299:40 *1773:8 0 +51 *299:40 *3390:43 0 +52 *299:40 *4371:18 0 +53 *299:40 *4475:18 0 +54 *299:40 *4511:26 0 +55 *299:40 *4511:52 0 +56 la_data_in_core[1] *299:9 0 +*RES +1 la_data_out_core[1] *299:9 46.6161 +2 *299:9 *299:24 48.0278 +3 *299:24 *299:29 8.18679 +4 *299:29 *299:30 224.375 +5 *299:30 *299:35 30.5089 +6 *299:35 *299:40 47.7232 +7 *299:40 *39432:A 13.5679 +8 *299:40 *7394:DIODE 9.3 +*END + +*D_NET *300 0.0615645 +*CONN +*P la_data_out_core[20] I +*I *39433:A I *D sky130_fd_sc_hd__nand2_1 +*I *7395:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[20] 3.89331e-05 +2 *39433:A 0 +3 *7395:DIODE 0.0001114 +4 *300:13 0.00105681 +5 *300:10 0.0281402 +6 *300:9 0.0271948 +7 *300:7 0.00249168 +8 *300:5 0.00253061 +9 *7395:DIODE *39433:B 0 +10 *300:7 la_oenb_core[20] 0 +11 *300:7 *1377:26 0 +12 *300:7 *2160:63 0 +13 *300:7 *2486:44 0 +14 *300:7 *2758:32 0 +15 *300:7 *2766:19 0 +16 *300:7 *2880:22 0 +17 *300:7 *4655:19 0 +18 *300:7 *4670:22 0 +19 *300:7 *4936:23 0 +20 *300:7 *5046:8 0 +21 *300:10 *315:24 0 +22 *300:10 *319:12 0 +23 *300:10 *1029:18 0 +24 *300:10 *1281:15 0 +25 *300:10 *1300:60 0 +26 *300:10 *1389:25 0 +27 *300:10 *1499:26 0 +28 *300:10 *1905:74 0 +29 *300:10 *1911:37 0 +30 *300:10 *2487:23 0 +31 *300:10 *2499:37 0 +32 *300:10 *2504:24 0 +33 *300:10 *2506:31 0 +34 *300:10 *2743:14 0 +35 *300:10 *2767:26 0 +36 *300:10 *2768:20 0 +37 *300:10 *2770:17 0 +38 *300:10 *2778:26 0 +39 *300:10 *2798:43 0 +40 *300:10 *2869:31 0 +41 *300:10 *2889:24 0 +42 *300:10 *2892:19 0 +43 *300:10 *3353:26 0 +44 *300:10 *3547:30 0 +45 *300:10 *3552:51 0 +46 *300:10 *3834:35 0 +47 *300:10 *3866:32 0 +48 *300:10 *3892:33 0 +49 *300:10 *4620:14 0 +50 *300:13 *39433:B 0 +51 la_data_in_core[20] *300:7 0 +52 *299:24 *300:10 0 +*RES +1 la_data_out_core[20] *300:5 0.883929 +2 *300:5 *300:7 56.5893 +3 *300:7 *300:9 3.41 +4 *300:9 *300:10 98.4901 +5 *300:10 *300:13 24.9368 +6 *300:13 *7395:DIODE 16.2821 +7 *300:13 *39433:A 13.8 +*END + +*D_NET *301 0.0378359 +*CONN +*P la_data_out_core[21] I +*I *39434:A I *D sky130_fd_sc_hd__nand2_1 +*I *7396:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[21] 0.00111626 +2 *39434:A 0.000166932 +3 *7396:DIODE 0 +4 *301:47 0.000894234 +5 *301:44 0.0086119 +6 *301:42 0.00791967 +7 *301:40 0.00333737 +8 *301:39 0.00506156 +9 *301:36 0.00186365 +10 *301:31 0.0019334 +11 *301:12 0.00382183 +12 *301:7 0.00310908 +13 *39434:A *3465:5 0 +14 *39434:A *3872:24 0 +15 *39434:A *3900:9 0 +16 *301:7 la_oenb_core[21] 0 +17 *301:7 *1007:99 0 +18 *301:7 *2521:38 0 +19 *301:7 *2544:31 0 +20 *301:7 *2710:24 0 +21 *301:7 *4656:27 0 +22 *301:7 *4938:8 0 +23 *301:7 *5040:21 0 +24 *301:12 *37793:A 0 +25 *301:12 *1195:49 0 +26 *301:12 *1377:26 0 +27 *301:12 *1389:36 0 +28 *301:12 *1930:58 0 +29 *301:12 *2160:63 0 +30 *301:12 *2570:49 0 +31 *301:12 *2587:36 0 +32 *301:12 *2593:26 0 +33 *301:12 *2617:36 0 +34 *301:12 *2659:37 0 +35 *301:12 *2878:14 0 +36 *301:12 *2884:19 0 +37 *301:12 *3840:28 0 +38 *301:12 *4658:28 0 +39 *301:31 *7906:DIODE 0 +40 *301:31 *8568:DIODE 0 +41 *301:31 *37594:B 0 +42 *301:31 *40630:A 0 +43 *301:31 *1390:10 0 +44 *301:31 *1395:44 0 +45 *301:31 *1889:25 0 +46 *301:31 *1900:28 0 +47 *301:31 *2061:21 0 +48 *301:31 *2205:22 0 +49 *301:36 *2016:14 0 +50 *301:36 *2068:8 0 +51 *301:39 *6668:DIODE 0 +52 *301:39 *6810:DIODE 0 +53 *301:39 *590:5 0 +54 *301:39 *846:8 0 +55 *301:39 *2026:25 0 +56 *301:39 *2067:26 0 +57 *301:39 *2144:61 0 +58 *301:39 *3339:32 0 +59 *301:39 *3527:19 0 +60 *301:39 *3921:11 0 +61 *301:39 *4143:36 0 +62 *301:39 *5197:33 0 +63 *301:40 *2048:10 0 +64 *301:40 *2071:14 0 +65 *301:40 *4836:11 0 +66 *301:40 *4893:9 0 +67 *301:44 *2071:14 0 +68 *301:44 *3062:9 0 +69 *301:44 *5201:11 0 +70 *301:47 *6960:DIODE 0 +71 *301:47 *37329:A 0 +72 *301:47 *39067:A 0 +73 *301:47 *436:5 0 +74 *301:47 *3872:24 0 +75 la_data_in_core[21] *301:7 0 +76 la_data_in_mprj[28] *301:47 0 +*RES +1 la_data_out_core[21] *301:7 25.3482 +2 *301:7 *301:12 49.3839 +3 *301:12 *301:31 46.2321 +4 *301:31 *301:36 11.1786 +5 *301:36 *301:39 44.3929 +6 *301:39 *301:40 68.9196 +7 *301:40 *301:42 0.732143 +8 *301:42 *301:44 164.616 +9 *301:44 *301:47 21.0179 +10 *301:47 *7396:DIODE 13.8 +11 *301:47 *39434:A 17.425 +*END + +*D_NET *302 0.05026 +*CONN +*P la_data_out_core[22] I +*I *7397:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39435:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[22] 6.92145e-05 +2 *7397:DIODE 0 +3 *39435:A 0.000104386 +4 *302:15 0.000104386 +5 *302:13 0.00521199 +6 *302:12 0.00521199 +7 *302:10 0.0197444 +8 *302:9 0.0198136 +9 *39435:A *3175:28 0 +10 *39435:A *3917:25 0 +11 *302:10 *303:10 0 +12 *302:10 *304:20 0 +13 *302:10 *309:12 0 +14 *302:10 *320:14 0 +15 *302:10 *320:18 0 +16 *302:10 *341:14 0 +17 *302:13 mprj_adr_o_user[12] 0 +18 *302:13 mprj_dat_o_user[12] 0 +19 *302:13 *5766:DIODE 0 +20 *302:13 *37506:B 0 +21 *302:13 *39447:B 0 +22 *302:13 *1003:7 0 +23 *302:13 *1343:11 0 +24 *302:13 *2581:36 0 +25 *302:13 *2781:6 0 +26 *302:13 *2791:38 0 +27 *302:13 *3115:12 0 +28 *302:13 *3613:31 0 +29 *302:13 *4192:16 0 +30 *302:13 *4207:32 0 +31 *302:13 *4451:75 0 +32 *302:13 *4815:32 0 +33 *297:10 *302:10 0 +*RES +1 la_data_out_core[22] *302:9 6.07143 +2 *302:9 *302:10 412.071 +3 *302:10 *302:12 4.5 +4 *302:12 *302:13 118.214 +5 *302:13 *302:15 4.5 +6 *302:15 *39435:A 11.4786 +7 *302:15 *7397:DIODE 9.3 +*END + +*D_NET *303 0.0537068 +*CONN +*P la_data_out_core[23] I +*I *7398:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39436:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[23] 3.30342e-05 +2 *7398:DIODE 0 +3 *39436:A 0.000157649 +4 *303:16 0.000437653 +5 *303:13 0.00475358 +6 *303:12 0.00447357 +7 *303:10 0.0219092 +8 *303:9 0.0219422 +9 *39436:A *2363:9 0 +10 *303:10 *304:20 0 +11 *303:10 *305:12 0 +12 *303:10 *306:8 0 +13 *303:10 *307:10 0 +14 *303:10 *313:30 0 +15 *303:10 *323:10 0 +16 *303:10 *324:8 0 +17 *303:10 *330:10 0 +18 *303:13 mprj_adr_o_user[9] 0 +19 *303:13 mprj_dat_o_user[8] 0 +20 *303:13 *37537:A 0 +21 *303:13 *37887:A 0 +22 *303:13 *38158:A 0 +23 *303:13 *313:33 0 +24 *303:13 *313:39 0 +25 *303:13 *1342:18 0 +26 *303:13 *2572:12 0 +27 *303:13 *3628:45 0 +28 *303:13 *5068:26 0 +29 *303:16 *2363:9 0 +30 *297:10 *303:10 0 +31 *302:10 *303:10 0 +*RES +1 la_data_out_core[23] *303:9 5.25 +2 *303:9 *303:10 457.25 +3 *303:10 *303:12 4.5 +4 *303:12 *303:13 101.518 +5 *303:13 *303:16 10.375 +6 *303:16 *39436:A 12.6214 +7 *303:16 *7398:DIODE 9.3 +*END + +*D_NET *304 0.0516582 +*CONN +*P la_data_out_core[24] I +*I *7399:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39437:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[24] 0.00163311 +2 *7399:DIODE 0 +3 *39437:A 0.000124066 +4 *304:26 0.00014674 +5 *304:23 0.00542956 +6 *304:22 0.00540689 +7 *304:20 0.0186424 +8 *304:19 0.0202755 +9 *39437:A *3871:17 0 +10 *39437:A *4797:9 0 +11 *39437:A *4797:24 0 +12 *304:19 *320:14 0 +13 *304:19 *322:20 0 +14 *304:19 *341:26 0 +15 *304:20 *307:10 0 +16 *304:20 *309:12 0 +17 *304:23 mprj_adr_o_user[14] 0 +18 *304:23 mprj_dat_o_user[13] 0 +19 *304:23 *37924:C 0 +20 *304:23 *1001:21 0 +21 *304:23 *1004:7 0 +22 *304:23 *1266:8 0 +23 *304:23 *1341:14 0 +24 *304:23 *1628:15 0 +25 *304:23 *2657:87 0 +26 *304:23 *2899:8 0 +27 *304:23 *2911:15 0 +28 *304:23 *3604:16 0 +29 *304:23 *3628:26 0 +30 *304:23 *3631:52 0 +31 *304:23 *3877:50 0 +32 *304:23 *4419:35 0 +33 *304:23 *4419:46 0 +34 *304:23 *4429:36 0 +35 *304:23 *4593:29 0 +36 *304:23 *4651:8 0 +37 *304:23 *4664:25 0 +38 *304:23 *5161:23 0 +39 *304:26 *4797:24 0 +40 la_data_in_core[21] *304:19 0 +41 *302:10 *304:20 0 +42 *303:10 *304:20 0 +*RES +1 la_data_out_core[24] *304:19 48.1964 +2 *304:19 *304:20 389.071 +3 *304:20 *304:22 4.5 +4 *304:22 *304:23 122.768 +5 *304:23 *304:26 5.03571 +6 *304:26 *39437:A 11.8893 +7 *304:26 *7399:DIODE 9.3 +*END + +*D_NET *305 0.0571897 +*CONN +*P la_data_out_core[25] I +*I *7400:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39438:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[25] 5.35299e-05 +2 *7400:DIODE 0 +3 *39438:A 0.000134923 +4 *305:19 0.00344023 +5 *305:18 0.00355814 +6 *305:12 0.0251011 +7 *305:10 0.0249018 +8 *39438:A *1154:26 0 +9 *39438:A *1470:21 0 +10 *39438:A *1642:13 0 +11 *39438:A *4207:41 0 +12 *305:12 *313:30 0 +13 *305:12 *322:12 0 +14 *305:12 *322:18 0 +15 *305:19 mprj_adr_o_user[4] 0 +16 *305:19 *7337:DIODE 0 +17 *305:19 *1026:7 0 +18 *305:19 *2611:24 0 +19 *305:19 *2708:30 0 +20 *260:17 *39438:A 0 +21 *260:17 *305:19 0 +22 *303:10 *305:12 0 +*RES +1 la_data_out_core[25] *305:10 5.67857 +2 *305:10 *305:12 518.652 +3 *305:12 *305:18 9.77679 +4 *305:18 *305:19 75.1071 +5 *305:19 *39438:A 16.675 +6 *305:19 *7400:DIODE 13.8 +*END + +*D_NET *306 0.0584092 +*CONN +*P la_data_out_core[26] I +*I *39439:A I *D sky130_fd_sc_hd__nand2_1 +*I *7401:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[26] 6.29222e-05 +2 *39439:A 0 +3 *7401:DIODE 0.000150759 +4 *306:11 0.00481198 +5 *306:10 0.00466122 +6 *306:8 0.0243297 +7 *306:7 0.0243926 +8 *7401:DIODE *1643:14 0 +9 *7401:DIODE *4371:18 0 +10 *7401:DIODE *4786:9 0 +11 *306:7 la_oenb_core[26] 0 +12 *306:8 *308:8 0 +13 *306:8 *324:8 0 +14 *306:11 mprj_adr_o_user[7] 0 +15 *306:11 mprj_dat_o_user[6] 0 +16 *306:11 *37898:C 0 +17 *306:11 *38138:B 0 +18 *306:11 *1028:9 0 +19 *306:11 *1029:7 0 +20 *306:11 *1154:16 0 +21 *306:11 *1345:11 0 +22 *306:11 *1346:24 0 +23 *306:11 *1353:11 0 +24 *306:11 *1470:24 0 +25 *306:11 *1477:23 0 +26 *306:11 *1479:10 0 +27 *306:11 *1643:14 0 +28 *306:11 *1768:10 0 +29 *306:11 *2572:18 0 +30 *306:11 *2665:55 0 +31 *306:11 *2910:8 0 +32 *306:11 *2912:10 0 +33 *306:11 *3621:48 0 +34 *306:11 *4213:26 0 +35 *306:11 *4371:18 0 +36 *306:11 *4688:8 0 +37 *306:11 *4800:13 0 +38 *306:11 *5067:8 0 +39 la_data_in_core[26] *306:7 0 +40 *303:10 *306:8 0 +*RES +1 la_data_out_core[26] *306:7 5.92857 +2 *306:7 *306:8 507.768 +3 *306:8 *306:10 4.5 +4 *306:10 *306:11 105.768 +5 *306:11 *7401:DIODE 17.1036 +6 *306:11 *39439:A 13.8 +*END + +*D_NET *307 0.0486318 +*CONN +*P la_data_out_core[27] I +*I *39440:A I *D sky130_fd_sc_hd__nand2_1 +*I *7402:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[27] 8.73046e-05 +2 *39440:A 2.56688e-05 +3 *7402:DIODE 0.000137749 +4 *307:13 0.00340179 +5 *307:12 0.00323837 +6 *307:10 0.0208268 +7 *307:9 0.0209141 +8 *7402:DIODE *37886:A_N 0 +9 *7402:DIODE *1649:8 0 +10 *7402:DIODE *1781:13 0 +11 *7402:DIODE *2791:45 0 +12 *7402:DIODE *4399:40 0 +13 *39440:A *1159:9 0 +14 *39440:A *3570:25 0 +15 *307:10 *309:12 0 +16 *307:10 *330:10 0 +17 *307:13 mprj_adr_o_user[15] 0 +18 *307:13 *39282:A 0 +19 *307:13 *39346:A 0 +20 *307:13 *39845:A 0 +21 *307:13 *316:11 0 +22 *307:13 *1006:9 0 +23 *307:13 *1365:10 0 +24 *307:13 *1649:8 0 +25 *307:13 *1781:13 0 +26 *307:13 *2568:12 0 +27 *307:13 *2650:49 0 +28 *307:13 *4664:25 0 +29 *303:10 *307:10 0 +30 *304:20 *307:10 0 +*RES +1 la_data_out_core[27] *307:9 6.48214 +2 *307:9 *307:10 434.661 +3 *307:10 *307:12 4.5 +4 *307:12 *307:13 73.5893 +5 *307:13 *7402:DIODE 16.9429 +6 *307:13 *39440:A 14.3357 +*END + +*D_NET *308 0.0557615 +*CONN +*P la_data_out_core[28] I +*I *39441:A I *D sky130_fd_sc_hd__nand2_1 +*I *7403:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[28] 7.62932e-05 +2 *39441:A 2.56688e-05 +3 *7403:DIODE 0.000139215 +4 *308:11 0.00321889 +5 *308:10 0.00305401 +6 *308:8 0.0245856 +7 *308:7 0.0246619 +8 *7403:DIODE *1355:25 0 +9 *7403:DIODE *1367:8 0 +10 *39441:A *1355:25 0 +11 *39441:A *2952:21 0 +12 *308:7 la_oenb_core[28] 0 +13 *308:8 *316:8 0 +14 *308:8 *324:8 0 +15 *308:8 *1003:8 0 +16 *308:11 mprj_adr_o_user[8] 0 +17 *308:11 *1030:7 0 +18 *308:11 *1367:8 0 +19 *308:11 *2799:10 0 +20 la_data_in_core[28] *308:7 0 +21 *306:8 *308:8 0 +*RES +1 la_data_out_core[28] *308:7 6.23214 +2 *308:7 *308:8 513.107 +3 *308:8 *308:10 4.5 +4 *308:10 *308:11 69.3393 +5 *308:11 *7403:DIODE 16.9607 +6 *308:11 *39441:A 14.3357 +*END + +*D_NET *309 0.0501887 +*CONN +*P la_data_out_core[29] I +*I *39442:A I *D sky130_fd_sc_hd__nand2_1 +*I *7404:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[29] 0.000144131 +2 *39442:A 8.55626e-05 +3 *7404:DIODE 8.56922e-05 +4 *309:19 0.00316185 +5 *309:17 0.00393248 +6 *309:12 0.0217884 +7 *309:11 0.0209906 +8 *7404:DIODE *1366:8 0 +9 *7404:DIODE *4417:12 0 +10 *39442:A *39442:B 0 +11 *39442:A *4167:19 0 +12 *39442:A *4210:7 0 +13 *39442:A *4210:13 0 +14 *309:11 la_oenb_core[29] 0 +15 *309:12 *330:10 0 +16 *309:12 *341:10 0 +17 *309:12 *341:14 0 +18 *309:17 mprj_adr_o_user[17] 0 +19 *309:17 *39283:A 0 +20 *309:17 *1008:19 0 +21 *309:17 *2562:10 0 +22 *309:17 *5045:6 0 +23 *309:19 *315:35 0 +24 *309:19 *327:25 0 +25 *309:19 *1366:8 0 +26 *309:19 *1366:12 0 +27 *309:19 *2562:10 0 +28 *309:19 *2697:18 0 +29 *309:19 *2706:22 0 +30 *309:19 *2706:27 0 +31 *309:19 *3383:48 0 +32 *309:19 *3563:30 0 +33 *309:19 *4417:12 0 +34 *309:19 *4417:19 0 +35 *309:19 *4417:34 0 +36 *309:19 *4664:33 0 +37 *309:19 *4892:14 0 +38 *309:19 *5045:6 0 +39 la_data_in_core[29] *309:11 0 +40 *302:10 *309:12 0 +41 *304:20 *309:12 0 +42 *307:10 *309:12 0 +*RES +1 la_data_out_core[29] *309:11 8.41071 +2 *309:11 *309:12 435.071 +3 *309:12 *309:17 25.9554 +4 *309:17 *309:19 67.9732 +5 *309:19 *7404:DIODE 15.7464 +6 *309:19 *39442:A 15.5857 +*END + +*D_NET *310 0.0349068 +*CONN +*P la_data_out_core[2] I +*I *7405:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39443:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[2] 9.32036e-05 +2 *7405:DIODE 0 +3 *39443:A 0.000210551 +4 *310:30 0.000685852 +5 *310:27 0.00347587 +6 *310:26 0.00300057 +7 *310:24 0.0107116 +8 *310:23 0.0112822 +9 *310:7 0.0029622 +10 *310:5 0.00248476 +11 *39443:A *343:72 0 +12 *39443:A *3871:10 0 +13 *39443:A *3877:63 0 +14 *39443:A *4499:10 0 +15 *39443:A *4537:23 0 +16 *310:7 *39197:A 0 +17 *310:7 *39820:A 0 +18 *310:7 *1374:16 0 +19 *310:7 *1380:29 0 +20 *310:7 *2499:40 0 +21 *310:7 *2510:15 0 +22 *310:7 *2526:48 0 +23 *310:7 *4947:20 0 +24 *310:23 *37805:A 0 +25 *310:23 *312:38 0 +26 *310:23 *1301:15 0 +27 *310:23 *1370:20 0 +28 *310:24 *1478:11 0 +29 *310:24 *2487:9 0 +30 *310:24 *2506:20 0 +31 *310:24 *2777:5 0 +32 *310:24 *2785:14 0 +33 *310:24 *2892:25 0 +34 *310:24 *3597:44 0 +35 *310:24 *5054:16 0 +36 *310:27 *37342:A 0 +37 *310:27 *37495:A 0 +38 *310:27 *1148:12 0 +39 *310:27 *1762:14 0 +40 *310:27 *1786:30 0 +41 *310:27 *2694:18 0 +42 *310:27 *2772:8 0 +43 *310:27 *2912:14 0 +44 *310:27 *3149:41 0 +45 *310:27 *3382:31 0 +46 *310:27 *3390:35 0 +47 *310:27 *4422:45 0 +48 *310:30 *343:72 0 +49 *310:30 *3069:15 0 +50 la_data_in_core[2] *310:7 0 +51 *297:13 *310:27 0 +52 *299:30 *310:24 0 +*RES +1 la_data_out_core[2] *310:5 2.11607 +2 *310:5 *310:7 54.3125 +3 *310:7 *310:23 25.8036 +4 *310:23 *310:24 223.554 +5 *310:24 *310:26 4.5 +6 *310:26 *310:27 68.125 +7 *310:27 *310:30 14.4821 +8 *310:30 *39443:A 22.925 +9 *310:30 *7405:DIODE 9.3 +*END + +*D_NET *311 0.0545084 +*CONN +*P la_data_out_core[30] I +*I *7406:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39444:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[30] 0.00123495 +2 *7406:DIODE 0 +3 *39444:A 0.00016428 +4 *311:49 0.0010637 +5 *311:41 0.0027054 +6 *311:34 0.018939 +7 *311:33 0.0206974 +8 *311:22 0.00526278 +9 *311:19 0.00245216 +10 *311:13 0.00198869 +11 *39444:A *1648:11 0 +12 *39444:A *3060:15 0 +13 *39444:A *3885:38 0 +14 *311:13 la_oenb_core[30] 0 +15 *311:13 *1016:156 0 +16 *311:13 *1023:92 0 +17 *311:13 *2484:46 0 +18 *311:13 *2485:53 0 +19 *311:13 *2681:10 0 +20 *311:13 *2758:73 0 +21 *311:13 *4673:37 0 +22 *311:13 *4951:43 0 +23 *311:19 *39810:A 0 +24 *311:19 *2521:21 0 +25 *311:19 *2521:23 0 +26 *311:22 *325:22 0 +27 *311:22 *1023:78 0 +28 *311:22 *2453:38 0 +29 *311:33 *325:42 0 +30 *311:33 *339:23 0 +31 *311:33 *1009:92 0 +32 *311:33 *1494:37 0 +33 *311:33 *2193:46 0 +34 *311:33 *2531:28 0 +35 *311:33 *2598:28 0 +36 *311:33 *2759:28 0 +37 *311:33 *2759:47 0 +38 *311:33 *2881:31 0 +39 *311:33 *2890:42 0 +40 *311:33 *5039:28 0 +41 *311:33 *5039:38 0 +42 *311:34 *37927:A 0 +43 *311:34 *37933:A 0 +44 *311:34 *39696:A 0 +45 *311:34 *39699:A 0 +46 *311:34 *39844:A 0 +47 *311:34 *39946:A 0 +48 *311:34 *337:36 0 +49 *311:34 *338:82 0 +50 *311:34 *1926:47 0 +51 *311:34 *2511:5 0 +52 *311:34 *2511:11 0 +53 *311:34 *2559:21 0 +54 *311:34 *2702:27 0 +55 *311:34 *2786:11 0 +56 *311:34 *2786:13 0 +57 *311:34 *2788:9 0 +58 *311:34 *2892:51 0 +59 *311:34 *2900:32 0 +60 *311:34 *4700:11 0 +61 *311:34 *4700:15 0 +62 *311:41 *5331:DIODE 0 +63 *311:41 *316:11 0 +64 *311:41 *1483:22 0 +65 *311:41 *1781:13 0 +66 *311:41 *2673:6 0 +67 *311:41 *2797:57 0 +68 *311:41 *2901:14 0 +69 *311:41 *4642:10 0 +70 *311:49 *37343:A 0 +71 *311:49 *1772:14 0 +72 *311:49 *1780:29 0 +73 *311:49 *3378:51 0 +74 *311:49 *3609:17 0 +75 *311:49 *3883:47 0 +76 la_data_in_core[30] *311:13 0 +77 *298:11 *311:33 0 +*RES +1 la_data_out_core[30] *311:13 40.625 +2 *311:13 *311:19 24.8393 +3 *311:19 *311:22 39.9464 +4 *311:22 *311:33 44.536 +5 *311:33 *311:34 357.446 +6 *311:34 *311:41 45.5714 +7 *311:41 *311:49 33.8036 +8 *311:49 *39444:A 12.7286 +9 *311:49 *7406:DIODE 9.3 +*END + +*D_NET *312 0.0570582 +*CONN +*P la_data_out_core[31] I +*I *7407:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39445:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[31] 0.00243017 +2 *7407:DIODE 0 +3 *39445:A 0.000248402 +4 *312:41 0.000785994 +5 *312:38 0.0216005 +6 *312:37 0.0210629 +7 *312:35 0.00217294 +8 *312:25 0.00423778 +9 *312:21 0.00207703 +10 *312:16 0.00244236 +11 *39445:A *39445:B 0 +12 *39445:A *1478:10 0 +13 *39445:A *2787:6 0 +14 *312:16 *38199:A 0 +15 *312:16 *901:8 0 +16 *312:16 *1005:86 0 +17 *312:16 *1016:156 0 +18 *312:16 *1023:92 0 +19 *312:16 *1026:50 0 +20 *312:16 *1028:46 0 +21 *312:16 *2681:10 0 +22 *312:16 *4670:51 0 +23 *312:16 *4675:14 0 +24 *312:25 *2452:22 0 +25 *312:25 *2521:23 0 +26 *312:35 *7641:DIODE 0 +27 *312:35 *1005:76 0 +28 *312:35 *1028:44 0 +29 *312:35 *1377:26 0 +30 *312:35 *1497:49 0 +31 *312:35 *1940:18 0 +32 *312:35 *2484:17 0 +33 *312:35 *2648:20 0 +34 *312:35 *2889:24 0 +35 *312:35 *2889:42 0 +36 *312:35 *4673:10 0 +37 *312:38 *37763:A 0 +38 *312:38 *37805:A 0 +39 *312:38 *39928:A 0 +40 *312:38 *39945:A 0 +41 *312:38 *387:20 0 +42 *312:38 *1301:15 0 +43 *312:38 *1364:27 0 +44 *312:38 *1918:20 0 +45 *312:38 *2454:26 0 +46 *312:38 *2502:25 0 +47 *312:38 *2502:27 0 +48 *312:38 *2767:15 0 +49 *312:38 *2799:11 0 +50 *312:38 *5064:7 0 +51 *312:41 *1478:10 0 +52 *312:41 *2787:6 0 +53 la_data_in_core[31] *312:16 0 +54 *294:68 *39445:A 0 +55 *310:23 *312:38 0 +*RES +1 la_data_out_core[31] *312:16 49.9749 +2 *312:16 *312:21 8.18679 +3 *312:21 *312:25 47.6161 +4 *312:25 *312:35 46.1068 +5 *312:35 *312:37 4.5 +6 *312:37 *312:38 439.589 +7 *312:38 *312:41 16.7679 +8 *312:41 *39445:A 19.0679 +9 *312:41 *7407:DIODE 13.8 +*END + +*D_NET *313 0.0628712 +*CONN +*P la_data_out_core[32] I +*I *7408:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39446:A I *D sky130_fd_sc_hd__nand2_2 +*CAP +1 la_data_out_core[32] 6.92145e-05 +2 *7408:DIODE 0 +3 *39446:A 0.000260538 +4 *313:39 0.00203824 +5 *313:33 0.00502627 +6 *313:32 0.00324857 +7 *313:30 0.022224 +8 *313:29 0.0239178 +9 *313:12 0.00385553 +10 *313:9 0.00223095 +11 *39446:A *39446:B 0 +12 *39446:A *3382:12 0 +13 *313:12 *322:12 0 +14 *313:29 *322:12 0 +15 *313:30 *320:18 0 +16 *313:30 *322:20 0 +17 *313:33 mprj_adr_o_user[9] 0 +18 *313:33 *37887:A 0 +19 *313:33 *39371:A 0 +20 *313:33 *1031:7 0 +21 *313:33 *1342:18 0 +22 *313:33 *1478:10 0 +23 *313:33 *1486:14 0 +24 *313:33 *2673:40 0 +25 *313:33 *4646:10 0 +26 *313:39 *39446:B 0 +27 *313:39 *1478:10 0 +28 *313:39 *1485:34 0 +29 *313:39 *2572:12 0 +30 *313:39 *2952:20 0 +31 *313:39 *3628:45 0 +32 *313:39 *3880:40 0 +33 *313:39 *4420:54 0 +34 *313:39 *4537:23 0 +35 *297:10 *313:30 0 +36 *303:10 *313:30 0 +37 *303:13 *313:33 0 +38 *303:13 *313:39 0 +39 *305:12 *313:30 0 +*RES +1 la_data_out_core[32] *313:9 6.07143 +2 *313:9 *313:12 45.1161 +3 *313:12 *313:29 41.1623 +4 *313:29 *313:30 463.821 +5 *313:30 *313:32 4.5 +6 *313:32 *313:33 73.7411 +7 *313:33 *313:39 44.8839 +8 *313:39 *39446:A 15.2107 +9 *313:39 *7408:DIODE 9.3 +*END + +*D_NET *314 0.0561971 +*CONN +*P la_data_out_core[33] I +*I *7409:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39447:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[33] 0.000993116 +2 *7409:DIODE 0 +3 *39447:A 0.000144601 +4 *314:25 0.000758466 +5 *314:20 0.0240873 +6 *314:19 0.026347 +7 *314:10 0.00386665 +8 *39447:A *1479:11 0 +9 *39447:A *2789:21 0 +10 *314:10 *39201:A 0 +11 *314:10 *345:20 0 +12 *314:10 *1031:47 0 +13 *314:19 *37801:A 0 +14 *314:19 *39201:A 0 +15 *314:19 *39482:B 0 +16 *314:19 *317:10 0 +17 *314:19 *326:14 0 +18 *314:19 *1814:19 0 +19 *314:19 *1953:51 0 +20 *314:19 *2187:11 0 +21 *314:19 *2539:46 0 +22 *314:19 *2743:42 0 +23 *314:19 *2867:11 0 +24 *314:19 *4965:8 0 +25 *314:19 *5135:52 0 +26 *314:20 *317:10 0 +27 *314:20 *318:28 0 +28 *314:20 *318:46 0 +29 *314:20 *318:60 0 +30 *314:20 *342:50 0 +31 *314:20 *346:66 0 +32 *314:20 *346:78 0 +33 *314:20 *1027:10 0 +34 *314:20 *1029:18 0 +35 *314:20 *1029:49 0 +36 *314:20 *4933:20 0 +37 *314:25 *2776:10 0 +38 *314:25 *2781:6 0 +39 la_data_in_core[33] *314:10 0 +*RES +1 la_data_out_core[33] *314:10 31.0714 +2 *314:10 *314:19 48.2777 +3 *314:19 *314:20 85.0136 +4 *314:20 *314:25 21.8475 +5 *314:25 *39447:A 12.3179 +6 *314:25 *7409:DIODE 9.3 +*END + +*D_NET *315 0.0544137 +*CONN +*P la_data_out_core[34] I +*I *7410:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39448:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[34] 0.00103238 +2 *7410:DIODE 0.000104386 +3 *39448:A 0 +4 *315:35 0.00137628 +5 *315:24 0.0225034 +6 *315:22 0.0220895 +7 *315:17 0.00356674 +8 *315:10 0.00374106 +9 *7410:DIODE *3631:37 0 +10 *7410:DIODE *3883:41 0 +11 *315:10 *345:20 0 +12 *315:10 *1024:93 0 +13 *315:10 *2878:41 0 +14 *315:10 *4954:26 0 +15 *315:17 *317:10 0 +16 *315:17 *318:28 0 +17 *315:17 *346:36 0 +18 *315:17 *1031:47 0 +19 *315:17 *1299:24 0 +20 *315:17 *1940:47 0 +21 *315:17 *2344:59 0 +22 *315:17 *2628:10 0 +23 *315:17 *2751:42 0 +24 *315:22 *319:12 0 +25 *315:22 *1029:70 0 +26 *315:22 *1029:83 0 +27 *315:22 *3566:51 0 +28 *315:24 *40028:A 0 +29 *315:24 *318:28 0 +30 *315:24 *318:46 0 +31 *315:24 *318:60 0 +32 *315:24 *319:12 0 +33 *315:24 *346:66 0 +34 *315:24 *346:78 0 +35 *315:24 *1029:18 0 +36 *315:24 *1029:25 0 +37 *315:24 *1029:49 0 +38 *315:24 *1029:70 0 +39 *315:24 *1390:22 0 +40 *315:24 *1529:46 0 +41 *315:24 *1917:31 0 +42 *315:24 *1930:33 0 +43 *315:24 *1944:19 0 +44 *315:24 *2198:43 0 +45 *315:24 *2198:44 0 +46 *315:24 *5136:65 0 +47 *315:35 *327:25 0 +48 *315:35 *1366:12 0 +49 *315:35 *1482:15 0 +50 *315:35 *4664:33 0 +51 la_data_in_core[34] *315:10 0 +52 *300:10 *315:24 0 +53 *309:19 *315:35 0 +*RES +1 la_data_out_core[34] *315:10 31.8929 +2 *315:10 *315:17 46.8224 +3 *315:17 *315:22 6.52923 +4 *315:22 *315:24 76.9061 +5 *315:24 *315:35 45.5975 +6 *315:35 *39448:A 9.3 +7 *315:35 *7410:DIODE 11.4786 +*END + +*D_NET *316 0.0553011 +*CONN +*P la_data_out_core[35] I +*I *7411:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39449:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[35] 8.96642e-05 +2 *7411:DIODE 0 +3 *39449:A 0.00016428 +4 *316:13 0.00016428 +5 *316:11 0.00269295 +6 *316:10 0.00269295 +7 *316:8 0.0247036 +8 *316:7 0.0247933 +9 *39449:A *1479:11 0 +10 *39449:A *1653:11 0 +11 *39449:A *2789:21 0 +12 *316:7 la_oenb_core[35] 0 +13 *316:8 *324:8 0 +14 *316:8 *334:8 0 +15 *316:8 *335:8 0 +16 *316:8 *1003:8 0 +17 *316:8 *2662:20 0 +18 *316:11 mprj_adr_o_user[15] 0 +19 *316:11 mprj_dat_o_user[14] 0 +20 *316:11 *2673:6 0 +21 la_data_in_core[35] *316:7 0 +22 *307:13 *316:11 0 +23 *308:8 *316:8 0 +24 *311:41 *316:11 0 +*RES +1 la_data_out_core[35] *316:7 6.53571 +2 *316:7 *316:8 515.571 +3 *316:8 *316:10 4.5 +4 *316:10 *316:11 61.1429 +5 *316:11 *316:13 4.5 +6 *316:13 *39449:A 12.7286 +7 *316:13 *7411:DIODE 9.3 +*END + +*D_NET *317 0.0592291 +*CONN +*P la_data_out_core[36] I +*I *7412:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39450:A I *D sky130_fd_sc_hd__nand2_2 +*CAP +1 la_data_out_core[36] 0.000129384 +2 *7412:DIODE 0 +3 *39450:A 0.000184815 +4 *317:20 0.00111375 +5 *317:15 0.00234696 +6 *317:10 0.0262247 +7 *317:9 0.0248066 +8 *317:7 0.00214677 +9 *317:5 0.00227615 +10 *39450:A *1782:14 0 +11 *39450:A *1786:19 0 +12 *39450:A *3149:25 0 +13 *317:7 *38948:A 0 +14 *317:7 *2344:51 0 +15 *317:7 *2517:10 0 +16 *317:7 *2519:8 0 +17 *317:7 *2522:23 0 +18 *317:7 *2570:27 0 +19 *317:7 *2579:16 0 +20 *317:7 *2656:8 0 +21 *317:7 *2664:11 0 +22 *317:7 *4672:30 0 +23 *317:7 *4963:57 0 +24 *317:7 *4965:24 0 +25 *317:7 *5108:16 0 +26 *317:7 *5110:20 0 +27 *317:10 *318:28 0 +28 *317:10 *326:14 0 +29 *317:10 *339:35 0 +30 *317:10 *342:61 0 +31 *317:10 *346:36 0 +32 *317:10 *346:66 0 +33 *317:10 *1018:20 0 +34 *317:10 *1027:10 0 +35 *317:10 *1029:83 0 +36 *317:10 *2743:42 0 +37 *317:15 *5831:DIODE 0 +38 *317:15 *37771:A 0 +39 *317:15 *1362:8 0 +40 *317:15 *1483:22 0 +41 *317:15 *1789:22 0 +42 *317:15 *2898:10 0 +43 *317:15 *4608:12 0 +44 *317:15 *5064:10 0 +45 *317:20 *5831:DIODE 0 +46 *317:20 *5833:DIODE 0 +47 *317:20 *4161:27 0 +48 *317:20 *4177:16 0 +49 *317:20 *4190:40 0 +50 *317:20 *4424:37 0 +51 *317:20 *4424:55 0 +52 *317:20 *4430:50 0 +53 *317:20 *4433:56 0 +54 *317:20 *4604:30 0 +55 *317:20 *4811:26 0 +56 la_data_in_core[36] *317:7 0 +57 *314:19 *317:10 0 +58 *314:20 *317:10 0 +59 *315:17 *317:10 0 +*RES +1 la_data_out_core[36] *317:5 2.9375 +2 *317:5 *317:7 48.6964 +3 *317:7 *317:9 3.41 +4 *317:9 *317:10 89.8421 +5 *317:10 *317:15 35.6421 +6 *317:15 *317:20 25.6518 +7 *317:20 *39450:A 13.2821 +8 *317:20 *7412:DIODE 9.3 +*END + +*D_NET *318 0.0534926 +*CONN +*P la_data_out_core[37] I +*I *7413:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39451:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[37] 0.00188576 +2 *7413:DIODE 0 +3 *39451:A 0.000204495 +4 *318:63 0.000911898 +5 *318:60 0.00797993 +6 *318:46 0.0140863 +7 *318:28 0.0166761 +8 *318:7 0.0117481 +9 *39451:A *39451:B 0 +10 *39451:A *1486:37 0 +11 *39451:A *2908:15 0 +12 *318:7 la_oenb_core[37] 0 +13 *318:7 *37781:A 0 +14 *318:7 *38950:A 0 +15 *318:7 *39802:A 0 +16 *318:7 *3324:48 0 +17 *318:7 *4674:20 0 +18 *318:7 *5135:38 0 +19 *318:28 *326:14 0 +20 *318:28 *342:50 0 +21 *318:28 *346:36 0 +22 *318:28 *346:66 0 +23 *318:28 *1029:70 0 +24 *318:28 *1029:83 0 +25 *318:28 *1029:84 0 +26 *318:28 *1390:22 0 +27 *318:28 *1906:35 0 +28 *318:28 *1944:19 0 +29 *318:28 *3324:48 0 +30 *318:28 *5142:16 0 +31 *318:46 *1029:49 0 +32 *318:46 *1029:70 0 +33 *318:46 *2890:42 0 +34 *318:46 *4933:20 0 +35 *318:60 *319:12 0 +36 *318:60 *1029:18 0 +37 *318:63 *37547:A 0 +38 *318:63 *1369:24 0 +39 *318:63 *3579:62 0 +40 la_data_in_core[37] *318:7 0 +41 *314:20 *318:28 0 +42 *314:20 *318:46 0 +43 *314:20 *318:60 0 +44 *315:17 *318:28 0 +45 *315:24 *318:28 0 +46 *315:24 *318:46 0 +47 *315:24 *318:60 0 +48 *317:10 *318:28 0 +*RES +1 la_data_out_core[37] *318:7 42.7946 +2 *318:7 *318:28 46.913 +3 *318:28 *318:46 41.1197 +4 *318:46 *318:60 38.2348 +5 *318:60 *318:63 20.5625 +6 *318:63 *39451:A 13.5679 +7 *318:63 *7413:DIODE 9.3 +*END + +*D_NET *319 0.0543384 +*CONN +*P la_data_out_core[38] I +*I *7414:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39452:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[38] 0.00232852 +2 *7414:DIODE 0 +3 *39452:A 0.000208773 +4 *319:17 0.000622093 +5 *319:12 0.0236777 +6 *319:10 0.0242186 +7 *319:5 0.00328277 +8 *39452:A *39452:B 0 +9 *39452:A *1483:36 0 +10 *39452:A *1483:37 0 +11 *39452:A *1784:12 0 +12 *319:5 *37823:A 0 +13 *319:5 *346:29 0 +14 *319:5 *1013:64 0 +15 *319:5 *1689:11 0 +16 *319:5 *2545:16 0 +17 *319:5 *2561:12 0 +18 *319:5 *2744:22 0 +19 *319:5 *4973:10 0 +20 *319:5 *5120:30 0 +21 *319:10 *2220:18 0 +22 *319:10 *2879:20 0 +23 *319:10 *3566:51 0 +24 *319:12 *8551:DIODE 0 +25 *319:12 *1029:18 0 +26 *319:12 *1029:25 0 +27 *319:12 *1029:49 0 +28 *319:12 *1029:70 0 +29 *319:12 *1295:31 0 +30 *319:12 *1499:26 0 +31 *319:12 *1917:31 0 +32 *319:12 *1930:47 0 +33 *319:12 *2198:43 0 +34 *319:12 *2198:44 0 +35 *319:12 *2767:26 0 +36 *319:12 *2857:42 0 +37 *319:12 *2879:20 0 +38 *319:12 *3195:26 0 +39 *319:12 *3552:51 0 +40 *319:12 *3566:51 0 +41 *319:12 *3834:21 0 +42 *319:12 *3834:35 0 +43 *319:17 *1352:8 0 +44 *319:17 *5050:18 0 +45 la_data_in_core[38] *319:5 0 +46 *299:24 *319:12 0 +47 *300:10 *319:12 0 +48 *315:22 *319:12 0 +49 *315:24 *319:12 0 +50 *318:60 *319:12 0 +*RES +1 la_data_out_core[38] *319:5 52.8839 +2 *319:5 *319:10 6.88957 +3 *319:10 *319:12 84.2569 +4 *319:12 *319:17 17.2939 +5 *319:17 *39452:A 13.8625 +6 *319:17 *7414:DIODE 9.3 +*END + +*D_NET *320 0.0431013 +*CONN +*P la_data_out_core[39] I +*I *39453:A I *D sky130_fd_sc_hd__nand2_1 +*I *7415:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[39] 8.73046e-05 +2 *39453:A 8.55626e-05 +3 *7415:DIODE 0.000112415 +4 *320:21 0.00297069 +5 *320:20 0.00277271 +6 *320:18 0.00888824 +7 *320:16 0.00890878 +8 *320:14 0.00312603 +9 *320:12 0.00312603 +10 *320:10 0.00647837 +11 *320:9 0.00654514 +12 *7415:DIODE *1520:16 0 +13 *7415:DIODE *2761:26 0 +14 *7415:DIODE *4620:14 0 +15 *39453:A *39453:B 0 +16 *39453:A *1392:22 0 +17 *39453:A *1484:46 0 +18 *39453:A *1785:10 0 +19 *320:10 *322:12 0 +20 *320:10 *341:10 0 +21 *320:10 *341:14 0 +22 *320:12 *322:18 0 +23 *320:14 *322:12 0 +24 *320:14 *322:18 0 +25 *320:14 *322:20 0 +26 *320:14 *341:14 0 +27 *320:14 *341:26 0 +28 *320:18 *322:20 0 +29 *320:21 *2514:16 0 +30 *320:21 *2530:73 0 +31 *320:21 *2578:46 0 +32 *320:21 *2761:26 0 +33 *320:21 *4620:14 0 +34 *320:21 *5053:10 0 +35 la_data_in_core[0] *320:21 0 +36 *260:11 *320:21 0 +37 *297:10 *320:18 0 +38 *302:10 *320:14 0 +39 *302:10 *320:18 0 +40 *304:19 *320:14 0 +41 *313:30 *320:18 0 +*RES +1 la_data_out_core[39] *320:9 6.48214 +2 *320:9 *320:10 134.839 +3 *320:10 *320:12 0.428571 +4 *320:12 *320:14 64.8125 +5 *320:14 *320:16 0.428571 +6 *320:16 *320:18 185.562 +7 *320:18 *320:20 4.5 +8 *320:20 *320:21 62.9643 +9 *320:21 *7415:DIODE 16.3536 +10 *320:21 *39453:A 15.5857 +*END + +*D_NET *321 0.0231892 +*CONN +*P la_data_out_core[3] I +*I *7416:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39454:A I *D sky130_fd_sc_hd__nand2_2 +*CAP +1 la_data_out_core[3] 0.00188729 +2 *7416:DIODE 0 +3 *39454:A 0.000169684 +4 *321:13 0.00271514 +5 *321:12 0.00254546 +6 *321:10 0.00699218 +7 *321:9 0.00887947 +8 *39454:A *37948:A_N 0 +9 *39454:A *1294:9 0 +10 *39454:A *1786:11 0 +11 *39454:A *2364:30 0 +12 *39454:A *4802:16 0 +13 *321:9 la_oenb_core[3] 0 +14 *321:9 *7805:DIODE 0 +15 *321:9 *330:15 0 +16 *321:9 *1371:23 0 +17 *321:9 *2497:12 0 +18 *321:9 *2891:12 0 +19 *321:10 *332:33 0 +20 *321:10 *354:14 0 +21 *321:10 *1374:11 0 +22 *321:10 *2775:11 0 +23 *321:10 *2896:11 0 +24 *321:10 *5047:9 0 +25 *321:13 *5613:DIODE 0 +26 *321:13 *6281:DIODE 0 +27 *321:13 *37768:B 0 +28 *321:13 *37948:A_N 0 +29 *321:13 *38192:B 0 +30 *321:13 *1356:10 0 +31 *321:13 *1783:10 0 +32 *321:13 *2364:30 0 +33 *321:13 *2790:10 0 +34 *321:13 *3378:24 0 +35 *321:13 *3378:51 0 +36 *321:13 *3597:60 0 +37 *321:13 *4147:14 0 +38 *321:13 *4180:99 0 +39 *321:13 *4187:16 0 +40 *321:13 *4213:20 0 +*RES +1 la_data_out_core[3] *321:9 47.3571 +2 *321:9 *321:10 145.929 +3 *321:10 *321:12 4.5 +4 *321:12 *321:13 57.8036 +5 *321:13 *39454:A 17.425 +6 *321:13 *7416:DIODE 13.8 +*END + +*D_NET *322 0.0444995 +*CONN +*P la_data_out_core[40] I +*I *39455:A I *D sky130_fd_sc_hd__nand2_1 +*I *7417:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[40] 0.000210986 +2 *39455:A 0 +3 *7417:DIODE 0.000145267 +4 *322:35 0.000887631 +5 *322:27 0.00298283 +6 *322:25 0.00419347 +7 *322:20 0.011284 +8 *322:18 0.00985039 +9 *322:12 0.00762662 +10 *322:11 0.00731824 +11 *7417:DIODE *1787:11 0 +12 *7417:DIODE *1793:20 0 +13 *7417:DIODE *3872:11 0 +14 *7417:DIODE *3872:13 0 +15 *7417:DIODE *4154:50 0 +16 *322:12 *341:10 0 +17 *322:20 *341:26 0 +18 *322:25 la_oenb_core[4] 0 +19 *322:25 *5865:DIODE 0 +20 *322:25 *7808:DIODE 0 +21 *322:25 *37941:A 0 +22 *322:25 *38974:A 0 +23 *322:25 *39219:A 0 +24 *322:25 *39742:A 0 +25 *322:25 *332:15 0 +26 *322:25 *1016:69 0 +27 *322:25 *2454:30 0 +28 *322:25 *2498:14 0 +29 *322:25 *2518:42 0 +30 *322:25 *2559:39 0 +31 *322:25 *2643:34 0 +32 *322:25 *2663:40 0 +33 *322:25 *5042:25 0 +34 *322:25 *5063:10 0 +35 *322:27 *37549:A 0 +36 *322:27 *37761:A 0 +37 *322:27 *38202:A 0 +38 *322:27 *376:21 0 +39 *322:27 *376:33 0 +40 *322:27 *1499:26 0 +41 *322:27 *1526:8 0 +42 *322:27 *2454:26 0 +43 *322:27 *2454:30 0 +44 *322:27 *2763:11 0 +45 *322:27 *3051:36 0 +46 *322:27 *3353:42 0 +47 *322:27 *5063:10 0 +48 *322:35 *6295:DIODE 0 +49 *322:35 *376:33 0 +50 *322:35 *1284:24 0 +51 *322:35 *1793:20 0 +52 *322:35 *3455:58 0 +53 *322:35 *4154:50 0 +54 *322:35 *4442:48 0 +55 la_data_in_core[40] *322:11 0 +56 *294:35 *322:27 0 +57 *304:19 *322:20 0 +58 *305:12 *322:12 0 +59 *305:12 *322:18 0 +60 *313:12 *322:12 0 +61 *313:29 *322:12 0 +62 *313:30 *322:20 0 +63 *320:10 *322:12 0 +64 *320:12 *322:18 0 +65 *320:14 *322:12 0 +66 *320:14 *322:18 0 +67 *320:14 *322:20 0 +68 *320:18 *322:20 0 +*RES +1 la_data_out_core[40] *322:11 9.92857 +2 *322:11 *322:12 148.393 +3 *322:12 *322:18 10.8393 +4 *322:18 *322:20 194.804 +5 *322:20 *322:25 48.8125 +6 *322:25 *322:27 50.8839 +7 *322:27 *322:35 17.0179 +8 *322:35 *7417:DIODE 17.0143 +9 *322:35 *39455:A 13.8 +*END + +*D_NET *323 0.0513697 +*CONN +*P la_data_out_core[41] I +*I *7418:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39456:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[41] 0.000100676 +2 *7418:DIODE 0 +3 *39456:A 0.000195633 +4 *323:16 0.000277345 +5 *323:13 0.00304645 +6 *323:12 0.00296474 +7 *323:10 0.0223421 +8 *323:9 0.0224428 +9 *39456:A *2761:8 0 +10 *39456:A *2908:23 0 +11 *39456:A *3586:52 0 +12 *323:10 *324:8 0 +13 *323:10 *329:10 0 +14 *323:10 *330:10 0 +15 *323:13 mprj_adr_o_user[26] 0 +16 *323:13 mprj_dat_o_user[25] 0 +17 *323:13 *39293:A 0 +18 *323:13 *39358:A 0 +19 *323:13 *39945:A 0 +20 *323:13 *1018:11 0 +21 *323:13 *2536:20 0 +22 *323:13 *2787:12 0 +23 *323:13 *5054:32 0 +24 *323:13 *5054:34 0 +25 *323:16 *3586:52 0 +26 la_data_in_core[41] *323:9 0 +27 *303:10 *323:10 0 +*RES +1 la_data_out_core[41] *323:9 6.78571 +2 *323:9 *323:10 466.286 +3 *323:10 *323:12 4.5 +4 *323:12 *323:13 67.2143 +5 *323:13 *323:16 6.26786 +6 *323:16 *39456:A 22.4429 +7 *323:16 *7418:DIODE 9.3 +*END + +*D_NET *324 0.0550189 +*CONN +*P la_data_out_core[42] I +*I *7419:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39457:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[42] 4.95513e-05 +2 *7419:DIODE 0 +3 *39457:A 0.000181982 +4 *324:13 0.000181982 +5 *324:11 0.00310563 +6 *324:10 0.00310563 +7 *324:8 0.0241723 +8 *324:7 0.0242218 +9 *39457:A *2911:17 0 +10 *39457:A *3586:68 0 +11 *39457:A *4402:27 0 +12 *324:7 la_oenb_core[42] 0 +13 *324:8 *329:10 0 +14 *324:8 *335:8 0 +15 *324:11 mprj_adr_o_user[23] 0 +16 *324:11 mprj_dat_o_user[22] 0 +17 *324:11 *39355:A 0 +18 *324:11 *39786:A 0 +19 *324:11 *1015:28 0 +20 *324:11 *1278:10 0 +21 *324:11 *1357:18 0 +22 *324:11 *2608:8 0 +23 *324:11 *2609:22 0 +24 *324:11 *2612:32 0 +25 *324:11 *2675:19 0 +26 *324:11 *2762:10 0 +27 *324:11 *2788:8 0 +28 *324:11 *3573:50 0 +29 la_data_in_core[42] *324:7 0 +30 *303:10 *324:8 0 +31 *306:8 *324:8 0 +32 *308:8 *324:8 0 +33 *316:8 *324:8 0 +34 *323:10 *324:8 0 +*RES +1 la_data_out_core[42] *324:7 5.625 +2 *324:7 *324:8 504.482 +3 *324:8 *324:10 4.5 +4 *324:10 *324:11 70.5536 +5 *324:11 *324:13 4.5 +6 *324:13 *39457:A 22.1571 +7 *324:13 *7419:DIODE 9.3 +*END + +*D_NET *325 0.0496135 +*CONN +*P la_data_out_core[43] I +*I *7420:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39458:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[43] 0.0014651 +2 *7420:DIODE 0 +3 *39458:A 0.000124066 +4 *325:53 0.00133272 +5 *325:48 0.0109052 +6 *325:46 0.0113043 +7 *325:42 0.00295802 +8 *325:34 0.00381125 +9 *325:22 0.00770167 +10 *325:21 0.00689337 +11 *325:15 0.00311776 +12 *39458:A *1666:13 0 +13 *39458:A *3169:29 0 +14 *325:15 la_oenb_core[43] 0 +15 *325:15 *5620:DIODE 0 +16 *325:15 *346:18 0 +17 *325:15 *1285:18 0 +18 *325:15 *2851:22 0 +19 *325:15 *3799:12 0 +20 *325:15 *4681:21 0 +21 *325:15 *4683:10 0 +22 *325:15 *4973:26 0 +23 *325:15 *4974:14 0 +24 *325:21 *39206:A 0 +25 *325:21 *346:29 0 +26 *325:21 *1013:64 0 +27 *325:21 *2681:5 0 +28 *325:22 *346:30 0 +29 *325:22 *1011:174 0 +30 *325:22 *1013:64 0 +31 *325:22 *1023:78 0 +32 *325:22 *1024:94 0 +33 *325:34 *1005:86 0 +34 *325:34 *1028:46 0 +35 *325:34 *1494:37 0 +36 *325:34 *2541:11 0 +37 *325:34 *2541:15 0 +38 *325:34 *2610:37 0 +39 *325:34 *2692:16 0 +40 *325:34 *2751:22 0 +41 *325:34 *4946:10 0 +42 *325:42 *39867:A 0 +43 *325:42 *339:23 0 +44 *325:42 *2739:20 0 +45 *325:42 *2744:9 0 +46 *325:42 *5071:21 0 +47 *325:46 *1377:26 0 +48 *325:46 *2698:5 0 +49 *325:46 *2702:9 0 +50 *325:46 *2744:9 0 +51 *325:46 *5071:21 0 +52 *325:48 *1375:29 0 +53 *325:48 *1375:40 0 +54 *325:48 *1513:25 0 +55 *325:48 *2698:5 0 +56 *325:48 *2702:9 0 +57 *325:48 *2785:15 0 +58 *325:48 *2895:11 0 +59 *325:53 *2455:20 0 +60 *325:53 *2489:8 0 +61 *325:53 *2499:24 0 +62 la_data_in_core[43] *325:15 0 +63 *294:26 *325:48 0 +64 *311:22 *325:22 0 +65 *311:33 *325:42 0 +*RES +1 la_data_out_core[43] *325:15 45.4643 +2 *325:15 *325:21 43.625 +3 *325:21 *325:22 109.375 +4 *325:22 *325:34 45.8734 +5 *325:34 *325:42 37.9821 +6 *325:42 *325:46 33.6161 +7 *325:46 *325:48 202.402 +8 *325:48 *325:53 36.4464 +9 *325:53 *39458:A 11.8893 +10 *325:53 *7420:DIODE 9.3 +*END + +*D_NET *326 0.0457946 +*CONN +*P la_data_out_core[44] I +*I *7421:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39459:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[44] 0.00117039 +2 *7421:DIODE 0 +3 *39459:A 0.000124066 +4 *326:19 0.000858093 +5 *326:14 0.0202674 +6 *326:13 0.0208688 +7 *326:10 0.00250585 +8 *39459:A *1499:15 0 +9 *39459:A *3573:31 0 +10 *326:10 *39212:A 0 +11 *326:10 *345:20 0 +12 *326:10 *4971:18 0 +13 *326:10 *4979:10 0 +14 *326:13 *39863:A 0 +15 *326:13 *1285:18 0 +16 *326:13 *2274:15 0 +17 *326:13 *2542:36 0 +18 *326:13 *2695:24 0 +19 *326:13 *2851:22 0 +20 *326:13 *4683:10 0 +21 *326:14 *328:26 0 +22 *326:14 *328:34 0 +23 *326:14 *342:61 0 +24 *326:14 *346:66 0 +25 *326:14 *1018:20 0 +26 *326:14 *1029:84 0 +27 *326:14 *1917:13 0 +28 *326:14 *2225:27 0 +29 *326:14 *2254:38 0 +30 *326:14 *2699:26 0 +31 *326:14 *2743:42 0 +32 *326:14 *2861:17 0 +33 *326:19 *1371:10 0 +34 *326:19 *1380:29 0 +35 *326:19 *2770:17 0 +36 *326:19 *3836:40 0 +37 la_data_in_core[44] *326:10 0 +38 *314:19 *326:14 0 +39 *317:10 *326:14 0 +40 *318:28 *326:14 0 +*RES +1 la_data_out_core[44] *326:10 34.7679 +2 *326:10 *326:13 33.7404 +3 *326:13 *326:14 70.7444 +4 *326:14 *326:19 24.5796 +5 *326:19 *39459:A 11.8893 +6 *326:19 *7421:DIODE 9.3 +*END + +*D_NET *327 0.0662279 +*CONN +*P la_data_out_core[45] I +*I *7422:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39460:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[45] 0.00119253 +2 *7422:DIODE 0.000104386 +3 *39460:A 0 +4 *327:27 0.000104386 +5 *327:25 0.00223114 +6 *327:24 0.00223114 +7 *327:22 0.0266374 +8 *327:21 0.0266374 +9 *327:19 0.00294851 +10 *327:12 0.00414104 +11 *7422:DIODE *1487:25 0 +12 *7422:DIODE *4396:19 0 +13 *327:12 *38959:A 0 +14 *327:12 *2451:44 0 +15 *327:12 *2851:33 0 +16 *327:12 *4687:19 0 +17 *327:12 *4687:24 0 +18 *327:12 *4973:30 0 +19 *327:12 *4976:12 0 +20 *327:19 *328:26 0 +21 *327:19 *336:52 0 +22 *327:19 *2270:21 0 +23 *327:19 *2276:11 0 +24 *327:19 *2846:8 0 +25 *327:19 *3161:70 0 +26 *327:19 *4679:14 0 +27 *327:19 *4683:10 0 +28 *327:19 *4684:30 0 +29 *327:19 *4685:13 0 +30 *327:22 *1018:44 0 +31 *327:22 *1022:10 0 +32 *327:22 *1027:10 0 +33 *327:22 *1027:39 0 +34 *327:22 *1027:63 0 +35 *327:22 *1494:33 0 +36 *327:22 *1943:23 0 +37 *327:22 *2197:52 0 +38 *327:25 *38162:B 0 +39 *327:25 *354:17 0 +40 *327:25 *1468:24 0 +41 *327:25 *1480:12 0 +42 *327:25 *1664:18 0 +43 *327:25 *2499:8 0 +44 *327:25 *4180:108 0 +45 *327:25 *4651:12 0 +46 *327:25 *4664:33 0 +47 *327:25 *4892:14 0 +48 la_data_in_core[45] *327:12 0 +49 *309:19 *327:25 0 +50 *315:35 *327:25 0 +*RES +1 la_data_out_core[45] *327:12 34.875 +2 *327:12 *327:19 49.9869 +3 *327:19 *327:21 3.41 +4 *327:21 *327:22 96.4722 +5 *327:22 *327:24 3.41 +6 *327:24 *327:25 50.6696 +7 *327:25 *327:27 4.5 +8 *327:27 *39460:A 9.3 +9 *327:27 *7422:DIODE 11.4786 +*END + +*D_NET *328 0.0490369 +*CONN +*P la_data_out_core[46] I +*I *7423:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39461:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[46] 0.00161394 +2 *7423:DIODE 0 +3 *39461:A 0.000136634 +4 *328:39 0.00174845 +5 *328:37 0.0024951 +6 *328:34 0.0106839 +7 *328:26 0.0189238 +8 *328:19 0.0104721 +9 *328:14 0.00296287 +10 *39461:A *3371:12 0 +11 *39461:A *3384:63 0 +12 *39461:A *5198:18 0 +13 *328:14 *346:18 0 +14 *328:14 *1010:74 0 +15 *328:14 *3804:14 0 +16 *328:14 *4964:9 0 +17 *328:14 *4974:27 0 +18 *328:14 *4974:32 0 +19 *328:14 *4976:12 0 +20 *328:19 *37987:A 0 +21 *328:19 *348:47 0 +22 *328:19 *353:19 0 +23 *328:19 *2254:38 0 +24 *328:19 *2278:16 0 +25 *328:19 *2281:15 0 +26 *328:19 *2548:16 0 +27 *328:19 *4979:10 0 +28 *328:26 *336:52 0 +29 *328:26 *336:72 0 +30 *328:26 *2254:38 0 +31 *328:26 *2259:26 0 +32 *328:26 *2273:26 0 +33 *328:26 *2743:42 0 +34 *328:34 *336:72 0 +35 *328:34 *1018:20 0 +36 *328:34 *1922:26 0 +37 *328:34 *2864:44 0 +38 *328:37 *343:7 0 +39 *328:37 *1300:60 0 +40 *328:37 *2769:12 0 +41 *328:37 *4985:26 0 +42 *328:39 *343:7 0 +43 *328:39 *1500:20 0 +44 *328:39 *2769:12 0 +45 *328:39 *3371:12 0 +46 *328:39 *3415:18 0 +47 *328:39 *3844:51 0 +48 *328:39 *5198:18 0 +49 la_data_in_core[46] *328:14 0 +50 *326:14 *328:26 0 +51 *326:14 *328:34 0 +52 *327:19 *328:26 0 +*RES +1 la_data_out_core[46] *328:14 48.4643 +2 *328:14 *328:19 38.5439 +3 *328:19 *328:26 33.0833 +4 *328:26 *328:34 38.9342 +5 *328:34 *328:37 20.0893 +6 *328:37 *328:39 36.7054 +7 *328:39 *39461:A 16.7107 +8 *328:39 *7423:DIODE 13.8 +*END + +*D_NET *329 0.0533837 +*CONN +*P la_data_out_core[47] I +*I *7424:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39462:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[47] 0.000114047 +2 *7424:DIODE 0.000153181 +3 *39462:A 0 +4 *329:17 0.00266228 +5 *329:15 0.00311825 +6 *329:10 0.0239155 +7 *329:9 0.0234204 +8 *7424:DIODE *39691:A 0 +9 *7424:DIODE *1383:33 0 +10 *7424:DIODE *3852:55 0 +11 *7424:DIODE *4385:73 0 +12 *329:10 *330:10 0 +13 *329:10 *335:8 0 +14 *329:15 mprj_adr_o_user[30] 0 +15 *329:15 mprj_dat_o_user[29] 0 +16 *329:15 *2666:63 0 +17 *329:17 *39458:B 0 +18 *329:17 *1028:31 0 +19 *329:17 *2489:8 0 +20 *329:17 *2499:24 0 +21 *329:17 *2543:32 0 +22 *329:17 *2586:26 0 +23 *329:17 *2775:11 0 +24 *329:17 *3547:30 0 +25 *329:17 *3614:66 0 +26 *329:17 *4402:26 0 +27 *329:17 *4668:14 0 +28 la_data_in_core[47] *329:9 0 +29 *323:10 *329:10 0 +30 *324:8 *329:10 0 +*RES +1 la_data_out_core[47] *329:9 7.08929 +2 *329:9 *329:10 486.411 +3 *329:10 *329:15 18.3661 +4 *329:15 *329:17 57.0446 +5 *329:17 *39462:A 13.8 +6 *329:17 *7424:DIODE 17.2286 +*END + +*D_NET *330 0.0488648 +*CONN +*P la_data_out_core[48] I +*I *39463:A I *D sky130_fd_sc_hd__nand2_1 +*I *7425:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[48] 3.30342e-05 +2 *39463:A 4.53482e-05 +3 *7425:DIODE 7.23213e-05 +4 *330:17 0.00120451 +5 *330:15 0.00294323 +6 *330:10 0.0231948 +7 *330:9 0.0213715 +8 *7425:DIODE *3548:50 0 +9 *7425:DIODE *3830:28 0 +10 *330:9 la_oenb_core[48] 0 +11 *330:10 *335:8 0 +12 *330:10 *341:10 0 +13 *330:15 *7805:DIODE 0 +14 *330:15 *39820:A 0 +15 *330:15 *1371:23 0 +16 *330:15 *2507:20 0 +17 *330:15 *2566:28 0 +18 *330:15 *2569:28 0 +19 *330:15 *2632:29 0 +20 *330:15 *5063:16 0 +21 *330:17 *1371:23 0 +22 *330:17 *2454:26 0 +23 *330:17 *2504:24 0 +24 *330:17 *2869:14 0 +25 *330:17 *2891:12 0 +26 *330:17 *3556:14 0 +27 *330:17 *3830:28 0 +28 la_data_in_core[3] *330:15 0 +29 la_data_in_core[48] *330:9 0 +30 *294:35 *330:17 0 +31 *303:10 *330:10 0 +32 *307:10 *330:10 0 +33 *309:12 *330:10 0 +34 *321:9 *330:15 0 +35 *323:10 *330:10 0 +36 *329:10 *330:10 0 +*RES +1 la_data_out_core[48] *330:9 5.25 +2 *330:9 *330:10 445.339 +3 *330:10 *330:15 46.6875 +4 *330:15 *330:17 24.7768 +5 *330:17 *7425:DIODE 15.4429 +6 *330:17 *39463:A 14.7464 +*END + +*D_NET *331 0.0420288 +*CONN +*P la_data_out_core[49] I +*I *39464:A I *D sky130_fd_sc_hd__nand2_1 +*I *7426:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[49] 0.000129777 +2 *39464:A 0 +3 *7426:DIODE 7.22033e-05 +4 *331:11 0.00306878 +5 *331:10 0.00299657 +6 *331:8 0.0178158 +7 *331:7 0.0179456 +8 *331:7 la_oenb_core[49] 0 +9 *331:8 *334:8 0 +10 *331:8 *1020:8 0 +11 *331:11 la_oenb_core[11] 0 +12 *331:11 *39842:A 0 +13 *331:11 *336:73 0 +14 *331:11 *1917:37 0 +15 *331:11 *1920:61 0 +16 *331:11 *1927:51 0 +17 *331:11 *2487:30 0 +18 *331:11 *2503:16 0 +19 *331:11 *2537:30 0 +20 *331:11 *2778:51 0 +21 la_data_in_core[49] *331:7 0 +22 *282:9 *331:11 0 +*RES +1 la_data_out_core[49] *331:7 7.44643 +2 *331:7 *331:8 371.821 +3 *331:8 *331:10 4.5 +4 *331:10 *331:11 68.125 +5 *331:11 *7426:DIODE 15.4429 +6 *331:11 *39464:A 13.8 +*END + +*D_NET *332 0.0400641 +*CONN +*P la_data_out_core[4] I +*I *7427:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39465:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[4] 0.00203931 +2 *7427:DIODE 0 +3 *39465:A 0.00018396 +4 *332:55 0.00176425 +5 *332:47 0.00338037 +6 *332:37 0.00447158 +7 *332:36 0.0026715 +8 *332:34 0.00805486 +9 *332:33 0.0085394 +10 *332:25 0.0024879 +11 *332:20 0.00321749 +12 *332:15 0.00325344 +13 *39465:A *39465:B 0 +14 *39465:A *2449:15 0 +15 *39465:A *2694:19 0 +16 *332:15 *7808:DIODE 0 +17 *332:15 *39866:A 0 +18 *332:15 *337:41 0 +19 *332:15 *2498:14 0 +20 *332:15 *2509:26 0 +21 *332:15 *2556:10 0 +22 *332:15 *2642:24 0 +23 *332:15 *2651:49 0 +24 *332:15 *2698:16 0 +25 *332:15 *2707:53 0 +26 *332:15 *2710:70 0 +27 *332:15 *2788:20 0 +28 *332:15 *4659:29 0 +29 *332:15 *4669:10 0 +30 *332:15 *5063:10 0 +31 *332:20 *354:14 0 +32 *332:20 *1371:23 0 +33 *332:20 *2780:17 0 +34 *332:25 *39936:A 0 +35 *332:25 *354:14 0 +36 *332:34 *37735:A 0 +37 *332:34 *39702:A 0 +38 *332:34 *354:14 0 +39 *332:34 *4712:9 0 +40 *332:37 *37526:B 0 +41 *332:37 *37889:A 0 +42 *332:37 *39447:B 0 +43 *332:37 *39563:A 0 +44 *332:37 *1162:11 0 +45 *332:37 *1481:19 0 +46 *332:37 *2360:8 0 +47 *332:37 *2798:8 0 +48 *332:37 *2906:8 0 +49 *332:37 *2908:10 0 +50 *332:37 *4184:70 0 +51 *332:37 *4523:10 0 +52 *332:47 *37338:A 0 +53 *332:47 *1643:10 0 +54 *332:47 *1760:7 0 +55 *332:47 *1762:14 0 +56 *332:47 *3069:34 0 +57 *332:47 *3082:19 0 +58 *332:47 *3215:38 0 +59 *332:47 *3382:31 0 +60 *332:47 *4807:12 0 +61 *332:55 *3382:12 0 +62 *332:55 *4127:23 0 +63 la_data_in_core[4] *332:15 0 +64 *321:10 *332:33 0 +65 *322:25 *332:15 0 +*RES +1 la_data_out_core[4] *332:15 46.4286 +2 *332:15 *332:20 29.9018 +3 *332:20 *332:25 46.4196 +4 *332:25 *332:33 23.6964 +5 *332:33 *332:34 168.107 +6 *332:34 *332:36 4.5 +7 *332:36 *332:37 60.6875 +8 *332:37 *332:47 47.5804 +9 *332:47 *332:55 46.5893 +10 *332:55 *39465:A 13.1393 +11 *332:55 *7427:DIODE 9.3 +*END + +*D_NET *333 0.0515587 +*CONN +*P la_data_out_core[50] I +*I *7428:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39466:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[50] 0.00666596 +2 *7428:DIODE 0 +3 *39466:A 0.000147595 +4 *333:58 0.00209289 +5 *333:53 0.00613636 +6 *333:42 0.0170205 +7 *333:18 0.0194954 +8 *39466:A *1520:36 0 +9 *39466:A *2745:26 0 +10 *39466:A *3614:49 0 +11 *333:18 la_oenb_core[50] 0 +12 *333:18 *38964:A 0 +13 *333:18 *339:8 0 +14 *333:18 *1023:96 0 +15 *333:18 *1023:98 0 +16 *333:18 *1267:42 0 +17 *333:18 *1290:25 0 +18 *333:18 *2344:23 0 +19 *333:18 *4690:19 0 +20 *333:18 *4968:16 0 +21 *333:42 *339:8 0 +22 *333:42 *344:42 0 +23 *333:42 *349:21 0 +24 *333:42 *1023:92 0 +25 *333:42 *1023:96 0 +26 *333:42 *1282:17 0 +27 *333:42 *1503:19 0 +28 *333:42 *2531:50 0 +29 *333:42 *2539:46 0 +30 *333:42 *2625:32 0 +31 *333:42 *2634:17 0 +32 *333:42 *2636:16 0 +33 *333:42 *2643:16 0 +34 *333:42 *2656:18 0 +35 *333:42 *2687:11 0 +36 *333:42 *2756:20 0 +37 *333:42 *2897:20 0 +38 *333:42 *4677:29 0 +39 *333:42 *4958:37 0 +40 *333:42 *5052:14 0 +41 *333:53 *7794:DIODE 0 +42 *333:53 *354:11 0 +43 *333:53 *1016:82 0 +44 *333:53 *1024:18 0 +45 *333:53 *2492:22 0 +46 *333:53 *2496:16 0 +47 *333:53 *2507:32 0 +48 *333:53 *2515:50 0 +49 *333:53 *2551:49 0 +50 *333:53 *2554:32 0 +51 *333:53 *2557:16 0 +52 *333:53 *2566:28 0 +53 *333:53 *2622:14 0 +54 *333:53 *2634:17 0 +55 *333:53 *2655:11 0 +56 *333:53 *2687:11 0 +57 *333:53 *2687:24 0 +58 *333:58 *343:7 0 +59 *333:58 *1520:36 0 +60 *333:58 *2745:26 0 +61 *333:58 *2773:24 0 +62 *333:58 *2784:23 0 +63 *333:58 *2790:17 0 +64 *333:58 *2902:11 0 +65 *333:58 *3561:49 0 +66 *333:58 *3561:61 0 +67 *333:58 *3607:24 0 +68 *333:58 *4620:26 0 +69 la_data_in_core[50] *333:18 0 +*RES +1 la_data_out_core[50] *333:18 45.0037 +2 *333:18 *333:42 46.6052 +3 *333:42 *333:53 36.9557 +4 *333:53 *333:58 47.1696 +5 *333:58 *39466:A 12.4429 +6 *333:58 *7428:DIODE 9.3 +*END + +*D_NET *334 0.0600801 +*CONN +*P la_data_out_core[51] I +*I *7429:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39467:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[51] 0.000116406 +2 *7429:DIODE 0 +3 *39467:A 0.000150005 +4 *334:15 0.0020523 +5 *334:13 0.00369245 +6 *334:8 0.0278713 +7 *334:7 0.0261976 +8 *39467:A *37968:C 0 +9 *39467:A *39467:B 0 +10 *39467:A *1509:20 0 +11 *39467:A *4174:27 0 +12 *39467:A *4205:15 0 +13 *334:7 la_oenb_core[51] 0 +14 *334:8 *335:8 0 +15 *334:8 *1003:8 0 +16 *334:8 *1020:8 0 +17 *334:13 mprj_adr_o_user[28] 0 +18 *334:13 *7321:DIODE 0 +19 *334:13 *37935:A 0 +20 *334:13 *1020:7 0 +21 *334:13 *1366:16 0 +22 *334:13 *2530:77 0 +23 *334:13 *2533:62 0 +24 *334:13 *2589:36 0 +25 *334:13 *2630:30 0 +26 *334:13 *2631:17 0 +27 *334:15 *7849:DIODE 0 +28 *334:15 *37552:B 0 +29 *334:15 *37986:C 0 +30 *334:15 *39467:B 0 +31 *334:15 *39932:A 0 +32 *334:15 *1185:28 0 +33 *334:15 *1372:24 0 +34 *334:15 *1373:13 0 +35 *334:15 *1383:15 0 +36 *334:15 *1392:14 0 +37 *334:15 *1661:20 0 +38 *334:15 *2761:20 0 +39 *334:15 *2783:9 0 +40 *334:15 *3169:53 0 +41 *334:15 *4383:85 0 +42 *334:15 *5047:8 0 +43 la_data_in_core[51] *334:7 0 +44 *316:8 *334:8 0 +45 *331:8 *334:8 0 +*RES +1 la_data_out_core[51] *334:7 7.14286 +2 *334:7 *334:8 544.321 +3 *334:8 *334:13 45.1875 +4 *334:13 *334:15 43.2946 +5 *334:15 *39467:A 17.0143 +6 *334:15 *7429:DIODE 13.8 +*END + +*D_NET *335 0.0452367 +*CONN +*P la_data_out_core[52] I +*I *7430:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39468:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[52] 0.000103035 +2 *7430:DIODE 4.53482e-05 +3 *39468:A 0.000210551 +4 *335:21 0.0014483 +5 *335:20 0.00285796 +6 *335:13 0.00258209 +7 *335:8 0.0194015 +8 *335:7 0.018588 +9 *7430:DIODE *3886:19 0 +10 *7430:DIODE *4133:5 0 +11 *39468:A *39898:A 0 +12 *39468:A *1894:66 0 +13 *39468:A *4470:77 0 +14 *335:7 la_oenb_core[52] 0 +15 *335:8 *1020:8 0 +16 *335:13 *39839:A 0 +17 *335:13 *2490:14 0 +18 *335:13 *2523:28 0 +19 *335:13 *2526:28 0 +20 *335:13 *2530:8 0 +21 *335:13 *2667:67 0 +22 *335:13 *4934:10 0 +23 *335:20 *38179:A 0 +24 *335:20 *1375:22 0 +25 *335:20 *2158:59 0 +26 *335:20 *2453:11 0 +27 *335:20 *2500:37 0 +28 *335:20 *2676:25 0 +29 *335:20 *2869:55 0 +30 *335:20 *2895:35 0 +31 *335:20 *2900:32 0 +32 *335:20 *4934:10 0 +33 *335:20 *4944:17 0 +34 *335:21 *37591:A 0 +35 *335:21 *3451:40 0 +36 *335:21 *3552:55 0 +37 *335:21 *3645:52 0 +38 *335:21 *3866:14 0 +39 la_data_in_core[13] *335:13 0 +40 la_data_in_core[52] *335:7 0 +41 *292:12 *335:20 0 +42 *316:8 *335:8 0 +43 *324:8 *335:8 0 +44 *329:10 *335:8 0 +45 *330:10 *335:8 0 +46 *334:8 *335:8 0 +*RES +1 la_data_out_core[52] *335:7 6.83929 +2 *335:7 *335:8 385.786 +3 *335:8 *335:13 25.3482 +4 *335:13 *335:20 46.6696 +5 *335:20 *335:21 27.1429 +6 *335:21 *39468:A 18.425 +7 *335:21 *7430:DIODE 14.7464 +*END + +*D_NET *336 0.0473161 +*CONN +*P la_data_out_core[53] I +*I *7431:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39469:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[53] 0.00113275 +2 *7431:DIODE 0 +3 *39469:A 0.000117669 +4 *336:73 0.00107639 +5 *336:72 0.00980983 +6 *336:52 0.019728 +7 *336:23 0.0125978 +8 *336:10 0.00285364 +9 *39469:A *1382:29 0 +10 *39469:A *3598:31 0 +11 *336:10 *1522:16 0 +12 *336:10 *1535:30 0 +13 *336:10 *4976:45 0 +14 *336:10 *4994:15 0 +15 *336:23 *337:29 0 +16 *336:23 *1011:227 0 +17 *336:23 *1012:10 0 +18 *336:23 *1022:16 0 +19 *336:23 *1026:75 0 +20 *336:23 *1515:18 0 +21 *336:23 *2278:35 0 +22 *336:23 *2305:39 0 +23 *336:23 *2420:57 0 +24 *336:23 *2525:16 0 +25 *336:23 *2870:39 0 +26 *336:23 *3161:54 0 +27 *336:23 *4691:20 0 +28 *336:23 *5110:14 0 +29 *336:52 *1018:44 0 +30 *336:52 *1018:52 0 +31 *336:52 *1018:54 0 +32 *336:52 *2254:38 0 +33 *336:52 *2258:31 0 +34 *336:52 *2259:26 0 +35 *336:52 *2270:55 0 +36 *336:52 *2273:26 0 +37 *336:52 *2300:16 0 +38 *336:52 *2329:52 0 +39 *336:52 *2861:25 0 +40 *336:52 *2961:53 0 +41 *336:52 *3786:59 0 +42 *336:72 *1018:20 0 +43 *336:72 *1018:44 0 +44 *336:72 *1922:26 0 +45 *336:72 *2864:44 0 +46 *336:73 *1911:37 0 +47 *336:73 *1920:61 0 +48 *336:73 *2487:30 0 +49 *336:73 *2770:47 0 +50 la_data_in_core[53] *336:10 0 +51 *327:19 *336:52 0 +52 *328:26 *336:52 0 +53 *328:26 *336:72 0 +54 *328:34 *336:72 0 +55 *331:11 *336:73 0 +*RES +1 la_data_out_core[53] *336:10 33.5179 +2 *336:10 *336:23 47.9843 +3 *336:23 *336:52 48.5669 +4 *336:52 *336:72 35.5721 +5 *336:72 *336:73 21.8304 +6 *336:73 *39469:A 16.3893 +7 *336:73 *7431:DIODE 13.8 +*END + +*D_NET *337 0.0531869 +*CONN +*P la_data_out_core[54] I +*I *7432:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39470:A I *D sky130_fd_sc_hd__nand2_2 +*CAP +1 la_data_out_core[54] 0.00153595 +2 *7432:DIODE 0 +3 *39470:A 0.000190192 +4 *337:41 0.00106723 +5 *337:36 0.0231601 +6 *337:35 0.0225385 +7 *337:29 0.00170719 +8 *337:16 0.00298775 +9 *39470:A *1802:7 0 +10 *39470:A *3597:21 0 +11 *39470:A *3597:27 0 +12 *337:16 *38967:A 0 +13 *337:16 *38968:A 0 +14 *337:16 *346:10 0 +15 *337:16 *901:8 0 +16 *337:16 *1028:46 0 +17 *337:16 *3161:43 0 +18 *337:29 *37829:A 0 +19 *337:29 *39222:A 0 +20 *337:29 *39785:A 0 +21 *337:29 *1009:104 0 +22 *337:29 *1511:18 0 +23 *337:29 *2305:39 0 +24 *337:29 *2344:7 0 +25 *337:29 *2863:46 0 +26 *337:29 *3668:28 0 +27 *337:35 *2293:22 0 +28 *337:35 *2853:25 0 +29 *337:36 *38237:A 0 +30 *337:36 *1926:40 0 +31 *337:36 *1926:47 0 +32 *337:36 *1950:60 0 +33 *337:36 *2278:19 0 +34 *337:36 *2580:23 0 +35 *337:36 *2580:33 0 +36 *337:36 *2595:31 0 +37 *337:36 *2595:40 0 +38 *337:36 *2868:24 0 +39 *337:36 *2880:37 0 +40 *337:36 *2880:39 0 +41 *337:36 *2940:33 0 +42 *337:36 *4684:23 0 +43 *337:41 *40043:A 0 +44 *337:41 *1364:34 0 +45 *337:41 *2502:25 0 +46 *337:41 *5063:10 0 +47 la_data_in_core[54] *337:16 0 +48 *311:34 *337:36 0 +49 *332:15 *337:41 0 +50 *336:23 *337:29 0 +*RES +1 la_data_out_core[54] *337:16 38.3064 +2 *337:16 *337:29 46.1875 +3 *337:29 *337:35 14.4643 +4 *337:35 *337:36 465.054 +5 *337:36 *337:41 28.8571 +6 *337:41 *39470:A 22.5143 +7 *337:41 *7432:DIODE 9.3 +*END + +*D_NET *338 0.04393 +*CONN +*P la_data_out_core[55] I +*I *7433:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39471:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[55] 0.00140892 +2 *7433:DIODE 0.00014075 +3 *39471:A 2.56688e-05 +4 *338:85 0.00166916 +5 *338:82 0.00238126 +6 *338:76 0.00276875 +7 *338:52 0.00517819 +8 *338:50 0.00330849 +9 *338:48 0.00303747 +10 *338:47 0.00403803 +11 *338:36 0.00537623 +12 *338:35 0.00442842 +13 *338:28 0.00222818 +14 *338:21 0.00434321 +15 *338:12 0.00359724 +16 *7433:DIODE *1171:17 0 +17 *7433:DIODE *3633:61 0 +18 *7433:DIODE *4403:19 0 +19 *39471:A *3633:61 0 +20 *39471:A *4403:19 0 +21 *338:12 *4696:9 0 +22 *338:12 *4969:16 0 +23 *338:12 *4994:15 0 +24 *338:21 *1030:8 0 +25 *338:21 *1511:18 0 +26 *338:21 *1522:16 0 +27 *338:21 *2278:35 0 +28 *338:21 *2529:8 0 +29 *338:21 *2848:13 0 +30 *338:21 *2917:22 0 +31 *338:21 *4986:10 0 +32 *338:21 *5133:8 0 +33 *338:28 *340:53 0 +34 *338:28 *340:54 0 +35 *338:28 *2290:33 0 +36 *338:28 *2290:39 0 +37 *338:28 *2293:22 0 +38 *338:28 *2853:15 0 +39 *338:28 *2853:25 0 +40 *338:28 *5114:7 0 +41 *338:36 *39733:A 0 +42 *338:36 *340:60 0 +43 *338:36 *340:62 0 +44 *338:36 *1953:64 0 +45 *338:36 *2542:19 0 +46 *338:36 *2853:15 0 +47 *338:36 *4684:5 0 +48 *338:36 *5114:12 0 +49 *338:36 *5118:18 0 +50 *338:36 *5135:17 0 +51 *338:47 *352:39 0 +52 *338:47 *1012:10 0 +53 *338:47 *1022:10 0 +54 *338:47 *2584:11 0 +55 *338:47 *3324:48 0 +56 *338:48 *39773:A 0 +57 *338:48 *39780:A 0 +58 *338:48 *340:62 0 +59 *338:48 *1950:36 0 +60 *338:48 *1953:40 0 +61 *338:48 *2595:49 0 +62 *338:48 *5135:38 0 +63 *338:52 *39723:A 0 +64 *338:52 *340:62 0 +65 *338:52 *1950:26 0 +66 *338:52 *1953:40 0 +67 *338:52 *2561:27 0 +68 *338:52 *2617:27 0 +69 *338:52 *2748:37 0 +70 *338:52 *2868:32 0 +71 *338:76 *37793:A 0 +72 *338:76 *39743:A 0 +73 *338:76 *39746:A 0 +74 *338:76 *340:68 0 +75 *338:76 *340:70 0 +76 *338:76 *1000:14 0 +77 *338:76 *1019:8 0 +78 *338:76 *2182:47 0 +79 *338:76 *2559:5 0 +80 *338:76 *2561:27 0 +81 *338:76 *2563:11 0 +82 *338:76 *4658:17 0 +83 *338:76 *4677:20 0 +84 *338:82 *1375:40 0 +85 *338:82 *1926:47 0 +86 *338:85 *1805:34 0 +87 *338:85 *2170:32 0 +88 *338:85 *2769:18 0 +89 *338:85 *2902:30 0 +90 *338:85 *2902:40 0 +91 la_data_in_core[55] *338:12 0 +92 *298:11 *338:82 0 +93 *311:34 *338:82 0 +*RES +1 la_data_out_core[55] *338:12 39.3929 +2 *338:12 *338:21 41.3995 +3 *338:21 *338:28 49.5357 +4 *338:28 *338:35 6.30357 +5 *338:35 *338:36 90.8929 +6 *338:36 *338:47 25.0864 +7 *338:47 *338:48 62.9643 +8 *338:48 *338:50 0.428571 +9 *338:50 *338:52 68.7143 +10 *338:52 *338:76 49.094 +11 *338:76 *338:82 27.4554 +12 *338:82 *338:85 38.625 +13 *338:85 *39471:A 9.83571 +14 *338:85 *7433:DIODE 12.3 +*END + +*D_NET *339 0.0410347 +*CONN +*P la_data_out_core[56] I +*I *7435:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39472:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[56] 0.000992067 +2 *7435:DIODE 0 +3 *39472:A 0.000156254 +4 *339:36 0.00185168 +5 *339:35 0.00263025 +6 *339:23 0.00325402 +7 *339:8 0.0167388 +8 *339:7 0.0154116 +9 *39472:A *1917:22 0 +10 *339:7 *39225:A 0 +11 *339:7 *4709:10 0 +12 *339:7 *4711:16 0 +13 *339:8 *348:25 0 +14 *339:8 *348:32 0 +15 *339:8 *349:21 0 +16 *339:8 *1002:8 0 +17 *339:8 *1011:228 0 +18 *339:8 *1014:30 0 +19 *339:8 *1014:49 0 +20 *339:8 *1023:98 0 +21 *339:8 *1282:17 0 +22 *339:8 *1290:25 0 +23 *339:8 *2539:46 0 +24 *339:8 *2607:32 0 +25 *339:8 *2656:18 0 +26 *339:8 *2751:36 0 +27 *339:8 *2755:46 0 +28 *339:8 *2878:34 0 +29 *339:23 *7693:DIODE 0 +30 *339:23 *1028:46 0 +31 *339:23 *2551:26 0 +32 *339:23 *2648:15 0 +33 *339:23 *2648:20 0 +34 *339:23 *2687:11 0 +35 *339:23 *2878:34 0 +36 *339:23 *4943:15 0 +37 *339:23 *5039:38 0 +38 *339:23 *5055:8 0 +39 *339:35 *1385:46 0 +40 *339:35 *1906:35 0 +41 *339:35 *1925:31 0 +42 *339:35 *1935:26 0 +43 *339:35 *2743:42 0 +44 *339:35 *5055:8 0 +45 *339:36 *1917:22 0 +46 la_data_in_core[56] *339:7 0 +47 *311:33 *339:23 0 +48 *317:10 *339:35 0 +49 *325:42 *339:23 0 +50 *333:18 *339:8 0 +51 *333:42 *339:8 0 +*RES +1 la_data_out_core[56] *339:7 25.9368 +2 *339:7 *339:8 52.2233 +3 *339:8 *339:23 49.8194 +4 *339:23 *339:35 24.3536 +5 *339:35 *339:36 35.4464 +6 *339:36 *39472:A 21.6214 +7 *339:36 *7435:DIODE 9.3 +*END + +*D_NET *340 0.0498407 +*CONN +*P la_data_out_core[57] I +*I *7437:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39473:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[57] 0.00166272 +2 *7437:DIODE 2.35958e-05 +3 *39473:A 0.000288476 +4 *340:91 0.00183404 +5 *340:82 0.00353526 +6 *340:79 0.0020766 +7 *340:70 0.00280474 +8 *340:68 0.00281308 +9 *340:62 0.00952226 +10 *340:60 0.00952226 +11 *340:54 0.00279191 +12 *340:53 0.00410612 +13 *340:47 0.00260724 +14 *340:31 0.00290552 +15 *340:19 0.00334687 +16 *39473:A *40027:A 0 +17 *39473:A *1507:12 0 +18 *39473:A *1796:20 0 +19 *39473:A *1805:15 0 +20 *39473:A *2855:51 0 +21 *39473:A *2876:8 0 +22 *340:19 *3798:42 0 +23 *340:19 *3804:40 0 +24 *340:19 *4696:9 0 +25 *340:19 *4707:8 0 +26 *340:19 *4709:22 0 +27 *340:19 *4986:31 0 +28 *340:19 *4994:15 0 +29 *340:31 *38967:A 0 +30 *340:31 *38968:A 0 +31 *340:31 *346:10 0 +32 *340:31 *1030:8 0 +33 *340:31 *1511:18 0 +34 *340:31 *2752:20 0 +35 *340:31 *2848:13 0 +36 *340:31 *3161:43 0 +37 *340:47 *1009:104 0 +38 *340:47 *2278:35 0 +39 *340:47 *2293:22 0 +40 *340:47 *2305:39 0 +41 *340:47 *2525:16 0 +42 *340:47 *2529:8 0 +43 *340:47 *2853:38 0 +44 *340:53 *37849:A 0 +45 *340:53 *1009:102 0 +46 *340:53 *2290:33 0 +47 *340:53 *2293:22 0 +48 *340:54 *2290:33 0 +49 *340:54 *2548:16 0 +50 *340:54 *5114:7 0 +51 *340:54 *5114:12 0 +52 *340:54 *5120:24 0 +53 *340:62 *1950:36 0 +54 *340:62 *1950:51 0 +55 *340:62 *1953:61 0 +56 *340:62 *1953:64 0 +57 *340:62 *2182:30 0 +58 *340:62 *2570:13 0 +59 *340:62 *2593:11 0 +60 *340:62 *5118:18 0 +61 *340:62 *5120:30 0 +62 *340:70 *2559:5 0 +63 *340:70 *2563:11 0 +64 *340:70 *2598:29 0 +65 *340:79 *2559:20 0 +66 *340:82 *2563:13 0 +67 *340:82 *4677:15 0 +68 *340:91 *37933:A 0 +69 *340:91 *1029:49 0 +70 *340:91 *1507:12 0 +71 *340:91 *2753:8 0 +72 *340:91 *2753:25 0 +73 *340:91 *2765:24 0 +74 *340:91 *2876:8 0 +75 la_data_in_core[57] *340:19 0 +76 *338:28 *340:53 0 +77 *338:28 *340:54 0 +78 *338:36 *340:60 0 +79 *338:36 *340:62 0 +80 *338:48 *340:62 0 +81 *338:52 *340:62 0 +82 *338:76 *340:68 0 +83 *338:76 *340:70 0 +*RES +1 la_data_out_core[57] *340:19 49.75 +2 *340:19 *340:31 47.7331 +3 *340:31 *340:47 43.7857 +4 *340:47 *340:53 38 +5 *340:53 *340:54 56.8036 +6 *340:54 *340:60 1.80357 +7 *340:60 *340:62 197.268 +8 *340:62 *340:68 1.80357 +9 *340:68 *340:70 57.2143 +10 *340:70 *340:79 10.5 +11 *340:79 *340:82 46.5179 +12 *340:82 *340:91 34.75 +13 *340:91 *39473:A 19.9786 +14 *340:91 *7437:DIODE 14.3357 +*END + +*D_NET *341 0.0426193 +*CONN +*P la_data_out_core[58] I +*I *7439:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39474:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[58] 3.30342e-05 +2 *7439:DIODE 0 +3 *39474:A 0.000104386 +4 *341:33 0.000980185 +5 *341:29 0.00284837 +6 *341:26 0.00273772 +7 *341:14 0.00383128 +8 *341:12 0.00310122 +9 *341:10 0.0144926 +10 *341:9 0.0144905 +11 *39474:A *3836:22 0 +12 *39474:A *3840:28 0 +13 *341:29 la_oenb_core[19] 0 +14 *341:29 *7212:DIODE 0 +15 *341:29 *2182:60 0 +16 *341:29 *2488:22 0 +17 *341:29 *2569:14 0 +18 *341:29 *2758:32 0 +19 *341:29 *2766:19 0 +20 *341:29 *4657:8 0 +21 *341:29 *4677:20 0 +22 *341:29 *5055:16 0 +23 *341:33 *1922:29 0 +24 *341:33 *2735:14 0 +25 *341:33 *2744:8 0 +26 *341:33 *2766:19 0 +27 la_data_in_core[20] *341:29 0 +28 la_data_in_core[21] *341:26 0 +29 *302:10 *341:14 0 +30 *304:19 *341:26 0 +31 *309:12 *341:10 0 +32 *309:12 *341:14 0 +33 *320:10 *341:10 0 +34 *320:10 *341:14 0 +35 *320:14 *341:14 0 +36 *320:14 *341:26 0 +37 *322:12 *341:10 0 +38 *322:20 *341:26 0 +39 *330:10 *341:10 0 +*RES +1 la_data_out_core[58] *341:9 5.25 +2 *341:9 *341:10 301.795 +3 *341:10 *341:12 0.732143 +4 *341:12 *341:14 63.9911 +5 *341:14 *341:26 29.6964 +6 *341:26 *341:29 44.8304 +7 *341:29 *341:33 24.4196 +8 *341:33 *39474:A 11.4786 +9 *341:33 *7439:DIODE 9.3 +*END + +*D_NET *342 0.0376287 +*CONN +*P la_data_out_core[59] I +*I *7441:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39475:A I *D sky130_fd_sc_hd__nand2_2 +*CAP +1 la_data_out_core[59] 0.000891746 +2 *7441:DIODE 0 +3 *39475:A 0.000143745 +4 *342:61 0.00144249 +5 *342:50 0.00377975 +6 *342:40 0.00318784 +7 *342:28 0.00327115 +8 *342:27 0.00269191 +9 *342:18 0.00290838 +10 *342:17 0.0028407 +11 *342:8 0.00781959 +12 *342:7 0.00865142 +13 *39475:A *38223:A 0 +14 *39475:A *1948:29 0 +15 *39475:A *2168:24 0 +16 *342:7 *3799:37 0 +17 *342:8 *353:14 0 +18 *342:8 *1290:35 0 +19 *342:8 *1515:9 0 +20 *342:8 *2344:23 0 +21 *342:8 *2344:32 0 +22 *342:8 *3796:16 0 +23 *342:18 *37783:A 0 +24 *342:18 *1289:29 0 +25 *342:18 *1290:35 0 +26 *342:18 *2344:32 0 +27 *342:18 *2344:38 0 +28 *342:28 *2344:51 0 +29 *342:28 *2517:11 0 +30 *342:28 *2519:9 0 +31 *342:28 *2667:7 0 +32 *342:28 *5040:5 0 +33 *342:28 *5066:11 0 +34 *342:28 *5066:13 0 +35 *342:40 *2539:46 0 +36 *342:40 *2555:36 0 +37 *342:40 *2644:28 0 +38 *342:40 *2659:13 0 +39 *342:40 *2877:41 0 +40 *342:50 *39480:A 0 +41 *342:50 *39720:A 0 +42 *342:50 *346:36 0 +43 *342:50 *2160:25 0 +44 *342:50 *2590:14 0 +45 *342:50 *2688:20 0 +46 *342:50 *2699:27 0 +47 *342:50 *2755:46 0 +48 *342:50 *5058:10 0 +49 *342:50 *5071:20 0 +50 *342:61 *40902:A 0 +51 *342:61 *1917:13 0 +52 *342:61 *2743:42 0 +53 la_data_in_core[59] *342:7 0 +54 *314:20 *342:50 0 +55 *317:10 *342:61 0 +56 *318:28 *342:50 0 +57 *326:14 *342:61 0 +*RES +1 la_data_out_core[59] *342:7 24.75 +2 *342:7 *342:8 161.946 +3 *342:8 *342:17 10.5 +4 *342:17 *342:18 58.0357 +5 *342:18 *342:27 12.125 +6 *342:27 *342:28 53.5179 +7 *342:28 *342:40 28.5536 +8 *342:40 *342:50 48.7548 +9 *342:50 *342:61 23.7956 +10 *342:61 *39475:A 12.3 +11 *342:61 *7441:DIODE 9.3 +*END + +*D_NET *343 0.0395701 +*CONN +*P la_data_out_core[5] I +*I *7443:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39476:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[5] 5.70233e-05 +2 *7443:DIODE 0 +3 *39476:A 0.000117709 +4 *343:72 0.00180761 +5 *343:58 0.00471368 +6 *343:56 0.00405396 +7 *343:52 0.002327 +8 *343:30 0.00441216 +9 *343:29 0.00317849 +10 *343:20 0.00266683 +11 *343:19 0.00390845 +12 *343:13 0.0030623 +13 *343:7 0.00548271 +14 *343:5 0.00378222 +15 *39476:A *1680:9 0 +16 *39476:A *4487:9 0 +17 *39476:A *5059:11 0 +18 *343:7 *38974:A 0 +19 *343:7 *1520:36 0 +20 *343:7 *2515:50 0 +21 *343:7 *2530:47 0 +22 *343:7 *2533:34 0 +23 *343:7 *2557:16 0 +24 *343:7 *2691:8 0 +25 *343:7 *2792:47 0 +26 *343:7 *2855:22 0 +27 *343:7 *3371:12 0 +28 *343:7 *3453:32 0 +29 *343:7 *4663:10 0 +30 *343:7 *4985:26 0 +31 *343:7 *4985:31 0 +32 *343:7 *5046:25 0 +33 *343:13 *1166:8 0 +34 *343:13 *1608:18 0 +35 *343:13 *1802:19 0 +36 *343:13 *3131:38 0 +37 *343:13 *3326:13 0 +38 *343:13 *3371:12 0 +39 *343:13 *3453:32 0 +40 *343:13 *4141:21 0 +41 *343:13 *4748:23 0 +42 *343:13 *5198:18 0 +43 *343:19 *3457:18 0 +44 *343:19 *3465:5 0 +45 *343:19 *4430:97 0 +46 *343:19 *4442:8 0 +47 *343:20 *39520:A 0 +48 *343:20 *39520:B 0 +49 *343:20 *3431:31 0 +50 *343:20 *4434:29 0 +51 *343:20 *4682:16 0 +52 *343:20 *4822:9 0 +53 *343:20 *5162:17 0 +54 *343:30 *37486:A 0 +55 *343:30 *37513:A 0 +56 *343:30 *3182:17 0 +57 *343:30 *3215:16 0 +58 *343:30 *3431:25 0 +59 *343:30 *3431:29 0 +60 *343:30 *3431:31 0 +61 *343:30 *3467:7 0 +62 *343:30 *5162:19 0 +63 *343:52 *6768:DIODE 0 +64 *343:52 *6905:DIODE 0 +65 *343:52 *1782:36 0 +66 *343:52 *3171:26 0 +67 *343:52 *3304:34 0 +68 *343:52 *3431:25 0 +69 *343:52 *3467:9 0 +70 *343:52 *3871:28 0 +71 *343:52 *3872:37 0 +72 *343:52 *4797:50 0 +73 *343:56 *37325:A 0 +74 *343:56 *3467:9 0 +75 *343:56 *4879:20 0 +76 *343:58 *1680:9 0 +77 *343:58 *3467:9 0 +78 *343:58 *3749:17 0 +79 *343:58 *3993:17 0 +80 *343:58 *3993:22 0 +81 *343:58 *5162:30 0 +82 *343:72 *5320:DIODE 0 +83 *343:72 *37514:A 0 +84 *343:72 *1680:9 0 +85 *343:72 *2359:14 0 +86 *343:72 *3069:15 0 +87 *343:72 *3749:17 0 +88 *343:72 *3877:63 0 +89 *343:72 *4371:17 0 +90 la_data_in_core[5] *343:7 0 +91 *39443:A *343:72 0 +92 *310:30 *343:72 0 +93 *328:37 *343:7 0 +94 *328:39 *343:7 0 +95 *333:58 *343:7 0 +*RES +1 la_data_out_core[5] *343:5 1.29464 +2 *343:5 *343:7 84.625 +3 *343:7 *343:13 44.3839 +4 *343:13 *343:19 36.3393 +5 *343:19 *343:20 54.3393 +6 *343:20 *343:29 10.5 +7 *343:29 *343:30 65.0179 +8 *343:30 *343:52 35.2388 +9 *343:52 *343:56 21.5 +10 *343:56 *343:58 63.1696 +11 *343:58 *343:72 44.5268 +12 *343:72 *39476:A 20.8893 +13 *343:72 *7443:DIODE 9.3 +*END + +*D_NET *344 0.038562 +*CONN +*P la_data_out_core[60] I +*I *7444:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39477:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[60] 0.000744685 +2 *7444:DIODE 0 +3 *39477:A 0.000139156 +4 *344:50 0.00180427 +5 *344:42 0.00417693 +6 *344:29 0.00334019 +7 *344:12 0.0121894 +8 *344:10 0.0133918 +9 *344:7 0.00277551 +10 *344:7 *39231:A 0 +11 *344:7 *3799:38 0 +12 *344:7 *4698:8 0 +13 *344:7 *4701:26 0 +14 *344:7 *4707:16 0 +15 *344:10 *1525:23 0 +16 *344:10 *1525:32 0 +17 *344:10 *2934:9 0 +18 *344:12 *345:20 0 +19 *344:12 *1011:227 0 +20 *344:12 *1511:27 0 +21 *344:12 *1525:32 0 +22 *344:12 *2482:19 0 +23 *344:12 *2482:35 0 +24 *344:12 *2529:16 0 +25 *344:12 *2684:13 0 +26 *344:12 *2934:9 0 +27 *344:12 *4954:14 0 +28 *344:29 *1023:92 0 +29 *344:29 *2482:14 0 +30 *344:29 *2521:18 0 +31 *344:29 *2521:21 0 +32 *344:29 *2539:46 0 +33 *344:29 *2606:11 0 +34 *344:29 *4674:7 0 +35 *344:29 *4951:43 0 +36 *344:42 *2636:16 0 +37 *344:42 *2656:18 0 +38 *344:42 *2659:13 0 +39 *344:50 *40902:A 0 +40 *344:50 *1388:28 0 +41 *344:50 *1940:33 0 +42 *344:50 *2617:27 0 +43 *344:50 *3195:39 0 +44 la_data_in_core[60] *344:7 0 +45 *333:42 *344:42 0 +*RES +1 la_data_out_core[60] *344:7 21.4107 +2 *344:7 *344:10 42.4464 +3 *344:10 *344:12 237.107 +4 *344:12 *344:29 35.7321 +5 *344:29 *344:42 45.2161 +6 *344:42 *344:50 42.2232 +7 *344:50 *39477:A 21.4607 +8 *344:50 *7444:DIODE 9.3 +*END + +*D_NET *345 0.0361946 +*CONN +*P la_data_out_core[61] I +*I *7446:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39478:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[61] 0.000798051 +2 *7446:DIODE 0 +3 *39478:A 0.000134977 +4 *345:36 0.00134917 +5 *345:31 0.00317959 +6 *345:20 0.0132674 +7 *345:19 0.0119321 +8 *345:11 0.00268273 +9 *345:7 0.00285065 +10 *39478:A *2158:17 0 +11 *39478:A *2872:23 0 +12 *345:7 *1525:20 0 +13 *345:7 *4710:8 0 +14 *345:11 *2684:5 0 +15 *345:19 *349:10 0 +16 *345:19 *353:14 0 +17 *345:19 *1515:14 0 +18 *345:19 *2737:37 0 +19 *345:20 *2934:9 0 +20 *345:20 *3161:58 0 +21 *345:20 *4954:14 0 +22 *345:20 *4973:11 0 +23 *345:31 *39810:A 0 +24 *345:31 *1023:92 0 +25 *345:31 *1023:96 0 +26 *345:31 *1503:19 0 +27 *345:31 *2625:32 0 +28 *345:31 *2648:15 0 +29 *345:31 *2676:13 0 +30 *345:31 *4675:14 0 +31 *345:31 *4951:22 0 +32 *345:36 *1288:28 0 +33 *345:36 *2561:26 0 +34 *345:36 *2677:62 0 +35 *345:36 *2872:23 0 +36 *345:36 *5039:16 0 +37 la_data_in_core[61] *345:7 0 +38 *314:10 *345:20 0 +39 *315:10 *345:20 0 +40 *326:10 *345:20 0 +41 *344:12 *345:20 0 +*RES +1 la_data_out_core[61] *345:7 22.625 +2 *345:7 *345:11 47.4464 +3 *345:11 *345:19 26.8571 +4 *345:19 *345:20 235.875 +5 *345:20 *345:31 30.2937 +6 *345:31 *345:36 31.9554 +7 *345:36 *39478:A 21.3179 +8 *345:36 *7446:DIODE 9.3 +*END + +*D_NET *346 0.0557446 +*CONN +*P la_data_out_core[62] I +*I *7447:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39479:A I *D sky130_fd_sc_hd__nand2_2 +*CAP +1 la_data_out_core[62] 0.000755676 +2 *7447:DIODE 0 +3 *39479:A 0.000666486 +4 *346:78 0.00408889 +5 *346:66 0.00952683 +6 *346:36 0.00919864 +7 *346:30 0.00561917 +8 *346:29 0.0027068 +9 *346:18 0.00487003 +10 *346:16 0.00476134 +11 *346:10 0.00643409 +12 *346:9 0.00711661 +13 *39479:A *1377:14 0 +14 *39479:A *1811:18 0 +15 *39479:A *3629:34 0 +16 *39479:A *3854:17 0 +17 *39479:A *4397:20 0 +18 *346:9 *39232:A 0 +19 *346:9 *4702:21 0 +20 *346:9 *4705:31 0 +21 *346:9 *5112:11 0 +22 *346:10 *38233:A 0 +23 *346:10 *39853:A 0 +24 *346:10 *2684:19 0 +25 *346:10 *2752:27 0 +26 *346:10 *3161:43 0 +27 *346:10 *3362:23 0 +28 *346:10 *3693:33 0 +29 *346:10 *4316:17 0 +30 *346:10 *4968:16 0 +31 *346:18 *38225:A 0 +32 *346:18 *1013:64 0 +33 *346:18 *1024:94 0 +34 *346:18 *2752:55 0 +35 *346:18 *2752:57 0 +36 *346:18 *2934:24 0 +37 *346:18 *3161:69 0 +38 *346:18 *4974:27 0 +39 *346:29 *39206:A 0 +40 *346:29 *1013:64 0 +41 *346:30 *1011:174 0 +42 *346:30 *1024:94 0 +43 *346:30 *2681:5 0 +44 *346:30 *2878:35 0 +45 *346:36 *39201:A 0 +46 *346:36 *39482:B 0 +47 *346:36 *349:21 0 +48 *346:36 *1031:47 0 +49 *346:36 *1814:19 0 +50 *346:36 *2193:9 0 +51 *346:36 *2545:27 0 +52 *346:36 *2570:49 0 +53 *346:36 *2867:11 0 +54 *346:66 *1906:35 0 +55 *346:66 *1944:19 0 +56 *346:66 *5071:20 0 +57 *346:78 *1029:49 0 +58 *346:78 *1291:8 0 +59 *346:78 *1291:12 0 +60 *346:78 *1397:16 0 +61 *346:78 *1912:57 0 +62 *346:78 *2888:18 0 +63 *346:78 *2890:20 0 +64 *346:78 *2890:28 0 +65 *346:78 *3832:58 0 +66 la_data_in_core[62] *346:9 0 +67 *314:20 *346:66 0 +68 *314:20 *346:78 0 +69 *315:17 *346:36 0 +70 *315:24 *346:66 0 +71 *315:24 *346:78 0 +72 *317:10 *346:36 0 +73 *317:10 *346:66 0 +74 *318:28 *346:36 0 +75 *318:28 *346:66 0 +76 *319:5 *346:29 0 +77 *325:15 *346:18 0 +78 *325:21 *346:29 0 +79 *325:22 *346:30 0 +80 *326:14 *346:66 0 +81 *328:14 *346:18 0 +82 *337:16 *346:10 0 +83 *340:31 *346:10 0 +84 *342:50 *346:36 0 +*RES +1 la_data_out_core[62] *346:9 21.6607 +2 *346:9 *346:10 132.786 +3 *346:10 *346:16 1.80357 +4 *346:16 *346:18 97.875 +5 *346:18 *346:29 13.5714 +6 *346:29 *346:30 52.6964 +7 *346:30 *346:36 47.9271 +8 *346:36 *346:66 48.5865 +9 *346:66 *346:78 48.3148 +10 *346:78 *39479:A 34.3902 +11 *346:78 *7447:DIODE 9.3 +*END + +*D_NET *347 0.0361468 +*CONN +*P la_data_out_core[63] I +*I *7448:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39480:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[63] 0.00187498 +2 *7448:DIODE 0 +3 *39480:A 0.000189344 +4 *347:50 0.001903 +5 *347:34 0.0034331 +6 *347:20 0.0119027 +7 *347:19 0.0108973 +8 *347:16 0.00239272 +9 *347:10 0.00355367 +10 *39480:A *1932:30 0 +11 *39480:A *5071:20 0 +12 *347:10 *37845:A 0 +13 *347:10 *38261:A 0 +14 *347:10 *39233:A 0 +15 *347:10 *1016:180 0 +16 *347:10 *2728:26 0 +17 *347:10 *2851:45 0 +18 *347:16 *3799:38 0 +19 *347:16 *4994:15 0 +20 *347:19 *4707:8 0 +21 *347:19 *4709:22 0 +22 *347:20 *37857:A 0 +23 *347:20 *38243:A 0 +24 *347:20 *2276:20 0 +25 *347:20 *2276:31 0 +26 *347:20 *2276:32 0 +27 *347:20 *2282:36 0 +28 *347:20 *2282:40 0 +29 *347:20 *2525:23 0 +30 *347:20 *2548:19 0 +31 *347:20 *2548:23 0 +32 *347:20 *2548:34 0 +33 *347:20 *2555:26 0 +34 *347:20 *2742:43 0 +35 *347:20 *2867:19 0 +36 *347:20 *3651:23 0 +37 *347:20 *4680:10 0 +38 *347:20 *4975:7 0 +39 *347:34 *348:73 0 +40 *347:34 *1005:88 0 +41 *347:34 *1014:10 0 +42 *347:34 *2555:29 0 +43 *347:34 *2659:10 0 +44 *347:34 *2881:43 0 +45 *347:50 *39720:A 0 +46 *347:50 *1030:8 0 +47 *347:50 *1932:30 0 +48 *347:50 *1953:51 0 +49 *347:50 *2170:9 0 +50 *347:50 *2570:49 0 +51 *347:50 *2593:11 0 +52 *347:50 *2742:17 0 +53 *347:50 *3899:32 0 +54 *347:50 *4953:18 0 +55 la_data_in_core[63] *347:10 0 +56 *342:50 *39480:A 0 +*RES +1 la_data_out_core[63] *347:10 49.1071 +2 *347:10 *347:16 44.1429 +3 *347:16 *347:19 20.7143 +4 *347:19 *347:20 212.464 +5 *347:20 *347:34 48.8542 +6 *347:34 *347:50 42.4761 +7 *347:50 *39480:A 22.3357 +8 *347:50 *7448:DIODE 9.3 +*END + +*D_NET *348 0.0340417 +*CONN +*P la_data_out_core[64] I +*I *7449:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39481:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[64] 0.000651049 +2 *7449:DIODE 0 +3 *39481:A 0.000246434 +4 *348:73 0.00170702 +5 *348:72 0.00254706 +6 *348:54 0.00335731 +7 *348:47 0.00365192 +8 *348:32 0.00419268 +9 *348:25 0.0032606 +10 *348:14 0.00464671 +11 *348:13 0.00463084 +12 *348:10 0.00246611 +13 *348:7 0.00268402 +14 *39481:A *39481:B 0 +15 *39481:A *1935:35 0 +16 *39481:A *3578:52 0 +17 *39481:A *4346:63 0 +18 *39481:A *4350:50 0 +19 *348:7 *38979:A 0 +20 *348:7 *352:17 0 +21 *348:7 *3804:71 0 +22 *348:10 *3362:23 0 +23 *348:10 *3693:33 0 +24 *348:13 *2746:16 0 +25 *348:13 *3161:42 0 +26 *348:14 *351:14 0 +27 *348:14 *2845:35 0 +28 *348:25 *1002:8 0 +29 *348:25 *3668:28 0 +30 *348:32 *38960:A 0 +31 *348:32 *351:14 0 +32 *348:32 *1002:8 0 +33 *348:32 *1014:30 0 +34 *348:32 *2730:16 0 +35 *348:32 *2845:26 0 +36 *348:32 *4691:10 0 +37 *348:47 *350:14 0 +38 *348:47 *2688:7 0 +39 *348:47 *2688:9 0 +40 *348:47 *4680:17 0 +41 *348:47 *4681:13 0 +42 *348:54 *2688:9 0 +43 *348:54 *4680:17 0 +44 *348:54 *4681:10 0 +45 *348:54 *4681:13 0 +46 *348:72 *37971:A 0 +47 *348:72 *2555:26 0 +48 *348:72 *2688:9 0 +49 *348:72 *2688:15 0 +50 *348:72 *2873:39 0 +51 *348:72 *2881:49 0 +52 *348:73 *1935:35 0 +53 *348:73 *2529:31 0 +54 *348:73 *2548:34 0 +55 *348:73 *2867:18 0 +56 *348:73 *2875:24 0 +57 *348:73 *5109:32 0 +58 la_data_in_core[64] *348:7 0 +59 *328:19 *348:47 0 +60 *339:8 *348:25 0 +61 *339:8 *348:32 0 +62 *347:34 *348:73 0 +*RES +1 la_data_out_core[64] *348:7 19.2857 +2 *348:7 *348:10 46.9286 +3 *348:10 *348:13 14.3393 +4 *348:13 *348:14 87.6071 +5 *348:14 *348:25 18.9321 +6 *348:25 *348:32 48.9806 +7 *348:32 *348:47 46.0082 +8 *348:47 *348:54 47.5179 +9 *348:54 *348:72 36.5357 +10 *348:72 *348:73 33.2143 +11 *348:73 *39481:A 19.8179 +12 *348:73 *7449:DIODE 13.8 +*END + +*D_NET *349 0.0343398 +*CONN +*P la_data_out_core[65] I +*I *7450:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39482:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[65] 0.000879791 +2 *7450:DIODE 0 +3 *39482:A 0.000159146 +4 *349:21 0.00219369 +5 *349:10 0.016131 +6 *349:9 0.0149762 +7 *39482:A *1385:53 0 +8 *39482:A *1814:19 0 +9 *39482:A *2703:17 0 +10 *39482:A *2854:35 0 +11 *349:9 *1537:36 0 +12 *349:9 *4316:23 0 +13 *349:9 *4347:22 0 +14 *349:9 *4994:21 0 +15 *349:10 *37781:A 0 +16 *349:10 *353:14 0 +17 *349:10 *1267:31 0 +18 *349:10 *1290:33 0 +19 *349:10 *1290:35 0 +20 *349:10 *1511:18 0 +21 *349:10 *1516:25 0 +22 *349:10 *2344:31 0 +23 *349:10 *2519:9 0 +24 *349:10 *2529:19 0 +25 *349:10 *2644:11 0 +26 *349:10 *3668:28 0 +27 *349:10 *5066:11 0 +28 *349:10 *5066:13 0 +29 *349:21 *1031:47 0 +30 *349:21 *2193:9 0 +31 *349:21 *2521:8 0 +32 *349:21 *2524:8 0 +33 la_data_in_core[65] *349:9 0 +34 *333:42 *349:21 0 +35 *339:8 *349:21 0 +36 *345:19 *349:10 0 +37 *346:36 *349:21 0 +*RES +1 la_data_out_core[65] *349:9 24.4821 +2 *349:9 *349:10 294.196 +3 *349:10 *349:21 42.0252 +4 *349:21 *39482:A 12.6214 +5 *349:21 *7450:DIODE 9.3 +*END + +*D_NET *350 0.0316063 +*CONN +*P la_data_out_core[66] I +*I *7451:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39483:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[66] 0.00174361 +2 *7451:DIODE 0 +3 *39483:A 0.000204486 +4 *350:23 0.00174992 +5 *350:22 0.00311235 +6 *350:14 0.0117668 +7 *350:13 0.0107427 +8 *350:10 0.00228639 +9 *39483:A *2227:13 0 +10 *39483:A *2234:24 0 +11 *39483:A *3578:37 0 +12 *350:10 *39236:A 0 +13 *350:10 *352:17 0 +14 *350:10 *1016:180 0 +15 *350:10 *3804:74 0 +16 *350:10 *4708:20 0 +17 *350:10 *4992:17 0 +18 *350:13 *38261:A 0 +19 *350:13 *38979:A 0 +20 *350:13 *351:11 0 +21 *350:13 *2728:26 0 +22 *350:13 *2730:20 0 +23 *350:14 *351:14 0 +24 *350:14 *2688:7 0 +25 *350:14 *4691:10 0 +26 *350:22 *2607:19 0 +27 *350:22 *2734:17 0 +28 *350:22 *4681:21 0 +29 *350:23 *40006:A 0 +30 *350:23 *1289:28 0 +31 *350:23 *1944:51 0 +32 *350:23 *1953:65 0 +33 *350:23 *2232:15 0 +34 *350:23 *2564:18 0 +35 *350:23 *2567:20 0 +36 *350:23 *2751:46 0 +37 *350:23 *2853:8 0 +38 *350:23 *2854:38 0 +39 *350:23 *5140:38 0 +40 la_data_in_core[66] *350:10 0 +41 *348:47 *350:14 0 +*RES +1 la_data_out_core[66] *350:10 46.3393 +2 *350:10 *350:13 16.7679 +3 *350:13 *350:14 212.875 +4 *350:14 *350:22 46.2857 +5 *350:22 *350:23 35.0357 +6 *350:23 *39483:A 18.4607 +7 *350:23 *7451:DIODE 13.8 +*END + +*D_NET *351 0.0254964 +*CONN +*P la_data_out_core[67] I +*I *39484:A I *D sky130_fd_sc_hd__nand2_1 +*I *7452:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[67] 0.000624425 +2 *39484:A 0.00016428 +3 *7452:DIODE 0 +4 *351:25 0.00016428 +5 *351:23 0.0020912 +6 *351:14 0.00989323 +7 *351:13 0.00780203 +8 *351:11 0.00206625 +9 *351:7 0.00269068 +10 *39484:A *39484:B 0 +11 *39484:A *2221:75 0 +12 *39484:A *2227:48 0 +13 *351:7 la_oenb_core[67] 0 +14 *351:7 *356:13 0 +15 *351:7 *1537:43 0 +16 *351:7 *4714:14 0 +17 *351:11 *38979:A 0 +18 *351:11 *1515:6 0 +19 *351:11 *3362:23 0 +20 *351:11 *3693:33 0 +21 *351:14 *37991:A 0 +22 *351:14 *38011:A 0 +23 *351:14 *2845:26 0 +24 *351:14 *2845:35 0 +25 *351:14 *3676:11 0 +26 *351:14 *4706:7 0 +27 *351:14 *5133:15 0 +28 *351:23 *1007:136 0 +29 *351:23 *1014:30 0 +30 *351:23 *2281:23 0 +31 *351:23 *2290:25 0 +32 *351:23 *2293:13 0 +33 *351:23 *2731:32 0 +34 *351:23 *2845:22 0 +35 *351:23 *4347:14 0 +36 la_data_in_core[67] *351:7 0 +37 *348:14 *351:14 0 +38 *348:32 *351:14 0 +39 *350:13 *351:11 0 +40 *350:14 *351:14 0 +*RES +1 la_data_out_core[67] *351:7 18.6786 +2 *351:7 *351:11 48.4464 +3 *351:11 *351:13 4.5 +4 *351:13 *351:14 162.768 +5 *351:14 *351:23 46.4053 +6 *351:23 *351:25 4.5 +7 *351:25 *7452:DIODE 9.3 +8 *351:25 *39484:A 12.7286 +*END + +*D_NET *352 0.0335663 +*CONN +*P la_data_out_core[68] I +*I *7453:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39485:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[68] 0.00117386 +2 *7453:DIODE 0 +3 *39485:A 0.0002295 +4 *352:39 0.000914015 +5 *352:36 0.00260533 +6 *352:29 0.00283491 +7 *352:18 0.0110943 +8 *352:17 0.0118604 +9 *352:10 0.00285399 +10 *39485:A *1689:11 0 +11 *39485:A *2734:7 0 +12 *39485:A *3899:29 0 +13 *352:10 *38275:A 0 +14 *352:10 *39239:A 0 +15 *352:10 *356:10 0 +16 *352:10 *1016:184 0 +17 *352:10 *1536:23 0 +18 *352:10 *4989:20 0 +19 *352:17 *38275:A 0 +20 *352:17 *38979:A 0 +21 *352:17 *39239:A 0 +22 *352:17 *1016:180 0 +23 *352:17 *1016:184 0 +24 *352:17 *2934:6 0 +25 *352:17 *2942:15 0 +26 *352:18 *2607:9 0 +27 *352:18 *2734:19 0 +28 *352:18 *3668:31 0 +29 *352:18 *4691:20 0 +30 *352:29 *38956:A 0 +31 *352:29 *2548:19 0 +32 *352:29 *2555:5 0 +33 *352:29 *2644:10 0 +34 *352:29 *2851:22 0 +35 *352:29 *4962:10 0 +36 *352:36 *37817:A 0 +37 *352:36 *2555:26 0 +38 *352:36 *2661:41 0 +39 *352:36 *2742:29 0 +40 *352:39 *2570:13 0 +41 *352:39 *2863:10 0 +42 *352:39 *3324:48 0 +43 *352:39 *5109:32 0 +44 *352:39 *5120:30 0 +45 la_data_in_core[68] *352:10 0 +46 *338:47 *352:39 0 +47 *348:7 *352:17 0 +48 *350:10 *352:17 0 +*RES +1 la_data_out_core[68] *352:10 29.9375 +2 *352:10 *352:17 45.0268 +3 *352:17 *352:18 212.464 +4 *352:18 *352:29 37.5893 +5 *352:29 *352:36 49.1964 +6 *352:36 *352:39 20.1071 +7 *352:39 *39485:A 18.675 +8 *352:39 *7453:DIODE 13.8 +*END + +*D_NET *353 0.0286203 +*CONN +*P la_data_out_core[69] I +*I *7454:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39486:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[69] 0.00174645 +2 *7454:DIODE 2.26741e-05 +3 *39486:A 0.000189324 +4 *353:19 0.00156738 +5 *353:14 0.0121457 +6 *353:13 0.0109963 +7 *353:10 0.00195248 +8 *7454:DIODE *2225:28 0 +9 *39486:A *2225:28 0 +10 *39486:A *2259:26 0 +11 *39486:A *2277:21 0 +12 *353:10 *3693:33 0 +13 *353:10 *4719:8 0 +14 *353:13 *356:13 0 +15 *353:13 *3362:26 0 +16 *353:13 *4714:14 0 +17 *353:14 *1267:31 0 +18 *353:14 *1290:33 0 +19 *353:14 *1290:35 0 +20 *353:14 *1515:9 0 +21 *353:14 *1516:25 0 +22 *353:14 *2344:31 0 +23 *353:14 *3668:28 0 +24 *353:19 *1311:18 0 +25 *353:19 *2278:16 0 +26 *353:19 *4684:30 0 +27 *353:19 *4685:13 0 +28 *353:19 *4979:10 0 +29 la_data_in_core[69] *353:10 0 +30 *328:19 *353:19 0 +31 *342:8 *353:14 0 +32 *345:19 *353:14 0 +33 *349:10 *353:14 0 +*RES +1 la_data_out_core[69] *353:10 46.6964 +2 *353:10 *353:13 9.17857 +3 *353:13 *353:14 225.196 +4 *353:14 *353:19 39.7857 +5 *353:19 *39486:A 22.3357 +6 *353:19 *7454:DIODE 9.83571 +*END + +*D_NET *354 0.0301255 +*CONN +*P la_data_out_core[6] I +*I *7455:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39487:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[6] 0.00205353 +2 *7455:DIODE 2.26741e-05 +3 *39487:A 0.000243853 +4 *354:19 0.000266528 +5 *354:17 0.00331026 +6 *354:16 0.00331026 +7 *354:14 0.00943242 +8 *354:13 0.00943242 +9 *354:11 0.00205353 +10 *7455:DIODE *1691:9 0 +11 *7455:DIODE *3917:15 0 +12 *39487:A *1145:7 0 +13 *39487:A *1145:13 0 +14 *39487:A *1691:9 0 +15 *39487:A *3917:15 0 +16 *354:11 *1025:40 0 +17 *354:11 *2507:32 0 +18 *354:11 *2530:24 0 +19 *354:11 *2710:70 0 +20 *354:11 *4669:17 0 +21 *354:11 *4997:8 0 +22 *354:14 *39936:A 0 +23 *354:14 *1374:11 0 +24 *354:14 *2504:29 0 +25 *354:14 *2780:17 0 +26 *354:14 *4712:9 0 +27 *354:14 *5047:9 0 +28 *354:17 *37477:A 0 +29 *354:17 *37505:A 0 +30 *354:17 *37531:A 0 +31 *354:17 *38162:B 0 +32 *354:17 *39429:B 0 +33 *354:17 *1169:11 0 +34 *354:17 *1480:12 0 +35 *354:17 *1789:16 0 +36 *354:17 *2364:29 0 +37 *354:17 *2499:8 0 +38 *354:17 *2764:8 0 +39 *354:17 *2789:27 0 +40 *354:17 *2914:18 0 +41 *354:17 *2952:10 0 +42 *354:17 *3096:10 0 +43 *354:17 *3249:50 0 +44 *354:17 *3380:51 0 +45 *354:17 *3862:54 0 +46 *354:17 *3874:24 0 +47 *354:17 *4402:37 0 +48 la_data_in_core[6] *354:11 0 +49 *296:19 *354:11 0 +50 *321:10 *354:14 0 +51 *327:25 *354:17 0 +52 *332:20 *354:14 0 +53 *332:25 *354:14 0 +54 *332:34 *354:14 0 +55 *333:53 *354:11 0 +*RES +1 la_data_out_core[6] *354:11 46.6964 +2 *354:11 *354:13 4.5 +3 *354:13 *354:14 196.857 +4 *354:14 *354:16 4.5 +5 *354:16 *354:17 75.1071 +6 *354:17 *354:19 4.5 +7 *354:19 *39487:A 14.3893 +8 *354:19 *7455:DIODE 9.83571 +*END + +*D_NET *355 0.0140506 +*CONN +*P la_data_out_core[70] I +*I *7456:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39488:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[70] 0.00187202 +2 *7456:DIODE 0 +3 *39488:A 0.000190163 +4 *355:23 0.000190163 +5 *355:21 0.00210363 +6 *355:20 0.00210363 +7 *355:18 0.0028595 +8 *355:16 0.00473152 +9 *39488:A *39488:B 0 +10 *39488:A *1820:18 0 +11 *39488:A *3741:47 0 +12 *39488:A *4332:58 0 +13 *355:16 *37751:A 0 +14 *355:16 *39854:A 0 +15 *355:16 *2942:9 0 +16 *355:16 *4713:14 0 +17 *355:16 *4989:14 0 +18 *355:16 *4996:10 0 +19 *355:18 *2684:5 0 +20 *355:18 *2942:9 0 +21 *355:21 *356:19 0 +22 *355:21 *2848:22 0 +23 *355:21 *2920:19 0 +24 *355:21 *3659:32 0 +25 *355:21 *3795:60 0 +26 *355:21 *4341:39 0 +27 *355:21 *4365:46 0 +28 *355:21 *4705:24 0 +29 la_data_in_core[70] *355:16 0 +*RES +1 la_data_out_core[70] *355:16 45.2143 +2 *355:16 *355:18 59.6786 +3 *355:18 *355:20 4.5 +4 *355:20 *355:21 47.7857 +5 *355:21 *355:23 4.5 +6 *355:23 *39488:A 13.5589 +7 *355:23 *7456:DIODE 9.3 +*END + +*D_NET *356 0.0150114 +*CONN +*P la_data_out_core[71] I +*I *7457:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39489:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[71] 0.000530906 +2 *7457:DIODE 0 +3 *39489:A 0.000397959 +4 *356:21 0.000855168 +5 *356:19 0.00204835 +6 *356:14 0.00387995 +7 *356:13 0.00253486 +8 *356:10 0.00223967 +9 *356:7 0.00252451 +10 *39489:A *3665:21 0 +11 *39489:A *3714:23 0 +12 *39489:A *3757:22 0 +13 *39489:A *3775:48 0 +14 *39489:A *4369:45 0 +15 *356:10 *39239:A 0 +16 *356:10 *1016:184 0 +17 *356:13 *4714:14 0 +18 *356:14 *2684:5 0 +19 *356:14 *2934:9 0 +20 *356:14 *2942:9 0 +21 *356:19 *2273:51 0 +22 *356:19 *2848:22 0 +23 *356:19 *4702:21 0 +24 *356:19 *4705:24 0 +25 *356:21 *2273:51 0 +26 *356:21 *2848:22 0 +27 *356:21 *3808:56 0 +28 la_data_in_core[71] *356:7 0 +29 *351:7 *356:13 0 +30 *352:10 *356:10 0 +31 *353:13 *356:13 0 +32 *355:21 *356:19 0 +*RES +1 la_data_out_core[71] *356:7 16.5536 +2 *356:7 *356:10 46.1071 +3 *356:10 *356:13 10.0893 +4 *356:13 *356:14 47.7679 +5 *356:14 *356:19 40.6786 +6 *356:19 *356:21 10.4464 +7 *356:21 *39489:A 36.9903 +8 *356:21 *7457:DIODE 13.8 +*END + +*D_NET *357 0.0305436 +*CONN +*P la_data_out_core[72] I +*I *7459:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39490:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[72] 0.000530867 +2 *7459:DIODE 4.53482e-05 +3 *39490:A 0.000112415 +4 *357:43 0.00224546 +5 *357:38 0.00345978 +6 *357:26 0.00409383 +7 *357:25 0.00272175 +8 *357:23 0.00162594 +9 *357:8 0.00840165 +10 *357:7 0.00730657 +11 *7459:DIODE *3284:11 0 +12 *7459:DIODE *3582:13 0 +13 *357:7 la_oenb_core[72] 0 +14 *357:7 *4716:6 0 +15 *357:8 *358:10 0 +16 *357:8 *359:8 0 +17 *357:8 *1016:184 0 +18 *357:8 *2481:21 0 +19 *357:23 *372:13 0 +20 *357:23 *374:5 0 +21 *357:23 *375:19 0 +22 *357:23 *1021:16 0 +23 *357:23 *1024:124 0 +24 *357:23 *2720:23 0 +25 *357:23 *4331:21 0 +26 *357:26 *360:14 0 +27 *357:26 *379:10 0 +28 *357:26 *2476:11 0 +29 *357:26 *2476:16 0 +30 *357:26 *3673:11 0 +31 *357:38 *375:20 0 +32 *357:38 *382:17 0 +33 *357:38 *1428:28 0 +34 *357:38 *2469:25 0 +35 *357:38 *3625:45 0 +36 *357:38 *3654:25 0 +37 *357:38 *4021:22 0 +38 *357:38 *4734:11 0 +39 *357:43 *1273:40 0 +40 *357:43 *1553:46 0 +41 *357:43 *2807:34 0 +42 *357:43 *2834:35 0 +43 *357:43 *3047:63 0 +44 *357:43 *3117:36 0 +45 *357:43 *3519:32 0 +46 *357:43 *3519:34 0 +47 *357:43 *3735:44 0 +48 la_data_in_core[72] *357:7 0 +*RES +1 la_data_out_core[72] *357:7 16.5536 +2 *357:7 *357:8 141.411 +3 *357:8 *357:23 46.2395 +4 *357:23 *357:25 4.5 +5 *357:25 *357:26 56.8036 +6 *357:26 *357:38 43.0714 +7 *357:38 *357:43 47.5357 +8 *357:43 *39490:A 16.3536 +9 *357:43 *7459:DIODE 14.7464 +*END + +*D_NET *358 0.0251036 +*CONN +*P la_data_out_core[73] I +*I *7460:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39491:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[73] 0.000617326 +2 *7460:DIODE 0.000101392 +3 *39491:A 0 +4 *358:44 0.000539909 +5 *358:31 0.00246428 +6 *358:24 0.00379674 +7 *358:16 0.00510279 +8 *358:15 0.00353786 +9 *358:10 0.004266 +10 *358:9 0.00467727 +11 *7460:DIODE *1824:54 0 +12 *7460:DIODE *3755:11 0 +13 *358:9 la_oenb_core[73] 0 +14 *358:9 *39244:A 0 +15 *358:10 *359:8 0 +16 *358:10 *1016:184 0 +17 *358:15 *368:9 0 +18 *358:15 *4723:14 0 +19 *358:16 *360:14 0 +20 *358:16 *3673:11 0 +21 *358:24 *1002:26 0 +22 *358:24 *1010:119 0 +23 *358:24 *1023:98 0 +24 *358:24 *1544:20 0 +25 *358:24 *2474:31 0 +26 *358:24 *3664:17 0 +27 *358:24 *5024:28 0 +28 *358:31 *1001:37 0 +29 *358:31 *2821:26 0 +30 *358:31 *2824:63 0 +31 *358:31 *2977:62 0 +32 *358:31 *3420:40 0 +33 *358:31 *3427:91 0 +34 *358:31 *3664:18 0 +35 *358:31 *4091:55 0 +36 *358:31 *4229:51 0 +37 *358:44 *1336:38 0 +38 *358:44 *1823:18 0 +39 *358:44 *3725:48 0 +40 la_data_in_core[73] *358:9 0 +41 *357:8 *358:10 0 +*RES +1 la_data_out_core[73] *358:9 18.5179 +2 *358:9 *358:10 84.7321 +3 *358:10 *358:15 13.6786 +4 *358:15 *358:16 69.5357 +5 *358:16 *358:24 21.2835 +6 *358:24 *358:31 46.0893 +7 *358:31 *358:44 14.7946 +8 *358:44 *39491:A 9.3 +9 *358:44 *7460:DIODE 11.4786 +*END + +*D_NET *359 0.0326502 +*CONN +*P la_data_out_core[74] I +*I *39492:A I *D sky130_fd_sc_hd__nand2_2 +*I *7461:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[74] 0.00054414 +2 *39492:A 2.41714e-05 +3 *7461:DIODE 0.000163638 +4 *359:31 0.00018781 +5 *359:29 0.00245208 +6 *359:28 0.00245208 +7 *359:26 0.00258399 +8 *359:25 0.00266972 +9 *359:20 0.00312235 +10 *359:19 0.0036366 +11 *359:8 0.00743473 +12 *359:7 0.00737888 +13 *7461:DIODE *1336:5 0 +14 *7461:DIODE *3755:13 0 +15 *39492:A *1336:5 0 +16 *39492:A *3755:13 0 +17 *359:7 *4717:6 0 +18 *359:8 *369:19 0 +19 *359:8 *369:26 0 +20 *359:8 *1016:184 0 +21 *359:8 *2465:14 0 +22 *359:8 *4331:21 0 +23 *359:19 *2446:18 0 +24 *359:19 *2476:16 0 +25 *359:19 *2720:23 0 +26 *359:19 *3673:11 0 +27 *359:19 *4331:37 0 +28 *359:19 *5002:13 0 +29 *359:20 *362:8 0 +30 *359:20 *364:8 0 +31 *359:25 *382:17 0 +32 *359:25 *4734:11 0 +33 *359:26 *379:12 0 +34 *359:26 *381:14 0 +35 *359:26 *1547:47 0 +36 *359:29 *1004:23 0 +37 *359:29 *1549:44 0 +38 *359:29 *2834:41 0 +39 *359:29 *3275:86 0 +40 *359:29 *3418:38 0 +41 *359:29 *3485:62 0 +42 *359:29 *3704:36 0 +43 la_data_in_core[74] *359:7 0 +44 *262:7 *359:29 0 +45 *357:8 *359:8 0 +46 *358:10 *359:8 0 +*RES +1 la_data_out_core[74] *359:7 16.8571 +2 *359:7 *359:8 142.643 +3 *359:8 *359:19 31.1071 +4 *359:19 *359:20 63.375 +5 *359:20 *359:25 10.9464 +6 *359:25 *359:26 53.9286 +7 *359:26 *359:28 4.5 +8 *359:28 *359:29 55.6786 +9 *359:29 *359:31 4.5 +10 *359:31 *7461:DIODE 12.8714 +11 *359:31 *39492:A 9.83571 +*END + +*D_NET *360 0.0361202 +*CONN +*P la_data_out_core[75] I +*I *7462:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39493:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[75] 0.000624425 +2 *7462:DIODE 0 +3 *39493:A 0.000230375 +4 *360:23 0.000681036 +5 *360:20 0.00240634 +6 *360:14 0.0137693 +7 *360:13 0.0119395 +8 *360:8 0.00298535 +9 *360:7 0.00348393 +10 *39493:A *39493:B 0 +11 *39493:A *39626:A 0 +12 *39493:A *3284:29 0 +13 *39493:A *3470:67 0 +14 *39493:A *3540:13 0 +15 *360:7 *7238:DIODE 0 +16 *360:7 *1538:20 0 +17 *360:8 *361:8 0 +18 *360:8 *3729:19 0 +19 *360:8 *4331:9 0 +20 *360:13 *367:7 0 +21 *360:13 *3770:12 0 +22 *360:14 *38059:A 0 +23 *360:14 *377:38 0 +24 *360:14 *379:10 0 +25 *360:14 *381:14 0 +26 *360:14 *1547:47 0 +27 *360:14 *2477:33 0 +28 *360:14 *2720:23 0 +29 *360:14 *3673:11 0 +30 *360:14 *3712:19 0 +31 *360:14 *3762:21 0 +32 *360:20 *380:26 0 +33 *360:20 *2471:6 0 +34 *360:20 *3109:24 0 +35 *360:20 *3290:69 0 +36 *360:20 *3514:48 0 +37 *360:20 *3718:33 0 +38 *360:20 *4729:10 0 +39 *360:20 *4897:24 0 +40 *360:23 *363:29 0 +41 *360:23 *4033:77 0 +42 *360:23 *4897:24 0 +43 la_data_in_core[75] *360:7 0 +44 *267:7 *360:20 0 +45 *357:26 *360:14 0 +46 *358:16 *360:14 0 +*RES +1 la_data_out_core[75] *360:7 18.6786 +2 *360:7 *360:8 59.6786 +3 *360:8 *360:13 11.8571 +4 *360:13 *360:14 246.554 +5 *360:14 *360:20 49.4168 +6 *360:20 *360:23 13.7046 +7 *360:23 *39493:A 18.8179 +8 *360:23 *7462:DIODE 13.8 +*END + +*D_NET *361 0.0434844 +*CONN +*P la_data_out_core[76] I +*I *7464:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39494:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[76] 0.000651068 +2 *7464:DIODE 0.000239304 +3 *39494:A 9.90435e-05 +4 *361:41 0.00230624 +5 *361:38 0.0109477 +6 *361:37 0.00994475 +7 *361:28 0.00287984 +8 *361:25 0.00316673 +9 *361:14 0.00302276 +10 *361:8 0.00567343 +11 *361:7 0.00455358 +12 *7464:DIODE *39595:A 0 +13 *7464:DIODE *1826:8 0 +14 *7464:DIODE *2802:37 0 +15 *7464:DIODE *3470:82 0 +16 *7464:DIODE *3718:60 0 +17 *361:7 *5003:16 0 +18 *361:8 *3729:19 0 +19 *361:8 *3735:27 0 +20 *361:8 *3983:21 0 +21 *361:8 *4331:9 0 +22 *361:14 *368:10 0 +23 *361:14 *2722:19 0 +24 *361:14 *3708:8 0 +25 *361:14 *4722:16 0 +26 *361:25 *2400:39 0 +27 *361:25 *2479:39 0 +28 *361:25 *2479:49 0 +29 *361:25 *3492:17 0 +30 *361:28 *363:8 0 +31 *361:28 *1015:110 0 +32 *361:37 *363:19 0 +33 *361:37 *1002:26 0 +34 *361:37 *3094:24 0 +35 *361:37 *3097:35 0 +36 *361:37 *3424:36 0 +37 *361:37 *3519:25 0 +38 *361:37 *3673:22 0 +39 *361:37 *3752:33 0 +40 *361:37 *5001:13 0 +41 *361:38 *363:20 0 +42 *361:38 *1015:122 0 +43 *361:41 *1005:91 0 +44 *361:41 *1013:77 0 +45 *361:41 *1826:8 0 +46 *361:41 *3414:18 0 +47 *361:41 *3540:45 0 +48 *361:41 *4741:15 0 +49 la_data_in_core[76] *361:7 0 +50 *268:8 *361:38 0 +51 *360:8 *361:8 0 +*RES +1 la_data_out_core[76] *361:7 19.2857 +2 *361:7 *361:8 81.4464 +3 *361:8 *361:14 47.3929 +4 *361:14 *361:25 22.3498 +5 *361:25 *361:28 44.4643 +6 *361:28 *361:37 29.5692 +7 *361:37 *361:38 187.411 +8 *361:38 *361:41 49.25 +9 *361:41 *39494:A 16.05 +10 *361:41 *7464:DIODE 27.9964 +*END + +*D_NET *362 0.0531759 +*CONN +*P la_data_out_core[77] I +*I *39495:A I *D sky130_fd_sc_hd__nand2_1 +*I *7465:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[77] 0.000864906 +2 *39495:A 0 +3 *7465:DIODE 0.00016428 +4 *362:20 0.000186954 +5 *362:17 0.00288955 +6 *362:16 0.00286688 +7 *362:14 0.00178339 +8 *362:8 0.0226692 +9 *362:7 0.0217507 +10 *7465:DIODE *39495:B 0 +11 *7465:DIODE *1711:21 0 +12 *7465:DIODE *2963:27 0 +13 *362:7 la_oenb_core[77] 0 +14 *362:7 *39248:A 0 +15 *362:7 *1015:109 0 +16 *362:7 *2718:6 0 +17 *362:7 *4998:8 0 +18 *362:8 *364:8 0 +19 *362:8 *366:8 0 +20 *362:8 *366:14 0 +21 *362:8 *366:22 0 +22 *362:8 *382:18 0 +23 *362:8 *2956:16 0 +24 *362:8 *3664:9 0 +25 *362:8 *3946:35 0 +26 *362:14 *38137:A 0 +27 *362:14 *366:22 0 +28 *362:14 *366:24 0 +29 *362:14 *1467:17 0 +30 *362:14 *2456:6 0 +31 *362:14 *4621:6 0 +32 *362:17 *6175:DIODE 0 +33 *362:17 *1601:12 0 +34 *362:17 *1748:8 0 +35 *362:17 *3480:49 0 +36 *362:17 *4633:8 0 +37 *362:17 *4633:12 0 +38 *362:17 *4922:8 0 +39 *362:20 *1711:21 0 +40 *362:20 *2963:27 0 +41 la_data_in_core[77] *362:7 0 +42 *276:17 *362:17 0 +43 *359:20 *362:8 0 +*RES +1 la_data_out_core[77] *362:7 24.1429 +2 *362:7 *362:8 435.893 +3 *362:8 *362:14 46.3036 +4 *362:14 *362:16 4.5 +5 *362:16 *362:17 65.0893 +6 *362:17 *362:20 5.03571 +7 *362:20 *7465:DIODE 12.7286 +8 *362:20 *39495:A 9.3 +*END + +*D_NET *363 0.0380979 +*CONN +*P la_data_out_core[78] I +*I *7466:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39496:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[78] 0.00138622 +2 *7466:DIODE 0.000104386 +3 *39496:A 0 +4 *363:53 0.000542541 +5 *363:45 0.00227122 +6 *363:29 0.00356757 +7 *363:20 0.00805759 +8 *363:19 0.0065998 +9 *363:8 0.00722954 +10 *363:7 0.00833903 +11 *7466:DIODE *3091:41 0 +12 *7466:DIODE *4449:51 0 +13 *363:7 *38994:A 0 +14 *363:7 *3072:48 0 +15 *363:7 *3735:26 0 +16 *363:8 *1015:110 0 +17 *363:8 *2365:39 0 +18 *363:8 *3273:20 0 +19 *363:19 *1015:121 0 +20 *363:19 *3299:19 0 +21 *363:19 *3492:17 0 +22 *363:20 *368:28 0 +23 *363:20 *368:32 0 +24 *363:20 *1015:122 0 +25 *363:29 *1020:13 0 +26 *363:29 *1020:15 0 +27 *363:29 *4897:24 0 +28 *363:29 *5106:23 0 +29 *363:29 *5106:24 0 +30 *363:45 *8296:DIODE 0 +31 *363:45 *1579:26 0 +32 *363:45 *2803:42 0 +33 *363:45 *3718:41 0 +34 *363:45 *3931:34 0 +35 *363:45 *4330:62 0 +36 *363:45 *5106:24 0 +37 *363:53 *1828:18 0 +38 *363:53 *3357:61 0 +39 *363:53 *3475:42 0 +40 *363:53 *4244:43 0 +41 la_data_in_core[78] *363:7 0 +42 *360:23 *363:29 0 +43 *361:28 *363:8 0 +44 *361:37 *363:19 0 +45 *361:38 *363:20 0 +*RES +1 la_data_out_core[78] *363:7 35.9821 +2 *363:7 *363:8 145.107 +3 *363:8 *363:19 18.8185 +4 *363:19 *363:20 131.964 +5 *363:20 *363:29 43.9821 +6 *363:29 *363:45 41.7589 +7 *363:45 *363:53 14.5446 +8 *363:53 *39496:A 9.3 +9 *363:53 *7466:DIODE 11.4786 +*END + +*D_NET *364 0.0393549 +*CONN +*P la_data_out_core[79] I +*I *7467:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39497:A I *D sky130_fd_sc_hd__nand2_2 +*CAP +1 la_data_out_core[79] 0.000838262 +2 *7467:DIODE 6.50276e-05 +3 *39497:A 0.000125668 +4 *364:19 0.0020643 +5 *364:11 0.00459142 +6 *364:10 0.00271781 +7 *364:8 0.0140571 +8 *364:7 0.0148953 +9 *7467:DIODE *3536:7 0 +10 *7467:DIODE *4547:65 0 +11 *364:7 *5003:10 0 +12 *364:8 *382:18 0 +13 *364:8 *3664:9 0 +14 *364:11 *40114:A 0 +15 *364:11 *2470:8 0 +16 *364:11 *2836:43 0 +17 *364:11 *4740:11 0 +18 *364:11 *4896:16 0 +19 *364:11 *4901:18 0 +20 *364:19 *38109:A 0 +21 *364:19 *38343:A 0 +22 *364:19 *1453:30 0 +23 *364:19 *1752:16 0 +24 *364:19 *1850:36 0 +25 *364:19 *3111:18 0 +26 *364:19 *3251:12 0 +27 *364:19 *4581:35 0 +28 *364:19 *4581:38 0 +29 *364:19 *4896:14 0 +30 la_data_in_core[79] *364:7 0 +31 *286:17 *364:11 0 +32 *359:20 *364:8 0 +33 *362:8 *364:8 0 +*RES +1 la_data_out_core[79] *364:7 23.5357 +2 *364:7 *364:8 293.375 +3 *364:8 *364:10 4.5 +4 *364:10 *364:11 61.75 +5 *364:11 *364:19 43.125 +6 *364:19 *39497:A 16.6571 +7 *364:19 *7467:DIODE 15.1571 +*END + +*D_NET *365 0.0267832 +*CONN +*P la_data_out_core[7] I +*I *39498:A I *D sky130_fd_sc_hd__nand2_1 +*I *7468:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[7] 0.0019431 +2 *39498:A 0.000189472 +3 *7468:DIODE 2.55228e-05 +4 *365:17 0.000531946 +5 *365:14 0.0080963 +6 *365:13 0.00777935 +7 *365:11 0.0031372 +8 *365:9 0.0050803 +9 *39498:A *3378:20 0 +10 *39498:A *3465:25 0 +11 *39498:A *3749:31 0 +12 *39498:A *3900:25 0 +13 *39498:A *4797:50 0 +14 *365:9 *39252:A 0 +15 *365:9 *1016:82 0 +16 *365:9 *2506:32 0 +17 *365:9 *2553:22 0 +18 *365:9 *2566:28 0 +19 *365:9 *4644:20 0 +20 *365:9 *4736:16 0 +21 *365:11 *8380:DIODE 0 +22 *365:11 *37574:B 0 +23 *365:11 *41158:A 0 +24 *365:11 *2506:32 0 +25 *365:11 *2907:20 0 +26 *365:11 *3538:18 0 +27 *365:11 *3601:18 0 +28 *365:11 *3834:36 0 +29 *365:11 *3853:58 0 +30 *365:11 *3903:8 0 +31 *365:11 *4148:22 0 +32 *365:11 *4644:10 0 +33 *365:11 *4736:16 0 +34 *365:14 *40948:A 0 +35 *365:14 *2355:21 0 +36 *365:14 *3456:35 0 +37 *365:14 *3910:17 0 +38 *365:14 *3910:19 0 +39 *365:14 *3914:5 0 +40 *365:14 *3915:15 0 +41 *365:17 *3068:24 0 +42 *365:17 *3304:45 0 +43 *365:17 *3911:18 0 +44 *365:17 *4821:22 0 +45 la_data_in_core[7] *365:9 0 +*RES +1 la_data_out_core[7] *365:9 44.1518 +2 *365:9 *365:11 71.2232 +3 *365:11 *365:13 4.5 +4 *365:13 *365:14 162.357 +5 *365:14 *365:17 11.7589 +6 *365:17 *7468:DIODE 14.3804 +7 *365:17 *39498:A 22.9999 +*END + +*D_NET *366 0.0543647 +*CONN +*P la_data_out_core[80] I +*I *7469:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39499:A I *D sky130_fd_sc_hd__nand2_2 +*CAP +1 la_data_out_core[80] 0.000878218 +2 *7469:DIODE 0 +3 *39499:A 0.000178826 +4 *366:29 0.000178826 +5 *366:27 0.00317443 +6 *366:26 0.00317443 +7 *366:24 0.00283982 +8 *366:22 0.0049638 +9 *366:14 0.00423663 +10 *366:8 0.0179871 +11 *366:7 0.0167526 +12 *39499:A *3039:53 0 +13 *366:7 *38995:A 0 +14 *366:7 *2942:8 0 +15 *366:8 *371:8 0 +16 *366:8 *3946:35 0 +17 *366:8 *4021:11 0 +18 *366:14 *38377:A 0 +19 *366:22 *38137:A 0 +20 *366:22 *38377:A 0 +21 *366:22 *382:18 0 +22 *366:22 *1467:17 0 +23 *366:24 *382:18 0 +24 *366:24 *1594:11 0 +25 *366:27 *367:11 0 +26 *366:27 *367:15 0 +27 *366:27 *1739:8 0 +28 *366:27 *3391:100 0 +29 la_data_in_core[80] *366:7 0 +30 *264:8 *366:8 0 +31 *264:8 *366:14 0 +32 *289:12 *366:24 0 +33 *362:8 *366:8 0 +34 *362:8 *366:14 0 +35 *362:8 *366:22 0 +36 *362:14 *366:22 0 +37 *362:14 *366:24 0 +*RES +1 la_data_out_core[80] *366:7 24.4464 +2 *366:7 *366:8 331.366 +3 *366:8 *366:14 44.1071 +4 *366:14 *366:22 44.4375 +5 *366:22 *366:24 59.2679 +6 *366:24 *366:26 4.5 +7 *366:26 *366:27 72.0714 +8 *366:27 *366:29 4.5 +9 *366:29 *39499:A 13.0321 +10 *366:29 *7469:DIODE 9.3 +*END + +*D_NET *367 0.0557046 +*CONN +*P la_data_out_core[81] I +*I *7470:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39500:A I *D sky130_fd_sc_hd__nand2_2 +*CAP +1 la_data_out_core[81] 0.000858279 +2 *7470:DIODE 0.000124066 +3 *39500:A 5.79164e-05 +4 *367:15 0.00150591 +5 *367:11 0.00419416 +6 *367:10 0.00287024 +7 *367:8 0.0226179 +8 *367:7 0.0234761 +9 *7470:DIODE *1717:5 0 +10 *7470:DIODE *4426:9 0 +11 *39500:A *39500:B 0 +12 *39500:A *1832:14 0 +13 *39500:A *4426:9 0 +14 *367:7 *39251:A 0 +15 *367:7 *2481:24 0 +16 *367:7 *3770:12 0 +17 *367:8 *377:27 0 +18 *367:8 *379:23 0 +19 *367:8 *1008:94 0 +20 *367:8 *1021:16 0 +21 *367:8 *1023:98 0 +22 *367:8 *3712:18 0 +23 *367:8 *3729:27 0 +24 *367:11 *1588:6 0 +25 *367:11 *4638:8 0 +26 *367:15 *37625:A 0 +27 *367:15 *38378:A 0 +28 *367:15 *38380:A 0 +29 *367:15 *39500:B 0 +30 *367:15 *1588:6 0 +31 *367:15 *1739:8 0 +32 *367:15 *1831:10 0 +33 *367:15 *2963:39 0 +34 *367:15 *2985:14 0 +35 *367:15 *3391:100 0 +36 la_data_in_core[81] *367:7 0 +37 *360:13 *367:7 0 +38 *366:27 *367:11 0 +39 *366:27 *367:15 0 +*RES +1 la_data_out_core[81] *367:7 22.9011 +2 *367:7 *367:8 81.9147 +3 *367:8 *367:10 3.41 +4 *367:10 *367:11 65.1964 +5 *367:11 *367:15 30.1518 +6 *367:15 *39500:A 15.0679 +7 *367:15 *7470:DIODE 16.3893 +*END + +*D_NET *368 0.0369116 +*CONN +*P la_data_out_core[82] I +*I *39501:A I *D sky130_fd_sc_hd__nand2_1 +*I *7471:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[82] 0.00148133 +2 *39501:A 4.53482e-05 +3 *7471:DIODE 0 +4 *368:106 0.00107953 +5 *368:79 0.00286383 +6 *368:65 0.00312932 +7 *368:39 0.00315945 +8 *368:34 0.00631951 +9 *368:32 0.00545954 +10 *368:28 0.00238018 +11 *368:10 0.00549166 +12 *368:9 0.00550191 +13 *39501:A *4533:63 0 +14 *39501:A *5107:43 0 +15 *368:9 la_oenb_core[82] 0 +16 *368:9 *38998:A 0 +17 *368:9 *39254:A 0 +18 *368:9 *1014:94 0 +19 *368:9 *2474:10 0 +20 *368:9 *2480:16 0 +21 *368:9 *3704:10 0 +22 *368:9 *3946:43 0 +23 *368:9 *4007:12 0 +24 *368:9 *4723:14 0 +25 *368:10 *2367:16 0 +26 *368:10 *2722:19 0 +27 *368:10 *2958:35 0 +28 *368:10 *3118:33 0 +29 *368:28 *6412:DIODE 0 +30 *368:28 *1864:50 0 +31 *368:28 *2722:19 0 +32 *368:28 *2722:27 0 +33 *368:28 *3097:35 0 +34 *368:28 *3277:48 0 +35 *368:32 *1015:122 0 +36 *368:32 *3277:48 0 +37 *368:34 *378:16 0 +38 *368:34 *3108:36 0 +39 *368:39 *3094:8 0 +40 *368:39 *3652:46 0 +41 *368:39 *4727:10 0 +42 *368:65 *6076:DIODE 0 +43 *368:65 *2423:31 0 +44 *368:65 *2801:26 0 +45 *368:65 *2830:33 0 +46 *368:65 *3773:55 0 +47 *368:65 *4330:62 0 +48 *368:65 *4601:37 0 +49 *368:79 *1459:40 0 +50 *368:79 *3164:44 0 +51 *368:79 *3184:22 0 +52 *368:79 *3189:36 0 +53 *368:79 *3394:32 0 +54 *368:79 *3486:5 0 +55 *368:79 *3695:18 0 +56 *368:79 *4449:51 0 +57 *368:79 *4585:28 0 +58 *368:79 *5174:38 0 +59 *368:106 mprj_dat_i_core[7] 0 +60 *368:106 mprj_dat_i_core[8] 0 +61 *368:106 *6939:DIODE 0 +62 *368:106 *933:8 0 +63 *368:106 *1062:11 0 +64 *368:106 *1828:18 0 +65 *368:106 *1874:11 0 +66 *368:106 *3016:52 0 +67 *368:106 *3030:23 0 +68 *368:106 *4535:15 0 +69 *368:106 *5075:51 0 +70 *368:106 *5168:18 0 +71 *368:106 *5190:31 0 +72 la_data_in_core[82] *368:9 0 +73 *261:15 *368:79 0 +74 *266:7 *368:39 0 +75 *358:15 *368:9 0 +76 *361:14 *368:10 0 +77 *363:20 *368:28 0 +78 *363:20 *368:32 0 +*RES +1 la_data_out_core[82] *368:9 38.1429 +2 *368:9 *368:10 83.9107 +3 *368:10 *368:28 49.0357 +4 *368:28 *368:32 19.0357 +5 *368:32 *368:34 95 +6 *368:34 *368:39 44.7054 +7 *368:39 *368:65 49.9107 +8 *368:65 *368:79 48.3125 +9 *368:79 *368:106 49.8185 +10 *368:106 *7471:DIODE 9.3 +11 *368:79 *39501:A 14.7464 +*END + +*D_NET *369 0.0414996 +*CONN +*P la_data_out_core[83] I +*I *7472:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39502:A I *D sky130_fd_sc_hd__nand2_2 +*CAP +1 la_data_out_core[83] 0.00126843 +2 *7472:DIODE 2.56688e-05 +3 *39502:A 0.000204919 +4 *369:31 0.00507263 +5 *369:30 0.00484204 +6 *369:28 0.0123041 +7 *369:26 0.0144087 +8 *369:19 0.00337305 +9 *39502:A *41401:A 0 +10 *39502:A *4579:22 0 +11 *39502:A *4580:22 0 +12 *369:19 *39255:A 0 +13 *369:19 *1001:30 0 +14 *369:19 *1016:184 0 +15 *369:19 *1024:118 0 +16 *369:19 *1025:126 0 +17 *369:19 *2465:14 0 +18 *369:19 *2479:52 0 +19 *369:19 *4721:23 0 +20 *369:19 *4722:16 0 +21 *369:19 *5006:11 0 +22 *369:26 *1024:118 0 +23 *369:26 *1024:124 0 +24 *369:26 *1024:126 0 +25 *369:26 *2465:14 0 +26 *369:26 *4331:21 0 +27 *369:28 *381:10 0 +28 *369:28 *384:8 0 +29 *369:28 *1024:126 0 +30 *369:28 *1547:76 0 +31 *369:28 *2448:15 0 +32 *369:28 *2467:22 0 +33 *369:28 *2471:9 0 +34 *369:28 *2481:19 0 +35 *369:28 *3735:41 0 +36 *369:28 *3762:38 0 +37 *369:31 *38055:A 0 +38 *369:31 *40098:A 0 +39 *369:31 *1257:19 0 +40 *369:31 *1755:18 0 +41 *369:31 *1863:48 0 +42 *369:31 *2403:6 0 +43 *369:31 *2441:10 0 +44 *369:31 *2955:8 0 +45 *369:31 *3413:136 0 +46 *369:31 *3476:23 0 +47 *369:31 *3541:10 0 +48 *369:31 *3975:127 0 +49 *369:31 *4579:22 0 +50 *369:31 *4579:32 0 +51 *369:31 *4580:22 0 +52 *369:31 *4622:6 0 +53 la_data_in_core[83] *369:19 0 +54 *277:9 *369:31 0 +55 *277:13 *369:31 0 +56 *359:8 *369:19 0 +57 *359:8 *369:26 0 +*RES +1 la_data_out_core[83] *369:19 47.2439 +2 *369:19 *369:26 44.2321 +3 *369:26 *369:28 256.821 +4 *369:28 *369:30 4.5 +5 *369:30 *369:31 110.018 +6 *369:31 *39502:A 18.4071 +7 *369:31 *7472:DIODE 14.3357 +*END + +*D_NET *370 0.0442179 +*CONN +*P la_data_out_core[84] I +*I *39503:A I *D sky130_fd_sc_hd__nand2_1 +*I *7473:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[84] 0.00192485 +2 *39503:A 4.23535e-05 +3 *7473:DIODE 9.49745e-05 +4 *370:55 0.00125302 +5 *370:51 0.00235367 +6 *370:36 0.0116246 +7 *370:32 0.0121202 +8 *370:24 0.00538024 +9 *370:23 0.00371098 +10 *370:19 0.00192624 +11 *370:9 0.00378679 +12 *7473:DIODE *1744:29 0 +13 *7473:DIODE *5174:15 0 +14 *39503:A *1744:29 0 +15 *39503:A *5174:15 0 +16 *370:9 *1016:201 0 +17 *370:9 *2465:14 0 +18 *370:9 *2478:32 0 +19 *370:9 *3108:40 0 +20 *370:9 *4355:23 0 +21 *370:9 *4722:16 0 +22 *370:19 *2977:62 0 +23 *370:19 *3183:25 0 +24 *370:19 *4355:23 0 +25 *370:19 *4722:12 0 +26 *370:23 *3183:24 0 +27 *370:24 *1001:43 0 +28 *370:24 *1211:86 0 +29 *370:24 *1822:25 0 +30 *370:24 *2382:31 0 +31 *370:24 *2387:11 0 +32 *370:24 *2387:35 0 +33 *370:24 *2831:55 0 +34 *370:24 *2836:31 0 +35 *370:24 *2836:33 0 +36 *370:24 *3000:37 0 +37 *370:24 *3036:57 0 +38 *370:24 *3183:24 0 +39 *370:24 *3275:65 0 +40 *370:32 *40097:A 0 +41 *370:32 *2391:30 0 +42 *370:32 *3024:49 0 +43 *370:32 *3036:57 0 +44 *370:32 *3117:51 0 +45 *370:32 *3719:52 0 +46 *370:36 *380:26 0 +47 *370:36 *2366:28 0 +48 *370:36 *2823:26 0 +49 *370:36 *2968:34 0 +50 *370:36 *3024:49 0 +51 *370:36 *3024:61 0 +52 *370:36 *3036:57 0 +53 *370:36 *3036:72 0 +54 *370:36 *3514:48 0 +55 *370:36 *3582:30 0 +56 *370:51 *5464:DIODE 0 +57 *370:51 *38111:A 0 +58 *370:51 *38367:A 0 +59 *370:51 *1221:26 0 +60 *370:51 *1427:22 0 +61 *370:51 *1737:22 0 +62 *370:51 *2828:38 0 +63 *370:51 *4616:14 0 +64 *370:55 *38111:A 0 +65 *370:55 *1466:38 0 +66 *370:55 *1737:27 0 +67 *370:55 *2806:120 0 +68 *370:55 *2811:81 0 +69 *370:55 *3944:29 0 +70 *370:55 *4744:16 0 +71 la_data_in_core[84] *370:9 0 +72 *280:11 *370:51 0 +73 *280:11 *370:55 0 +*RES +1 la_data_out_core[84] *370:9 43.7411 +2 *370:9 *370:19 49.0536 +3 *370:19 *370:23 5.99107 +4 *370:23 *370:24 76.1071 +5 *370:24 *370:32 17.2397 +6 *370:32 *370:36 41.0398 +7 *370:36 *370:51 28.6607 +8 *370:51 *370:55 29.8661 +9 *370:55 *7473:DIODE 11.6036 +10 *370:55 *39503:A 10.2464 +*END + +*D_NET *371 0.0323064 +*CONN +*P la_data_out_core[85] I +*I *7475:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39504:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[85] 0.000891864 +2 *7475:DIODE 0.00016428 +3 *39504:A 0 +4 *371:17 0.00016428 +5 *371:15 0.00344291 +6 *371:13 0.00440515 +7 *371:8 0.0116541 +8 *371:7 0.0115838 +9 *7475:DIODE *39504:B 0 +10 *7475:DIODE *3189:17 0 +11 *7475:DIODE *3695:23 0 +12 *371:7 *1016:201 0 +13 *371:7 *2477:43 0 +14 *371:8 *4021:11 0 +15 *371:8 *4734:11 0 +16 *371:13 *1554:6 0 +17 *371:13 *1567:22 0 +18 *371:13 *2457:16 0 +19 *371:15 *6174:DIODE 0 +20 *371:15 *38310:B 0 +21 *371:15 *1460:14 0 +22 *371:15 *1554:6 0 +23 *371:15 *1567:22 0 +24 *371:15 *1836:16 0 +25 *371:15 *2411:39 0 +26 *371:15 *3045:52 0 +27 *371:15 *3095:27 0 +28 *371:15 *3117:9 0 +29 *371:15 *3290:69 0 +30 *371:15 *3295:102 0 +31 *371:15 *3400:98 0 +32 *371:15 *3748:20 0 +33 la_data_in_core[85] *371:7 0 +34 *264:8 *371:8 0 +35 *366:8 *371:8 0 +*RES +1 la_data_out_core[85] *371:7 24.75 +2 *371:7 *371:8 223.143 +3 *371:8 *371:13 26.3482 +4 *371:13 *371:15 78.2054 +5 *371:15 *371:17 4.5 +6 *371:17 *39504:A 9.3 +7 *371:17 *7475:DIODE 12.7286 +*END + +*D_NET *372 0.0345249 +*CONN +*P la_data_out_core[86] I +*I *39505:A I *D sky130_fd_sc_hd__nand2_1 +*I *7476:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[86] 0.00309241 +2 *39505:A 2.56688e-05 +3 *7476:DIODE 0.000176354 +4 *372:39 0.00140814 +5 *372:37 0.00312987 +6 *372:33 0.00231583 +7 *372:28 0.00874214 +8 *372:27 0.00844239 +9 *372:22 0.002096 +10 *372:13 0.00509607 +11 *7476:DIODE *1845:9 0 +12 *39505:A *2969:5 0 +13 *39505:A *5187:9 0 +14 *372:13 la_oenb_core[86] 0 +15 *372:13 *375:19 0 +16 *372:13 *1008:94 0 +17 *372:13 *1021:16 0 +18 *372:13 *1028:46 0 +19 *372:13 *1546:14 0 +20 *372:13 *2446:17 0 +21 *372:13 *2477:17 0 +22 *372:13 *2477:33 0 +23 *372:13 *4720:24 0 +24 *372:13 *4720:36 0 +25 *372:13 *4731:29 0 +26 *372:13 *5002:13 0 +27 *372:22 *1015:121 0 +28 *372:22 *3094:24 0 +29 *372:22 *3492:17 0 +30 *372:22 *3519:13 0 +31 *372:27 *3097:35 0 +32 *372:27 *3673:22 0 +33 *372:28 *3414:15 0 +34 *372:33 *4609:6 0 +35 *372:33 *4745:10 0 +36 *372:37 *1573:10 0 +37 *372:37 *1863:47 0 +38 *372:37 *2385:10 0 +39 *372:37 *3489:26 0 +40 *372:37 *4745:10 0 +41 *372:39 *38348:A 0 +42 *372:39 *1574:17 0 +43 *372:39 *2999:37 0 +44 *372:39 *3484:46 0 +45 *372:39 *4026:124 0 +46 *372:39 *4908:12 0 +47 la_data_in_core[86] *372:13 0 +48 *357:23 *372:13 0 +*RES +1 la_data_out_core[86] *372:13 47.1668 +2 *372:13 *372:22 49.3677 +3 *372:22 *372:27 10.0082 +4 *372:27 *372:28 174.268 +5 *372:28 *372:33 13.4018 +6 *372:33 *372:37 43.7857 +7 *372:37 *372:39 27.4911 +8 *372:39 *7476:DIODE 17.675 +9 *372:39 *39505:A 14.3357 +*END + +*D_NET *373 0.0311651 +*CONN +*P la_data_out_core[87] I +*I *7477:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39506:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[87] 0.00211969 +2 *7477:DIODE 0 +3 *39506:A 0.000171016 +4 *373:33 0.00207215 +5 *373:30 0.0041279 +6 *373:28 0.00243126 +7 *373:22 0.00912226 +8 *373:21 0.00895945 +9 *373:16 0.00216138 +10 *39506:A *39595:A 0 +11 *39506:A *380:29 0 +12 *39506:A *3761:84 0 +13 *39506:A *4906:8 0 +14 *373:16 la_oenb_core[87] 0 +15 *373:16 *2459:10 0 +16 *373:16 *3014:41 0 +17 *373:16 *3299:19 0 +18 *373:16 *3946:48 0 +19 *373:16 *4721:9 0 +20 *373:16 *5024:13 0 +21 *373:22 *383:14 0 +22 *373:22 *3094:27 0 +23 *373:22 *3097:21 0 +24 *373:22 *3273:21 0 +25 *373:22 *3469:27 0 +26 *373:22 *3519:25 0 +27 *373:22 *3752:33 0 +28 *373:22 *4331:37 0 +29 *373:22 *5001:13 0 +30 *373:22 *5024:13 0 +31 *373:28 *38349:A 0 +32 *373:28 *383:14 0 +33 *373:28 *1573:17 0 +34 *373:30 *383:14 0 +35 *373:30 *1573:17 0 +36 *373:33 *380:29 0 +37 *373:33 *1005:91 0 +38 *373:33 *4906:8 0 +*RES +1 la_data_out_core[87] *373:16 47.3154 +2 *373:16 *373:21 9.94643 +3 *373:21 *373:22 186.179 +4 *373:22 *373:28 4.26786 +5 *373:28 *373:30 46.5357 +6 *373:30 *373:33 47.7321 +7 *373:33 *39506:A 17.6036 +8 *373:33 *7477:DIODE 13.8 +*END + +*D_NET *374 0.040063 +*CONN +*P la_data_out_core[88] I +*I *7478:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39507:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[88] 0.0023355 +2 *7478:DIODE 0 +3 *39507:A 0.000175993 +4 *374:25 0.00177251 +5 *374:20 0.0160865 +6 *374:19 0.01449 +7 *374:17 0.00143348 +8 *374:5 0.00376897 +9 *39507:A *1750:14 0 +10 *39507:A *3539:11 0 +11 *374:5 *38297:A 0 +12 *374:5 *2450:14 0 +13 *374:5 *2720:23 0 +14 *374:5 *3007:12 0 +15 *374:5 *3485:40 0 +16 *374:5 *3688:32 0 +17 *374:5 *5002:31 0 +18 *374:5 *5012:16 0 +19 *374:5 *5017:43 0 +20 *374:17 *2682:45 0 +21 *374:17 *2814:37 0 +22 *374:20 *2403:9 0 +23 *374:20 *2403:16 0 +24 *374:20 *2834:25 0 +25 *374:20 *2986:23 0 +26 *374:20 *2997:9 0 +27 *374:20 *2997:21 0 +28 *374:20 *3418:39 0 +29 *374:25 *1246:16 0 +30 *374:25 *1735:13 0 +31 *374:25 *1735:16 0 +32 *374:25 *2456:6 0 +33 *374:25 *2990:42 0 +34 *374:25 *3019:36 0 +35 *374:25 *4627:6 0 +36 la_data_in_core[88] *374:5 0 +37 *262:17 *39507:A 0 +38 *273:16 *374:20 0 +39 *357:23 *374:5 0 +*RES +1 la_data_out_core[88] *374:5 53.0357 +2 *374:5 *374:17 48.1429 +3 *374:17 *374:19 4.5 +4 *374:19 *374:20 302.411 +5 *374:20 *374:25 45.25 +6 *374:25 *39507:A 22.0321 +7 *374:25 *7478:DIODE 9.3 +*END + +*D_NET *375 0.0244534 +*CONN +*P la_data_out_core[89] I +*I *7480:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39508:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[89] 0.00140853 +2 *7480:DIODE 4.23535e-05 +3 *39508:A 0.000127916 +4 *375:41 0.00211242 +5 *375:23 0.00462001 +6 *375:22 0.00267785 +7 *375:20 0.00602788 +8 *375:19 0.00743641 +9 *7480:DIODE *6944:DIODE 0 +10 *7480:DIODE *1712:27 0 +11 *39508:A *6944:DIODE 0 +12 *39508:A *1618:14 0 +13 *39508:A *1712:27 0 +14 *39508:A *1840:20 0 +15 *39508:A *4545:74 0 +16 *39508:A *4780:63 0 +17 *375:19 la_oenb_core[89] 0 +18 *375:19 *39006:A 0 +19 *375:19 *39262:A 0 +20 *375:19 *2459:16 0 +21 *375:19 *2476:16 0 +22 *375:19 *3673:11 0 +23 *375:19 *4720:24 0 +24 *375:19 *5002:13 0 +25 *375:19 *5010:40 0 +26 *375:20 *1428:23 0 +27 *375:20 *3625:45 0 +28 *375:20 *3625:49 0 +29 *375:20 *4021:22 0 +30 *375:20 *4902:11 0 +31 *375:23 *39668:A 0 +32 *375:23 *1424:33 0 +33 *375:23 *1424:36 0 +34 *375:23 *2475:8 0 +35 *375:23 *2818:76 0 +36 *375:23 *2842:14 0 +37 *375:23 *2989:36 0 +38 *375:23 *3278:47 0 +39 *375:23 *3764:8 0 +40 *375:23 *4051:68 0 +41 *375:23 *4611:6 0 +42 *375:23 *5030:10 0 +43 *375:41 *6899:DIODE 0 +44 *375:41 *8259:DIODE 0 +45 *375:41 *2800:17 0 +46 *375:41 *2989:36 0 +47 *375:41 *3118:6 0 +48 *375:41 *3403:39 0 +49 *375:41 *3416:88 0 +50 *375:41 *3724:53 0 +51 *375:41 *3764:8 0 +52 *375:41 *3940:19 0 +53 *375:41 *4244:29 0 +54 *375:41 *4563:58 0 +55 *357:23 *375:19 0 +56 *357:38 *375:20 0 +57 *372:13 *375:19 0 +*RES +1 la_data_out_core[89] *375:19 45.125 +2 *375:19 *375:20 125.804 +3 *375:20 *375:22 4.5 +4 *375:22 *375:23 60.8393 +5 *375:23 *375:41 48.9643 +6 *375:41 *39508:A 12.0321 +7 *375:41 *7480:DIODE 10.2464 +*END + +*D_NET *376 0.0267163 +*CONN +*P la_data_out_core[8] I +*I *7481:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39509:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[8] 0.00216126 +2 *7481:DIODE 0.000212599 +3 *39509:A 0.000154376 +4 *376:78 0.00181661 +5 *376:71 0.00282707 +6 *376:59 0.00247415 +7 *376:46 0.00260974 +8 *376:33 0.00331067 +9 *376:21 0.00345852 +10 *376:14 0.00359544 +11 *376:9 0.00409583 +12 *7481:DIODE *4431:17 0 +13 *7481:DIODE *4626:14 0 +14 *39509:A *37482:A 0 +15 *39509:A *1794:18 0 +16 *39509:A *3924:15 0 +17 *376:9 la_oenb_core[8] 0 +18 *376:9 *39263:A 0 +19 *376:9 *1922:47 0 +20 *376:9 *2495:17 0 +21 *376:9 *2504:39 0 +22 *376:9 *2515:50 0 +23 *376:9 *2526:28 0 +24 *376:9 *2546:20 0 +25 *376:9 *2617:48 0 +26 *376:9 *2780:27 0 +27 *376:14 *2489:15 0 +28 *376:14 *2489:20 0 +29 *376:14 *2903:9 0 +30 *376:21 *1526:8 0 +31 *376:21 *2763:11 0 +32 *376:21 *2792:43 0 +33 *376:21 *2884:10 0 +34 *376:21 *3353:42 0 +35 *376:21 *3579:33 0 +36 *376:21 *3837:43 0 +37 *376:21 *4153:22 0 +38 *376:33 *37572:A 0 +39 *376:33 *37572:B 0 +40 *376:33 *2351:20 0 +41 *376:33 *3452:19 0 +42 *376:33 *3455:58 0 +43 *376:33 *3889:20 0 +44 *376:33 *3913:29 0 +45 *376:33 *3923:31 0 +46 *376:33 *4151:41 0 +47 *376:33 *4173:34 0 +48 *376:33 *4440:16 0 +49 *376:46 *2352:23 0 +50 *376:46 *3315:22 0 +51 *376:46 *3458:16 0 +52 *376:46 *3878:31 0 +53 *376:46 *3903:14 0 +54 *376:46 *4443:8 0 +55 *376:46 *4817:29 0 +56 *376:59 *40936:A 0 +57 *376:59 *2352:22 0 +58 *376:59 *2352:23 0 +59 *376:59 *3282:6 0 +60 *376:59 *3923:46 0 +61 *376:59 *4440:8 0 +62 *376:59 *4827:30 0 +63 *376:71 *8601:DIODE 0 +64 *376:71 *9008:DIODE 0 +65 *376:71 *40955:A 0 +66 *376:71 *3271:20 0 +67 *376:71 *3872:24 0 +68 *376:71 *3878:43 0 +69 *376:71 *3879:37 0 +70 *376:71 *3923:46 0 +71 *376:71 *4817:29 0 +72 *376:78 *1794:18 0 +73 *376:78 *3052:14 0 +74 *376:78 *3903:36 0 +75 la_data_in_core[8] *376:9 0 +76 *271:33 *376:9 0 +77 *294:35 *376:21 0 +78 *295:24 *376:78 0 +79 *296:30 *376:46 0 +80 *296:30 *376:59 0 +81 *296:43 *376:71 0 +82 *296:43 *376:78 0 +83 *296:55 *39509:A 0 +84 *296:55 *376:78 0 +85 *322:27 *376:21 0 +86 *322:27 *376:33 0 +87 *322:35 *376:33 0 +*RES +1 la_data_out_core[8] *376:9 49.1429 +2 *376:9 *376:14 49.375 +3 *376:14 *376:21 37.8125 +4 *376:21 *376:33 49.4821 +5 *376:33 *376:46 45.6339 +6 *376:46 *376:59 41.5179 +7 *376:59 *376:71 47.0893 +8 *376:71 *376:78 25.4003 +9 *376:78 *39509:A 19.2678 +10 *376:78 *7481:DIODE 22.0404 +*END + +*D_NET *377 0.0454406 +*CONN +*P la_data_out_core[90] I +*I *7482:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39510:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[90] 0.00115259 +2 *7482:DIODE 0.000101392 +3 *39510:A 0 +4 *377:60 0.00012706 +5 *377:57 0.00257166 +6 *377:56 0.00254599 +7 *377:54 0.00277779 +8 *377:52 0.00344475 +9 *377:46 0.00834791 +10 *377:44 0.00955915 +11 *377:38 0.0034979 +12 *377:33 0.00346213 +13 *377:27 0.00427106 +14 *377:17 0.00358122 +15 *377:17 la_oenb_core[90] 0 +16 *377:17 *1547:64 0 +17 *377:17 *2450:14 0 +18 *377:17 *2459:16 0 +19 *377:17 *2481:19 0 +20 *377:17 *3762:38 0 +21 *377:27 *1025:127 0 +22 *377:27 *3729:27 0 +23 *377:27 *3983:21 0 +24 *377:33 *2448:14 0 +25 *377:33 *3967:35 0 +26 *377:44 *38335:A 0 +27 *377:44 *2721:15 0 +28 *377:44 *3708:41 0 +29 *377:44 *4611:6 0 +30 *377:44 *4896:22 0 +31 *377:46 *38055:A 0 +32 *377:46 *38379:A 0 +33 *377:46 *1426:9 0 +34 *377:46 *1588:15 0 +35 *377:46 *2457:9 0 +36 *377:46 *4732:10 0 +37 *377:52 *1588:15 0 +38 *377:54 *1588:14 0 +39 *377:54 *1588:15 0 +40 *377:57 *37438:A 0 +41 *377:57 *39408:B 0 +42 *377:57 *39510:B 0 +43 *377:57 *1594:8 0 +44 *377:57 *1595:8 0 +45 *377:57 *1740:8 0 +46 la_data_in_core[90] *377:17 0 +47 *264:7 *377:44 0 +48 *269:16 *377:46 0 +49 *269:16 *377:54 0 +50 *274:15 *377:57 0 +51 *275:14 *377:54 0 +52 *275:17 *377:57 0 +53 *287:16 *377:46 0 +54 *360:14 *377:38 0 +55 *367:8 *377:27 0 +*RES +1 la_data_out_core[90] *377:17 39.4286 +2 *377:17 *377:27 46.8236 +3 *377:27 *377:33 47.5357 +4 *377:33 *377:38 42.8036 +5 *377:38 *377:44 44.3839 +6 *377:44 *377:46 160.304 +7 *377:46 *377:52 13.9196 +8 *377:52 *377:54 58.0357 +9 *377:54 *377:56 4.5 +10 *377:56 *377:57 57.8036 +11 *377:57 *377:60 5.03571 +12 *377:60 *39510:A 9.3 +13 *377:60 *7482:DIODE 11.4786 +*END + +*D_NET *378 0.0353751 +*CONN +*P la_data_out_core[91] I +*I *7483:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39511:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[91] 0.00349633 +2 *7483:DIODE 0.000163425 +3 *39511:A 5.79164e-05 +4 *378:19 0.00263345 +5 *378:18 0.0024121 +6 *378:16 0.0115578 +7 *378:15 0.0115578 +8 *378:13 0.00349633 +9 *7483:DIODE *3539:11 0 +10 *39511:A *2800:78 0 +11 *39511:A *3539:11 0 +12 *39511:A *3930:13 0 +13 *378:13 la_oenb_core[91] 0 +14 *378:13 *39009:A 0 +15 *378:13 *1031:80 0 +16 *378:13 *1544:20 0 +17 *378:13 *1572:21 0 +18 *378:13 *2473:40 0 +19 *378:13 *3303:39 0 +20 *378:13 *4021:22 0 +21 *378:13 *5012:10 0 +22 *378:13 *5013:17 0 +23 *378:16 *1015:122 0 +24 *378:16 *3108:36 0 +25 *378:19 *38127:A 0 +26 *378:19 *1264:8 0 +27 *378:19 *1751:12 0 +28 *378:19 *2800:78 0 +29 *378:19 *3656:45 0 +30 *378:19 *4625:10 0 +31 *378:19 *4629:16 0 +32 la_data_in_core[91] *378:13 0 +33 *262:8 *378:16 0 +34 *280:11 *378:19 0 +35 *283:7 *378:19 0 +36 *368:34 *378:16 0 +*RES +1 la_data_out_core[91] *378:13 47.61 +2 *378:13 *378:15 4.5 +3 *378:15 *378:16 241.214 +4 *378:16 *378:18 4.5 +5 *378:18 *378:19 54.7679 +6 *378:19 *39511:A 15.0679 +7 *378:19 *7483:DIODE 17.2107 +*END + +*D_NET *379 0.0351711 +*CONN +*P la_data_out_core[92] I +*I *39512:A I *D sky130_fd_sc_hd__nand2_2 +*I *7484:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[92] 0.000771486 +2 *39512:A 0 +3 *7484:DIODE 0.00013108 +4 *379:27 0.0033293 +5 *379:26 0.00319822 +6 *379:24 0.00565398 +7 *379:23 0.00634797 +8 *379:12 0.00629193 +9 *379:10 0.00713678 +10 *379:7 0.00231033 +11 *7484:DIODE *37713:A 0 +12 *7484:DIODE *1017:93 0 +13 *7484:DIODE *1831:17 0 +14 *7484:DIODE *3009:64 0 +15 *379:7 la_oenb_core[92] 0 +16 *379:7 *39010:A 0 +17 *379:7 *1001:37 0 +18 *379:10 *38059:A 0 +19 *379:10 *1547:47 0 +20 *379:10 *2476:5 0 +21 *379:10 *2476:11 0 +22 *379:12 *38059:A 0 +23 *379:12 *39667:A 0 +24 *379:12 *381:14 0 +25 *379:12 *1547:47 0 +26 *379:12 *2476:5 0 +27 *379:12 *4732:11 0 +28 *379:12 *4909:24 0 +29 *379:23 *1021:16 0 +30 *379:24 *6522:DIODE 0 +31 *379:24 *381:14 0 +32 *379:24 *1585:9 0 +33 *379:24 *4732:11 0 +34 *379:27 *38381:A 0 +35 *379:27 *384:17 0 +36 *379:27 *1244:30 0 +37 *379:27 *1464:18 0 +38 *379:27 *1844:8 0 +39 *379:27 *1849:8 0 +40 *379:27 *3009:64 0 +41 *379:27 *4919:11 0 +42 la_data_in_core[92] *379:7 0 +43 *39418:A *379:27 0 +44 *268:7 *379:23 0 +45 *285:11 *379:27 0 +46 *357:26 *379:10 0 +47 *359:26 *379:12 0 +48 *360:14 *379:10 0 +49 *367:8 *379:23 0 +*RES +1 la_data_out_core[92] *379:7 22.0179 +2 *379:7 *379:10 32.1786 +3 *379:10 *379:12 116.768 +4 *379:12 *379:23 18.7988 +5 *379:23 *379:24 118 +6 *379:24 *379:26 4.5 +7 *379:26 *379:27 72.6786 +8 *379:27 *7484:DIODE 16.6929 +9 *379:27 *39512:A 13.8 +*END + +*D_NET *380 0.0289362 +*CONN +*P la_data_out_core[93] I +*I *7485:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39513:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[93] 0.00229542 +2 *7485:DIODE 0.000193002 +3 *39513:A 2.05284e-05 +4 *380:31 0.00130487 +5 *380:29 0.00230924 +6 *380:26 0.00742593 +7 *380:22 0.00964992 +8 *380:5 0.00573731 +9 *7485:DIODE *39513:B 0 +10 *7485:DIODE *5174:27 0 +11 *380:5 la_oenb_core[93] 0 +12 *380:5 *6457:DIODE 0 +13 *380:5 *1547:64 0 +14 *380:5 *2471:21 0 +15 *380:5 *2481:16 0 +16 *380:5 *2980:34 0 +17 *380:5 *3079:33 0 +18 *380:5 *3420:49 0 +19 *380:5 *3528:54 0 +20 *380:5 *3673:22 0 +21 *380:5 *3686:35 0 +22 *380:5 *3729:27 0 +23 *380:5 *4734:26 0 +24 *380:5 *5016:25 0 +25 *380:5 *5024:40 0 +26 *380:22 *1572:16 0 +27 *380:22 *2443:36 0 +28 *380:22 *2807:26 0 +29 *380:22 *2831:63 0 +30 *380:22 *3024:49 0 +31 *380:22 *3028:25 0 +32 *380:22 *3036:57 0 +33 *380:22 *3042:70 0 +34 *380:22 *3075:45 0 +35 *380:22 *3275:85 0 +36 *380:22 *3715:54 0 +37 *380:22 *3719:43 0 +38 *380:26 *1451:39 0 +39 *380:26 *2366:40 0 +40 *380:26 *2441:29 0 +41 *380:26 *3024:49 0 +42 *380:26 *3028:29 0 +43 *380:26 *3290:69 0 +44 *380:26 *3718:33 0 +45 *380:29 *1005:91 0 +46 *380:29 *1456:46 0 +47 *380:29 *4906:8 0 +48 *380:31 *38124:A_N 0 +49 *380:31 *38130:B 0 +50 *380:31 *1005:91 0 +51 *380:31 *1461:11 0 +52 *380:31 *2804:24 0 +53 *380:31 *2806:108 0 +54 *380:31 *3099:12 0 +55 *380:31 *3288:81 0 +56 *380:31 *3395:106 0 +57 *380:31 *3399:16 0 +58 *380:31 *3981:89 0 +59 *380:31 *4580:22 0 +60 *380:31 *4906:8 0 +61 la_data_in_core[93] *380:5 0 +62 *39506:A *380:29 0 +63 *360:20 *380:26 0 +64 *370:36 *380:26 0 +65 *373:33 *380:29 0 +*RES +1 la_data_out_core[93] *380:5 52.125 +2 *380:5 *380:22 39.1176 +3 *380:22 *380:26 25.9058 +4 *380:26 *380:29 27.6964 +5 *380:29 *380:31 24.9107 +6 *380:31 *39513:A 14.3804 +7 *380:31 *7485:DIODE 18.1125 +*END + +*D_NET *381 0.0367616 +*CONN +*P la_data_out_core[94] I +*I *39514:A I *D sky130_fd_sc_hd__nand2_2 +*I *7487:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[94] 0.00184905 +2 *39514:A 0.000219847 +3 *7487:DIODE 0 +4 *381:17 0.00372825 +5 *381:16 0.00350841 +6 *381:14 0.0125841 +7 *381:13 0.0128035 +8 *381:10 0.00206845 +9 *39514:A *2985:14 0 +10 *39514:A *3935:44 0 +11 *39514:A *3953:94 0 +12 *39514:A *4223:103 0 +13 *381:10 *1003:13 0 +14 *381:10 *2471:9 0 +15 *381:10 *4734:26 0 +16 *381:13 *3729:30 0 +17 *381:13 *3735:44 0 +18 *381:13 *3762:42 0 +19 *381:14 *6522:DIODE 0 +20 *381:14 *39667:A 0 +21 *381:14 *1585:9 0 +22 *381:14 *4732:11 0 +23 *381:14 *4909:24 0 +24 *381:14 *4912:13 0 +25 *381:17 *39391:A 0 +26 *381:17 *1119:11 0 +27 *381:17 *1590:42 0 +28 *381:17 *1593:10 0 +29 *381:17 *1611:10 0 +30 *381:17 *1846:10 0 +31 *381:17 *2801:62 0 +32 *381:17 *4223:103 0 +33 *381:17 *4741:6 0 +34 la_data_in_core[94] *381:10 0 +35 *279:10 *381:14 0 +36 *284:10 *381:14 0 +37 *286:7 *381:17 0 +38 *288:11 *381:17 0 +39 *359:26 *381:14 0 +40 *360:14 *381:14 0 +41 *369:28 *381:10 0 +42 *379:12 *381:14 0 +43 *379:24 *381:14 0 +*RES +1 la_data_out_core[94] *381:10 48.5893 +2 *381:10 *381:13 9.48214 +3 *381:13 *381:14 262.571 +4 *381:14 *381:16 4.5 +5 *381:16 *381:17 79.6607 +6 *381:17 *7487:DIODE 13.8 +7 *381:17 *39514:A 23.9463 +*END + +*D_NET *382 0.0386044 +*CONN +*P la_data_out_core[95] I +*I *39515:A I *D sky130_fd_sc_hd__nand2_1 +*I *7488:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[95] 0.00109076 +2 *39515:A 0.000147595 +3 *7488:DIODE 0 +4 *382:23 0.000147595 +5 *382:21 0.00278663 +6 *382:20 0.00278663 +7 *382:18 0.0152772 +8 *382:17 0.016368 +9 *39515:A *1847:5 0 +10 *39515:A *2996:31 0 +11 *382:17 la_oenb_core[95] 0 +12 *382:17 *39267:A 0 +13 *382:17 *1572:21 0 +14 *382:17 *5025:38 0 +15 *382:18 *1594:11 0 +16 *382:21 *39405:B 0 +17 *382:21 *39410:B 0 +18 *382:21 *1466:57 0 +19 *382:21 *1588:6 0 +20 *382:21 *4925:8 0 +21 *382:21 *5155:8 0 +22 *357:38 *382:17 0 +23 *359:25 *382:17 0 +24 *362:8 *382:18 0 +25 *364:8 *382:18 0 +26 *366:22 *382:18 0 +27 *366:24 *382:18 0 +*RES +1 la_data_out_core[95] *382:17 29.3929 +2 *382:17 *382:18 318.839 +3 *382:18 *382:20 4.5 +4 *382:20 *382:21 63.2679 +5 *382:21 *382:23 4.5 +6 *382:23 *7488:DIODE 9.3 +7 *382:23 *39515:A 12.4429 +*END + +*D_NET *383 0.0288897 +*CONN +*P la_data_out_core[96] I +*I *7489:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39516:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[96] 0.00191684 +2 *7489:DIODE 0.000264234 +3 *39516:A 0.000145803 +4 *383:17 0.00455038 +5 *383:16 0.00414034 +6 *383:14 0.00797764 +7 *383:12 0.00989448 +8 *7489:DIODE *2976:14 0 +9 *7489:DIODE *3089:14 0 +10 *39516:A *37457:A 0 +11 *39516:A *1869:15 0 +12 *39516:A *3164:17 0 +13 *39516:A *4577:16 0 +14 *383:12 la_oenb_core[96] 0 +15 *383:12 *39013:A 0 +16 *383:12 *1007:181 0 +17 *383:12 *1544:8 0 +18 *383:12 *2447:30 0 +19 *383:12 *2468:30 0 +20 *383:12 *2475:40 0 +21 *383:12 *3425:17 0 +22 *383:12 *3519:32 0 +23 *383:12 *3735:41 0 +24 *383:12 *4895:20 0 +25 *383:12 *5010:13 0 +26 *383:14 *38349:A 0 +27 *383:14 *1573:17 0 +28 *383:14 *3097:21 0 +29 *383:14 *3425:17 0 +30 *383:17 *37457:A 0 +31 *383:17 *1260:14 0 +32 *383:17 *1451:69 0 +33 *383:17 *1863:48 0 +34 *383:17 *2444:6 0 +35 *383:17 *2463:6 0 +36 *383:17 *2801:49 0 +37 *383:17 *2806:108 0 +38 *383:17 *2815:94 0 +39 *383:17 *3009:52 0 +40 *383:17 *3164:17 0 +41 *383:17 *3999:56 0 +42 *383:17 *4578:20 0 +43 *383:17 *4623:6 0 +44 la_data_in_core[96] *383:12 0 +45 *373:22 *383:14 0 +46 *373:28 *383:14 0 +47 *373:30 *383:14 0 +*RES +1 la_data_out_core[96] *383:12 46.9643 +2 *383:12 *383:14 166.464 +3 *383:14 *383:16 4.5 +4 *383:16 *383:17 94.0804 +5 *383:17 *39516:A 17.1125 +6 *383:17 *7489:DIODE 22.5752 +*END + +*D_NET *384 0.0299246 +*CONN +*P la_data_out_core[97] I +*I *7490:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39517:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[97] 0.000544159 +2 *7490:DIODE 2.56688e-05 +3 *39517:A 0.000189364 +4 *384:17 0.00329295 +5 *384:16 0.00307792 +6 *384:14 0.0023872 +7 *384:13 0.00249961 +8 *384:8 0.00873798 +9 *384:7 0.00916973 +10 *39517:A *1017:89 0 +11 *39517:A *1844:8 0 +12 *39517:A *2963:27 0 +13 *39517:A *3044:21 0 +14 *384:7 la_oenb_core[97] 0 +15 *384:7 *2458:30 0 +16 *384:7 *2470:61 0 +17 *384:7 *2475:40 0 +18 *384:8 *1024:126 0 +19 *384:8 *1024:132 0 +20 *384:8 *2467:9 0 +21 *384:8 *2471:9 0 +22 *384:8 *4616:31 0 +23 *384:13 *4911:6 0 +24 *384:14 *385:8 0 +25 *384:14 *2456:9 0 +26 *384:14 *4616:29 0 +27 *384:17 *1017:89 0 +28 *384:17 *1844:8 0 +29 la_data_in_core[97] *384:7 0 +30 *277:9 *384:13 0 +31 *285:11 *384:17 0 +32 *290:16 *384:14 0 +33 *369:28 *384:8 0 +34 *379:27 *384:17 0 +*RES +1 la_data_out_core[97] *384:7 16.8571 +2 *384:7 *384:8 180.018 +3 *384:8 *384:13 11.5536 +4 *384:13 *384:14 49.8214 +5 *384:14 *384:16 4.5 +6 *384:16 *384:17 69.9464 +7 *384:17 *39517:A 17.8357 +8 *384:17 *7490:DIODE 14.3357 +*END + +*D_NET *385 0.0256518 +*CONN +*P la_data_out_core[98] I +*I *7491:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39518:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 la_data_out_core[98] 0.000664537 +2 *7491:DIODE 0.000122568 +3 *39518:A 4.79151e-05 +4 *385:15 0.000194079 +5 *385:11 0.0037589 +6 *385:10 0.0037353 +7 *385:8 0.00823198 +8 *385:7 0.00889652 +9 *7491:DIODE *39518:B 0 +10 *7491:DIODE *3397:35 0 +11 *7491:DIODE *3957:29 0 +12 *39518:A *3397:35 0 +13 *39518:A *3957:27 0 +14 *39518:A *3957:29 0 +15 *385:7 *2475:40 0 +16 *385:7 *5025:31 0 +17 *385:7 *5027:24 0 +18 *385:8 *2448:14 0 +19 *385:8 *2456:9 0 +20 *385:8 *2463:9 0 +21 *385:8 *3712:25 0 +22 *385:8 *3967:39 0 +23 *385:11 *38115:A 0 +24 *385:11 *39412:B 0 +25 *385:11 *1744:16 0 +26 *385:11 *2388:20 0 +27 *385:11 *2441:10 0 +28 *385:11 *2803:46 0 +29 *385:11 *2830:56 0 +30 *385:11 *3407:55 0 +31 *385:11 *3480:38 0 +32 *385:11 *3761:84 0 +33 *385:11 *3779:94 0 +34 *385:11 *4911:6 0 +35 la_data_in_core[98] *385:7 0 +36 *7373:DIODE *385:11 0 +37 *273:12 *385:8 0 +38 *279:11 *385:11 0 +39 *384:14 *385:8 0 +*RES +1 la_data_out_core[98] *385:7 19.5893 +2 *385:7 *385:8 171.804 +3 *385:8 *385:10 4.5 +4 *385:10 *385:11 84.8214 +5 *385:11 *385:15 5.03571 +6 *385:15 *39518:A 10.5589 +7 *385:15 *7491:DIODE 11.8893 +*END + +*D_NET *386 0.0348514 +*CONN +*P la_data_out_core[99] I +*I *39519:A I *D sky130_fd_sc_hd__nand2_2 +*I *7492:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[99] 0.00181381 +2 *39519:A 0 +3 *7492:DIODE 0.000124066 +4 *386:35 0.000124066 +5 *386:33 0.00222426 +6 *386:32 0.00404076 +7 *386:27 0.00392272 +8 *386:16 0.0113881 +9 *386:15 0.00934082 +10 *386:12 0.0018728 +11 *7492:DIODE *1831:16 0 +12 *7492:DIODE *1851:5 0 +13 *386:12 la_oenb_core[99] 0 +14 *386:12 *2448:8 0 +15 *386:12 *2458:36 0 +16 *386:12 *2459:30 0 +17 *386:12 *2467:22 0 +18 *386:12 *2475:25 0 +19 *386:12 *3118:21 0 +20 *386:12 *3652:41 0 +21 *386:12 *3768:47 0 +22 *386:15 *2469:21 0 +23 *386:15 *3469:30 0 +24 *386:16 *3010:35 0 +25 *386:16 *4728:9 0 +26 *386:27 *7348:DIODE 0 +27 *386:27 *1023:101 0 +28 *386:27 *4634:8 0 +29 *386:33 *37424:A 0 +30 *386:33 *1335:16 0 +31 *269:19 *386:33 0 +32 *270:8 *386:16 0 +33 *270:8 *386:27 0 +34 *274:10 *386:32 0 +35 *286:8 *386:27 0 +*RES +1 la_data_out_core[99] *386:12 49.8214 +2 *386:12 *386:15 5.83929 +3 *386:15 *386:16 193.777 +4 *386:16 *386:27 49.2411 +5 *386:27 *386:32 46.9107 +6 *386:32 *386:33 50.5179 +7 *386:33 *386:35 4.5 +8 *386:35 *7492:DIODE 11.8893 +9 *386:35 *39519:A 9.3 +*END + +*D_NET *387 0.0210501 +*CONN +*P la_data_out_core[9] I +*I *39520:A I *D sky130_fd_sc_hd__nand2_1 +*I *7493:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_core[9] 0.00236462 +2 *39520:A 0.000226825 +3 *7493:DIODE 0 +4 *387:55 0.00185279 +5 *387:51 0.00301015 +6 *387:45 0.00255153 +7 *387:31 0.00284535 +8 *387:20 0.00375614 +9 *387:5 0.00444274 +10 *39520:A *39520:B 0 +11 *39520:A *3431:31 0 +12 *39520:A *4715:18 0 +13 *387:5 la_oenb_core[9] 0 +14 *387:5 *38902:A 0 +15 *387:5 *39790:A 0 +16 *387:5 *1291:18 0 +17 *387:5 *1904:35 0 +18 *387:5 *2491:10 0 +19 *387:5 *2503:16 0 +20 *387:5 *2547:58 0 +21 *387:5 *2633:20 0 +22 *387:5 *2895:19 0 +23 *387:5 *2909:31 0 +24 *387:5 *4620:48 0 +25 *387:5 *4632:20 0 +26 *387:5 *4666:31 0 +27 *387:20 *40025:A 0 +28 *387:20 *1798:40 0 +29 *387:20 *1914:47 0 +30 *387:20 *2502:27 0 +31 *387:20 *2874:8 0 +32 *387:20 *3577:83 0 +33 *387:20 *3598:50 0 +34 *387:20 *3892:38 0 +35 *387:31 *37960:A_N 0 +36 *387:31 *3352:33 0 +37 *387:31 *3840:80 0 +38 *387:31 *4209:27 0 +39 *387:31 *4771:25 0 +40 *387:31 *4782:20 0 +41 *387:31 *4804:11 0 +42 *387:31 *4959:58 0 +43 *387:45 *6651:DIODE 0 +44 *387:45 *39078:A 0 +45 *387:45 *3064:25 0 +46 *387:45 *3909:43 0 +47 *387:45 *4446:10 0 +48 *387:45 *4771:25 0 +49 *387:45 *4822:20 0 +50 *387:45 *4828:17 0 +51 *387:45 *4904:52 0 +52 *387:45 *5202:23 0 +53 *387:51 *37488:B 0 +54 *387:51 *37489:A 0 +55 *387:51 *37491:A 0 +56 *387:51 *2351:20 0 +57 *387:51 *3909:37 0 +58 *387:51 *4443:8 0 +59 *387:51 *4822:9 0 +60 *387:51 *4828:17 0 +61 *387:55 *3337:9 0 +62 *387:55 *4715:18 0 +63 la_data_in_core[9] *387:5 0 +64 *312:38 *387:20 0 +65 *343:20 *39520:A 0 +*RES +1 la_data_out_core[9] *387:5 53.6429 +2 *387:5 *387:20 46.6616 +3 *387:20 *387:31 46.9486 +4 *387:31 *387:45 47.1786 +5 *387:45 *387:51 38.0714 +6 *387:51 *387:55 38.5179 +7 *387:55 *7493:DIODE 13.8 +8 *387:55 *39520:A 18.675 +*END + +*D_NET *388 0.00066151 +*CONN +*P la_data_out_mprj[0] I +*I *38746:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *6957:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[0] 0.000223374 +2 *38746:A 2.26741e-05 +3 *6957:DIODE 8.4707e-05 +4 *388:7 0.000330755 +5 *6957:DIODE *4439:5 0 +6 *6957:DIODE *4504:65 0 +7 *38746:A *4439:5 0 +8 *38746:A *4504:65 0 +9 *388:7 *39058:A 0 +10 *388:7 *516:5 0 +11 la_data_in_mprj[0] *388:7 0 +*RES +1 la_data_out_mprj[0] *388:7 9.57143 +2 *388:7 *6957:DIODE 11.0679 +3 *388:7 *38746:A 9.83571 +*END + +*D_NET *389 0.00206135 +*CONN +*P la_data_out_mprj[100] I +*I *6968:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38820:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_data_out_mprj[100] 0.000447298 +2 *6968:DIODE 0.00051774 +3 *38820:A 6.56358e-05 +4 *389:8 0.00103067 +5 *6968:DIODE *3217:33 0 +6 *389:8 *2389:29 0 +7 *389:8 *3973:49 0 +8 la_data_in_mprj[100] *389:8 0 +*RES +1 la_data_out_mprj[100] *389:8 6.10305 +2 *389:8 *38820:A 18.7011 +3 *389:8 *6968:DIODE 28.786 +*END + +*D_NET *390 0.00187052 +*CONN +*P la_data_out_mprj[101] I +*I *6979:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38831:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_data_out_mprj[101] 0.000568052 +2 *6979:DIODE 0.000367207 +3 *38831:A 0 +4 *390:10 0.000935259 +5 *6979:DIODE *2415:10 0 +6 *6979:DIODE *3105:62 0 +7 *6979:DIODE *3508:28 0 +8 *390:10 *2409:10 0 +9 *390:10 *2727:18 0 +10 *390:10 *3018:20 0 +11 *390:10 *4204:32 0 +*RES +1 la_data_out_mprj[101] *390:10 16.625 +2 *390:10 *38831:A 9.3 +3 *390:10 *6979:DIODE 26.4607 +*END + +*D_NET *391 0.00106881 +*CONN +*P la_data_out_mprj[102] I +*I *6990:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38842:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_data_out_mprj[102] 0.000220675 +2 *6990:DIODE 0.000313731 +3 *38842:A 0 +4 *391:8 0.000534406 +5 *6990:DIODE *6589:DIODE 0 +6 *6990:DIODE *3788:72 0 +7 *6990:DIODE *4182:20 0 +8 *6990:DIODE *4759:10 0 +9 *6990:DIODE *4870:16 0 +10 *391:8 *4759:10 0 +*RES +1 la_data_out_mprj[102] *391:8 9.32143 +2 *391:8 *38842:A 9.3 +3 *391:8 *6990:DIODE 25.2643 +*END + +*D_NET *392 0.00111549 +*CONN +*P la_data_out_mprj[103] I +*I *38853:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *7001:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[103] 0.000450366 +2 *38853:A 2.26741e-05 +3 *7001:DIODE 8.4707e-05 +4 *392:7 0.000557747 +5 *7001:DIODE *3296:29 0 +6 *7001:DIODE *3435:45 0 +7 *38853:A *3296:29 0 +8 *38853:A *3435:45 0 +9 *392:7 *38084:C 0 +10 *392:7 *39023:A 0 +11 *392:7 *40510:A 0 +12 *392:7 *3427:9 0 +13 *392:7 *4870:16 0 +14 la_data_in_mprj[103] *392:7 0 +*RES +1 la_data_out_mprj[103] *392:7 14.7321 +2 *392:7 *7001:DIODE 11.0679 +3 *392:7 *38853:A 9.83571 +*END + +*D_NET *393 0.00123923 +*CONN +*P la_data_out_mprj[104] I +*I *7012:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38864:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_data_out_mprj[104] 0.000518225 +2 *7012:DIODE 0.000101392 +3 *38864:A 0 +4 *393:8 0.000619617 +5 *7012:DIODE *3435:45 0 +6 *7012:DIODE *4981:23 0 +7 *393:8 *5492:DIODE 0 +8 *393:8 *6591:DIODE 0 +9 *393:8 *521:5 0 +10 *393:8 *3250:64 0 +11 *393:8 *3435:45 0 +12 *393:8 *3500:32 0 +13 *393:8 *4981:23 0 +14 la_data_in_mprj[104] *393:8 0 +*RES +1 la_data_out_mprj[104] *393:8 16.0893 +2 *393:8 *38864:A 9.3 +3 *393:8 *7012:DIODE 11.4786 +*END + +*D_NET *394 0.0016813 +*CONN +*P la_data_out_mprj[105] I +*I *38414:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6561:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[105] 0.000410351 +2 *38414:A 0.000325911 +3 *6561:DIODE 0.000104386 +4 *394:7 0.000840649 +5 *6561:DIODE *3296:49 0 +6 *38414:A *522:8 0 +7 *38414:A *2460:15 0 +8 *38414:A *3296:49 0 +9 *394:7 *7121:DIODE 0 +10 *394:7 *777:14 0 +11 *394:7 *4752:18 0 +12 la_data_in_mprj[105] *394:7 0 +*RES +1 la_data_out_mprj[105] *394:7 13.8214 +2 *394:7 *6561:DIODE 11.4786 +3 *394:7 *38414:A 25.4964 +*END + +*D_NET *395 0.00214367 +*CONN +*P la_data_out_mprj[106] I +*I *6572:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38425:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_data_out_mprj[106] 0.000249003 +2 *6572:DIODE 0.000822834 +3 *38425:A 0 +4 *395:8 0.00107184 +5 *6572:DIODE *6593:DIODE 0 +6 *6572:DIODE *6734:DIODE 0 +7 *6572:DIODE *1234:10 0 +8 *6572:DIODE *2460:15 0 +9 *6572:DIODE *2771:23 0 +10 *6572:DIODE *3422:14 0 +11 *6572:DIODE *4204:28 0 +12 *6572:DIODE *5105:9 0 +13 *395:8 *523:8 0 +14 *395:8 *1220:53 0 +15 *395:8 *1234:10 0 +16 *395:8 *2460:15 0 +17 *395:8 *4756:49 0 +18 la_data_in_mprj[106] *395:8 0 +*RES +1 la_data_out_mprj[106] *395:8 10.1071 +2 *395:8 *38425:A 9.3 +3 *395:8 *6572:DIODE 35.6929 +*END + +*D_NET *396 0.0046485 +*CONN +*P la_data_out_mprj[107] I +*I *6583:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38436:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_data_out_mprj[107] 0.000464109 +2 *6583:DIODE 0.000379898 +3 *38436:A 0 +4 *396:25 0.00186014 +5 *396:10 0.00194435 +6 *6583:DIODE *6605:DIODE 0 +7 *6583:DIODE *6736:DIODE 0 +8 *6583:DIODE *526:29 0 +9 *6583:DIODE *781:30 0 +10 *6583:DIODE *2381:47 0 +11 *6583:DIODE *2381:49 0 +12 *6583:DIODE *3287:91 0 +13 *396:10 *39026:A 0 +14 *396:10 *524:8 0 +15 *396:10 *3430:55 0 +16 *396:10 *4753:30 0 +17 *396:25 *5506:DIODE 0 +18 *396:25 *6594:DIODE 0 +19 *396:25 *39026:A 0 +20 *396:25 *397:8 0 +21 *396:25 *526:29 0 +22 *396:25 *780:30 0 +23 *396:25 *2390:12 0 +24 *396:25 *2712:181 0 +25 *396:25 *3265:23 0 +26 *396:25 *3422:31 0 +27 *396:25 *3423:10 0 +28 *396:25 *3430:55 0 +29 *396:25 *4215:8 0 +30 *396:25 *4215:39 0 +31 la_data_in_mprj[108] *396:25 0 +*RES +1 la_data_out_mprj[107] *396:10 14.375 +2 *396:10 *38436:A 9.3 +3 *396:10 *396:25 45.5357 +4 *396:25 *6583:DIODE 21.7286 +*END + +*D_NET *397 0.00333892 +*CONN +*P la_data_out_mprj[108] I +*I *38447:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6594:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[108] 0.000280677 +2 *38447:A 0 +3 *6594:DIODE 0.00138878 +4 *397:8 0.00166946 +5 *6594:DIODE *6736:DIODE 0 +6 *6594:DIODE *7548:DIODE 0 +7 *6594:DIODE *8265:DIODE 0 +8 *6594:DIODE *526:29 0 +9 *6594:DIODE *780:30 0 +10 *6594:DIODE *2682:21 0 +11 *6594:DIODE *3174:16 0 +12 *6594:DIODE *3230:39 0 +13 *6594:DIODE *3265:23 0 +14 *6594:DIODE *3435:52 0 +15 *6594:DIODE *3504:42 0 +16 *6594:DIODE *3744:70 0 +17 *6594:DIODE *4261:87 0 +18 *6594:DIODE *5193:68 0 +19 *397:8 *38096:C 0 +20 *397:8 *4215:8 0 +21 *397:8 *4753:17 0 +22 *397:8 *4756:42 0 +23 la_data_in_mprj[108] *397:8 0 +24 *396:25 *6594:DIODE 0 +25 *396:25 *397:8 0 +*RES +1 la_data_out_mprj[108] *397:8 10.8214 +2 *397:8 *6594:DIODE 39.0529 +3 *397:8 *38447:A 9.3 +*END + +*D_NET *398 0.00292196 +*CONN +*P la_data_out_mprj[109] I +*I *6605:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38458:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_data_out_mprj[109] 0.000440057 +2 *6605:DIODE 0.00102092 +3 *38458:A 0 +4 *398:11 0.00146098 +5 *6605:DIODE *6595:DIODE 0 +6 *6605:DIODE *7880:DIODE 0 +7 *6605:DIODE *8300:DIODE 0 +8 *6605:DIODE *8886:DIODE 0 +9 *6605:DIODE *37443:A 0 +10 *6605:DIODE *781:30 0 +11 *6605:DIODE *2794:13 0 +12 *6605:DIODE *3276:53 0 +13 *6605:DIODE *3287:91 0 +14 *6605:DIODE *3435:52 0 +15 *398:11 *38603:A 0 +16 *398:11 *525:17 0 +17 *398:11 *526:10 0 +18 *398:11 *3134:16 0 +19 *398:11 *3417:7 0 +20 *398:11 *5194:29 0 +21 *6583:DIODE *6605:DIODE 0 +*RES +1 la_data_out_mprj[109] *398:11 18.8036 +2 *398:11 *38458:A 13.8 +3 *398:11 *6605:DIODE 35.3714 +*END + +*D_NET *399 0.0010161 +*CONN +*P la_data_out_mprj[10] I +*I *38469:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6616:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[10] 0.000361311 +2 *38469:A 2.26741e-05 +3 *6616:DIODE 0.000124066 +4 *399:11 0.000508051 +5 *6616:DIODE *4451:72 0 +6 *6616:DIODE *4693:29 0 +7 *38469:A *4451:72 0 +8 *38469:A *4693:29 0 +9 *399:11 *37494:B 0 +10 *399:11 *527:5 0 +11 *399:11 *4115:8 0 +12 *399:11 *4249:15 0 +13 *399:11 *4693:29 0 +14 la_data_in_mprj[10] *399:11 0 +*RES +1 la_data_out_mprj[10] *399:11 12.7679 +2 *399:11 *6616:DIODE 11.8893 +3 *399:11 *38469:A 9.83571 +*END + +*D_NET *400 0.00496067 +*CONN +*P la_data_out_mprj[110] I +*I *38480:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6627:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[110] 0.000442921 +2 *38480:A 0 +3 *6627:DIODE 0.000714001 +4 *400:29 0.00203742 +5 *400:12 0.00176633 +6 *6627:DIODE *8201:DIODE 0 +7 *6627:DIODE *8203:DIODE 0 +8 *6627:DIODE *3135:59 0 +9 *6627:DIODE *3265:18 0 +10 *400:12 *37410:A 0 +11 *400:12 *3430:65 0 +12 *400:12 *5097:13 0 +13 *400:12 *5193:83 0 +14 *400:29 *8201:DIODE 0 +15 *400:29 *8213:DIODE 0 +16 *400:29 *8300:DIODE 0 +17 *400:29 *37443:A 0 +18 *400:29 *781:30 0 +19 *400:29 *784:10 0 +20 *400:29 *2381:35 0 +21 *400:29 *3106:96 0 +22 *400:29 *3237:22 0 +23 *400:29 *3265:18 0 +24 *400:29 *3276:53 0 +25 *400:29 *3743:16 0 +*RES +1 la_data_out_mprj[110] *400:12 14.3571 +2 *400:12 *400:29 46.5179 +3 *400:29 *6627:DIODE 33.3357 +4 *400:12 *38480:A 9.3 +*END + +*D_NET *401 0.00530685 +*CONN +*P la_data_out_mprj[111] I +*I *6638:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38491:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_data_out_mprj[111] 0.000375415 +2 *6638:DIODE 0 +3 *38491:A 0 +4 *401:51 0.000939486 +5 *401:34 0.00227801 +6 *401:8 0.00171394 +7 *401:8 *1884:14 0 +8 *401:8 *5105:9 0 +9 *401:34 *7540:DIODE 0 +10 *401:34 *8486:DIODE 0 +11 *401:34 *402:35 0 +12 *401:34 *529:19 0 +13 *401:34 *785:43 0 +14 *401:34 *1884:14 0 +15 *401:34 *2712:152 0 +16 *401:34 *2794:25 0 +17 *401:34 *3126:29 0 +18 *401:34 *3276:53 0 +19 *401:34 *5105:9 0 +20 *401:51 *6741:DIODE 0 +21 *401:51 *37440:A 0 +22 *401:51 *40566:A 0 +23 *401:51 *785:43 0 +24 *401:51 *2442:79 0 +25 *401:51 *2712:143 0 +26 *401:51 *3141:14 0 +27 *401:51 *3145:58 0 +28 *401:51 *3348:15 0 +29 *401:51 *5084:13 0 +30 la_data_in_mprj[111] *401:8 0 +*RES +1 la_data_out_mprj[111] *401:8 12.9464 +2 *401:8 *38491:A 9.3 +3 *401:8 *401:34 47.0893 +4 *401:34 *401:51 47.2321 +5 *401:51 *6638:DIODE 9.3 +*END + +*D_NET *402 0.0062795 +*CONN +*P la_data_out_mprj[112] I +*I *6649:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38502:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_data_out_mprj[112] 0.000426059 +2 *6649:DIODE 0.000773486 +3 *38502:A 0 +4 *402:35 0.00271369 +5 *402:8 0.00236627 +6 *6649:DIODE *40176:A 0 +7 *6649:DIODE *40373:A 0 +8 *6649:DIODE *2712:110 0 +9 *6649:DIODE *2712:125 0 +10 *402:8 *40499:A 0 +11 *402:8 *525:17 0 +12 *402:8 *530:9 0 +13 *402:8 *3237:22 0 +14 *402:8 *3417:7 0 +15 *402:35 *6741:DIODE 0 +16 *402:35 *7978:DIODE 0 +17 *402:35 *38607:A 0 +18 *402:35 *40498:A 0 +19 *402:35 *40499:A 0 +20 *402:35 *405:35 0 +21 *402:35 *529:19 0 +22 *402:35 *2712:152 0 +23 *402:35 *2860:20 0 +24 *402:35 *3018:46 0 +25 *402:35 *3033:16 0 +26 *402:35 *3041:25 0 +27 *402:35 *3043:52 0 +28 *402:35 *3087:98 0 +29 *402:35 *3230:39 0 +30 *402:35 *3291:37 0 +31 *402:35 *3407:13 0 +32 *402:35 *3417:7 0 +33 *402:35 *3417:18 0 +34 *402:35 *5188:60 0 +35 *401:34 *402:35 0 +*RES +1 la_data_out_mprj[112] *402:8 13.8036 +2 *402:8 *38502:A 9.3 +3 *402:8 *402:35 46.823 +4 *402:35 *6649:DIODE 29.9429 +*END + +*D_NET *403 0.00732548 +*CONN +*P la_data_out_mprj[113] I +*I *38513:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6660:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[113] 0.000119552 +2 *38513:A 0.000354027 +3 *6660:DIODE 0.000124066 +4 *403:33 0.00151617 +5 *403:18 0.0030651 +6 *403:11 0.00214657 +7 *6660:DIODE *3793:20 0 +8 *6660:DIODE *5105:66 0 +9 *38513:A *38466:A 0 +10 *38513:A *41399:A 0 +11 *38513:A *531:9 0 +12 *38513:A *2984:36 0 +13 *38513:A *3417:18 0 +14 *38513:A *5101:13 0 +15 *403:11 *2984:36 0 +16 *403:18 *787:22 0 +17 *403:18 *2379:39 0 +18 *403:18 *2838:9 0 +19 *403:18 *2882:16 0 +20 *403:18 *5186:102 0 +21 *403:18 *5186:106 0 +22 *403:33 *406:49 0 +23 *403:33 *407:36 0 +24 *403:33 *536:17 0 +25 *403:33 *536:46 0 +26 *403:33 *787:22 0 +27 *403:33 *2882:16 0 +28 *403:33 *3034:54 0 +29 *403:33 *3145:46 0 +30 *403:33 *5105:66 0 +*RES +1 la_data_out_mprj[113] *403:11 3.29464 +2 *403:11 *403:18 39.6875 +3 *403:18 *403:33 48.1429 +4 *403:33 *6660:DIODE 11.8893 +5 *403:11 *38513:A 21.8268 +*END + +*D_NET *404 0.00674414 +*CONN +*P la_data_out_mprj[114] I +*I *6672:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38525:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_data_out_mprj[114] 0.000466211 +2 *6672:DIODE 0.000127916 +3 *38525:A 3.22083e-05 +4 *404:58 0.00145233 +5 *404:34 0.00274574 +6 *404:10 0.00191974 +7 *6672:DIODE *405:54 0 +8 *6672:DIODE *2712:97 0 +9 *6672:DIODE *2712:99 0 +10 *404:10 *532:10 0 +11 *404:10 *3417:18 0 +12 *404:10 *3460:22 0 +13 *404:34 *6600:DIODE 0 +14 *404:34 *39582:A 0 +15 *404:34 *40561:A 0 +16 *404:34 *785:43 0 +17 *404:34 *901:62 0 +18 *404:34 *2381:18 0 +19 *404:34 *2860:20 0 +20 *404:34 *3129:14 0 +21 *404:34 *3240:63 0 +22 *404:34 *3460:22 0 +23 *404:34 *4524:99 0 +24 *404:58 *40212:A 0 +25 *404:58 *40254:A 0 +26 *404:58 *405:54 0 +27 *404:58 *789:49 0 +28 *404:58 *901:62 0 +29 *404:58 *3037:66 0 +30 *404:58 *3142:106 0 +31 *404:58 *3240:63 0 +32 *404:58 *3263:10 0 +33 *404:58 *5182:84 0 +*RES +1 la_data_out_mprj[114] *404:10 19.2143 +2 *404:10 *38525:A 14.5321 +3 *404:10 *404:34 48.6071 +4 *404:34 *404:58 46.4107 +5 *404:58 *6672:DIODE 16.4071 +*END + +*D_NET *405 0.00692342 +*CONN +*P la_data_out_mprj[115] I +*I *6683:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38536:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_data_out_mprj[115] 0.000439391 +2 *6683:DIODE 0.000696266 +3 *38536:A 0 +4 *405:54 0.00199718 +5 *405:35 0.00232605 +6 *405:8 0.00146452 +7 *6683:DIODE *536:53 0 +8 *6683:DIODE *789:59 0 +9 *6683:DIODE *1027:96 0 +10 *405:8 *40563:A 0 +11 *405:8 *2978:15 0 +12 *405:8 *3412:7 0 +13 *405:8 *3417:18 0 +14 *405:8 *5105:39 0 +15 *405:8 *5164:19 0 +16 *405:35 *6600:DIODE 0 +17 *405:35 *6601:DIODE 0 +18 *405:35 *39582:A 0 +19 *405:35 *40265:A 0 +20 *405:35 *3034:43 0 +21 *405:35 *3041:25 0 +22 *405:35 *3412:7 0 +23 *405:35 *3682:15 0 +24 *405:35 *4315:15 0 +25 *405:35 *5105:39 0 +26 *405:35 *5186:102 0 +27 *405:54 *6743:DIODE 0 +28 *405:54 *7494:DIODE 0 +29 *405:54 *40295:A 0 +30 *405:54 *788:34 0 +31 *405:54 *2712:99 0 +32 *405:54 *2712:110 0 +33 *405:54 *4524:99 0 +34 *6672:DIODE *405:54 0 +35 *402:35 *405:35 0 +36 *404:58 *405:54 0 +*RES +1 la_data_out_mprj[115] *405:8 14.1071 +2 *405:8 *38536:A 9.3 +3 *405:8 *405:35 49.8214 +4 *405:35 *405:54 36.5893 +5 *405:54 *6683:DIODE 23.8 +*END + +*D_NET *406 0.00832848 +*CONN +*P la_data_out_mprj[116] I +*I *38547:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6694:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[116] 5.61974e-05 +2 *38547:A 0.000461969 +3 *6694:DIODE 0.000252967 +4 *406:49 0.00236106 +5 *406:32 0.00339311 +6 *406:5 0.00180318 +7 *6694:DIODE *3400:21 0 +8 *38547:A *6600:DIODE 0 +9 *38547:A *532:35 0 +10 *38547:A *4315:15 0 +11 *406:32 *531:33 0 +12 *406:32 *2371:21 0 +13 *406:32 *2382:110 0 +14 *406:32 *2389:29 0 +15 *406:32 *3106:71 0 +16 *406:32 *5179:148 0 +17 *406:49 *409:29 0 +18 *406:49 *412:12 0 +19 *406:49 *787:22 0 +20 *406:49 *791:50 0 +21 *406:49 *793:49 0 +22 *406:49 *2445:22 0 +23 *406:49 *2782:39 0 +24 *406:49 *2849:23 0 +25 *406:49 *3034:54 0 +26 *406:49 *3077:91 0 +27 *406:49 *5172:94 0 +28 la_data_in_mprj[121] *406:49 0 +29 *403:33 *406:49 0 +*RES +1 la_data_out_mprj[116] *406:5 1.27679 +2 *406:5 *406:32 47.8676 +3 *406:32 *406:49 49.3976 +4 *406:49 *6694:DIODE 19.7018 +5 *406:5 *38547:A 24.2375 +*END + +*D_NET *407 0.00637743 +*CONN +*P la_data_out_mprj[117] I +*I *38558:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *6705:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[117] 0.000587489 +2 *38558:A 0 +3 *6705:DIODE 0.000500358 +4 *407:36 0.00260123 +5 *407:10 0.00268836 +6 *6705:DIODE *3235:63 0 +7 *6705:DIODE *3402:56 0 +8 *6705:DIODE *4015:26 0 +9 *6705:DIODE *5034:52 0 +10 *407:10 *531:33 0 +11 *407:10 *534:43 0 +12 *407:10 *1854:20 0 +13 *407:10 *1884:36 0 +14 *407:10 *2893:17 0 +15 *407:10 *3793:20 0 +16 *407:10 *5165:61 0 +17 *407:10 *5186:85 0 +18 *407:36 *8195:DIODE 0 +19 *407:36 *40342:A 0 +20 *407:36 *788:57 0 +21 *407:36 *1011:273 0 +22 *407:36 *2373:145 0 +23 *407:36 *2389:21 0 +24 *407:36 *3018:72 0 +25 *407:36 *3043:75 0 +26 *407:36 *3142:106 0 +27 *407:36 *3266:113 0 +28 *407:36 *3412:30 0 +29 *407:36 *4524:73 0 +30 *407:36 *5105:66 0 +31 *407:36 *5186:65 0 +32 la_data_in_mprj[117] *407:10 0 +33 *403:33 *407:36 0 +*RES +1 la_data_out_mprj[117] *407:10 17.2143 +2 *407:10 *407:36 49.9824 +3 *407:36 *6705:DIODE 24.7911 +4 *407:10 *38558:A 9.3 +*END + +*D_NET *408 0.00589012 +*CONN +*P la_data_out_mprj[118] I +*I *6716:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38569:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_data_out_mprj[118] 0.000458714 +2 *6716:DIODE 0.00134974 +3 *38569:A 0 +4 *408:52 0.00248635 +5 *408:23 0.00159532 +6 *6716:DIODE *40273:A 0 +7 *6716:DIODE *409:61 0 +8 *6716:DIODE *535:29 0 +9 *6716:DIODE *539:29 0 +10 *6716:DIODE *1028:94 0 +11 *6716:DIODE *1829:81 0 +12 *6716:DIODE *1840:93 0 +13 *6716:DIODE *3208:24 0 +14 *6716:DIODE *3235:42 0 +15 *6716:DIODE *3240:46 0 +16 *6716:DIODE *3251:67 0 +17 *6716:DIODE *3262:69 0 +18 *6716:DIODE *4555:80 0 +19 *408:23 *536:46 0 +20 *408:23 *2371:20 0 +21 *408:23 *2893:17 0 +22 *408:23 *3142:106 0 +23 *408:23 *3904:26 0 +24 *408:52 *6603:DIODE 0 +25 *408:52 *6604:DIODE 0 +26 *408:52 *7131:DIODE 0 +27 *408:52 *7518:DIODE 0 +28 *408:52 *39550:B 0 +29 *408:52 *40160:A 0 +30 *408:52 *40342:A 0 +31 *408:52 *536:46 0 +32 *408:52 *790:51 0 +33 *408:52 *792:57 0 +34 *408:52 *3266:92 0 +35 *408:52 *3904:26 0 +36 *408:52 *4524:73 0 +37 *408:52 *5105:66 0 +*RES +1 la_data_out_mprj[118] *408:23 19.5179 +2 *408:23 *38569:A 13.8 +3 *408:23 *408:52 47.0179 +4 *408:52 *6716:DIODE 46.8893 +*END + +*D_NET *409 0.00704933 +*CONN +*P la_data_out_mprj[119] I +*I *6727:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38580:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_data_out_mprj[119] 0.000985229 +2 *6727:DIODE 0.00147944 +3 *38580:A 0 +4 *409:61 0.00253944 +5 *409:29 0.00204523 +6 *6727:DIODE *40269:A 0 +7 *6727:DIODE *413:32 0 +8 *6727:DIODE *3245:21 0 +9 *6727:DIODE *3266:62 0 +10 *6727:DIODE *3721:24 0 +11 *409:29 *37444:A 0 +12 *409:29 *38615:A 0 +13 *409:29 *537:22 0 +14 *409:29 *793:13 0 +15 *409:29 *2408:35 0 +16 *409:29 *2882:17 0 +17 *409:29 *3034:73 0 +18 *409:29 *3077:108 0 +19 *409:29 *3087:74 0 +20 *409:29 *3106:69 0 +21 *409:29 *3145:24 0 +22 *409:29 *3250:48 0 +23 *409:29 *3266:92 0 +24 *409:29 *5175:108 0 +25 *409:61 *40502:A 0 +26 *409:61 *537:22 0 +27 *409:61 *1840:93 0 +28 *409:61 *2382:87 0 +29 *409:61 *2445:22 0 +30 *409:61 *2712:75 0 +31 *409:61 *2960:20 0 +32 *409:61 *3240:46 0 +33 *409:61 *5072:17 0 +34 *6716:DIODE *409:61 0 +35 *406:49 *409:29 0 +*RES +1 la_data_out_mprj[119] *409:29 44.7321 +2 *409:29 *38580:A 9.3 +3 *409:29 *409:61 49.4546 +4 *409:61 *6727:DIODE 32.6696 +*END + +*D_NET *410 0.000981029 +*CONN +*P la_data_out_mprj[11] I +*I *38591:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6738:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[11] 0.00030356 +2 *38591:A 0.000162783 +3 *6738:DIODE 2.41714e-05 +4 *410:7 0.000490515 +5 *6738:DIODE *4693:29 0 +6 *38591:A *4693:29 0 +7 *410:7 *538:8 0 +8 *410:7 *4571:32 0 +9 la_data_in_mprj[11] *410:7 0 +*RES +1 la_data_out_mprj[11] *410:7 11.3929 +2 *410:7 *6738:DIODE 9.83571 +3 *410:7 *38591:A 12.7286 +*END + +*D_NET *411 0.0055945 +*CONN +*P la_data_out_mprj[120] I +*I *6749:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38602:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_data_out_mprj[120] 0.000473772 +2 *6749:DIODE 0 +3 *38602:A 0 +4 *411:68 0.0011851 +5 *411:36 0.00232348 +6 *411:8 0.00161215 +7 *411:8 *2410:18 0 +8 *411:8 *3077:108 0 +9 *411:8 *3145:24 0 +10 *411:8 *5102:18 0 +11 *411:36 *6748:DIODE 0 +12 *411:36 *40273:A 0 +13 *411:36 *536:53 0 +14 *411:36 *539:8 0 +15 *411:36 *789:59 0 +16 *411:36 *1000:78 0 +17 *411:36 *3018:72 0 +18 *411:36 *5102:18 0 +19 *411:36 *5186:65 0 +20 *411:68 *8013:DIODE 0 +21 *411:68 *539:48 0 +22 *411:68 *2373:145 0 +23 *411:68 *2993:17 0 +24 *411:68 *3262:69 0 +25 *411:68 *4555:65 0 +26 *411:68 *4555:80 0 +*RES +1 la_data_out_mprj[120] *411:8 15 +2 *411:8 *38602:A 9.3 +3 *411:8 *411:36 45.9442 +4 *411:36 *411:68 43.7857 +5 *411:68 *6749:DIODE 9.3 +*END + +*D_NET *412 0.00545978 +*CONN +*P la_data_out_mprj[121] I +*I *6760:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38613:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_data_out_mprj[121] 0.00044122 +2 *6760:DIODE 0.000616051 +3 *38613:A 0 +4 *412:43 0.00228867 +5 *412:12 0.00211384 +6 *6760:DIODE *8087:DIODE 0 +7 *6760:DIODE *3262:49 0 +8 *6760:DIODE *3783:79 0 +9 *6760:DIODE *4555:65 0 +10 *412:12 *40502:A 0 +11 *412:12 *5102:18 0 +12 *412:12 *5179:133 0 +13 *412:43 *40502:A 0 +14 *412:43 *1001:65 0 +15 *412:43 *3245:21 0 +16 *412:43 *3254:33 0 +17 *412:43 *3721:24 0 +18 *412:43 *4015:26 0 +19 *412:43 *4015:55 0 +20 *412:43 *4348:14 0 +21 *412:43 *5102:18 0 +22 *412:43 *5179:115 0 +23 *406:49 *412:12 0 +*RES +1 la_data_out_mprj[121] *412:12 14.4286 +2 *412:12 *38613:A 9.3 +3 *412:12 *412:43 44.8194 +4 *412:43 *6760:DIODE 22.1571 +*END + +*D_NET *413 0.00418081 +*CONN +*P la_data_out_mprj[122] I +*I *6771:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38624:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_data_out_mprj[122] 0.000419652 +2 *6771:DIODE 0 +3 *38624:A 0 +4 *413:32 0.00167075 +5 *413:13 0.00209041 +6 *413:13 *795:41 0 +7 *413:32 *40269:A 0 +8 *413:32 *536:53 0 +9 *413:32 *2982:29 0 +10 *413:32 *3266:62 0 +11 la_data_in_mprj[122] *413:13 0 +12 *6727:DIODE *413:32 0 +*RES +1 la_data_out_mprj[122] *413:13 10.1429 +2 *413:13 *38624:A 13.8 +3 *413:13 *413:32 49.125 +4 *413:32 *6771:DIODE 9.3 +*END + +*D_NET *414 0.00319695 +*CONN +*P la_data_out_mprj[123] I +*I *6783:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38636:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_data_out_mprj[123] 0.000473313 +2 *6783:DIODE 0.00112516 +3 *38636:A 0 +4 *414:15 0.00159848 +5 *6783:DIODE *7597:DIODE 0 +6 *6783:DIODE *1828:47 0 +7 *6783:DIODE *2411:60 0 +8 *6783:DIODE *3188:46 0 +9 *6783:DIODE *5084:23 0 +10 *6783:DIODE *5188:20 0 +*RES +1 la_data_out_mprj[123] *414:15 11.3929 +2 *414:15 *38636:A 13.8 +3 *414:15 *6783:DIODE 39.3179 +*END + +*D_NET *415 0.00395595 +*CONN +*P la_data_out_mprj[124] I +*I *6794:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38647:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_data_out_mprj[124] 0.000306655 +2 *6794:DIODE 0 +3 *38647:A 0 +4 *415:28 0.00167132 +5 *415:10 0.00197797 +6 *415:10 *39046:A 0 +7 *415:10 *1857:28 0 +8 *415:10 *2882:46 0 +9 *415:10 *5167:85 0 +10 *415:10 *5169:57 0 +11 *415:28 *5508:DIODE 0 +12 *415:28 *6617:DIODE 0 +13 *415:28 *6753:DIODE 0 +14 *415:28 *1857:28 0 +15 *415:28 *3038:42 0 +16 *415:28 *4555:65 0 +17 *415:28 *4602:40 0 +18 *415:28 *5167:85 0 +*RES +1 la_data_out_mprj[124] *415:10 11.0893 +2 *415:10 *38647:A 9.3 +3 *415:10 *415:28 44.8929 +4 *415:28 *6794:DIODE 9.3 +*END + +*D_NET *416 0.00374877 +*CONN +*P la_data_out_mprj[125] I +*I *38658:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6805:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[125] 0.000236686 +2 *38658:A 0 +3 *6805:DIODE 4.53482e-05 +4 *416:21 0.0016377 +5 *416:7 0.00182904 +6 *6805:DIODE *6617:DIODE 0 +7 *6805:DIODE *3262:49 0 +8 *416:7 *5168:49 0 +9 *416:21 *6615:DIODE 0 +10 *416:21 *7498:DIODE 0 +11 *416:21 *40234:A 0 +12 *416:21 *2406:10 0 +13 *416:21 *3106:23 0 +14 *416:21 *3266:46 0 +15 *416:21 *4460:27 0 +16 *416:21 *4550:63 0 +17 *416:21 *5102:19 0 +*RES +1 la_data_out_mprj[125] *416:7 9.875 +2 *416:7 *416:21 47.6786 +3 *416:21 *6805:DIODE 14.7464 +4 *416:7 *38658:A 9.3 +*END + +*D_NET *417 0.00238735 +*CONN +*P la_data_out_mprj[126] I +*I *6816:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38669:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_data_out_mprj[126] 0.000419711 +2 *6816:DIODE 0.000728618 +3 *38669:A 4.53482e-05 +4 *417:13 0.00119368 +5 *6816:DIODE *6758:DIODE 0 +6 *6816:DIODE *1836:26 0 +7 *6816:DIODE *1860:28 0 +8 *6816:DIODE *1862:46 0 +9 *6816:DIODE *2713:186 0 +10 *6816:DIODE *3194:36 0 +11 *6816:DIODE *3221:16 0 +12 *6816:DIODE *3398:20 0 +13 *6816:DIODE *4755:23 0 +14 *38669:A *4561:49 0 +15 *38669:A *5100:31 0 +16 *417:13 *6756:DIODE 0 +17 *417:13 *544:49 0 +18 *417:13 *3004:24 0 +19 *417:13 *3266:46 0 +20 la_data_in_mprj[126] *417:13 0 +*RES +1 la_data_out_mprj[126] *417:13 10.1429 +2 *417:13 *38669:A 14.7464 +3 *417:13 *6816:DIODE 30.3179 +*END + +*D_NET *418 0.00216723 +*CONN +*P la_data_out_mprj[127] I +*I *6827:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38680:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_data_out_mprj[127] 0.000476734 +2 *6827:DIODE 0.000606882 +3 *38680:A 0 +4 *418:9 0.00108362 +5 *6827:DIODE *6619:DIODE 0 +6 *6827:DIODE *37450:A 0 +7 *6827:DIODE *546:8 0 +8 *6827:DIODE *1862:69 0 +9 *6827:DIODE *3235:22 0 +*RES +1 la_data_out_mprj[127] *418:9 10.8929 +2 *418:9 *38680:A 13.8 +3 *418:9 *6827:DIODE 26.6571 +*END + +*D_NET *419 0.000715302 +*CONN +*P la_data_out_mprj[12] I +*I *6838:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38691:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_data_out_mprj[12] 0.000236706 +2 *6838:DIODE 9.52765e-05 +3 *38691:A 2.56688e-05 +4 *419:5 0.000357651 +5 *6838:DIODE *37481:A 0 +6 *38691:A *39041:A 0 +7 *38691:A *4789:32 0 +8 *419:5 *6620:DIODE 0 +9 *419:5 *547:7 0 +10 *419:5 *4482:14 0 +11 la_data_in_mprj[12] *419:5 0 +*RES +1 la_data_out_mprj[12] *419:5 5.375 +2 *419:5 *38691:A 14.3357 +3 *419:5 *6838:DIODE 15.9786 +*END + +*D_NET *420 0.00123833 +*CONN +*P la_data_out_mprj[13] I +*I *6849:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38702:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_data_out_mprj[13] 0.000330322 +2 *6849:DIODE 0.000247157 +3 *38702:A 4.1686e-05 +4 *420:5 0.000619165 +5 *6849:DIODE *6621:DIODE 0 +6 *6849:DIODE *1158:5 0 +7 *6849:DIODE *4429:33 0 +8 *6849:DIODE *4439:45 0 +9 *6849:DIODE *4693:38 0 +10 *6849:DIODE *4799:14 0 +11 *420:5 *6621:DIODE 0 +12 *420:5 *548:8 0 +13 *420:5 *4439:45 0 +14 *420:5 *4560:12 0 +15 *420:5 *4787:29 0 +16 la_data_in_mprj[13] *420:5 0 +*RES +1 la_data_out_mprj[13] *420:5 7.5 +2 *420:5 *38702:A 14.7464 +3 *420:5 *6849:DIODE 19.2464 +*END + +*D_NET *421 0.00130596 +*CONN +*P la_data_out_mprj[14] I +*I *6860:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38713:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_data_out_mprj[14] 0.000236745 +2 *6860:DIODE 0.000311847 +3 *38713:A 0.000104386 +4 *421:5 0.000652978 +5 *6860:DIODE *5290:DIODE 0 +6 *6860:DIODE *37480:A 0 +7 *6860:DIODE *549:5 0 +8 *6860:DIODE *3627:16 0 +9 *6860:DIODE *4604:30 0 +10 *38713:A *39041:A 0 +11 *38713:A *4504:21 0 +12 *421:5 *549:5 0 +13 *421:5 *3627:16 0 +14 *421:5 *4482:14 0 +*RES +1 la_data_out_mprj[14] *421:5 5.375 +2 *421:5 *38713:A 15.9786 +3 *421:5 *6860:DIODE 20.8357 +*END + +*D_NET *422 0.000927382 +*CONN +*P la_data_out_mprj[15] I +*I *38724:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6871:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[15] 0.000316951 +2 *38724:A 6.20329e-05 +3 *6871:DIODE 8.4707e-05 +4 *422:7 0.000463691 +5 *38724:A *4637:42 0 +6 *38724:A *4775:30 0 +7 *422:7 *39053:A 0 +8 *422:7 *40514:A 0 +9 *422:7 *550:5 0 +10 *422:7 *3381:19 0 +11 *422:7 *4417:10 0 +12 *422:7 *4429:33 0 +*RES +1 la_data_out_mprj[15] *422:7 11.6964 +2 *422:7 *6871:DIODE 11.0679 +3 *422:7 *38724:A 10.6571 +*END + +*D_NET *423 0.00107224 +*CONN +*P la_data_out_mprj[16] I +*I *38735:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6882:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[16] 0.000330342 +2 *38735:A 2.26741e-05 +3 *6882:DIODE 0.000183104 +4 *423:7 0.00053612 +5 *6882:DIODE *4637:42 0 +6 *6882:DIODE *4775:30 0 +7 *38735:A *4637:42 0 +8 *38735:A *4775:30 0 +9 *423:7 *7149:DIODE 0 +10 *423:7 *2353:10 0 +11 *423:7 *2949:17 0 +12 *423:7 *3380:38 0 +13 la_data_in_mprj[16] *423:7 0 +*RES +1 la_data_out_mprj[16] *423:7 12 +2 *423:7 *6882:DIODE 13.1214 +3 *423:7 *38735:A 9.83571 +*END + +*D_NET *424 0.00107124 +*CONN +*P la_data_out_mprj[17] I +*I *6894:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38747:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_data_out_mprj[17] 0.000129738 +2 *6894:DIODE 0.000241603 +3 *38747:A 0.00016428 +4 *424:5 0.000535621 +5 *6894:DIODE *39055:A 0 +6 *6894:DIODE *552:5 0 +7 *6894:DIODE *4775:30 0 +8 *38747:A *39055:A 0 +9 *38747:A *4433:37 0 +10 *424:5 *39055:A 0 +11 *424:5 *552:5 0 +12 la_data_in_mprj[17] *38747:A 0 +13 la_data_in_mprj[17] *424:5 0 +*RES +1 la_data_out_mprj[17] *424:5 2.94643 +2 *424:5 *38747:A 17.2286 +3 *424:5 *6894:DIODE 19.2286 +*END + +*D_NET *425 0.00160926 +*CONN +*P la_data_out_mprj[18] I +*I *6905:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38758:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_data_out_mprj[18] 0.000497341 +2 *6905:DIODE 0.000242259 +3 *38758:A 6.50276e-05 +4 *425:13 0.000804628 +5 *6905:DIODE *37518:B 0 +6 *6905:DIODE *3390:17 0 +7 *6905:DIODE *3467:9 0 +8 *6905:DIODE *4775:16 0 +9 *38758:A *4433:34 0 +10 *38758:A *4549:15 0 +11 *38758:A *4604:7 0 +12 *425:13 *37518:B 0 +13 *425:13 *553:11 0 +14 *425:13 *3115:8 0 +15 *425:13 *4429:15 0 +16 *425:13 *4891:40 0 +17 *343:52 *6905:DIODE 0 +*RES +1 la_data_out_mprj[18] *425:13 12.0714 +2 *425:13 *38758:A 15.1571 +3 *425:13 *6905:DIODE 19.3179 +*END + +*D_NET *426 0.00151023 +*CONN +*P la_data_out_mprj[19] I +*I *6916:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38769:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_data_out_mprj[19] 0.000140002 +2 *6916:DIODE 0.000412331 +3 *38769:A 0.000202783 +4 *426:7 0.000755116 +5 *6916:DIODE *6628:DIODE 0 +6 *6916:DIODE *39059:A 0 +7 *6916:DIODE *40479:A 0 +8 *6916:DIODE *1830:13 0 +9 *6916:DIODE *3381:13 0 +10 *38769:A *39059:A 0 +11 *38769:A *4891:19 0 +12 *426:7 *39059:A 0 +13 *426:7 *554:7 0 +14 la_data_in_mprj[19] *426:7 0 +*RES +1 la_data_out_mprj[19] *426:7 3.17857 +2 *426:7 *38769:A 18.0321 +3 *426:7 *6916:DIODE 22.8179 +*END + +*D_NET *427 0.00130055 +*CONN +*P la_data_out_mprj[1] I +*I *6927:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38780:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_data_out_mprj[1] 0.000360811 +2 *6927:DIODE 0.000289462 +3 *38780:A 0 +4 *427:8 0.000650273 +5 *6927:DIODE *6629:DIODE 0 +6 *6927:DIODE *6770:DIODE 0 +7 *6927:DIODE *555:5 0 +8 *6927:DIODE *4425:8 0 +9 *6927:DIODE *4439:5 0 +10 *6927:DIODE *4504:65 0 +11 *427:8 *555:5 0 +12 *427:8 *4439:5 0 +13 *427:8 *4504:65 0 +14 la_data_in_mprj[1] *427:8 0 +*RES +1 la_data_out_mprj[1] *427:8 12.4643 +2 *427:8 *38780:A 9.3 +3 *427:8 *6927:DIODE 24.675 +*END + +*D_NET *428 0.00161838 +*CONN +*P la_data_out_mprj[20] I +*I *38791:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6938:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[20] 0.000460207 +2 *38791:A 0.000120121 +3 *6938:DIODE 0.00022886 +4 *428:7 0.000809188 +5 *6938:DIODE *5294:DIODE 0 +6 *6938:DIODE *37485:A 0 +7 *6938:DIODE *1782:36 0 +8 *6938:DIODE *3149:12 0 +9 *6938:DIODE *3871:28 0 +10 *38791:A *1782:36 0 +11 *38791:A *3488:13 0 +12 *38791:A *4430:20 0 +13 *428:7 *556:7 0 +14 *428:7 *3149:12 0 +15 *428:7 *4430:20 0 +16 *428:7 *4637:30 0 +17 la_data_in_mprj[20] *428:7 0 +*RES +1 la_data_out_mprj[20] *428:7 10.8125 +2 *428:7 *6938:DIODE 19.0589 +3 *428:7 *38791:A 16.9518 +*END + +*D_NET *429 0.00090904 +*CONN +*P la_data_out_mprj[21] I +*I *38802:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *6949:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[21] 8.96642e-05 +2 *38802:A 0.000162072 +3 *6949:DIODE 0.000202783 +4 *429:5 0.00045452 +5 *6949:DIODE *4637:30 0 +6 *38802:A *557:7 0 +7 *38802:A *1782:36 0 +8 *38802:A *4593:7 0 +9 *38802:A *4649:33 0 +10 *429:5 *557:7 0 +11 la_data_in_mprj[21] *6949:DIODE 0 +12 la_data_in_mprj[21] *429:5 0 +*RES +1 la_data_out_mprj[21] *429:5 2.03571 +2 *429:5 *6949:DIODE 18.0321 +3 *429:5 *38802:A 17.4964 +*END + +*D_NET *430 0.000964166 +*CONN +*P la_data_out_mprj[22] I +*I *38813:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *6953:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[22] 0.000374702 +2 *38813:A 6.20329e-05 +3 *6953:DIODE 4.53482e-05 +4 *430:11 0.000482083 +5 *6953:DIODE *3304:34 0 +6 *6953:DIODE *3488:13 0 +7 *6953:DIODE *4433:25 0 +8 *38813:A *3304:34 0 +9 *38813:A *4433:25 0 +10 *430:11 *39061:A 0 +11 *430:11 *558:5 0 +12 *430:11 *3380:20 0 +13 *430:11 *4429:67 0 +14 *430:11 *4649:33 0 +15 la_data_in_mprj[22] *430:11 0 +*RES +1 la_data_out_mprj[22] *430:11 13.0714 +2 *430:11 *6953:DIODE 10.2464 +3 *430:11 *38813:A 10.6571 +*END + +*D_NET *431 0.00116488 +*CONN +*P la_data_out_mprj[23] I +*I *6954:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38817:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_data_out_mprj[23] 0.000330145 +2 *6954:DIODE 0.000147908 +3 *38817:A 0.000104386 +4 *431:5 0.000582439 +5 *6954:DIODE *6775:DIODE 0 +6 *6954:DIODE *2359:19 0 +7 *6954:DIODE *3182:10 0 +8 *6954:DIODE *5202:15 0 +9 *38817:A *3304:34 0 +10 *38817:A *4433:25 0 +11 *431:5 *559:8 0 +12 *431:5 *3182:10 0 +13 *431:5 *4813:10 0 +14 la_data_in_mprj[23] *431:5 0 +*RES +1 la_data_out_mprj[23] *431:5 7.5 +2 *431:5 *38817:A 15.9786 +3 *431:5 *6954:DIODE 17.1036 +*END + +*D_NET *432 0.00074276 +*CONN +*P la_data_out_mprj[24] I +*I *6955:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38818:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_data_out_mprj[24] 0.000236745 +2 *6955:DIODE 6.96077e-05 +3 *38818:A 6.50276e-05 +4 *432:5 0.00037138 +5 *6955:DIODE *6633:DIODE 0 +6 *6955:DIODE *4649:13 0 +7 *38818:A *2360:59 0 +8 *38818:A *4649:13 0 +9 *432:5 *6633:DIODE 0 +10 *432:5 *560:10 0 +11 *432:5 *4649:13 0 +12 la_data_in_mprj[24] *432:5 0 +*RES +1 la_data_out_mprj[24] *432:5 5.375 +2 *432:5 *38818:A 15.1571 +3 *432:5 *6955:DIODE 15.4429 +*END + +*D_NET *433 0.000926024 +*CONN +*P la_data_out_mprj[25] I +*I *38819:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *6956:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[25] 0.000303482 +2 *38819:A 0.000133862 +3 *6956:DIODE 2.56688e-05 +4 *433:5 0.000463012 +5 *38819:A *6634:DIODE 0 +6 *38819:A *37512:B 0 +7 *38819:A *3304:22 0 +8 *38819:A *4430:82 0 +9 *433:5 *6634:DIODE 0 +10 *433:5 *37512:B 0 +11 *433:5 *561:9 0 +12 *433:5 *3193:8 0 +13 *433:5 *4637:18 0 +14 la_data_in_mprj[25] *433:5 0 +*RES +1 la_data_out_mprj[25] *433:5 6.89286 +2 *433:5 *6956:DIODE 14.3357 +3 *433:5 *38819:A 16.7107 +*END + +*D_NET *434 0.00149668 +*CONN +*P la_data_out_mprj[26] I +*I *6958:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38821:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_data_out_mprj[26] 0.000406478 +2 *6958:DIODE 0.000341863 +3 *38821:A 0 +4 *434:8 0.000748341 +5 *6958:DIODE *6779:DIODE 0 +6 *6958:DIODE *3271:20 0 +7 *6958:DIODE *3380:10 0 +8 *6958:DIODE *4649:13 0 +9 *6958:DIODE *4660:16 0 +10 *6958:DIODE *4802:29 0 +11 *434:8 *562:5 0 +12 *434:8 *3380:10 0 +13 *434:8 *4649:13 0 +14 la_data_in_mprj[26] *434:8 0 +*RES +1 la_data_out_mprj[26] *434:8 13.3929 +2 *434:8 *38821:A 9.3 +3 *434:8 *6958:DIODE 26.05 +*END + +*D_NET *435 0.00096554 +*CONN +*P la_data_out_mprj[27] I +*I *38822:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6959:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[27] 0.000303561 +2 *38822:A 9.45027e-05 +3 *6959:DIODE 8.4707e-05 +4 *435:5 0.00048277 +5 *6959:DIODE *3304:9 0 +6 *38822:A *39066:A 0 +7 *38822:A *3238:12 0 +8 *38822:A *3304:9 0 +9 *38822:A *4434:29 0 +10 *435:5 *39066:A 0 +11 *435:5 *563:12 0 +12 *435:5 *4434:8 0 +13 *435:5 *4434:29 0 +14 *435:5 *4796:18 0 +15 la_data_in_mprj[27] *435:5 0 +*RES +1 la_data_out_mprj[27] *435:5 6.89286 +2 *435:5 *6959:DIODE 15.5679 +3 *435:5 *38822:A 15.8893 +*END + +*D_NET *436 0.000877664 +*CONN +*P la_data_out_mprj[28] I +*I *6960:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38823:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_data_out_mprj[28] 0.000223354 +2 *6960:DIODE 0.000189809 +3 *38823:A 2.56688e-05 +4 *436:5 0.000438832 +5 *6960:DIODE *564:8 0 +6 *38823:A *37329:A 0 +7 *38823:A *4671:9 0 +8 *436:5 *564:8 0 +9 *436:5 *3271:14 0 +10 *301:47 *6960:DIODE 0 +11 *301:47 *436:5 0 +*RES +1 la_data_out_mprj[28] *436:5 5.07143 +2 *436:5 *38823:A 14.3357 +3 *436:5 *6960:DIODE 18.175 +*END + +*D_NET *437 0.00124718 +*CONN +*P la_data_out_mprj[29] I +*I *38824:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6961:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[29] 0.000476852 +2 *38824:A 8.17123e-05 +3 *6961:DIODE 6.50276e-05 +4 *437:11 0.000623592 +5 *6961:DIODE *3337:9 0 +6 *6961:DIODE *4820:21 0 +7 *38824:A *3337:9 0 +8 *38824:A *4820:21 0 +9 *437:11 *6640:DIODE 0 +10 *437:11 *38405:A 0 +11 *437:11 *565:8 0 +12 *437:11 *3249:18 0 +13 *437:11 *4682:16 0 +14 *437:11 *5162:17 0 +15 la_data_in_mprj[29] *437:11 0 +*RES +1 la_data_out_mprj[29] *437:11 15.3929 +2 *437:11 *6961:DIODE 10.6571 +3 *437:11 *38824:A 11.0679 +*END + +*D_NET *438 0.000883484 +*CONN +*P la_data_out_mprj[2] I +*I *6962:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38825:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_data_out_mprj[2] 0.000223374 +2 *6962:DIODE 0.000192699 +3 *38825:A 2.56688e-05 +4 *438:5 0.000441742 +5 *6962:DIODE *566:7 0 +6 *6962:DIODE *1725:8 0 +7 *6962:DIODE *4425:8 0 +8 *38825:A *4439:5 0 +9 *38825:A *4504:65 0 +10 *438:5 *566:7 0 +11 *438:5 *4693:8 0 +12 la_data_in_mprj[2] *438:5 0 +*RES +1 la_data_out_mprj[2] *438:5 5.07143 +2 *438:5 *38825:A 14.3357 +3 *438:5 *6962:DIODE 18.175 +*END + +*D_NET *439 0.000956342 +*CONN +*P la_data_out_mprj[30] I +*I *6963:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38826:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_data_out_mprj[30] 0.000236588 +2 *6963:DIODE 0.000241583 +3 *38826:A 0 +4 *439:5 0.000478171 +5 *6963:DIODE *6642:DIODE 0 +6 *6963:DIODE *4430:91 0 +7 *6963:DIODE *4441:10 0 +8 *6963:DIODE *4704:8 0 +9 *6963:DIODE *5202:15 0 +10 *439:5 *39070:A 0 +11 *439:5 *567:5 0 +12 la_data_in_mprj[30] *439:5 0 +*RES +1 la_data_out_mprj[30] *439:5 5.375 +2 *439:5 *38826:A 13.8 +3 *439:5 *6963:DIODE 19.2286 +*END + +*D_NET *440 0.000847346 +*CONN +*P la_data_out_mprj[31] I +*I *38827:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6964:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[31] 0.000196573 +2 *38827:A 0.000201431 +3 *6964:DIODE 2.56688e-05 +4 *440:5 0.000423673 +5 *6964:DIODE *1736:11 0 +6 *38827:A *39071:A 0 +7 *38827:A *3304:9 0 +8 *38827:A *3437:59 0 +9 *38827:A *4441:9 0 +10 *440:5 *39071:A 0 +11 *440:5 *568:11 0 +12 *440:5 *3437:59 0 +13 la_data_in_mprj[31] *440:5 0 +*RES +1 la_data_out_mprj[31] *440:5 4.46429 +2 *440:5 *6964:DIODE 14.3357 +3 *440:5 *38827:A 18.3179 +*END + +*D_NET *441 0.000878866 +*CONN +*P la_data_out_mprj[32] I +*I *6965:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38828:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_data_out_mprj[32] 0.000142755 +2 *6965:DIODE 0.000296678 +3 *38828:A 0 +4 *441:9 0.000439433 +5 *6965:DIODE *6644:DIODE 0 +6 *6965:DIODE *569:9 0 +7 *6965:DIODE *1791:6 0 +8 *6965:DIODE *4726:8 0 +9 *6965:DIODE *4726:19 0 +10 *441:9 *569:9 0 +11 *441:9 *4726:8 0 +12 la_data_in_mprj[32] *441:9 0 +*RES +1 la_data_out_mprj[32] *441:9 3.30357 +2 *441:9 *38828:A 13.8 +3 *441:9 *6965:DIODE 20.6036 +*END + +*D_NET *442 0.00120451 +*CONN +*P la_data_out_mprj[33] I +*I *6966:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38829:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_data_out_mprj[33] 0.000236666 +2 *6966:DIODE 0.000241524 +3 *38829:A 0.000124066 +4 *442:5 0.000602256 +5 *6966:DIODE *570:7 0 +6 *6966:DIODE *2007:19 0 +7 *6966:DIODE *4443:8 0 +8 *6966:DIODE *5202:19 0 +9 *38829:A *3315:12 0 +10 *38829:A *4823:9 0 +11 *442:5 *570:7 0 +12 *442:5 *2007:19 0 +13 la_data_in_mprj[33] *442:5 0 +*RES +1 la_data_out_mprj[33] *442:5 5.375 +2 *442:5 *38829:A 16.3893 +3 *442:5 *6966:DIODE 19.2286 +*END + +*D_NET *443 0.000669313 +*CONN +*P la_data_out_mprj[34] I +*I *6967:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38830:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_data_out_mprj[34] 0.000236627 +2 *6967:DIODE 7.23606e-05 +3 *38830:A 2.56688e-05 +4 *443:5 0.000334656 +5 *6967:DIODE *6646:DIODE 0 +6 *38830:A *3315:12 0 +7 *38830:A *4825:7 0 +8 *443:5 *571:5 0 +9 la_data_in_mprj[34] *443:5 0 +*RES +1 la_data_out_mprj[34] *443:5 5.375 +2 *443:5 *38830:A 14.3357 +3 *443:5 *6967:DIODE 15.4429 +*END + +*D_NET *444 0.000663925 +*CONN +*P la_data_out_mprj[35] I +*I *6969:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38832:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_data_out_mprj[35] 0.000223335 +2 *6969:DIODE 8.2959e-05 +3 *38832:A 2.56688e-05 +4 *444:5 0.000331962 +5 *6969:DIODE *3371:12 0 +6 *6969:DIODE *3415:18 0 +7 *444:5 *572:5 0 +8 *444:5 *1985:13 0 +9 *444:5 *2013:13 0 +10 *444:5 *3371:12 0 +11 *444:5 *3415:18 0 +*RES +1 la_data_out_mprj[35] *444:5 5.07143 +2 *444:5 *38832:A 14.3357 +3 *444:5 *6969:DIODE 15.7464 +*END + +*D_NET *445 0.000877743 +*CONN +*P la_data_out_mprj[36] I +*I *6970:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38833:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_data_out_mprj[36] 0.000330224 +2 *6970:DIODE 8.29787e-05 +3 *38833:A 2.56688e-05 +4 *445:5 0.000438871 +5 *6970:DIODE *4748:18 0 +6 *6970:DIODE *4904:46 0 +7 *6970:DIODE *4904:52 0 +8 *38833:A *3367:5 0 +9 *38833:A *3455:55 0 +10 *445:5 *40466:A 0 +11 *445:5 *573:7 0 +12 *445:5 *3337:8 0 +13 *445:5 *4748:18 0 +14 *445:5 *4904:46 0 +15 la_data_in_mprj[36] *445:5 0 +*RES +1 la_data_out_mprj[36] *445:5 7.5 +2 *445:5 *38833:A 14.3357 +3 *445:5 *6970:DIODE 15.7464 +*END + +*D_NET *446 0.000956539 +*CONN +*P la_data_out_mprj[37] I +*I *6971:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38834:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_data_out_mprj[37] 0.000236706 +2 *6971:DIODE 0.000241564 +3 *38834:A 0 +4 *446:5 0.000478269 +5 *6971:DIODE *3538:18 0 +6 *6971:DIODE *4444:10 0 +7 *6971:DIODE *4444:12 0 +8 *6971:DIODE *4782:11 0 +9 *6971:DIODE *5202:19 0 +10 *446:5 *39077:A 0 +11 *446:5 *574:9 0 +12 *446:5 *4444:10 0 +13 *446:5 *4782:11 0 +14 la_data_in_mprj[37] *446:5 0 +*RES +1 la_data_out_mprj[37] *446:5 5.375 +2 *446:5 *38834:A 13.8 +3 *446:5 *6971:DIODE 19.2286 +*END + +*D_NET *447 0.000669549 +*CONN +*P la_data_out_mprj[38] I +*I *6972:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38835:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_data_out_mprj[38] 0.000226127 +2 *6972:DIODE 8.29787e-05 +3 *38835:A 2.56688e-05 +4 *447:5 0.000334774 +5 *6972:DIODE *39078:A 0 +6 *6972:DIODE *3455:24 0 +7 *447:5 *6651:DIODE 0 +8 *447:5 *39078:A 0 +9 *447:5 *575:15 0 +10 *447:5 *4959:44 0 +11 la_data_in_mprj[38] *447:5 0 +*RES +1 la_data_out_mprj[38] *447:5 5.07143 +2 *447:5 *38835:A 14.3357 +3 *447:5 *6972:DIODE 15.7464 +*END + +*D_NET *448 0.000659818 +*CONN +*P la_data_out_mprj[39] I +*I *6973:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38836:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_data_out_mprj[39] 0.00013721 +2 *6973:DIODE 0.000192699 +3 *38836:A 0 +4 *448:7 0.000329909 +5 *6973:DIODE *6652:DIODE 0 +6 *6973:DIODE *3346:14 0 +7 *6973:DIODE *4454:22 0 +8 *448:7 *576:7 0 +9 *448:7 *3346:14 0 +10 *448:7 *3371:8 0 +*RES +1 la_data_out_mprj[39] *448:7 3.17857 +2 *448:7 *38836:A 13.8 +3 *448:7 *6973:DIODE 18.175 +*END + +*D_NET *449 0.000880951 +*CONN +*P la_data_out_mprj[3] I +*I *38837:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *6974:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[3] 0.000333095 +2 *38837:A 2.26741e-05 +3 *6974:DIODE 8.4707e-05 +4 *449:7 0.000440476 +5 *6974:DIODE *3138:10 0 +6 *6974:DIODE *4463:23 0 +7 *449:7 *6784:DIODE 0 +8 *449:7 *39080:A 0 +9 *449:7 *577:8 0 +10 *449:7 *822:9 0 +11 *449:7 *1775:16 0 +12 la_data_in_mprj[3] *449:7 0 +*RES +1 la_data_out_mprj[3] *449:7 12 +2 *449:7 *6974:DIODE 11.0679 +3 *449:7 *38837:A 9.83571 +*END + +*D_NET *450 0.00100768 +*CONN +*P la_data_out_mprj[40] I +*I *6975:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38838:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_data_out_mprj[40] 0.000236588 +2 *6975:DIODE 0.000221904 +3 *38838:A 4.53482e-05 +4 *450:5 0.00050384 +5 *6975:DIODE *6797:DIODE 0 +6 *6975:DIODE *3454:41 0 +7 *6975:DIODE *3464:11 0 +8 *6975:DIODE *4450:8 0 +9 *6975:DIODE *4760:28 0 +10 *6975:DIODE *4822:20 0 +11 *38838:A *4825:23 0 +12 *38838:A *4848:9 0 +13 *450:5 *6797:DIODE 0 +14 *450:5 *578:8 0 +15 *450:5 *3455:24 0 +16 la_data_in_mprj[40] *450:5 0 +*RES +1 la_data_out_mprj[40] *450:5 5.375 +2 *450:5 *38838:A 14.7464 +3 *450:5 *6975:DIODE 18.8179 +*END + +*D_NET *451 0.00100788 +*CONN +*P la_data_out_mprj[41] I +*I *6976:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38839:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_data_out_mprj[41] 0.000330302 +2 *6976:DIODE 0.000108608 +3 *38839:A 6.50276e-05 +4 *451:5 0.000503938 +5 *6976:DIODE *39082:A 0 +6 *6976:DIODE *3343:5 0 +7 *38839:A *4825:33 0 +8 *38839:A *4834:15 0 +9 *451:5 *40542:A 0 +10 *451:5 *579:5 0 +11 *451:5 *4452:10 0 +12 la_data_in_mprj[41] *451:5 0 +*RES +1 la_data_out_mprj[41] *451:5 7.5 +2 *451:5 *38839:A 15.1571 +3 *451:5 *6976:DIODE 16.2821 +*END + +*D_NET *452 0.00109896 +*CONN +*P la_data_out_mprj[42] I +*I *6977:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38840:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_data_out_mprj[42] 0.000258905 +2 *6977:DIODE 0.000245228 +3 *38840:A 4.53482e-05 +4 *452:7 0.000549482 +5 *6977:DIODE *1787:22 0 +6 *6977:DIODE *2071:23 0 +7 *6977:DIODE *4455:22 0 +8 *6977:DIODE *4834:29 0 +9 *38840:A *3415:10 0 +10 *38840:A *4959:44 0 +11 *452:7 *2071:23 0 +12 *452:7 *3377:23 0 +13 *452:7 *4455:22 0 +14 la_data_in_mprj[42] *452:7 0 +*RES +1 la_data_out_mprj[42] *452:7 5.91071 +2 *452:7 *38840:A 14.7464 +3 *452:7 *6977:DIODE 19.4786 +*END + +*D_NET *453 0.000973098 +*CONN +*P la_data_out_mprj[43] I +*I *38841:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6978:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[43] 0.000302027 +2 *38841:A 9.98152e-05 +3 *6978:DIODE 8.4707e-05 +4 *453:5 0.000486549 +5 *6978:DIODE *4825:33 0 +6 *38841:A *3461:33 0 +7 *38841:A *4825:33 0 +8 *38841:A *4859:21 0 +9 *453:5 *581:5 0 +10 *453:5 *2012:26 0 +11 la_data_in_mprj[43] *453:5 0 +*RES +1 la_data_out_mprj[43] *453:5 6.89286 +2 *453:5 *6978:DIODE 15.5679 +3 *453:5 *38841:A 16.0143 +*END + +*D_NET *454 0.000883091 +*CONN +*P la_data_out_mprj[44] I +*I *6980:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38843:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_data_out_mprj[44] 0.000330184 +2 *6980:DIODE 8.56922e-05 +3 *38843:A 2.56688e-05 +4 *454:5 0.000441545 +5 *38843:A *3454:5 0 +6 *38843:A *4825:33 0 +7 *454:5 *6658:DIODE 0 +8 *454:5 *582:5 0 +9 *454:5 *3352:15 0 +10 *454:5 *4454:83 0 +11 la_data_in_mprj[44] *454:5 0 +*RES +1 la_data_out_mprj[44] *454:5 7.5 +2 *454:5 *38843:A 14.3357 +3 *454:5 *6980:DIODE 15.7464 +*END + +*D_NET *455 0.000768406 +*CONN +*P la_data_out_mprj[45] I +*I *38844:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6981:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[45] 0.000236607 +2 *38844:A 2.41714e-05 +3 *6981:DIODE 0.000123424 +4 *455:7 0.000384203 +5 *6981:DIODE *3461:16 0 +6 *6981:DIODE *4834:29 0 +7 *455:7 *39086:A 0 +8 *455:7 *2048:15 0 +9 *455:7 *4456:14 0 +10 la_data_in_mprj[45] *455:7 0 +*RES +1 la_data_out_mprj[45] *455:7 9.875 +2 *455:7 *6981:DIODE 11.9071 +3 *455:7 *38844:A 9.83571 +*END + +*D_NET *456 0.00107472 +*CONN +*P la_data_out_mprj[46] I +*I *38845:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6982:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[46] 0.000220753 +2 *38845:A 0 +3 *6982:DIODE 0.000316608 +4 *456:8 0.000537361 +5 *6982:DIODE *584:8 0 +6 *6982:DIODE *3146:12 0 +7 *6982:DIODE *3454:5 0 +8 *6982:DIODE *4915:20 0 +9 *456:8 *584:8 0 +10 *456:8 *3146:12 0 +11 *456:8 *5026:32 0 +12 la_data_in_mprj[46] *456:8 0 +*RES +1 la_data_out_mprj[46] *456:8 9.32143 +2 *456:8 *6982:DIODE 25.2643 +3 *456:8 *38845:A 9.3 +*END + +*D_NET *457 0.00128315 +*CONN +*P la_data_out_mprj[47] I +*I *6983:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38846:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_data_out_mprj[47] 0.000223276 +2 *6983:DIODE 0.000235196 +3 *38846:A 0.000183104 +4 *457:5 0.000641576 +5 *6983:DIODE *6663:DIODE 0 +6 *6983:DIODE *3343:5 0 +7 *6983:DIODE *4829:13 0 +8 *38846:A *4462:21 0 +9 *38846:A *4904:14 0 +10 *457:5 *6663:DIODE 0 +11 *457:5 *40539:A 0 +12 *457:5 *585:5 0 +13 la_data_in_mprj[47] *457:5 0 +*RES +1 la_data_out_mprj[47] *457:5 5.07143 +2 *457:5 *38846:A 17.6214 +3 *457:5 *6983:DIODE 19.1214 +*END + +*D_NET *458 0.00143742 +*CONN +*P la_data_out_mprj[48] I +*I *38847:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *6984:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[48] 0.000235329 +2 *38847:A 2.26741e-05 +3 *6984:DIODE 0.000460708 +4 *458:7 0.000718711 +5 *6984:DIODE *39089:A 0 +6 *6984:DIODE *3332:17 0 +7 *6984:DIODE *4834:36 0 +8 *6984:DIODE *4915:20 0 +9 *38847:A *4834:36 0 +10 *38847:A *4915:20 0 +11 *458:7 *39089:A 0 +12 *458:7 *586:8 0 +13 la_data_in_mprj[48] *458:7 0 +*RES +1 la_data_out_mprj[48] *458:7 9.875 +2 *458:7 *6984:DIODE 28.5857 +3 *458:7 *38847:A 9.83571 +*END + +*D_NET *459 0.00099574 +*CONN +*P la_data_out_mprj[49] I +*I *6985:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38848:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_data_out_mprj[49] 0.000330243 +2 *6985:DIODE 8.29197e-05 +3 *38848:A 8.4707e-05 +4 *459:5 0.00049787 +5 *6985:DIODE *3494:8 0 +6 *6985:DIODE *4893:24 0 +7 *38848:A *3454:5 0 +8 *38848:A *4470:34 0 +9 *459:5 *587:8 0 +10 *459:5 *3494:8 0 +11 *459:5 *3616:19 0 +12 *459:5 *4834:36 0 +13 *459:5 *4893:14 0 +14 *459:5 *4893:24 0 +*RES +1 la_data_out_mprj[49] *459:5 7.5 +2 *459:5 *38848:A 15.5679 +3 *459:5 *6985:DIODE 15.7464 +*END + +*D_NET *460 0.00092517 +*CONN +*P la_data_out_mprj[4] I +*I *6986:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38849:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_data_out_mprj[4] 0.000137249 +2 *6986:DIODE 0.000325336 +3 *38849:A 0 +4 *460:7 0.000462585 +5 *6986:DIODE *6666:DIODE 0 +6 *6986:DIODE *3138:10 0 +7 *6986:DIODE *4463:23 0 +8 *6986:DIODE *4511:26 0 +9 *6986:DIODE *4787:10 0 +10 *460:7 *6666:DIODE 0 +11 *460:7 *588:7 0 +12 la_data_in_mprj[4] *6986:DIODE 0 +13 la_data_in_mprj[4] *460:7 0 +*RES +1 la_data_out_mprj[4] *460:7 3.17857 +2 *460:7 *38849:A 13.8 +3 *460:7 *6986:DIODE 21.1393 +*END + +*D_NET *461 0.00092462 +*CONN +*P la_data_out_mprj[50] I +*I *38850:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6987:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[50] 0.000330145 +2 *38850:A 2.35958e-05 +3 *6987:DIODE 0.000108569 +4 *461:5 0.00046231 +5 *6987:DIODE *5198:39 0 +6 *461:5 *6667:DIODE 0 +7 *461:5 *589:5 0 +8 *461:5 *3455:8 0 +9 *461:5 *3527:19 0 +10 *461:5 *4462:48 0 +11 la_data_in_mprj[50] *461:5 0 +*RES +1 la_data_out_mprj[50] *461:5 7.5 +2 *461:5 *6987:DIODE 16.2821 +3 *461:5 *38850:A 14.3357 +*END + +*D_NET *462 0.000809458 +*CONN +*P la_data_out_mprj[51] I +*I *38851:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6988:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[51] 0.000288803 +2 *38851:A 0.000115926 +3 *6988:DIODE 0 +4 *462:7 0.000404729 +5 *38851:A *6668:DIODE 0 +6 *38851:A *3527:19 0 +7 *462:7 *39093:A 0 +8 *462:7 *590:5 0 +9 la_data_in_mprj[51] *462:7 0 +*RES +1 la_data_out_mprj[51] *462:7 11.3929 +2 *462:7 *6988:DIODE 9.3 +3 *462:7 *38851:A 21.3 +*END + +*D_NET *463 0.00137182 +*CONN +*P la_data_out_mprj[52] I +*I *6989:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38852:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_data_out_mprj[52] 0.000236725 +2 *6989:DIODE 0.000325121 +3 *38852:A 0.000124066 +4 *463:5 0.000685912 +5 *6989:DIODE *38881:A 0 +6 *6989:DIODE *39094:A 0 +7 *6989:DIODE *40534:A 0 +8 *6989:DIODE *3064:17 0 +9 *6989:DIODE *3454:5 0 +10 *38852:A *3064:17 0 +11 *38852:A *5015:11 0 +12 *463:5 *39094:A 0 +13 *463:5 *40534:A 0 +14 *463:5 *2007:13 0 +15 la_data_in_mprj[52] *463:5 0 +*RES +1 la_data_out_mprj[52] *463:5 5.375 +2 *463:5 *38852:A 16.3893 +3 *463:5 *6989:DIODE 20.8 +*END + +*D_NET *464 0.00116784 +*CONN +*P la_data_out_mprj[53] I +*I *6991:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38854:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_data_out_mprj[53] 0.000479534 +2 *6991:DIODE 0.000104386 +3 *38854:A 0 +4 *464:13 0.00058392 +5 *6991:DIODE *6812:DIODE 0 +6 *6991:DIODE *3605:17 0 +7 *464:13 *6670:DIODE 0 +8 *464:13 *7182:DIODE 0 +9 *464:13 *592:13 0 +10 *464:13 *4470:16 0 +11 *464:13 *5048:54 0 +12 la_data_in_mprj[53] *464:13 0 +*RES +1 la_data_out_mprj[53] *464:13 24.125 +2 *464:13 *38854:A 9.3 +3 *464:13 *6991:DIODE 11.4786 +*END + +*D_NET *465 0.00122446 +*CONN +*P la_data_out_mprj[54] I +*I *38855:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6992:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[54] 0.000289218 +2 *38855:A 0 +3 *6992:DIODE 0.000323015 +4 *465:10 0.000612232 +5 *6992:DIODE *2013:9 0 +6 *6992:DIODE *3062:8 0 +7 *6992:DIODE *3572:18 0 +8 *6992:DIODE *4993:10 0 +9 *465:10 *2013:9 0 +10 *465:10 *3062:8 0 +11 *465:10 *3616:11 0 +12 *465:10 *4993:10 0 +13 *465:10 *5015:7 0 +*RES +1 la_data_out_mprj[54] *465:10 10.9464 +2 *465:10 *6992:DIODE 25.3714 +3 *465:10 *38855:A 9.3 +*END + +*D_NET *466 0.00100268 +*CONN +*P la_data_out_mprj[55] I +*I *38856:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6993:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[55] 0.000332957 +2 *38856:A 8.54449e-05 +3 *6993:DIODE 8.29394e-05 +4 *466:5 0.000501341 +5 *6993:DIODE *6673:DIODE 0 +6 *6993:DIODE *3336:22 0 +7 *6993:DIODE *4839:17 0 +8 *38856:A *3336:22 0 +9 *38856:A *3605:17 0 +10 *466:5 *6673:DIODE 0 +11 *466:5 *594:5 0 +12 *466:5 *3346:8 0 +13 *466:5 *4468:6 0 +*RES +1 la_data_out_mprj[55] *466:5 7.5 +2 *466:5 *6993:DIODE 15.7464 +3 *466:5 *38856:A 15.6929 +*END + +*D_NET *467 0.00108652 +*CONN +*P la_data_out_mprj[56] I +*I *6994:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38857:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_data_out_mprj[56] 0.000236725 +2 *6994:DIODE 0.000261184 +3 *38857:A 4.53482e-05 +4 *467:5 0.000543258 +5 *6994:DIODE *6674:DIODE 0 +6 *6994:DIODE *39098:A 0 +7 *6994:DIODE *3583:15 0 +8 *6994:DIODE *5037:13 0 +9 *38857:A *3616:7 0 +10 *38857:A *5070:30 0 +11 *467:5 *39098:A 0 +12 *467:5 *40437:A 0 +13 *467:5 *595:9 0 +14 *467:5 *3583:15 0 +15 la_data_in_mprj[56] *467:5 0 +*RES +1 la_data_out_mprj[56] *467:5 5.375 +2 *467:5 *38857:A 14.7464 +3 *467:5 *6994:DIODE 19.6393 +*END + +*D_NET *468 0.00118185 +*CONN +*P la_data_out_mprj[57] I +*I *6995:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38858:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_data_out_mprj[57] 0.000262374 +2 *6995:DIODE 0.000328551 +3 *38858:A 0 +4 *468:8 0.000590926 +5 *6995:DIODE *3616:7 0 +6 *6995:DIODE *3660:22 0 +7 *6995:DIODE *4468:33 0 +8 *6995:DIODE *4473:25 0 +9 *6995:DIODE *4840:15 0 +10 *6995:DIODE *4843:16 0 +11 *6995:DIODE *5037:13 0 +12 *468:8 *596:7 0 +13 *468:8 *4468:33 0 +14 *468:8 *5026:11 0 +15 *468:8 *5048:20 0 +16 la_data_in_mprj[57] *468:8 0 +*RES +1 la_data_out_mprj[57] *468:8 10.4107 +2 *468:8 *38858:A 9.3 +3 *468:8 *6995:DIODE 25.7464 +*END + +*D_NET *469 0.000702969 +*CONN +*P la_data_out_mprj[58] I +*I *6996:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38859:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_data_out_mprj[58] 0.000236588 +2 *6996:DIODE 6.95487e-05 +3 *38859:A 4.53482e-05 +4 *469:5 0.000351485 +5 *6996:DIODE *3605:16 0 +6 *6996:DIODE *4459:45 0 +7 *38859:A *3616:7 0 +8 *38859:A *4844:18 0 +9 *469:5 *597:5 0 +10 *469:5 *3341:8 0 +11 *469:5 *3605:16 0 +12 la_data_in_mprj[58] *469:5 0 +*RES +1 la_data_out_mprj[58] *469:5 5.375 +2 *469:5 *38859:A 14.7464 +3 *469:5 *6996:DIODE 15.4429 +*END + +*D_NET *470 0.000927382 +*CONN +*P la_data_out_mprj[59] I +*I *38860:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6997:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[59] 0.000316951 +2 *38860:A 6.20329e-05 +3 *6997:DIODE 8.4707e-05 +4 *470:7 0.000463691 +5 *6997:DIODE *1807:34 0 +6 *6997:DIODE *5070:20 0 +7 *38860:A *5070:20 0 +8 *470:7 *6819:DIODE 0 +9 *470:7 *38541:A 0 +10 *470:7 *598:5 0 +11 *470:7 *1807:34 0 +12 *470:7 *2049:13 0 +13 la_data_in_mprj[59] *470:7 0 +*RES +1 la_data_out_mprj[59] *470:7 11.6964 +2 *470:7 *6997:DIODE 11.0679 +3 *470:7 *38860:A 10.6571 +*END + +*D_NET *471 0.000875446 +*CONN +*P la_data_out_mprj[5] I +*I *38861:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6998:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[5] 0.000330342 +2 *38861:A 2.26741e-05 +3 *6998:DIODE 8.4707e-05 +4 *471:7 0.000437723 +5 *6998:DIODE *4504:57 0 +6 *6998:DIODE *4789:10 0 +7 *38861:A *4504:57 0 +8 *38861:A *4789:10 0 +9 *471:7 *6808:DIODE 0 +10 *471:7 *599:8 0 +11 *471:7 *3505:10 0 +12 la_data_in_mprj[5] *471:7 0 +*RES +1 la_data_out_mprj[5] *471:7 12 +2 *471:7 *6998:DIODE 11.0679 +3 *471:7 *38861:A 9.83571 +*END + +*D_NET *472 0.00151914 +*CONN +*P la_data_out_mprj[60] I +*I *38862:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6999:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[60] 0.000511918 +2 *38862:A 8.17123e-05 +3 *6999:DIODE 0.000165938 +4 *472:8 0.000759568 +5 *6999:DIODE *6821:DIODE 0 +6 *6999:DIODE *2071:11 0 +7 *6999:DIODE *3057:8 0 +8 *38862:A *3331:19 0 +9 *472:8 *6679:DIODE 0 +10 *472:8 *600:9 0 +11 *472:8 *3331:19 0 +12 *472:8 *3638:12 0 +*RES +1 la_data_out_mprj[60] *472:8 15.6429 +2 *472:8 *6999:DIODE 22.0679 +3 *472:8 *38862:A 11.0679 +*END + +*D_NET *473 0.00074276 +*CONN +*P la_data_out_mprj[61] I +*I *7000:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38863:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_data_out_mprj[61] 0.000236745 +2 *7000:DIODE 6.96077e-05 +3 *38863:A 6.50276e-05 +4 *473:5 0.00037138 +5 *7000:DIODE *6680:DIODE 0 +6 *7000:DIODE *2071:11 0 +7 *7000:DIODE *5070:8 0 +8 *7000:DIODE *5081:8 0 +9 *38863:A *3331:19 0 +10 *38863:A *3660:10 0 +11 *473:5 *601:9 0 +12 *473:5 *2071:11 0 +13 la_data_in_mprj[61] *473:5 0 +*RES +1 la_data_out_mprj[61] *473:5 5.375 +2 *473:5 *38863:A 15.1571 +3 *473:5 *7000:DIODE 15.4429 +*END + +*D_NET *474 0.00096558 +*CONN +*P la_data_out_mprj[62] I +*I *38865:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *7002:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[62] 0.00030358 +2 *38865:A 9.45027e-05 +3 *7002:DIODE 8.4707e-05 +4 *474:5 0.00048279 +5 *7002:DIODE *40190:A 0 +6 *38865:A *40190:A 0 +7 *38865:A *2012:17 0 +8 *38865:A *3671:14 0 +9 *38865:A *4479:10 0 +10 *474:5 *37367:A 0 +11 *474:5 *602:5 0 +12 *474:5 *2012:17 0 +13 la_data_in_mprj[62] *474:5 0 +*RES +1 la_data_out_mprj[62] *474:5 6.89286 +2 *474:5 *7002:DIODE 15.5679 +3 *474:5 *38865:A 15.8893 +*END + +*D_NET *475 0.000968596 +*CONN +*P la_data_out_mprj[63] I +*I *7003:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38866:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_data_out_mprj[63] 0.000330302 +2 *7003:DIODE 0.000108647 +3 *38866:A 4.53482e-05 +4 *475:5 0.000484298 +5 *7003:DIODE *6682:DIODE 0 +6 *7003:DIODE *39106:A 0 +7 *7003:DIODE *2120:40 0 +8 *38866:A *3671:14 0 +9 *475:5 *39106:A 0 +10 *475:5 *40435:A 0 +11 *475:5 *603:5 0 +12 la_data_in_mprj[63] *475:5 0 +*RES +1 la_data_out_mprj[63] *475:5 7.5 +2 *475:5 *38866:A 14.7464 +3 *475:5 *7003:DIODE 16.2821 +*END + +*D_NET *476 0.00108671 +*CONN +*P la_data_out_mprj[64] I +*I *7004:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38867:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_data_out_mprj[64] 0.000330322 +2 *7004:DIODE 0.000148006 +3 *38867:A 6.50276e-05 +4 *476:5 0.000543356 +5 *7004:DIODE *2048:9 0 +6 *7004:DIODE *2120:40 0 +7 *7004:DIODE *4479:35 0 +8 *38867:A *37372:A 0 +9 *476:5 *39107:A 0 +10 *476:5 *2048:9 0 +11 *476:5 *4479:35 0 +12 la_data_in_mprj[64] *476:5 0 +*RES +1 la_data_out_mprj[64] *476:5 7.5 +2 *476:5 *38867:A 15.1571 +3 *476:5 *7004:DIODE 17.1036 +*END + +*D_NET *477 0.0010816 +*CONN +*P la_data_out_mprj[65] I +*I *7005:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38868:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_data_out_mprj[65] 0.000392792 +2 *7005:DIODE 0.000148006 +3 *38868:A 0 +4 *477:9 0.000540798 +5 *7005:DIODE *37374:A 0 +6 *7005:DIODE *3335:5 0 +7 *7005:DIODE *4481:14 0 +8 *477:9 *6685:DIODE 0 +9 *477:9 *605:5 0 +10 *477:9 *3146:8 0 +11 *477:9 *3694:19 0 +12 *477:9 *4481:14 0 +13 la_data_in_mprj[65] *477:9 0 +*RES +1 la_data_out_mprj[65] *477:9 8.98214 +2 *477:9 *38868:A 13.8 +3 *477:9 *7005:DIODE 17.1036 +*END + +*D_NET *478 0.00103025 +*CONN +*P la_data_out_mprj[66] I +*I *7006:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38869:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_data_out_mprj[66] 0.000116406 +2 *7006:DIODE 0.000254974 +3 *38869:A 0.000143745 +4 *478:5 0.000515125 +5 *7006:DIODE *39109:A 0 +6 *7006:DIODE *5137:11 0 +7 *38869:A *4468:34 0 +8 *478:5 *606:7 0 +9 *478:5 *5137:11 0 +10 la_data_in_mprj[66] *38869:A 0 +11 la_data_in_mprj[66] *478:5 0 +*RES +1 la_data_out_mprj[66] *478:5 2.64286 +2 *478:5 *38869:A 16.8 +3 *478:5 *7006:DIODE 19.5321 +*END + +*D_NET *479 0.00120483 +*CONN +*P la_data_out_mprj[67] I +*I *7007:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38870:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_data_out_mprj[67] 0.000316971 +2 *7007:DIODE 0.000161377 +3 *38870:A 0.000124066 +4 *479:5 0.000602414 +5 *7007:DIODE *39111:A 0 +6 *7007:DIODE *40430:A 0 +7 *7007:DIODE *2106:15 0 +8 *7007:DIODE *3335:5 0 +9 *38870:A *4479:35 0 +10 *38870:A *4479:46 0 +11 *479:5 *39111:A 0 +12 *479:5 *40430:A 0 +13 *479:5 *2106:15 0 +14 la_data_in_mprj[67] *479:5 0 +*RES +1 la_data_out_mprj[67] *479:5 7.19643 +2 *479:5 *38870:A 16.3893 +3 *479:5 *7007:DIODE 17.4071 +*END + +*D_NET *480 0.000964557 +*CONN +*P la_data_out_mprj[68] I +*I *7008:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38871:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_data_out_mprj[68] 0.000262976 +2 *7008:DIODE 0.000173955 +3 *38871:A 4.53482e-05 +4 *480:9 0.000482279 +5 *7008:DIODE *1981:15 0 +6 *7008:DIODE *4484:8 0 +7 *7008:DIODE *4484:14 0 +8 *38871:A *39110:A 0 +9 *38871:A *3328:11 0 +10 *480:9 *6688:DIODE 0 +11 *480:9 *608:8 0 +12 *480:9 *1981:15 0 +13 la_data_in_mprj[68] *480:9 0 +*RES +1 la_data_out_mprj[68] *480:9 6.03571 +2 *480:9 *38871:A 14.7464 +3 *480:9 *7008:DIODE 17.6214 +*END + +*D_NET *481 0.000708907 +*CONN +*P la_data_out_mprj[69] I +*I *7009:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38872:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_data_out_mprj[69] 0.000236745 +2 *7009:DIODE 7.23606e-05 +3 *38872:A 4.53482e-05 +4 *481:5 0.000354454 +5 *7009:DIODE *609:5 0 +6 *7009:DIODE *4479:46 0 +7 *7009:DIODE *4485:8 0 +8 *38872:A *3328:22 0 +9 *481:5 *609:5 0 +10 la_data_in_mprj[69] *481:5 0 +*RES +1 la_data_out_mprj[69] *481:5 5.375 +2 *481:5 *38872:A 14.7464 +3 *481:5 *7009:DIODE 15.4429 +*END + +*D_NET *482 0.000973984 +*CONN +*P la_data_out_mprj[6] I +*I *7010:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38873:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_data_out_mprj[6] 0.000223374 +2 *7010:DIODE 0.000237949 +3 *38873:A 2.56688e-05 +4 *482:5 0.000486992 +5 *7010:DIODE *610:8 0 +6 *7010:DIODE *1760:13 0 +7 *7010:DIODE *3749:9 0 +8 *7010:DIODE *5181:10 0 +9 *38873:A *4439:24 0 +10 *38873:A *5181:10 0 +11 *482:5 *610:8 0 +12 la_data_in_mprj[6] *482:5 0 +*RES +1 la_data_out_mprj[6] *482:5 5.07143 +2 *482:5 *38873:A 14.3357 +3 *482:5 *7010:DIODE 19.1214 +*END + +*D_NET *483 0.000956617 +*CONN +*P la_data_out_mprj[70] I +*I *7011:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38874:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_data_out_mprj[70] 0.000236706 +2 *7011:DIODE 0.000241603 +3 *38874:A 0 +4 *483:5 0.000478309 +5 *7011:DIODE *6691:DIODE 0 +6 *7011:DIODE *40426:A 0 +7 *7011:DIODE *611:5 0 +8 *7011:DIODE *3335:5 0 +9 *483:5 *40426:A 0 +10 *483:5 *611:5 0 +11 *483:5 *3320:8 0 +12 *483:5 *5192:9 0 +13 la_data_in_mprj[70] *483:5 0 +*RES +1 la_data_out_mprj[70] *483:5 5.375 +2 *483:5 *38874:A 13.8 +3 *483:5 *7011:DIODE 19.2286 +*END + +*D_NET *484 0.000968518 +*CONN +*P la_data_out_mprj[71] I +*I *6551:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38415:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_data_out_mprj[71] 0.000330283 +2 *6551:DIODE 0.000108628 +3 *38415:A 4.53482e-05 +4 *484:5 0.000484259 +5 *6551:DIODE *6692:DIODE 0 +6 *6551:DIODE *6833:DIODE 0 +7 *6551:DIODE *3335:5 0 +8 *6551:DIODE *4484:14 0 +9 *38415:A *3760:17 0 +10 *38415:A *4851:20 0 +11 *484:5 *6692:DIODE 0 +12 *484:5 *6833:DIODE 0 +13 *484:5 *39114:A 0 +14 *484:5 *612:5 0 +15 la_data_in_mprj[71] *484:5 0 +*RES +1 la_data_out_mprj[71] *484:5 7.5 +2 *484:5 *38415:A 14.7464 +3 *484:5 *6551:DIODE 16.2821 +*END + +*D_NET *485 0.000703048 +*CONN +*P la_data_out_mprj[72] I +*I *6552:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38416:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_data_out_mprj[72] 0.000236647 +2 *6552:DIODE 6.95291e-05 +3 *38416:A 4.53482e-05 +4 *485:5 0.000351524 +5 *6552:DIODE *2129:13 0 +6 *38416:A *4488:11 0 +7 *485:5 *2072:13 0 +8 *485:5 *2129:13 0 +9 la_data_in_mprj[72] *485:5 0 +*RES +1 la_data_out_mprj[72] *485:5 5.375 +2 *485:5 *38416:A 14.7464 +3 *485:5 *6552:DIODE 15.4429 +*END + +*D_NET *486 0.00103009 +*CONN +*P la_data_out_mprj[73] I +*I *6553:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38417:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_data_out_mprj[73] 0.000116406 +2 *6553:DIODE 0.000254895 +3 *38417:A 0.000143745 +4 *486:5 0.000515047 +5 *6553:DIODE *614:5 0 +6 *6553:DIODE *1960:13 0 +7 *6553:DIODE *5170:27 0 +8 *38417:A *39115:A 0 +9 *38417:A *3320:12 0 +10 *486:5 *614:5 0 +11 *486:5 *1960:13 0 +12 la_data_in_mprj[73] *38417:A 0 +*RES +1 la_data_out_mprj[73] *486:5 2.64286 +2 *486:5 *38417:A 16.8 +3 *486:5 *6553:DIODE 19.5321 +*END + +*D_NET *487 0.000956578 +*CONN +*P la_data_out_mprj[74] I +*I *6554:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38418:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_data_out_mprj[74] 0.000316912 +2 *6554:DIODE 9.63497e-05 +3 *38418:A 6.50276e-05 +4 *487:5 0.000478289 +5 *6554:DIODE *1987:13 0 +6 *6554:DIODE *2080:15 0 +7 *6554:DIODE *2350:10 0 +8 *38418:A *6696:DIODE 0 +9 *38418:A *2383:10 0 +10 *38418:A *3760:17 0 +11 *38418:A *5170:27 0 +12 *487:5 *6696:DIODE 0 +13 *487:5 *2080:15 0 +14 *487:5 *3320:12 0 +15 *487:5 *3805:8 0 +16 la_data_in_mprj[74] *487:5 0 +*RES +1 la_data_out_mprj[74] *487:5 7.19643 +2 *487:5 *38418:A 15.1571 +3 *487:5 *6554:DIODE 16.05 +*END + +*D_NET *488 0.000916865 +*CONN +*P la_data_out_mprj[75] I +*I *6555:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38419:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_data_out_mprj[75] 0.000316754 +2 *6555:DIODE 9.633e-05 +3 *38419:A 4.53482e-05 +4 *488:5 0.000458433 +5 *6555:DIODE *2233:17 0 +6 *6555:DIODE *4492:14 0 +7 *38419:A *4490:27 0 +8 *38419:A *4860:23 0 +9 *488:5 *37634:A 0 +10 *488:5 *37634:B 0 +11 *488:5 *616:5 0 +12 *488:5 *1216:8 0 +13 *488:5 *2087:15 0 +14 *488:5 *2141:25 0 +15 *488:5 *5170:27 0 +16 *488:5 *5170:30 0 +17 la_data_in_mprj[75] *488:5 0 +*RES +1 la_data_out_mprj[75] *488:5 7.19643 +2 *488:5 *38419:A 14.7464 +3 *488:5 *6555:DIODE 16.05 +*END + +*D_NET *489 0.000727375 +*CONN +*P la_data_out_mprj[76] I +*I *38420:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6556:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[76] 0.000236627 +2 *38420:A 4.23535e-05 +3 *6556:DIODE 8.4707e-05 +4 *489:7 0.000363687 +5 *6556:DIODE *3328:30 0 +6 *6556:DIODE *4488:16 0 +7 *38420:A *3328:30 0 +8 *38420:A *4488:16 0 +9 *489:7 *6839:DIODE 0 +10 *489:7 *2051:9 0 +11 la_data_in_mprj[76] *489:7 0 +*RES +1 la_data_out_mprj[76] *489:7 9.875 +2 *489:7 *6556:DIODE 11.0679 +3 *489:7 *38420:A 10.2464 +*END + +*D_NET *490 0.000612312 +*CONN +*P la_data_out_mprj[77] I +*I *6557:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38421:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_data_out_mprj[77] 0.000236588 +2 *6557:DIODE 6.95684e-05 +3 *38421:A 0 +4 *490:5 0.000306156 +5 *6557:DIODE *6699:DIODE 0 +6 *6557:DIODE *2008:13 0 +7 *6557:DIODE *2439:38 0 +8 *490:5 *618:5 0 +9 *490:5 *2008:13 0 +10 la_data_in_mprj[77] *490:5 0 +*RES +1 la_data_out_mprj[77] *490:5 5.375 +2 *490:5 *38421:A 13.8 +3 *490:5 *6557:DIODE 15.4429 +*END + +*D_NET *491 0.00126165 +*CONN +*P la_data_out_mprj[78] I +*I *6558:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38422:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_data_out_mprj[78] 0.000361252 +2 *6558:DIODE 0.000161338 +3 *38422:A 0.000108237 +4 *491:9 0.000630827 +5 *6558:DIODE *3335:5 0 +6 *38422:A *2416:15 0 +7 *38422:A *3328:43 0 +8 *38422:A *4490:38 0 +9 *491:9 *6700:DIODE 0 +10 *491:9 *619:5 0 +11 *491:9 *3442:24 0 +12 *491:9 *3805:12 0 +13 *491:9 *3809:30 0 +14 la_data_in_mprj[78] *491:9 0 +*RES +1 la_data_out_mprj[78] *491:9 8.26786 +2 *491:9 *38422:A 16.1214 +3 *491:9 *6558:DIODE 17.4071 +*END + +*D_NET *492 0.00182512 +*CONN +*P la_data_out_mprj[79] I +*I *38423:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6559:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[79] 0.000384497 +2 *38423:A 8.25679e-05 +3 *6559:DIODE 0.000445498 +4 *492:8 0.000912562 +5 *38423:A *2141:26 0 +6 *38423:A *4861:29 0 +7 *492:8 *2141:26 0 +8 *492:8 *3760:50 0 +9 *492:8 *4861:29 0 +*RES +1 la_data_out_mprj[79] *492:8 12.7143 +2 *492:8 *6559:DIODE 28.3714 +3 *492:8 *38423:A 11.0857 +*END + +*D_NET *493 0.00100935 +*CONN +*P la_data_out_mprj[7] I +*I *38424:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6560:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[7] 0.000326725 +2 *38424:A 0 +3 *6560:DIODE 0.000177952 +4 *493:12 0.000504677 +5 *6560:DIODE *2572:12 0 +6 *6560:DIODE *4451:72 0 +7 *6560:DIODE *4493:34 0 +8 *6560:DIODE *4499:10 0 +9 *6560:DIODE *4504:43 0 +10 *493:12 *621:8 0 +11 *493:12 *4439:24 0 +12 *493:12 *4504:43 0 +13 *493:12 *4571:19 0 +14 la_data_in_mprj[7] *493:12 0 +*RES +1 la_data_out_mprj[7] *493:12 11.8929 +2 *493:12 *6560:DIODE 22.3714 +3 *493:12 *38424:A 9.3 +*END + +*D_NET *494 0.00179507 +*CONN +*P la_data_out_mprj[80] I +*I *38426:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6562:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[80] 0.000572435 +2 *38426:A 0 +3 *6562:DIODE 0.0003251 +4 *494:16 0.000897535 +5 *6562:DIODE *2115:49 0 +6 *6562:DIODE *2394:46 0 +7 *6562:DIODE *4488:24 0 +8 *6562:DIODE *4500:13 0 +9 *494:16 *40591:A 0 +10 *494:16 *2141:26 0 +11 *494:16 *2141:34 0 +12 la_data_in_mprj[80] *494:16 0 +*RES +1 la_data_out_mprj[80] *494:16 17 +2 *494:16 *6562:DIODE 25.6393 +3 *494:16 *38426:A 9.3 +*END + +*D_NET *495 0.00131554 +*CONN +*P la_data_out_mprj[81] I +*I *6563:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38427:A I *D sky130_fd_sc_hd__buf_4 +*CAP +1 la_data_out_mprj[81] 0.000434453 +2 *6563:DIODE 0.000223318 +3 *38427:A 0 +4 *495:8 0.000657771 +5 *6563:DIODE *3816:33 0 +6 *6563:DIODE *3849:29 0 +7 *6563:DIODE *4488:45 0 +8 *495:8 *2115:49 0 +9 *495:8 *3816:33 0 +10 *495:8 *3849:29 0 +*RES +1 la_data_out_mprj[81] *495:8 14.1786 +2 *495:8 *38427:A 9.3 +3 *495:8 *6563:DIODE 14.0857 +*END + +*D_NET *496 0.0017684 +*CONN +*P la_data_out_mprj[82] I +*I *6564:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38428:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_data_out_mprj[82] 0.000306654 +2 *6564:DIODE 0.000577548 +3 *38428:A 0 +4 *496:10 0.000884202 +5 *6564:DIODE *2141:34 0 +6 *6564:DIODE *2405:40 0 +7 *6564:DIODE *2483:19 0 +8 *6564:DIODE *4872:19 0 +9 *496:10 *2405:40 0 +10 *496:10 *2461:20 0 +11 *496:10 *3893:22 0 +*RES +1 la_data_out_mprj[82] *496:10 11.0893 +2 *496:10 *38428:A 9.3 +3 *496:10 *6564:DIODE 21.4786 +*END + +*D_NET *497 0.000807791 +*CONN +*P la_data_out_mprj[83] I +*I *6565:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38429:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_data_out_mprj[83] 0.000236627 +2 *6565:DIODE 0.0001416 +3 *38429:A 2.56688e-05 +4 *497:5 0.000403895 +5 *497:5 *3320:72 0 +*RES +1 la_data_out_mprj[83] *497:5 5.375 +2 *497:5 *38429:A 14.3357 +3 *497:5 *6565:DIODE 16.9964 +*END + +*D_NET *498 0.00113155 +*CONN +*P la_data_out_mprj[84] I +*I *6566:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38430:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_data_out_mprj[84] 0.000236607 +2 *6566:DIODE 0.000280824 +3 *38430:A 4.83429e-05 +4 *498:5 0.000565774 +5 *6566:DIODE *5248:DIODE 0 +6 *6566:DIODE *3207:95 0 +7 *6566:DIODE *3807:41 0 +8 *498:5 *2072:34 0 +9 *498:5 *3207:95 0 +*RES +1 la_data_out_mprj[84] *498:5 5.375 +2 *498:5 *38430:A 14.7464 +3 *498:5 *6566:DIODE 20.05 +*END + +*D_NET *499 0.00122451 +*CONN +*P la_data_out_mprj[85] I +*I *6567:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38431:A I *D sky130_fd_sc_hd__buf_4 +*CAP +1 la_data_out_mprj[85] 0.000267754 +2 *6567:DIODE 0.000294235 +3 *38431:A 5.0268e-05 +4 *499:9 0.000612256 +5 *6567:DIODE *3511:15 0 +6 *6567:DIODE *3807:41 0 +7 *6567:DIODE *5170:52 0 +8 *38431:A *2505:15 0 +9 *38431:A *4488:74 0 +10 *499:9 *627:12 0 +11 *499:9 *3207:95 0 +12 *499:9 *3927:23 0 +13 la_data_in_mprj[85] *499:9 0 +*RES +1 la_data_out_mprj[85] *499:9 6.14286 +2 *499:9 *38431:A 14.9339 +3 *499:9 *6567:DIODE 20.3536 +*END + +*D_NET *500 0.000911781 +*CONN +*P la_data_out_mprj[86] I +*I *6568:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38432:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_data_out_mprj[86] 0.000236588 +2 *6568:DIODE 0.000134596 +3 *38432:A 8.4707e-05 +4 *500:5 0.000455891 +5 *6568:DIODE *40167:A 0 +6 *38432:A *2516:12 0 +7 *38432:A *3860:61 0 +8 *500:5 *2069:19 0 +9 *500:5 *2401:18 0 +*RES +1 la_data_out_mprj[86] *500:5 5.375 +2 *500:5 *38432:A 15.5679 +3 *500:5 *6568:DIODE 16.8 +*END + +*D_NET *501 0.00113057 +*CONN +*P la_data_out_mprj[87] I +*I *6569:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38433:A I *D sky130_fd_sc_hd__buf_6 +*CAP +1 la_data_out_mprj[87] 0.000236607 +2 *6569:DIODE 0.000283327 +3 *38433:A 4.53482e-05 +4 *501:5 0.000565283 +5 *38433:A *2516:12 0 +6 *38433:A *3860:61 0 +7 *501:5 *4495:40 0 +*RES +1 la_data_out_mprj[87] *501:5 5.375 +2 *501:5 *38433:A 14.7464 +3 *501:5 *6569:DIODE 20.3 +*END + +*D_NET *502 0.00120447 +*CONN +*P la_data_out_mprj[88] I +*I *6570:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38434:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_data_out_mprj[88] 0.000316833 +2 *6570:DIODE 0.000161338 +3 *38434:A 0.000124066 +4 *502:5 0.000602237 +5 *6570:DIODE *2405:40 0 +6 *6570:DIODE *3782:69 0 +7 *6570:DIODE *3807:41 0 +8 *38434:A *3442:51 0 +9 *38434:A *4508:15 0 +10 *502:5 *3207:83 0 +11 *502:5 *3971:12 0 +*RES +1 la_data_out_mprj[88] *502:5 7.19643 +2 *502:5 *38434:A 16.3893 +3 *502:5 *6570:DIODE 17.4071 +*END + +*D_NET *503 0.00147609 +*CONN +*P la_data_out_mprj[89] I +*I *6571:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38435:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_data_out_mprj[89] 0.000305118 +2 *6571:DIODE 0.000432927 +3 *38435:A 0 +4 *503:10 0.000738045 +5 *6571:DIODE *6713:DIODE 0 +6 *6571:DIODE *37648:B 0 +7 *6571:DIODE *1987:36 0 +8 *6571:DIODE *1987:61 0 +9 *6571:DIODE *4038:49 0 +10 *6571:DIODE *4508:15 0 +11 *503:10 *1987:36 0 +12 *503:10 *4875:47 0 +*RES +1 la_data_out_mprj[89] *503:10 11.0893 +2 *503:10 *38435:A 9.3 +3 *503:10 *6571:DIODE 27.7464 +*END + +*D_NET *504 0.000956696 +*CONN +*P la_data_out_mprj[8] I +*I *6573:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38437:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_data_out_mprj[8] 0.000330342 +2 *6573:DIODE 8.29787e-05 +3 *38437:A 6.50276e-05 +4 *504:5 0.000478348 +5 *6573:DIODE *37474:A 0 +6 *38437:A *4249:15 0 +7 *38437:A *4451:72 0 +8 *38437:A *4493:15 0 +9 *504:5 *37474:A 0 +10 *504:5 *632:5 0 +11 *504:5 *4451:72 0 +12 *504:5 *4571:32 0 +13 la_data_in_mprj[8] *504:5 0 +*RES +1 la_data_out_mprj[8] *504:5 7.5 +2 *504:5 *38437:A 15.1571 +3 *504:5 *6573:DIODE 15.7464 +*END + +*D_NET *505 0.00111028 +*CONN +*P la_data_out_mprj[90] I +*I *6574:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38438:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_data_out_mprj[90] 0.000326434 +2 *6574:DIODE 0.000228705 +3 *38438:A 0 +4 *505:12 0.000555139 +5 *6574:DIODE *2527:19 0 +6 *6574:DIODE *3032:20 0 +7 *6574:DIODE *4004:18 0 +8 *505:12 *37674:B 0 +9 *505:12 *2431:86 0 +*RES +1 la_data_out_mprj[90] *505:12 11.8929 +2 *505:12 *38438:A 9.3 +3 *505:12 *6574:DIODE 23.5143 +*END + +*D_NET *506 0.000877349 +*CONN +*P la_data_out_mprj[91] I +*I *6575:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38439:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_data_out_mprj[91] 0.000330145 +2 *6575:DIODE 8.28607e-05 +3 *38439:A 2.56688e-05 +4 *506:5 0.000438675 +5 *38439:A *4508:15 0 +6 *38439:A *4878:51 0 +*RES +1 la_data_out_mprj[91] *506:5 7.5 +2 *506:5 *38439:A 14.3357 +3 *506:5 *6575:DIODE 15.7464 +*END + +*D_NET *507 0.0015708 +*CONN +*P la_data_out_mprj[92] I +*I *6576:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38440:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_data_out_mprj[92] 0.000526718 +2 *6576:DIODE 0.000213333 +3 *38440:A 4.53482e-05 +4 *507:17 0.0007854 +5 *6576:DIODE *4508:23 0 +6 *38440:A *1213:7 0 +7 *38440:A *4506:49 0 +8 *507:17 *635:22 0 +9 *507:17 *891:9 0 +10 *507:17 *1216:17 0 +11 *507:17 *1223:14 0 +12 *507:17 *2418:28 0 +13 *507:17 *2516:26 0 +14 *507:17 *4038:18 0 +15 *507:17 *4038:36 0 +16 *507:17 *4513:101 0 +17 *507:17 *4875:47 0 +18 *507:17 *4880:39 0 +19 la_data_in_mprj[92] *507:17 0 +*RES +1 la_data_out_mprj[92] *507:17 20.75 +2 *507:17 *38440:A 14.7464 +3 *507:17 *6576:DIODE 18.4429 +*END + +*D_NET *508 0.00166939 +*CONN +*P la_data_out_mprj[93] I +*I *38441:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6577:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[93] 0.000467104 +2 *38441:A 4.23535e-05 +3 *6577:DIODE 0.000325238 +4 *508:12 0.000834695 +5 *6577:DIODE *3207:64 0 +6 *38441:A *4508:23 0 +7 *508:12 *636:10 0 +8 *508:12 *2390:30 0 +9 *508:12 *2616:21 0 +10 *508:12 *4508:23 0 +11 *508:12 *4875:42 0 +12 *508:12 *4880:39 0 +13 la_data_in_mprj[94] *38441:A 0 +*RES +1 la_data_out_mprj[93] *508:12 14.5 +2 *508:12 *6577:DIODE 25.6393 +3 *508:12 *38441:A 10.2464 +*END + +*D_NET *509 0.000833182 +*CONN +*P la_data_out_mprj[94] I +*I *6578:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38442:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_data_out_mprj[94] 0.000236627 +2 *6578:DIODE 0.000114936 +3 *38442:A 6.50276e-05 +4 *509:5 0.000416591 +5 *6578:DIODE *2727:49 0 +6 *6578:DIODE *2760:57 0 +7 *6578:DIODE *3134:45 0 +8 *38442:A *4506:49 0 +9 *38442:A *4880:27 0 +10 *509:5 *1219:10 0 +11 *509:5 *2124:55 0 +12 *509:5 *2727:49 0 +13 *509:5 *2760:57 0 +*RES +1 la_data_out_mprj[94] *509:5 5.375 +2 *509:5 *38442:A 15.1571 +3 *509:5 *6578:DIODE 16.3893 +*END + +*D_NET *510 0.00129114 +*CONN +*P la_data_out_mprj[95] I +*I *6579:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38443:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_data_out_mprj[95] 0.000138626 +2 *6579:DIODE 0.00038288 +3 *38443:A 0.000124066 +4 *510:7 0.000645571 +5 *6579:DIODE *6720:DIODE 0 +6 *6579:DIODE *2124:55 0 +7 *6579:DIODE *3141:46 0 +8 *6579:DIODE *4502:38 0 +9 *38443:A *4049:18 0 +10 *38443:A *4508:23 0 +11 *510:7 *2124:55 0 +12 *510:7 *3141:46 0 +13 la_data_in_mprj[95] *510:7 0 +*RES +1 la_data_out_mprj[95] *510:7 3.17857 +2 *510:7 *38443:A 16.3893 +3 *510:7 *6579:DIODE 22.3714 +*END + +*D_NET *511 0.00185122 +*CONN +*P la_data_out_mprj[96] I +*I *38444:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6580:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[96] 0.000375711 +2 *38444:A 0 +3 *6580:DIODE 0.000549901 +4 *511:12 0.000925612 +5 *6580:DIODE *39142:A 0 +6 *511:12 *39142:A 0 +7 *511:12 *2549:19 0 +8 *511:12 *2760:44 0 +*RES +1 la_data_out_mprj[96] *511:12 12.6429 +2 *511:12 *6580:DIODE 29.8357 +3 *511:12 *38444:A 9.3 +*END + +*D_NET *512 0.00140205 +*CONN +*P la_data_out_mprj[97] I +*I *38445:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6581:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[97] 0.000459815 +2 *38445:A 2.22194e-05 +3 *6581:DIODE 0.000218989 +4 *512:11 0.000701023 +5 *512:11 *37398:A 0 +6 *512:11 *2549:37 0 +7 *512:11 *2738:7 0 +8 *512:11 *4880:27 0 +*RES +1 la_data_out_mprj[97] *512:11 19.2143 +2 *512:11 *6581:DIODE 19.2464 +3 *512:11 *38445:A 14.3357 +*END + +*D_NET *513 0.00139359 +*CONN +*P la_data_out_mprj[98] I +*I *6582:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38446:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_data_out_mprj[98] 0.000235251 +2 *6582:DIODE 0.00033748 +3 *38446:A 0.000124066 +4 *513:5 0.000696796 +5 *6582:DIODE *4884:14 0 +6 *6582:DIODE *4885:20 0 +7 *38446:A *2549:37 0 +8 *38446:A *4104:16 0 +*RES +1 la_data_out_mprj[98] *513:5 5.375 +2 *513:5 *38446:A 16.3893 +3 *513:5 *6582:DIODE 21.4964 +*END + +*D_NET *514 0.00112504 +*CONN +*P la_data_out_mprj[99] I +*I *38448:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6584:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_data_out_mprj[99] 0.000341072 +2 *38448:A 4.23535e-05 +3 *6584:DIODE 0.000179092 +4 *514:8 0.000562518 +5 *38448:A *2549:37 0 +6 *38448:A *4221:60 0 +7 *514:8 *2379:44 0 +8 *514:8 *2549:37 0 +9 *514:8 *4104:16 0 +10 *514:8 *4221:60 0 +11 la_data_in_mprj[99] *514:8 0 +*RES +1 la_data_out_mprj[99] *514:8 12.0536 +2 *514:8 *6584:DIODE 22.3714 +3 *514:8 *38448:A 10.2464 +*END + +*D_NET *515 0.000664043 +*CONN +*P la_data_out_mprj[9] I +*I *6585:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38449:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_data_out_mprj[9] 0.000223374 +2 *6585:DIODE 8.29787e-05 +3 *38449:A 2.56688e-05 +4 *515:5 0.000332021 +5 *6585:DIODE *3993:14 0 +6 *38449:A *2694:18 0 +7 *38449:A *4504:43 0 +8 *515:5 *643:8 0 +9 *515:5 *4439:42 0 +10 *515:5 *4504:34 0 +11 la_data_in_mprj[9] *515:5 0 +*RES +1 la_data_out_mprj[9] *515:5 5.07143 +2 *515:5 *38449:A 14.3357 +3 *515:5 *6585:DIODE 15.7464 +*END + +*D_NET *516 0.000956696 +*CONN +*P la_iena_mprj[0] I +*I *6586:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38450:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[0] 0.000330342 +2 *6586:DIODE 0.000148006 +3 *38450:A 0 +4 *516:5 0.000478348 +5 *6586:DIODE *6728:DIODE 0 +6 *6586:DIODE *39058:A 0 +7 *6586:DIODE *772:5 0 +8 *516:5 *39058:A 0 +9 *516:5 *772:5 0 +10 *388:7 *516:5 0 +*RES +1 la_iena_mprj[0] *516:5 7.5 +2 *516:5 *38450:A 13.8 +3 *516:5 *6586:DIODE 17.1036 +*END + +*D_NET *517 0.000877192 +*CONN +*P la_iena_mprj[100] I +*I *6587:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38451:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[100] 0.000330086 +2 *6587:DIODE 8.28411e-05 +3 *38451:A 2.56688e-05 +4 *517:5 0.000438596 +*RES +1 la_iena_mprj[100] *517:5 7.5 +2 *517:5 *38451:A 14.3357 +3 *517:5 *6587:DIODE 15.7464 +*END + +*D_NET *518 0.00155307 +*CONN +*P la_iena_mprj[101] I +*I *6588:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38452:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_iena_mprj[101] 0.000249644 +2 *6588:DIODE 0.000526891 +3 *38452:A 0 +4 *518:9 0.000776535 +5 *6588:DIODE *8007:DIODE 0 +6 *6588:DIODE *3250:64 0 +7 *6588:DIODE *3430:21 0 +8 *6588:DIODE *3435:41 0 +9 *518:9 *1223:24 0 +10 *518:9 *3018:20 0 +*RES +1 la_iena_mprj[101] *518:9 5.73214 +2 *518:9 *38452:A 13.8 +3 *518:9 *6588:DIODE 25.175 +*END + +*D_NET *519 0.00120383 +*CONN +*P la_iena_mprj[102] I +*I *38453:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *6589:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[102] 0.000366962 +2 *38453:A 4.23535e-05 +3 *6589:DIODE 0.000192601 +4 *519:8 0.000601916 +5 *6589:DIODE *4870:16 0 +6 *38453:A *39022:A 0 +7 *38453:A *2738:7 0 +8 *519:8 *37670:B 0 +9 *519:8 *39022:A 0 +10 *519:8 *2415:10 0 +11 *519:8 *2418:8 0 +12 *519:8 *2738:7 0 +13 *6990:DIODE *6589:DIODE 0 +*RES +1 la_iena_mprj[102] *519:8 12.5714 +2 *519:8 *6589:DIODE 22.675 +3 *519:8 *38453:A 10.2464 +*END + +*D_NET *520 0.000927067 +*CONN +*P la_iena_mprj[103] I +*I *38454:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6590:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[103] 0.000316794 +2 *38454:A 6.20329e-05 +3 *6590:DIODE 8.4707e-05 +4 *520:7 0.000463534 +5 *6590:DIODE *2749:25 0 +6 *6590:DIODE *3105:62 0 +7 *38454:A *2749:25 0 +8 *38454:A *3105:62 0 +9 *38454:A *3250:64 0 +10 *520:7 *38084:C 0 +11 *520:7 *39023:A 0 +12 *520:7 *2407:11 0 +13 *520:7 *2516:30 0 +14 *520:7 *3430:48 0 +*RES +1 la_iena_mprj[103] *520:7 11.6964 +2 *520:7 *6590:DIODE 11.0679 +3 *520:7 *38454:A 10.6571 +*END + +*D_NET *521 0.00100494 +*CONN +*P la_iena_mprj[104] I +*I *6591:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38455:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_iena_mprj[104] 0.000223354 +2 *6591:DIODE 0.000253446 +3 *38455:A 2.56688e-05 +4 *521:5 0.000502469 +5 *6591:DIODE *1883:13 0 +6 *6591:DIODE *3125:46 0 +7 *6591:DIODE *5105:7 0 +8 *521:5 *2460:15 0 +9 *521:5 *3125:46 0 +10 *521:5 *3500:32 0 +11 *393:8 *6591:DIODE 0 +12 *393:8 *521:5 0 +*RES +1 la_iena_mprj[104] *521:5 5.07143 +2 *521:5 *38455:A 14.3357 +3 *521:5 *6591:DIODE 19.3536 +*END + +*D_NET *522 0.00150197 +*CONN +*P la_iena_mprj[105] I +*I *6592:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38456:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[105] 0.000355912 +2 *6592:DIODE 0.000395074 +3 *38456:A 0 +4 *522:8 0.000750986 +5 *6592:DIODE *38888:A 0 +6 *6592:DIODE *1883:13 0 +7 *6592:DIODE *2401:10 0 +8 *6592:DIODE *2406:16 0 +9 *6592:DIODE *2771:23 0 +10 *6592:DIODE *5105:9 0 +11 *522:8 *2401:10 0 +12 *522:8 *4752:18 0 +13 *38414:A *522:8 0 +*RES +1 la_iena_mprj[105] *522:8 12.5357 +2 *522:8 *38456:A 9.3 +3 *522:8 *6592:DIODE 35.8 +*END + +*D_NET *523 0.00240637 +*CONN +*P la_iena_mprj[106] I +*I *6593:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38457:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_iena_mprj[106] 0.00043943 +2 *6593:DIODE 0.000763756 +3 *38457:A 0 +4 *523:8 0.00120319 +5 *6593:DIODE *6595:DIODE 0 +6 *6593:DIODE *38887:A 0 +7 *6593:DIODE *524:8 0 +8 *6593:DIODE *2782:14 0 +9 *6593:DIODE *3105:42 0 +10 *6593:DIODE *3145:90 0 +11 *6593:DIODE *4204:28 0 +12 *6593:DIODE *5105:9 0 +13 *523:8 *1220:53 0 +14 *523:8 *2984:11 0 +15 *523:8 *3105:42 0 +16 *523:8 *3422:14 0 +17 *523:8 *4204:28 0 +18 *6572:DIODE *6593:DIODE 0 +19 *395:8 *523:8 0 +*RES +1 la_iena_mprj[106] *523:8 14.1071 +2 *523:8 *38457:A 9.3 +3 *523:8 *6593:DIODE 34.4607 +*END + +*D_NET *524 0.00299374 +*CONN +*P la_iena_mprj[107] I +*I *6595:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38459:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[107] 0.000355676 +2 *6595:DIODE 0.00114119 +3 *38459:A 0 +4 *524:8 0.00149687 +5 *6595:DIODE *6735:DIODE 0 +6 *6595:DIODE *2381:49 0 +7 *6595:DIODE *3032:65 0 +8 *6595:DIODE *3287:91 0 +9 *6595:DIODE *3435:52 0 +10 *6595:DIODE *5105:9 0 +11 *524:8 *6735:DIODE 0 +12 *524:8 *780:8 0 +13 *524:8 *3105:42 0 +14 *6593:DIODE *6595:DIODE 0 +15 *6593:DIODE *524:8 0 +16 *6605:DIODE *6595:DIODE 0 +17 *396:10 *524:8 0 +*RES +1 la_iena_mprj[107] *524:8 12.5357 +2 *524:8 *38459:A 9.3 +3 *524:8 *6595:DIODE 43.1393 +*END + +*D_NET *525 0.00452252 +*CONN +*P la_iena_mprj[108] I +*I *38460:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6596:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[108] 0.000351446 +2 *38460:A 7.48233e-05 +3 *6596:DIODE 0 +4 *525:17 0.00183499 +5 *525:10 0.00226126 +6 *38460:A *781:12 0 +7 *38460:A *3134:16 0 +8 *38460:A *4756:42 0 +9 *525:10 *39026:A 0 +10 *525:10 *781:12 0 +11 *525:10 *2390:12 0 +12 *525:10 *4756:42 0 +13 *525:17 *1230:27 0 +14 *525:17 *1884:24 0 +15 *525:17 *2984:36 0 +16 *525:17 *3250:49 0 +17 *525:17 *4754:12 0 +18 la_data_in_mprj[109] *38460:A 0 +19 la_data_in_mprj[109] *525:17 0 +20 la_data_in_mprj[112] *525:17 0 +21 *398:11 *525:17 0 +22 *402:8 *525:17 0 +*RES +1 la_iena_mprj[108] *525:10 16.5 +2 *525:10 *525:17 48.0536 +3 *525:17 *6596:DIODE 13.8 +4 *525:10 *38460:A 15.4786 +*END + +*D_NET *526 0.00413785 +*CONN +*P la_iena_mprj[109] I +*I *6597:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38461:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_iena_mprj[109] 0.000486468 +2 *6597:DIODE 0 +3 *38461:A 9.80097e-05 +4 *526:29 0.00148445 +5 *526:10 0.00206892 +6 *38461:A *38603:A 0 +7 *38461:A *39029:A 0 +8 *38461:A *3500:55 0 +9 *38461:A *5097:13 0 +10 *526:10 *37441:A 0 +11 *526:10 *38603:A 0 +12 *526:10 *782:5 0 +13 *526:29 *8265:DIODE 0 +14 *526:29 *38603:A 0 +15 *526:29 *40345:A 0 +16 *526:29 *781:30 0 +17 *526:29 *3230:39 0 +18 *526:29 *3276:53 0 +19 *526:29 *3500:55 0 +20 *526:29 *4238:18 0 +21 *6583:DIODE *526:29 0 +22 *6594:DIODE *526:29 0 +23 *396:25 *526:29 0 +24 *398:11 *526:10 0 +*RES +1 la_iena_mprj[109] *526:10 19.7143 +2 *526:10 *38461:A 15.9786 +3 *526:10 *526:29 45.0179 +4 *526:29 *6597:DIODE 9.3 +*END + +*D_NET *527 0.000833378 +*CONN +*P la_iena_mprj[10] I +*I *6598:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38462:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_iena_mprj[10] 0.000236706 +2 *6598:DIODE 0.000134635 +3 *38462:A 4.53482e-05 +4 *527:5 0.000416689 +5 *6598:DIODE *4693:29 0 +6 *38462:A *4504:34 0 +7 *38462:A *4789:32 0 +8 *527:5 *37494:B 0 +9 *527:5 *2827:8 0 +10 *527:5 *3115:12 0 +11 *399:11 *527:5 0 +*RES +1 la_iena_mprj[10] *527:5 5.375 +2 *527:5 *38462:A 14.7464 +3 *527:5 *6598:DIODE 16.8 +*END + +*D_NET *528 0.00583605 +*CONN +*P la_iena_mprj[110] I +*I *38463:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6599:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[110] 0.000101462 +2 *38463:A 0.000109622 +3 *6599:DIODE 0.000379898 +4 *528:31 0.00270694 +5 *528:11 0.00253812 +6 *6599:DIODE *40377:A 0 +7 *6599:DIODE *3135:59 0 +8 *38463:A *2838:8 0 +9 *38463:A *5188:81 0 +10 *528:31 *40498:A 0 +11 *528:31 *529:19 0 +12 *528:31 *784:31 0 +13 *528:31 *2377:24 0 +14 *528:31 *2389:29 0 +15 *528:31 *2406:16 0 +16 *528:31 *2445:43 0 +17 *528:31 *2838:8 0 +18 *528:31 *2984:36 0 +19 *528:31 *3235:111 0 +20 *528:31 *3276:60 0 +21 *528:31 *3291:52 0 +22 *528:31 *3348:15 0 +23 *528:31 *5101:13 0 +24 *528:31 *5188:81 0 +25 la_data_in_mprj[113] *528:31 0 +*RES +1 la_iena_mprj[110] *528:11 2.88393 +2 *528:11 *528:31 48.7722 +3 *528:31 *6599:DIODE 21.7286 +4 *528:11 *38463:A 16.5054 +*END + +*D_NET *529 0.00615069 +*CONN +*P la_iena_mprj[111] I +*I *38464:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6600:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[111] 0.000280579 +2 *38464:A 0 +3 *6600:DIODE 0.00169243 +4 *529:19 0.00279477 +5 *529:8 0.00138292 +6 *6600:DIODE *7978:DIODE 0 +7 *6600:DIODE *40561:A 0 +8 *6600:DIODE *532:35 0 +9 *6600:DIODE *533:40 0 +10 *6600:DIODE *785:43 0 +11 *6600:DIODE *3041:25 0 +12 *6600:DIODE *3041:55 0 +13 *6600:DIODE *3235:96 0 +14 *6600:DIODE *3682:15 0 +15 *529:8 *3015:12 0 +16 *529:8 *5189:66 0 +17 *529:19 *7540:DIODE 0 +18 *529:19 *3276:53 0 +19 *529:19 *3291:52 0 +20 *38547:A *6600:DIODE 0 +21 *401:34 *529:19 0 +22 *402:35 *529:19 0 +23 *404:34 *6600:DIODE 0 +24 *405:35 *6600:DIODE 0 +25 *528:31 *529:19 0 +*RES +1 la_iena_mprj[111] *529:8 10.8214 +2 *529:8 *529:19 41.5 +3 *529:19 *6600:DIODE 44.6214 +4 *529:8 *38464:A 9.3 +*END + +*D_NET *530 0.00705615 +*CONN +*P la_iena_mprj[112] I +*I *38465:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6601:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[112] 0.000187489 +2 *38465:A 0.000249605 +3 *6601:DIODE 0.0011906 +4 *530:31 0.00309098 +5 *530:9 0.00233747 +6 *6601:DIODE *38100:C 0 +7 *6601:DIODE *40295:A 0 +8 *6601:DIODE *788:34 0 +9 *6601:DIODE *2712:125 0 +10 *6601:DIODE *3235:96 0 +11 *6601:DIODE *4524:99 0 +12 *38465:A *38607:A 0 +13 *38465:A *2793:23 0 +14 *38465:A *3125:46 0 +15 *38465:A *3237:22 0 +16 *530:9 *39033:A 0 +17 *530:31 *6742:DIODE 0 +18 *530:31 *38100:C 0 +19 *530:31 *2978:15 0 +20 *530:31 *3041:25 0 +21 *530:31 *3106:71 0 +22 *530:31 *4756:35 0 +23 *530:31 *5194:29 0 +24 *402:8 *530:9 0 +25 *405:35 *6601:DIODE 0 +*RES +1 la_iena_mprj[112] *530:9 4.32143 +2 *530:9 *530:31 41.9563 +3 *530:31 *6601:DIODE 34.2107 +4 *530:9 *38465:A 19.5321 +*END + +*D_NET *531 0.00613143 +*CONN +*P la_iena_mprj[113] I +*I *38466:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6602:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[113] 0.000102052 +2 *38466:A 0.00026331 +3 *6602:DIODE 0.000242142 +4 *531:33 0.00270035 +5 *531:9 0.00282357 +6 *6602:DIODE *6743:DIODE 0 +7 *6602:DIODE *7494:DIODE 0 +8 *6602:DIODE *533:40 0 +9 *6602:DIODE *4524:84 0 +10 *38466:A *2805:27 0 +11 *531:33 *534:43 0 +12 *531:33 *2389:21 0 +13 *531:33 *2389:29 0 +14 *531:33 *2406:16 0 +15 *531:33 *2893:17 0 +16 *531:33 *3141:14 0 +17 *531:33 *5164:19 0 +18 *531:33 *5165:35 0 +19 *38513:A *38466:A 0 +20 *38513:A *531:9 0 +21 *406:32 *531:33 0 +22 *407:10 *531:33 0 +*RES +1 la_iena_mprj[113] *531:9 2.34821 +2 *531:9 *531:33 49.3482 +3 *531:33 *6602:DIODE 14.3536 +4 *531:9 *38466:A 19.8446 +*END + +*D_NET *532 0.00647792 +*CONN +*P la_iena_mprj[114] I +*I *6603:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38467:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_iena_mprj[114] 0.000554355 +2 *6603:DIODE 0.00166291 +3 *38467:A 0 +4 *532:35 0.0026846 +5 *532:10 0.00157605 +6 *6603:DIODE *6604:DIODE 0 +7 *6603:DIODE *7518:DIODE 0 +8 *6603:DIODE *39550:A 0 +9 *6603:DIODE *39550:B 0 +10 *6603:DIODE *40342:A 0 +11 *6603:DIODE *533:40 0 +12 *6603:DIODE *3087:74 0 +13 *6603:DIODE *3225:10 0 +14 *532:10 *40497:A 0 +15 *532:10 *2860:20 0 +16 *532:10 *3145:58 0 +17 *532:10 *5165:35 0 +18 *532:10 *5179:148 0 +19 *532:35 *38100:C 0 +20 *532:35 *40563:A 0 +21 *532:35 *533:40 0 +22 *532:35 *786:21 0 +23 *532:35 *788:34 0 +24 *532:35 *3018:46 0 +25 *532:35 *3034:43 0 +26 *532:35 *3087:90 0 +27 *532:35 *3145:58 0 +28 *532:35 *3235:96 0 +29 *532:35 *3682:15 0 +30 *6600:DIODE *532:35 0 +31 *38547:A *532:35 0 +32 *404:10 *532:10 0 +33 *408:52 *6603:DIODE 0 +*RES +1 la_iena_mprj[114] *532:10 16.6607 +2 *532:10 *38467:A 9.3 +3 *532:10 *532:35 39.6964 +4 *532:35 *6603:DIODE 44.8357 +*END + +*D_NET *533 0.00699768 +*CONN +*P la_iena_mprj[115] I +*I *6604:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38468:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_iena_mprj[115] 0.000537039 +2 *6604:DIODE 0.00123345 +3 *38468:A 0 +4 *533:40 0.0029618 +5 *533:10 0.00226539 +6 *6604:DIODE *37445:A 0 +7 *6604:DIODE *39550:B 0 +8 *6604:DIODE *792:57 0 +9 *6604:DIODE *2712:75 0 +10 *6604:DIODE *2712:83 0 +11 *6604:DIODE *3266:92 0 +12 *533:10 *40563:A 0 +13 *533:10 *2882:10 0 +14 *533:10 *3034:43 0 +15 *533:10 *3087:90 0 +16 *533:40 *786:21 0 +17 *533:40 *2712:125 0 +18 *533:40 *3034:43 0 +19 *533:40 *3087:74 0 +20 *533:40 *3087:90 0 +21 *533:40 *3145:58 0 +22 *533:40 *3417:32 0 +23 *533:40 *4524:73 0 +24 *533:40 *4524:84 0 +25 *533:40 *5105:39 0 +26 *533:40 *5105:66 0 +27 *6600:DIODE *533:40 0 +28 *6602:DIODE *533:40 0 +29 *6603:DIODE *6604:DIODE 0 +30 *6603:DIODE *533:40 0 +31 *408:52 *6604:DIODE 0 +32 *532:35 *533:40 0 +*RES +1 la_iena_mprj[115] *533:10 16.3571 +2 *533:10 *38468:A 9.3 +3 *533:10 *533:40 45.6607 +4 *533:40 *6604:DIODE 44.1214 +*END + +*D_NET *534 0.0082756 +*CONN +*P la_iena_mprj[116] I +*I *38470:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *6606:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[116] 0.000626713 +2 *38470:A 0 +3 *6606:DIODE 0.000517445 +4 *534:63 0.00218881 +5 *534:43 0.00299364 +6 *534:22 0.00194898 +7 *6606:DIODE *4555:80 0 +8 *6606:DIODE *5172:84 0 +9 *534:22 *786:21 0 +10 *534:22 *1884:36 0 +11 *534:22 *2893:17 0 +12 *534:22 *2905:28 0 +13 *534:22 *3106:71 0 +14 *534:22 *3412:7 0 +15 *534:22 *5163:18 0 +16 *534:22 *5165:35 0 +17 *534:22 *5186:85 0 +18 *534:43 *791:7 0 +19 *534:43 *1854:20 0 +20 *534:43 *2371:21 0 +21 *534:43 *2408:37 0 +22 *534:43 *3142:106 0 +23 *534:43 *3250:49 0 +24 *534:43 *5179:148 0 +25 *534:43 *5186:85 0 +26 *534:63 *540:12 0 +27 *534:63 *540:55 0 +28 *534:63 *4774:57 0 +29 *534:63 *5172:94 0 +30 *407:10 *534:43 0 +31 *531:33 *534:43 0 +*RES +1 la_iena_mprj[116] *534:22 27.75 +2 *534:22 *534:43 46.5 +3 *534:43 *534:63 49.3839 +4 *534:63 *6606:DIODE 25.5411 +5 *534:22 *38470:A 9.3 +*END + +*D_NET *535 0.00776377 +*CONN +*P la_iena_mprj[117] I +*I *6607:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38471:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[117] 0.000356651 +2 *6607:DIODE 0 +3 *38471:A 0 +4 *535:29 0.00180461 +5 *535:21 0.00352523 +6 *535:9 0.00207727 +7 *535:9 *38611:A 0 +8 *535:9 *5186:85 0 +9 *535:21 *788:34 0 +10 *535:21 *2378:94 0 +11 *535:21 *3211:48 0 +12 *535:21 *3211:49 0 +13 *535:21 *3264:128 0 +14 *535:21 *3430:104 0 +15 *535:21 *3434:34 0 +16 *535:21 *3460:33 0 +17 *535:21 *4251:9 0 +18 *535:29 *6748:DIODE 0 +19 *535:29 *37444:A 0 +20 *535:29 *40252:A 0 +21 *535:29 *40273:A 0 +22 *535:29 *539:29 0 +23 *535:29 *789:59 0 +24 *535:29 *1027:120 0 +25 *535:29 *3025:80 0 +26 *535:29 *3113:100 0 +27 *535:29 *3251:67 0 +28 *535:29 *3793:42 0 +29 *6716:DIODE *535:29 0 +*RES +1 la_iena_mprj[117] *535:9 8.16071 +2 *535:9 *38471:A 13.8 +3 *535:9 *535:21 46.2857 +4 *535:21 *535:29 43.3393 +5 *535:29 *6607:DIODE 9.3 +*END + +*D_NET *536 0.00652337 +*CONN +*P la_iena_mprj[118] I +*I *6608:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38472:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[118] 0.000429297 +2 *6608:DIODE 0 +3 *38472:A 4.14614e-05 +4 *536:53 0.00191821 +5 *536:46 0.00279093 +6 *536:17 0.00134347 +7 *536:17 *3145:46 0 +8 *536:46 *8080:DIODE 0 +9 *536:46 *789:59 0 +10 *536:46 *2712:110 0 +11 *536:46 *3145:46 0 +12 *536:53 *40282:A 0 +13 *536:53 *789:59 0 +14 *536:53 *792:83 0 +15 *536:53 *1010:129 0 +16 *536:53 *4550:91 0 +17 *6683:DIODE *536:53 0 +18 *403:33 *536:17 0 +19 *403:33 *536:46 0 +20 *408:23 *536:46 0 +21 *408:52 *536:46 0 +22 *411:36 *536:53 0 +23 *413:32 *536:53 0 +*RES +1 la_iena_mprj[118] *536:17 10.4554 +2 *536:17 *38472:A 14.8089 +3 *536:17 *536:46 32.7232 +4 *536:46 *536:53 49.1786 +5 *536:53 *6608:DIODE 9.3 +*END + +*D_NET *537 0.0063348 +*CONN +*P la_iena_mprj[119] I +*I *6609:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38473:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[119] 0.000828397 +2 *6609:DIODE 0.000996804 +3 *38473:A 0 +4 *537:43 0.002339 +5 *537:22 0.0021706 +6 *6609:DIODE *1840:93 0 +7 *6609:DIODE *2373:119 0 +8 *6609:DIODE *2411:93 0 +9 *6609:DIODE *2712:328 0 +10 *6609:DIODE *3203:30 0 +11 *6609:DIODE *3211:15 0 +12 *6609:DIODE *3235:42 0 +13 *6609:DIODE *3235:63 0 +14 *6609:DIODE *3251:67 0 +15 *6609:DIODE *3479:20 0 +16 *6609:DIODE *5034:52 0 +17 *6609:DIODE *5034:57 0 +18 *6609:DIODE *5172:65 0 +19 *537:22 *40490:A 0 +20 *537:22 *792:36 0 +21 *537:22 *2927:33 0 +22 *537:22 *3034:73 0 +23 *537:22 *3250:48 0 +24 *537:22 *3266:92 0 +25 *537:22 *4015:26 0 +26 *537:22 *4360:25 0 +27 *537:22 *4756:19 0 +28 *537:22 *5072:17 0 +29 *537:43 *792:57 0 +30 *537:43 *2382:70 0 +31 *537:43 *2960:20 0 +32 *537:43 *3034:73 0 +33 *537:43 *3106:51 0 +34 *537:43 *3211:42 0 +35 *537:43 *3783:76 0 +36 *537:43 *4015:26 0 +37 *537:43 *5072:17 0 +38 *537:43 *5194:22 0 +39 *409:29 *537:22 0 +40 *409:61 *537:22 0 +*RES +1 la_iena_mprj[119] *537:22 22.7679 +2 *537:22 *38473:A 9.3 +3 *537:22 *537:43 47.5536 +4 *537:43 *6609:DIODE 30.1036 +*END + +*D_NET *538 0.000526292 +*CONN +*P la_iena_mprj[11] I +*I *38474:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6610:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[11] 0.00013908 +2 *38474:A 0 +3 *6610:DIODE 0.000124066 +4 *538:8 0.000263146 +5 *6610:DIODE *2827:13 0 +6 *6610:DIODE *3049:11 0 +7 *538:8 *794:5 0 +8 *538:8 *2827:13 0 +9 *538:8 *2949:8 0 +10 *538:8 *3049:11 0 +11 *410:7 *538:8 0 +*RES +1 la_iena_mprj[11] *538:8 7.67857 +2 *538:8 *6610:DIODE 11.8893 +3 *538:8 *38474:A 9.3 +*END + +*D_NET *539 0.00516482 +*CONN +*P la_iena_mprj[120] I +*I *6611:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38475:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[120] 0.000476231 +2 *6611:DIODE 0 +3 *38475:A 0 +4 *539:48 0.000939152 +5 *539:29 0.00210618 +6 *539:8 0.00164326 +7 *539:8 *2960:20 0 +8 *539:8 *3402:26 0 +9 *539:29 *7577:DIODE 0 +10 *539:29 *40252:A 0 +11 *539:29 *40273:A 0 +12 *539:29 *2382:87 0 +13 *539:29 *2712:83 0 +14 *539:29 *3041:55 0 +15 *539:29 *3208:24 0 +16 *539:29 *3266:92 0 +17 *539:48 *8013:DIODE 0 +18 *539:48 *2712:328 0 +19 *539:48 *3262:49 0 +20 *539:48 *3262:69 0 +21 *539:48 *4555:80 0 +22 *6716:DIODE *539:29 0 +23 *411:36 *539:8 0 +24 *411:68 *539:48 0 +25 *535:29 *539:29 0 +*RES +1 la_iena_mprj[120] *539:8 15.2679 +2 *539:8 *38475:A 9.3 +3 *539:8 *539:29 47.6607 +4 *539:29 *539:48 42.4464 +5 *539:48 *6611:DIODE 9.3 +*END + +*D_NET *540 0.00462939 +*CONN +*P la_iena_mprj[121] I +*I *6612:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38476:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_iena_mprj[121] 0.000194979 +2 *6612:DIODE 0.000250981 +3 *38476:A 9.90435e-05 +4 *540:55 0.00202067 +5 *540:12 0.00206371 +6 *6612:DIODE *2373:99 0 +7 *6612:DIODE *2712:55 0 +8 *540:12 *3571:24 0 +9 *540:55 *39525:B 0 +10 *540:55 *39640:A 0 +11 *540:55 *40269:A 0 +12 *540:55 *40556:A 0 +13 *540:55 *797:9 0 +14 *540:55 *1001:100 0 +15 *540:55 *1008:129 0 +16 *540:55 *1828:47 0 +17 *540:55 *2984:58 0 +18 *540:55 *3077:91 0 +19 *540:55 *3087:34 0 +20 *540:55 *3209:17 0 +21 *540:55 *3230:27 0 +22 *540:55 *3245:21 0 +23 *540:55 *3571:24 0 +24 *540:55 *3783:76 0 +25 *540:55 *4382:17 0 +26 *540:55 *4774:57 0 +27 *540:55 *5191:27 0 +28 la_data_in_mprj[122] *540:55 0 +29 *534:63 *540:12 0 +30 *534:63 *540:55 0 +*RES +1 la_iena_mprj[121] *540:12 8.94643 +2 *540:12 *38476:A 20.55 +3 *540:12 *540:55 45.2981 +4 *540:55 *6612:DIODE 19.5946 +*END + +*D_NET *541 0.00438585 +*CONN +*P la_iena_mprj[122] I +*I *6613:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38477:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_iena_mprj[122] 0.000134978 +2 *6613:DIODE 0.000432182 +3 *38477:A 0.000171737 +4 *541:59 0.00188621 +5 *541:5 0.00176074 +6 *6613:DIODE *7624:DIODE 0 +7 *6613:DIODE *3251:43 0 +8 *6613:DIODE *3421:11 0 +9 *6613:DIODE *4251:69 0 +10 *6613:DIODE *4603:43 0 +11 *541:59 *1027:120 0 +12 *541:59 *1879:21 0 +13 *541:59 *2406:16 0 +14 *541:59 *2712:332 0 +15 *541:59 *3413:60 0 +16 *541:59 *4404:25 0 +17 *541:59 *5172:65 0 +*RES +1 la_iena_mprj[122] *541:5 3.09821 +2 *541:5 *38477:A 17.6839 +3 *541:5 *541:59 49.0216 +4 *541:59 *6613:DIODE 22.9161 +*END + +*D_NET *542 0.00381 +*CONN +*P la_iena_mprj[123] I +*I *6614:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38478:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_iena_mprj[123] 0.000469394 +2 *6614:DIODE 0.00020343 +3 *38478:A 0 +4 *542:60 0.00143561 +5 *542:22 0.00170157 +6 *6614:DIODE *4590:47 0 +7 *542:22 *793:49 0 +8 *542:22 *798:23 0 +9 *542:22 *1862:22 0 +10 *542:22 *5102:19 0 +11 *542:60 *6615:DIODE 0 +12 *542:60 *39528:A 0 +13 *542:60 *39528:B 0 +14 *542:60 *39547:A 0 +15 *542:60 *793:49 0 +16 *542:60 *797:54 0 +17 *542:60 *1862:22 0 +18 *542:60 *3188:46 0 +19 *542:60 *4602:54 0 +20 *542:60 *4755:41 0 +21 *542:60 *5102:19 0 +*RES +1 la_iena_mprj[123] *542:22 15.8929 +2 *542:22 *38478:A 9.3 +3 *542:22 *542:60 49.9286 +4 *542:60 *6614:DIODE 18.2286 +*END + +*D_NET *543 0.00301052 +*CONN +*P la_iena_mprj[124] I +*I *6615:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38479:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[124] 0.000450685 +2 *6615:DIODE 0.00105457 +3 *38479:A 0 +4 *543:12 0.00150526 +5 *6615:DIODE *2373:99 0 +6 *6615:DIODE *4550:63 0 +7 *6615:DIODE *5102:19 0 +8 *543:12 *39528:B 0 +9 *543:12 *4550:63 0 +10 *543:12 *4755:41 0 +11 *543:12 *5102:19 0 +12 *416:21 *6615:DIODE 0 +13 *542:60 *6615:DIODE 0 +*RES +1 la_iena_mprj[124] *543:12 14.3571 +2 *543:12 *38479:A 9.3 +3 *543:12 *6615:DIODE 42.0857 +*END + +*D_NET *544 0.00466137 +*CONN +*P la_iena_mprj[125] I +*I *6617:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38481:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_iena_mprj[125] 0.000485478 +2 *6617:DIODE 0.00032086 +3 *38481:A 0 +4 *544:49 0.00184521 +5 *544:12 0.00200983 +6 *6617:DIODE *545:47 0 +7 *6617:DIODE *3262:49 0 +8 *6617:DIODE *4602:40 0 +9 *544:12 *7508:DIODE 0 +10 *544:12 *1240:24 0 +11 *544:12 *3122:31 0 +12 *544:12 *4550:63 0 +13 *544:12 *5102:19 0 +14 *544:49 *39048:A 0 +15 *544:49 *1857:28 0 +16 *544:49 *2849:23 0 +17 *544:49 *3077:70 0 +18 *544:49 *3235:22 0 +19 *544:49 *3254:33 0 +20 *544:49 *3266:46 0 +21 *544:49 *3721:24 0 +22 *544:49 *4438:19 0 +23 *544:49 *5168:49 0 +24 la_data_in_mprj[126] *544:49 0 +25 *6805:DIODE *6617:DIODE 0 +26 *415:28 *6617:DIODE 0 +27 *417:13 *544:49 0 +*RES +1 la_iena_mprj[125] *544:12 15.1786 +2 *544:12 *38481:A 9.3 +3 *544:12 *544:49 49.2524 +4 *544:49 *6617:DIODE 15.9964 +*END + +*D_NET *545 0.00361227 +*CONN +*P la_iena_mprj[126] I +*I *6618:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38482:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[126] 7.50151e-05 +2 *6618:DIODE 0 +3 *38482:A 0.000325151 +4 *545:47 0.00140597 +5 *545:11 0.00180613 +6 *38482:A *3266:20 0 +7 *545:11 *1857:28 0 +8 *545:47 *40234:A 0 +9 *545:47 *1726:25 0 +10 *545:47 *2938:53 0 +11 *545:47 *3038:42 0 +12 *545:47 *4780:86 0 +13 *6617:DIODE *545:47 0 +*RES +1 la_iena_mprj[126] *545:11 2.16964 +2 *545:11 *38482:A 21.3625 +3 *545:11 *545:47 45.7946 +4 *545:47 *6618:DIODE 9.3 +*END + +*D_NET *546 0.00226941 +*CONN +*P la_iena_mprj[127] I +*I *6619:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38483:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[127] 0.000713735 +2 *6619:DIODE 0.000400433 +3 *38483:A 2.0535e-05 +4 *546:8 0.0011347 +5 *6619:DIODE *37450:A 0 +6 *6619:DIODE *38625:A 0 +7 *6619:DIODE *3403:7 0 +8 *546:8 *40351:A 0 +9 *546:8 *3403:7 0 +10 *6827:DIODE *6619:DIODE 0 +11 *6827:DIODE *546:8 0 +*RES +1 la_iena_mprj[127] *546:8 20.375 +2 *546:8 *38483:A 9.72857 +3 *546:8 *6619:DIODE 17.7821 +*END + +*D_NET *547 0.000913456 +*CONN +*P la_iena_mprj[12] I +*I *6620:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38484:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[12] 0.000138626 +2 *6620:DIODE 0.000194036 +3 *38484:A 0.000124066 +4 *547:7 0.000456728 +5 *6620:DIODE *2357:8 0 +6 *6620:DIODE *4482:14 0 +7 *38484:A *4482:14 0 +8 *38484:A *4785:17 0 +9 *547:7 *803:9 0 +10 *547:7 *2357:8 0 +11 *419:5 *6620:DIODE 0 +12 *419:5 *547:7 0 +*RES +1 la_iena_mprj[12] *547:7 3.17857 +2 *547:7 *38484:A 16.3893 +3 *547:7 *6620:DIODE 18.175 +*END + +*D_NET *548 0.00113113 +*CONN +*P la_iena_mprj[13] I +*I *6621:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38485:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_iena_mprj[13] 0.000324766 +2 *6621:DIODE 0.000195452 +3 *38485:A 4.53482e-05 +4 *548:8 0.000565566 +5 *6621:DIODE *4418:11 0 +6 *6621:DIODE *4429:33 0 +7 *38485:A *39041:A 0 +8 *38485:A *3060:10 0 +9 *548:8 *39041:A 0 +10 *548:8 *3060:10 0 +11 *548:8 *4418:11 0 +12 *548:8 *4785:17 0 +13 *548:8 *4787:29 0 +14 *548:8 *4789:32 0 +15 *6849:DIODE *6621:DIODE 0 +16 *420:5 *6621:DIODE 0 +17 *420:5 *548:8 0 +*RES +1 la_iena_mprj[13] *548:8 11.75 +2 *548:8 *38485:A 10.2464 +3 *548:8 *6621:DIODE 22.675 +*END + +*D_NET *549 0.000967432 +*CONN +*P la_iena_mprj[14] I +*I *6622:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38486:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[14] 0.000333016 +2 *6622:DIODE 8.56726e-05 +3 *38486:A 6.50276e-05 +4 *549:5 0.000483716 +5 *6622:DIODE *37480:A 0 +6 *6622:DIODE *4430:50 0 +7 *38486:A *4419:20 0 +8 *38486:A *4637:42 0 +9 *549:5 *805:11 0 +10 *549:5 *4433:40 0 +11 *549:5 *4789:45 0 +12 *6860:DIODE *549:5 0 +13 *421:5 *549:5 0 +*RES +1 la_iena_mprj[14] *549:5 7.5 +2 *549:5 *38486:A 15.1571 +3 *549:5 *6622:DIODE 15.7464 +*END + +*D_NET *550 0.000929237 +*CONN +*P la_iena_mprj[15] I +*I *6623:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38487:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_iena_mprj[15] 0.000236745 +2 *6623:DIODE 0.000202205 +3 *38487:A 2.56688e-05 +4 *550:5 0.000464619 +5 *6623:DIODE *6765:DIODE 0 +6 *6623:DIODE *2359:15 0 +7 *6623:DIODE *3082:10 0 +8 *38487:A *40514:A 0 +9 *38487:A *4424:27 0 +10 *550:5 *806:5 0 +11 *550:5 *3381:19 0 +12 *422:7 *550:5 0 +*RES +1 la_iena_mprj[15] *550:5 5.375 +2 *550:5 *38487:A 14.3357 +3 *550:5 *6623:DIODE 18.4071 +*END + +*D_NET *551 0.000996055 +*CONN +*P la_iena_mprj[16] I +*I *6624:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38488:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[16] 0.000236745 +2 *6624:DIODE 0.000261283 +3 *38488:A 0 +4 *551:5 0.000498027 +5 *6624:DIODE *6766:DIODE 0 +6 *6624:DIODE *807:5 0 +7 *6624:DIODE *2359:15 0 +8 *6624:DIODE *2949:17 0 +9 *6624:DIODE *3093:15 0 +10 *6624:DIODE *3431:17 0 +11 *551:5 *807:5 0 +12 *551:5 *2949:17 0 +*RES +1 la_iena_mprj[16] *551:5 5.375 +2 *551:5 *38488:A 13.8 +3 *551:5 *6624:DIODE 19.6393 +*END + +*D_NET *552 0.000883366 +*CONN +*P la_iena_mprj[17] I +*I *6625:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38489:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[17] 0.000239478 +2 *6625:DIODE 0.000202205 +3 *38489:A 0 +4 *552:5 0.000441683 +5 *6625:DIODE *3104:19 0 +6 *6625:DIODE *4538:8 0 +7 *6625:DIODE *4891:40 0 +8 *552:5 *4538:8 0 +9 *6894:DIODE *552:5 0 +10 *424:5 *552:5 0 +*RES +1 la_iena_mprj[17] *552:5 5.375 +2 *552:5 *38489:A 13.8 +3 *552:5 *6625:DIODE 18.4071 +*END + +*D_NET *553 0.0012719 +*CONN +*P la_iena_mprj[18] I +*I *6626:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38490:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[18] 0.000274164 +2 *6626:DIODE 0.000361785 +3 *38490:A 0 +4 *553:11 0.000635948 +5 *6626:DIODE *2359:15 0 +6 *6626:DIODE *4433:34 0 +7 *6626:DIODE *4482:20 0 +8 *6626:DIODE *4582:22 0 +9 *553:11 *809:9 0 +10 *553:11 *3381:13 0 +11 la_data_in_mprj[18] *6626:DIODE 0 +12 la_data_in_mprj[18] *553:11 0 +13 *425:13 *553:11 0 +*RES +1 la_iena_mprj[18] *553:11 10.1393 +2 *553:11 *38490:A 13.8 +3 *553:11 *6626:DIODE 21.9607 +*END + +*D_NET *554 0.00111152 +*CONN +*P la_iena_mprj[19] I +*I *38492:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *6628:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[19] 0.000330302 +2 *38492:A 2.26741e-05 +3 *6628:DIODE 0.000202783 +4 *554:7 0.00055576 +5 *6628:DIODE *6769:DIODE 0 +6 *6628:DIODE *1830:13 0 +7 *6628:DIODE *4433:25 0 +8 *38492:A *6769:DIODE 0 +9 *38492:A *4433:25 0 +10 *554:7 *6769:DIODE 0 +11 *554:7 *39059:A 0 +12 *554:7 *810:9 0 +13 *554:7 *2360:36 0 +14 *554:7 *4560:8 0 +15 *6916:DIODE *6628:DIODE 0 +16 *426:7 *554:7 0 +*RES +1 la_iena_mprj[19] *554:7 12 +2 *554:7 *6628:DIODE 13.5321 +3 *554:7 *38492:A 9.83571 +*END + +*D_NET *555 0.000883484 +*CONN +*P la_iena_mprj[1] I +*I *6629:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38493:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[1] 0.000330342 +2 *6629:DIODE 8.57316e-05 +3 *38493:A 2.56688e-05 +4 *555:5 0.000441742 +5 *38493:A *4127:14 0 +6 *555:5 *6770:DIODE 0 +7 *555:5 *811:5 0 +8 *6927:DIODE *6629:DIODE 0 +9 *6927:DIODE *555:5 0 +10 *427:8 *555:5 0 +*RES +1 la_iena_mprj[1] *555:5 7.5 +2 *555:5 *38493:A 14.3357 +3 *555:5 *6629:DIODE 15.7464 +*END + +*D_NET *556 0.00174591 +*CONN +*P la_iena_mprj[20] I +*I *38494:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6630:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[20] 0.000250057 +2 *38494:A 6.20329e-05 +3 *6630:DIODE 0.000560863 +4 *556:7 0.000872953 +5 *6630:DIODE *3149:12 0 +6 *6630:DIODE *4424:21 0 +7 *6630:DIODE *4593:7 0 +8 *6630:DIODE *4649:33 0 +9 *38494:A *3149:12 0 +10 *38494:A *4649:33 0 +11 *556:7 *812:5 0 +12 *428:7 *556:7 0 +*RES +1 la_iena_mprj[20] *556:7 10.1786 +2 *556:7 *6630:DIODE 21.0679 +3 *556:7 *38494:A 10.6571 +*END + +*D_NET *557 0.000880873 +*CONN +*P la_iena_mprj[21] I +*I *38495:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6631:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[21] 0.000333055 +2 *38495:A 2.26741e-05 +3 *6631:DIODE 8.4707e-05 +4 *557:7 0.000440436 +5 *6631:DIODE *3488:13 0 +6 *6631:DIODE *4433:25 0 +7 *557:7 *39060:A 0 +8 *557:7 *813:8 0 +9 *557:7 *2360:51 0 +10 *557:7 *4671:30 0 +11 *38802:A *557:7 0 +12 *429:5 *557:7 0 +*RES +1 la_iena_mprj[21] *557:7 12 +2 *557:7 *6631:DIODE 11.0679 +3 *557:7 *38495:A 9.83571 +*END + +*D_NET *558 0.000877821 +*CONN +*P la_iena_mprj[22] I +*I *6632:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38496:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_iena_mprj[22] 0.000223374 +2 *6632:DIODE 0.000189868 +3 *38496:A 2.56688e-05 +4 *558:5 0.000438911 +5 *6632:DIODE *39061:A 0 +6 *6632:DIODE *3160:26 0 +7 *6632:DIODE *3171:14 0 +8 *38496:A *37344:A 0 +9 *38496:A *3160:26 0 +10 *558:5 *39061:A 0 +11 *558:5 *814:8 0 +12 *558:5 *3160:26 0 +13 *430:11 *558:5 0 +*RES +1 la_iena_mprj[22] *558:5 5.07143 +2 *558:5 *38496:A 14.3357 +3 *558:5 *6632:DIODE 18.175 +*END + +*D_NET *559 0.00162664 +*CONN +*P la_iena_mprj[23] I +*I *38497:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6633:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[23] 0.000378228 +2 *38497:A 0 +3 *6633:DIODE 0.00043509 +4 *559:8 0.000813318 +5 *6633:DIODE *39063:A 0 +6 *6633:DIODE *3304:22 0 +7 *6633:DIODE *4430:82 0 +8 *6633:DIODE *4431:17 0 +9 *6633:DIODE *4433:11 0 +10 *6633:DIODE *4626:14 0 +11 *559:8 *39063:A 0 +12 *559:8 *815:8 0 +13 *559:8 *3182:10 0 +14 *559:8 *3380:20 0 +15 *559:8 *4813:10 0 +16 *559:8 *4891:9 0 +17 la_data_in_mprj[23] *559:8 0 +18 la_data_in_mprj[24] *6633:DIODE 0 +19 *6955:DIODE *6633:DIODE 0 +20 *431:5 *559:8 0 +21 *432:5 *6633:DIODE 0 +*RES +1 la_iena_mprj[23] *559:8 12.6071 +2 *559:8 *6633:DIODE 36.9429 +3 *559:8 *38497:A 9.3 +*END + +*D_NET *560 0.00165068 +*CONN +*P la_iena_mprj[24] I +*I *38498:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6634:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[24] 0.000460862 +2 *38498:A 0 +3 *6634:DIODE 0.000364478 +4 *560:10 0.00082534 +5 *6634:DIODE *7156:DIODE 0 +6 *6634:DIODE *37512:B 0 +7 *6634:DIODE *3380:10 0 +8 *6634:DIODE *5201:10 0 +9 *6634:DIODE *5202:15 0 +10 *560:10 *816:5 0 +11 *560:10 *4891:9 0 +12 la_data_in_mprj[25] *6634:DIODE 0 +13 *38819:A *6634:DIODE 0 +14 *432:5 *560:10 0 +15 *433:5 *6634:DIODE 0 +*RES +1 la_iena_mprj[24] *560:10 14.375 +2 *560:10 *6634:DIODE 26.4607 +3 *560:10 *38498:A 9.3 +*END + +*D_NET *561 0.000831721 +*CONN +*P la_iena_mprj[25] I +*I *38499:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *6635:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[25] 0.000182848 +2 *38499:A 0.000233013 +3 *6635:DIODE 0 +4 *561:9 0.000415861 +5 *38499:A *39065:A 0 +6 *38499:A *3380:10 0 +7 *38499:A *4637:18 0 +8 *38499:A *4649:13 0 +9 *561:9 *39065:A 0 +10 *561:9 *817:8 0 +11 *561:9 *4637:18 0 +12 *433:5 *561:9 0 +*RES +1 la_iena_mprj[25] *561:9 4.21429 +2 *561:9 *6635:DIODE 13.8 +3 *561:9 *38499:A 18.8536 +*END + +*D_NET *562 0.00115981 +*CONN +*P la_iena_mprj[26] I +*I *38500:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6636:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[26] 0.000330263 +2 *38500:A 5.97761e-05 +3 *6636:DIODE 0.000189868 +4 *562:5 0.000579907 +5 *6636:DIODE *3215:16 0 +6 *6636:DIODE *4660:16 0 +7 *562:5 *6779:DIODE 0 +8 *562:5 *818:8 0 +9 *434:8 *562:5 0 +*RES +1 la_iena_mprj[26] *562:5 7.5 +2 *562:5 *6636:DIODE 18.175 +3 *562:5 *38500:A 15.1571 +*END + +*D_NET *563 0.00131745 +*CONN +*P la_iena_mprj[27] I +*I *38501:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6637:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[27] 0.000526093 +2 *38501:A 0.000132634 +3 *6637:DIODE 0 +4 *563:12 0.000658727 +5 *38501:A *39067:A 0 +6 *38501:A *5202:15 0 +7 *563:12 *6780:DIODE 0 +8 *563:12 *39067:A 0 +9 *563:12 *819:8 0 +10 *563:12 *4434:8 0 +11 *563:12 *5202:15 0 +12 *435:5 *563:12 0 +*RES +1 la_iena_mprj[27] *563:12 16.6607 +2 *563:12 *6637:DIODE 9.3 +3 *563:12 *38501:A 21.6036 +*END + +*D_NET *564 0.00103261 +*CONN +*P la_iena_mprj[28] I +*I *38503:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6639:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[28] 0.000372558 +2 *38503:A 0 +3 *6639:DIODE 0.000143745 +4 *564:8 0.000516303 +5 *6639:DIODE *3238:12 0 +6 *6639:DIODE *3304:9 0 +7 *6639:DIODE *4430:91 0 +8 *564:8 *820:5 0 +9 *564:8 *3271:14 0 +10 *564:8 *3304:9 0 +11 *564:8 *4430:91 0 +12 *564:8 *4891:8 0 +13 *6960:DIODE *564:8 0 +14 *436:5 *564:8 0 +*RES +1 la_iena_mprj[28] *564:8 12.9464 +2 *564:8 *6639:DIODE 12.3 +3 *564:8 *38503:A 9.3 +*END + +*D_NET *565 0.000781883 +*CONN +*P la_iena_mprj[29] I +*I *38504:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6640:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[29] 0.000319977 +2 *38504:A 0 +3 *6640:DIODE 7.09645e-05 +4 *565:8 0.000390942 +5 *6640:DIODE *6782:DIODE 0 +6 *6640:DIODE *3249:18 0 +7 *565:8 *821:7 0 +8 *565:8 *3271:14 0 +9 *437:11 *6640:DIODE 0 +10 *437:11 *565:8 0 +*RES +1 la_iena_mprj[29] *565:8 11.6429 +2 *565:8 *6640:DIODE 19.9429 +3 *565:8 *38504:A 9.3 +*END + +*D_NET *566 0.000875446 +*CONN +*P la_iena_mprj[2] I +*I *38505:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6641:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[2] 0.000330342 +2 *38505:A 2.26741e-05 +3 *6641:DIODE 8.4707e-05 +4 *566:7 0.000437723 +5 *6641:DIODE *3138:10 0 +6 *6641:DIODE *4127:14 0 +7 *38505:A *3138:10 0 +8 *38505:A *4127:14 0 +9 *566:7 *6784:DIODE 0 +10 *566:7 *822:9 0 +11 *566:7 *3260:8 0 +12 *566:7 *4693:8 0 +13 *6962:DIODE *566:7 0 +14 *438:5 *566:7 0 +*RES +1 la_iena_mprj[2] *566:7 12 +2 *566:7 *6641:DIODE 11.0679 +3 *566:7 *38505:A 9.83571 +*END + +*D_NET *567 0.000838648 +*CONN +*P la_iena_mprj[30] I +*I *6642:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38506:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_iena_mprj[30] 0.000223256 +2 *6642:DIODE 0.000170399 +3 *38506:A 2.56688e-05 +4 *567:5 0.000419324 +5 *6642:DIODE *41397:A 0 +6 *6642:DIODE *823:11 0 +7 *6642:DIODE *3304:9 0 +8 *6642:DIODE *4704:8 0 +9 *38506:A *3271:14 0 +10 *567:5 *823:11 0 +11 *6963:DIODE *6642:DIODE 0 +12 *439:5 *567:5 0 +*RES +1 la_iena_mprj[30] *567:5 5.07143 +2 *567:5 *38506:A 14.3357 +3 *567:5 *6642:DIODE 17.5143 +*END + +*D_NET *568 0.00128238 +*CONN +*P la_iena_mprj[31] I +*I *6643:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38507:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[31] 0.000344375 +2 *6643:DIODE 0.000296816 +3 *38507:A 0 +4 *568:11 0.000641191 +5 *6643:DIODE *39071:A 0 +6 *6643:DIODE *1736:11 0 +7 *6643:DIODE *3282:6 0 +8 *6643:DIODE *4440:8 0 +9 *568:11 *39071:A 0 +10 *568:11 *824:5 0 +11 *568:11 *3282:6 0 +12 la_data_in_mprj[31] *568:11 0 +13 *440:5 *568:11 0 +*RES +1 la_iena_mprj[31] *568:11 16.4107 +2 *568:11 *38507:A 13.8 +3 *568:11 *6643:DIODE 20.6036 +*END + +*D_NET *569 0.000918725 +*CONN +*P la_iena_mprj[32] I +*I *6644:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38508:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[32] 0.000145508 +2 *6644:DIODE 0.000313855 +3 *38508:A 0 +4 *569:9 0.000459363 +5 *6644:DIODE *39073:A 0 +6 *6644:DIODE *3304:9 0 +7 *6644:DIODE *4823:8 0 +8 *569:9 *39073:A 0 +9 *569:9 *825:5 0 +10 *6965:DIODE *6644:DIODE 0 +11 *6965:DIODE *569:9 0 +12 *441:9 *569:9 0 +*RES +1 la_iena_mprj[32] *569:9 3.30357 +2 *569:9 *38508:A 13.8 +3 *569:9 *6644:DIODE 20.7643 +*END + +*D_NET *570 0.000932691 +*CONN +*P la_iena_mprj[33] I +*I *38509:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6645:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[33] 0.000319606 +2 *38509:A 6.20329e-05 +3 *6645:DIODE 8.4707e-05 +4 *570:7 0.000466346 +5 *6645:DIODE *3304:7 0 +6 *38509:A *3304:7 0 +7 *38509:A *3367:5 0 +8 *570:7 *826:5 0 +9 *570:7 *2353:16 0 +10 *570:7 *4443:8 0 +11 *6966:DIODE *570:7 0 +12 *442:5 *570:7 0 +*RES +1 la_iena_mprj[33] *570:7 11.6964 +2 *570:7 *6645:DIODE 11.0679 +3 *570:7 *38509:A 10.6571 +*END + +*D_NET *571 0.00105258 +*CONN +*P la_iena_mprj[34] I +*I *6646:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38510:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[34] 0.00023938 +2 *6646:DIODE 0.000241564 +3 *38510:A 4.53482e-05 +4 *571:5 0.000526292 +5 *6646:DIODE *6789:DIODE 0 +6 *6646:DIODE *40543:A 0 +7 *6646:DIODE *2351:21 0 +8 *6646:DIODE *3455:58 0 +9 *38510:A *4748:18 0 +10 *38510:A *4825:7 0 +11 *571:5 *827:5 0 +12 *6967:DIODE *6646:DIODE 0 +13 *443:5 *571:5 0 +*RES +1 la_iena_mprj[34] *571:5 5.375 +2 *571:5 *38510:A 14.7464 +3 *571:5 *6646:DIODE 19.2286 +*END + +*D_NET *572 0.00104724 +*CONN +*P la_iena_mprj[35] I +*I *6647:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38511:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[35] 0.000330263 +2 *6647:DIODE 0.000148006 +3 *38511:A 4.53482e-05 +4 *572:5 0.000523618 +5 *6647:DIODE *6790:DIODE 0 +6 *6647:DIODE *2351:21 0 +7 *6647:DIODE *3326:13 0 +8 *6647:DIODE *3371:12 0 +9 *38511:A *3367:5 0 +10 *38511:A *3455:55 0 +11 *572:5 *828:5 0 +12 *572:5 *3371:12 0 +13 *444:5 *572:5 0 +*RES +1 la_iena_mprj[35] *572:5 7.5 +2 *572:5 *38511:A 14.7464 +3 *572:5 *6647:DIODE 17.1036 +*END + +*D_NET *573 0.000661471 +*CONN +*P la_iena_mprj[36] I +*I *38512:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *6648:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[36] 0.000223354 +2 *38512:A 2.26741e-05 +3 *6648:DIODE 8.4707e-05 +4 *573:7 0.000330735 +5 *6648:DIODE *3374:14 0 +6 *6648:DIODE *4760:9 0 +7 *38512:A *3374:14 0 +8 *38512:A *4760:9 0 +9 *573:7 *829:5 0 +10 *445:5 *573:7 0 +*RES +1 la_iena_mprj[36] *573:7 9.57143 +2 *573:7 *6648:DIODE 11.0679 +3 *573:7 *38512:A 9.83571 +*END + +*D_NET *574 0.000886076 +*CONN +*P la_iena_mprj[37] I +*I *6650:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38514:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_iena_mprj[37] 0.000249703 +2 *6650:DIODE 0.000147987 +3 *38514:A 4.53482e-05 +4 *574:9 0.000443038 +5 *6650:DIODE *3349:8 0 +6 *6650:DIODE *4771:17 0 +7 *6650:DIODE *4782:11 0 +8 *38514:A *3455:47 0 +9 *38514:A *4760:9 0 +10 *574:9 *830:7 0 +11 *574:9 *4782:11 0 +12 *446:5 *574:9 0 +*RES +1 la_iena_mprj[37] *574:9 5.73214 +2 *574:9 *38514:A 14.7464 +3 *574:9 *6650:DIODE 17.1036 +*END + +*D_NET *575 0.0012958 +*CONN +*P la_iena_mprj[38] I +*I *6651:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38515:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[38] 0.000351044 +2 *6651:DIODE 0.000296855 +3 *38515:A 0 +4 *575:15 0.000647899 +5 *6651:DIODE *39078:A 0 +6 *6651:DIODE *830:7 0 +7 *6651:DIODE *3437:50 0 +8 *6651:DIODE *3454:46 0 +9 *6651:DIODE *3455:24 0 +10 *6651:DIODE *4446:10 0 +11 *6651:DIODE *4904:46 0 +12 *575:15 *830:7 0 +13 *575:15 *831:7 0 +14 *575:15 *4959:44 0 +15 la_data_in_mprj[38] *575:15 0 +16 *387:45 *6651:DIODE 0 +17 *447:5 *6651:DIODE 0 +18 *447:5 *575:15 0 +*RES +1 la_iena_mprj[38] *575:15 16.6607 +2 *575:15 *38515:A 13.8 +3 *575:15 *6651:DIODE 20.6036 +*END + +*D_NET *576 0.00065974 +*CONN +*P la_iena_mprj[39] I +*I *6652:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38516:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[39] 0.000139963 +2 *6652:DIODE 0.000189907 +3 *38516:A 0 +4 *576:7 0.00032987 +5 *6652:DIODE *3062:14 0 +6 *6652:DIODE *4454:22 0 +7 *6652:DIODE *4848:15 0 +8 *576:7 *3062:14 0 +9 *576:7 *3371:8 0 +10 *6973:DIODE *6652:DIODE 0 +11 *448:7 *576:7 0 +*RES +1 la_iena_mprj[39] *576:7 3.17857 +2 *576:7 *38516:A 13.8 +3 *576:7 *6652:DIODE 18.175 +*END + +*D_NET *577 0.00142415 +*CONN +*P la_iena_mprj[3] I +*I *38517:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *6653:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[3] 0.00038674 +2 *38517:A 0 +3 *6653:DIODE 0.000325336 +4 *577:8 0.000712076 +5 *6653:DIODE *38660:A 0 +6 *6653:DIODE *4451:11 0 +7 *6653:DIODE *4487:9 0 +8 *6653:DIODE *4511:24 0 +9 *6653:DIODE *4537:16 0 +10 *6653:DIODE *4937:8 0 +11 *577:8 *39080:A 0 +12 *577:8 *39091:A 0 +13 *577:8 *833:5 0 +14 *577:8 *4439:24 0 +15 *577:8 *4504:57 0 +16 *449:7 *577:8 0 +*RES +1 la_iena_mprj[3] *577:8 12.9821 +2 *577:8 *6653:DIODE 25.6393 +3 *577:8 *38517:A 9.3 +*END + +*D_NET *578 0.00131245 +*CONN +*P la_iena_mprj[40] I +*I *6654:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38518:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[40] 0.000554831 +2 *6654:DIODE 0.000101392 +3 *38518:A 0 +4 *578:8 0.000656223 +5 *6654:DIODE *3352:27 0 +6 *6654:DIODE *5197:27 0 +7 *578:8 *2049:23 0 +8 *578:8 *3352:27 0 +9 *578:8 *3455:24 0 +10 *578:8 *4760:28 0 +11 *578:8 *4904:27 0 +12 *578:8 *5197:27 0 +13 *450:5 *578:8 0 +*RES +1 la_iena_mprj[40] *578:8 16.9107 +2 *578:8 *38518:A 9.3 +3 *578:8 *6654:DIODE 11.4786 +*END + +*D_NET *579 0.00113343 +*CONN +*P la_iena_mprj[41] I +*I *6655:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38519:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[41] 0.000235349 +2 *6655:DIODE 0.000305698 +3 *38519:A 2.56688e-05 +4 *579:5 0.000566716 +5 *6655:DIODE *3343:5 0 +6 *38519:A *4848:9 0 +7 *579:5 *40542:A 0 +8 *579:5 *835:5 0 +9 *579:5 *4819:19 0 +10 *451:5 *579:5 0 +*RES +1 la_iena_mprj[41] *579:5 5.375 +2 *579:5 *38519:A 14.3357 +3 *579:5 *6655:DIODE 20.5857 +*END + +*D_NET *580 0.00128303 +*CONN +*P la_iena_mprj[42] I +*I *38520:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *6656:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[42] 0.000339696 +2 *38520:A 0 +3 *6656:DIODE 0.000301821 +4 *580:8 0.000641517 +5 *6656:DIODE *3343:5 0 +6 *6656:DIODE *3583:32 0 +7 *580:8 *836:5 0 +8 *580:8 *2071:23 0 +9 *580:8 *3415:10 0 +10 *580:8 *3437:21 0 +11 *580:8 *3583:32 0 +12 *580:8 *4959:44 0 +*RES +1 la_iena_mprj[42] *580:8 12.0536 +2 *580:8 *6656:DIODE 24.9607 +3 *580:8 *38520:A 9.3 +*END + +*D_NET *581 0.0010468 +*CONN +*P la_iena_mprj[43] I +*I *6657:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38521:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[43] 0.000236666 +2 *6657:DIODE 0.000241387 +3 *38521:A 4.53482e-05 +4 *581:5 0.000523401 +5 *6657:DIODE *3343:5 0 +6 *38521:A *4455:22 0 +7 *38521:A *4959:32 0 +8 *581:5 *39085:A 0 +9 *581:5 *837:5 0 +10 *581:5 *5026:41 0 +11 *453:5 *581:5 0 +*RES +1 la_iena_mprj[43] *581:5 5.375 +2 *581:5 *38521:A 14.7464 +3 *581:5 *6657:DIODE 19.2286 +*END + +*D_NET *582 0.00083326 +*CONN +*P la_iena_mprj[44] I +*I *6658:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38522:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_iena_mprj[44] 0.000236686 +2 *6658:DIODE 0.000114917 +3 *38522:A 6.50276e-05 +4 *582:5 0.00041663 +5 *6658:DIODE *3352:15 0 +6 *6658:DIODE *4825:33 0 +7 *38522:A *4455:62 0 +8 *38522:A *4959:32 0 +9 *582:5 *6801:DIODE 0 +10 *582:5 *838:13 0 +11 *582:5 *4454:83 0 +12 *582:5 *4904:14 0 +13 *454:5 *6658:DIODE 0 +14 *454:5 *582:5 0 +*RES +1 la_iena_mprj[44] *582:5 5.375 +2 *582:5 *38522:A 15.1571 +3 *582:5 *6658:DIODE 16.3893 +*END + +*D_NET *583 0.00151862 +*CONN +*P la_iena_mprj[45] I +*I *38523:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6659:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[45] 0.000378208 +2 *38523:A 8.17123e-05 +3 *6659:DIODE 0.000299392 +4 *583:8 0.000759312 +5 *6659:DIODE *39087:A 0 +6 *6659:DIODE *3377:24 0 +7 *6659:DIODE *4462:21 0 +8 *38523:A *3448:11 0 +9 *38523:A *5026:32 0 +10 *583:8 *839:5 0 +11 *583:8 *2048:15 0 +12 *583:8 *3448:11 0 +13 *583:8 *5026:32 0 +14 la_data_in_mprj[45] *583:8 0 +15 la_data_in_mprj[46] *6659:DIODE 0 +*RES +1 la_iena_mprj[45] *583:8 12.6071 +2 *583:8 *6659:DIODE 25.1036 +3 *583:8 *38523:A 11.0679 +*END + +*D_NET *584 0.0012942 +*CONN +*P la_iena_mprj[46] I +*I *38526:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *6662:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[46] 0.000406439 +2 *38526:A 0 +3 *6662:DIODE 0.000240663 +4 *584:8 0.000647101 +5 *6662:DIODE *3616:34 0 +6 *6662:DIODE *4470:34 0 +7 *584:8 *39088:A 0 +8 *584:8 *840:5 0 +9 *584:8 *3146:12 0 +10 *584:8 *3461:16 0 +11 *584:8 *4462:21 0 +12 *584:8 *4893:9 0 +13 *6982:DIODE *584:8 0 +14 *456:8 *584:8 0 +*RES +1 la_iena_mprj[46] *584:8 13.3929 +2 *584:8 *6662:DIODE 23.6214 +3 *584:8 *38526:A 9.3 +*END + +*D_NET *585 0.0013452 +*CONN +*P la_iena_mprj[47] I +*I *6663:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38527:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[47] 0.000116386 +2 *6663:DIODE 0.000451826 +3 *38527:A 0.000104386 +4 *585:5 0.000672599 +5 *6663:DIODE *2055:17 0 +6 *6663:DIODE *3332:17 0 +7 *6663:DIODE *4836:10 0 +8 *38527:A *4829:13 0 +9 *38527:A *5026:13 0 +10 *585:5 *4836:10 0 +11 *6983:DIODE *6663:DIODE 0 +12 *457:5 *6663:DIODE 0 +13 *457:5 *585:5 0 +*RES +1 la_iena_mprj[47] *585:5 2.64286 +2 *585:5 *38527:A 15.9786 +3 *585:5 *6663:DIODE 23.9786 +*END + +*D_NET *586 0.00134496 +*CONN +*P la_iena_mprj[48] I +*I *38528:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6664:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[48] 0.000515433 +2 *38528:A 0 +3 *6664:DIODE 0.000157048 +4 *586:8 0.000672481 +5 *6664:DIODE *3332:17 0 +6 *6664:DIODE *3483:12 0 +7 *6664:DIODE *4458:11 0 +8 *586:8 *6806:DIODE 0 +9 *586:8 *842:8 0 +10 *586:8 *3343:5 0 +11 *586:8 *3483:12 0 +12 *586:8 *4455:62 0 +13 *586:8 *4458:11 0 +14 *586:8 *5197:27 0 +15 *458:7 *586:8 0 +*RES +1 la_iena_mprj[48] *586:8 16.0893 +2 *586:8 *6664:DIODE 21.7107 +3 *586:8 *38528:A 9.3 +*END + +*D_NET *587 0.0013058 +*CONN +*P la_iena_mprj[49] I +*I *38529:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6665:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[49] 0.000301655 +2 *38529:A 0 +3 *6665:DIODE 0.000351245 +4 *587:8 0.0006529 +5 *6665:DIODE *6807:DIODE 0 +6 *6665:DIODE *3332:17 0 +7 *6665:DIODE *3494:8 0 +8 *6665:DIODE *4470:22 0 +9 *587:8 *843:5 0 +10 *587:8 *5015:11 0 +11 *459:5 *587:8 0 +*RES +1 la_iena_mprj[49] *587:8 11.2321 +2 *587:8 *6665:DIODE 26.1571 +3 *587:8 *38529:A 9.3 +*END + +*D_NET *588 0.00100389 +*CONN +*P la_iena_mprj[4] I +*I *6666:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38530:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[4] 0.000137249 +2 *6666:DIODE 0.000364695 +3 *38530:A 0 +4 *588:7 0.000501944 +5 *6666:DIODE *6808:DIODE 0 +6 *6666:DIODE *38412:A 0 +7 *6666:DIODE *844:8 0 +8 *6666:DIODE *1760:13 0 +9 *6666:DIODE *3138:10 0 +10 *588:7 *844:8 0 +11 *6986:DIODE *6666:DIODE 0 +12 *460:7 *6666:DIODE 0 +13 *460:7 *588:7 0 +*RES +1 la_iena_mprj[4] *588:7 3.17857 +2 *588:7 *38530:A 13.8 +3 *588:7 *6666:DIODE 21.9607 +*END + +*D_NET *589 0.00104676 +*CONN +*P la_iena_mprj[50] I +*I *6667:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38531:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[50] 0.000236588 +2 *6667:DIODE 0.000241446 +3 *38531:A 4.53482e-05 +4 *589:5 0.000523382 +5 *6667:DIODE *6809:DIODE 0 +6 *6667:DIODE *3343:5 0 +7 *6667:DIODE *3516:13 0 +8 *38531:A *4970:19 0 +9 *38531:A *5015:11 0 +10 *589:5 *845:5 0 +11 *461:5 *6667:DIODE 0 +12 *461:5 *589:5 0 +*RES +1 la_iena_mprj[50] *589:5 5.375 +2 *589:5 *38531:A 14.7464 +3 *589:5 *6667:DIODE 19.2286 +*END + +*D_NET *590 0.000821203 +*CONN +*P la_iena_mprj[51] I +*I *6668:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38532:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[51] 0.000236627 +2 *6668:DIODE 6.95881e-05 +3 *38532:A 0.000104386 +4 *590:5 0.000410601 +5 *6668:DIODE *3527:19 0 +6 *38532:A *4970:19 0 +7 *38532:A *5015:11 0 +8 *38851:A *6668:DIODE 0 +9 *301:39 *6668:DIODE 0 +10 *301:39 *590:5 0 +11 *462:7 *590:5 0 +*RES +1 la_iena_mprj[51] *590:5 5.375 +2 *590:5 *38532:A 15.9786 +3 *590:5 *6668:DIODE 15.4429 +*END + +*D_NET *591 0.00129673 +*CONN +*P la_iena_mprj[52] I +*I *6669:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38533:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_iena_mprj[52] 0.0003433 +2 *6669:DIODE 0.000161318 +3 *38533:A 0.000143745 +4 *591:9 0.000648363 +5 *6669:DIODE *3343:5 0 +6 *6669:DIODE *4466:16 0 +7 *38533:A *3339:32 0 +8 *38533:A *3605:17 0 +9 *38533:A *3660:33 0 +10 *591:9 *6811:DIODE 0 +11 *591:9 *40535:A 0 +12 *591:9 *847:5 0 +13 *591:9 *2007:13 0 +14 *591:9 *4466:16 0 +*RES +1 la_iena_mprj[52] *591:9 7.85714 +2 *591:9 *38533:A 16.8 +3 *591:9 *6669:DIODE 17.4071 +*END + +*D_NET *592 0.0013682 +*CONN +*P la_iena_mprj[53] I +*I *6670:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38534:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[53] 0.000211104 +2 *6670:DIODE 0.000388291 +3 *38534:A 8.4707e-05 +4 *592:13 0.000684102 +5 *6670:DIODE *6812:DIODE 0 +6 *6670:DIODE *40196:A 0 +7 *6670:DIODE *40447:A 0 +8 *6670:DIODE *3343:5 0 +9 *6670:DIODE *3347:10 0 +10 *6670:DIODE *3660:22 0 +11 *38534:A *5026:13 0 +12 *592:13 *848:9 0 +13 *464:13 *6670:DIODE 0 +14 *464:13 *592:13 0 +*RES +1 la_iena_mprj[53] *592:13 5.58929 +2 *592:13 *38534:A 15.5679 +3 *592:13 *6670:DIODE 22.6214 +*END + +*D_NET *593 0.00120451 +*CONN +*P la_iena_mprj[54] I +*I *38535:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6671:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[54] 0.000219377 +2 *38535:A 0 +3 *6671:DIODE 0.00038288 +4 *593:8 0.000602257 +5 *6671:DIODE *6813:DIODE 0 +6 *6671:DIODE *849:5 0 +7 *6671:DIODE *2013:9 0 +8 *6671:DIODE *3572:18 0 +9 *6671:DIODE *5070:30 0 +10 *593:8 *849:5 0 +11 *593:8 *2013:9 0 +12 *593:8 *5026:13 0 +*RES +1 la_iena_mprj[54] *593:8 9.32143 +2 *593:8 *6671:DIODE 26.8714 +3 *593:8 *38535:A 9.3 +*END + +*D_NET *594 0.00109711 +*CONN +*P la_iena_mprj[55] I +*I *6673:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38537:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_iena_mprj[55] 0.000223374 +2 *6673:DIODE 0.00029951 +3 *38537:A 2.56688e-05 +4 *594:5 0.000548553 +5 *6673:DIODE *40193:A 0 +6 *6673:DIODE *3336:22 0 +7 *6673:DIODE *3572:18 0 +8 *6673:DIODE *3594:26 0 +9 *38537:A *3616:11 0 +10 *38537:A *5070:30 0 +11 *594:5 *850:13 0 +12 *6993:DIODE *6673:DIODE 0 +13 *466:5 *6673:DIODE 0 +14 *466:5 *594:5 0 +*RES +1 la_iena_mprj[55] *594:5 5.07143 +2 *594:5 *38537:A 14.3357 +3 *594:5 *6673:DIODE 20.6036 +*END + +*D_NET *595 0.00113385 +*CONN +*P la_iena_mprj[56] I +*I *38538:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6674:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[56] 0.000361331 +2 *38538:A 2.35958e-05 +3 *6674:DIODE 0.000182001 +4 *595:9 0.000566927 +5 *6674:DIODE *6815:DIODE 0 +6 *6674:DIODE *3339:16 0 +7 *6674:DIODE *4468:33 0 +8 *595:9 *6815:DIODE 0 +9 *595:9 *851:7 0 +10 *595:9 *3583:15 0 +11 *6994:DIODE *6674:DIODE 0 +12 *467:5 *595:9 0 +*RES +1 la_iena_mprj[56] *595:9 8.26786 +2 *595:9 *6674:DIODE 18.2821 +3 *595:9 *38538:A 14.3357 +*END + +*D_NET *596 0.00111457 +*CONN +*P la_iena_mprj[57] I +*I *38539:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6675:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[57] 0.000250116 +2 *38539:A 6.20329e-05 +3 *6675:DIODE 0.000245137 +4 *596:7 0.000557286 +5 *6675:DIODE *3616:7 0 +6 *6675:DIODE *5070:30 0 +7 *38539:A *5048:20 0 +8 *38539:A *5070:30 0 +9 *596:7 *852:5 0 +10 *596:7 *3660:22 0 +11 *596:7 *4840:15 0 +12 *596:7 *5037:13 0 +13 *468:8 *596:7 0 +*RES +1 la_iena_mprj[57] *596:7 10.1786 +2 *596:7 *6675:DIODE 14.3536 +3 *596:7 *38539:A 10.6571 +*END + +*D_NET *597 0.000742564 +*CONN +*P la_iena_mprj[58] I +*I *6676:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38540:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_iena_mprj[58] 0.000236666 +2 *6676:DIODE 6.95881e-05 +3 *38540:A 6.50276e-05 +4 *597:5 0.000371282 +5 *6676:DIODE *6818:DIODE 0 +6 *6676:DIODE *3605:16 0 +7 *38540:A *3616:7 0 +8 *38540:A *4844:18 0 +9 *597:5 *853:5 0 +10 *597:5 *3605:16 0 +11 *469:5 *597:5 0 +*RES +1 la_iena_mprj[58] *597:5 5.375 +2 *597:5 *38540:A 15.1571 +3 *597:5 *6676:DIODE 15.4429 +*END + +*D_NET *598 0.000778465 +*CONN +*P la_iena_mprj[59] I +*I *38541:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6677:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[59] 0.000196632 +2 *38541:A 0.000107893 +3 *6677:DIODE 8.4707e-05 +4 *598:5 0.000389232 +5 *6677:DIODE *3331:35 0 +6 *38541:A *3331:19 0 +7 *38541:A *3331:35 0 +8 *38541:A *3616:7 0 +9 *38541:A *4844:18 0 +10 *598:5 *854:8 0 +11 *470:7 *38541:A 0 +12 *470:7 *598:5 0 +*RES +1 la_iena_mprj[59] *598:5 4.46429 +2 *598:5 *6677:DIODE 15.5679 +3 *598:5 *38541:A 16.1929 +*END + +*D_NET *599 0.000956617 +*CONN +*P la_iena_mprj[5] I +*I *38542:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6678:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[5] 0.000180057 +2 *38542:A 0 +3 *6678:DIODE 0.000298251 +4 *599:8 0.000478309 +5 *6678:DIODE *855:5 0 +6 *6678:DIODE *3505:10 0 +7 *6678:DIODE *4451:54 0 +8 *6678:DIODE *4475:8 0 +9 *599:8 *855:5 0 +10 *599:8 *3505:10 0 +11 *599:8 *3627:8 0 +12 *599:8 *4693:9 0 +13 *599:8 *4842:17 0 +14 *471:7 *599:8 0 +*RES +1 la_iena_mprj[5] *599:8 8.5 +2 *599:8 *6678:DIODE 25.1036 +3 *599:8 *38542:A 9.3 +*END + +*D_NET *600 0.00116923 +*CONN +*P la_iena_mprj[60] I +*I *6679:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38543:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[60] 0.000197025 +2 *6679:DIODE 0.000322563 +3 *38543:A 6.50276e-05 +4 *600:9 0.000584616 +5 *6679:DIODE *6821:DIODE 0 +6 *6679:DIODE *2120:40 0 +7 *6679:DIODE *3638:12 0 +8 *6679:DIODE *4474:22 0 +9 *38543:A *39104:A 0 +10 *38543:A *4841:17 0 +11 *600:9 *3057:8 0 +12 *472:8 *6679:DIODE 0 +13 *472:8 *600:9 0 +*RES +1 la_iena_mprj[60] *600:9 4.53571 +2 *600:9 *38543:A 15.1571 +3 *600:9 *6679:DIODE 21.1393 +*END + +*D_NET *601 0.000839929 +*CONN +*P la_iena_mprj[61] I +*I *6680:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38544:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[61] 0.000265689 +2 *6680:DIODE 6.95684e-05 +3 *38544:A 8.4707e-05 +4 *601:9 0.000419965 +5 *6680:DIODE *6822:DIODE 0 +6 *6680:DIODE *5081:8 0 +7 *38544:A *3331:19 0 +8 *38544:A *3660:10 0 +9 *38544:A *4841:17 0 +10 *601:9 *6822:DIODE 0 +11 *601:9 *4477:14 0 +12 *7000:DIODE *6680:DIODE 0 +13 *473:5 *601:9 0 +*RES +1 la_iena_mprj[61] *601:9 6.03571 +2 *601:9 *38544:A 15.5679 +3 *601:9 *6680:DIODE 15.4429 +*END + +*D_NET *602 0.000791081 +*CONN +*P la_iena_mprj[62] I +*I *38545:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *6681:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[62] 8.96642e-05 +2 *38545:A 0.000162131 +3 *6681:DIODE 0.000143745 +4 *602:5 0.000395541 +5 *6681:DIODE *4468:34 0 +6 *38545:A *858:5 0 +7 *38545:A *2012:17 0 +8 *38545:A *3331:19 0 +9 *38545:A *3660:10 0 +10 *602:5 *858:5 0 +11 *602:5 *2012:17 0 +12 *474:5 *602:5 0 +*RES +1 la_iena_mprj[62] *602:5 2.03571 +2 *602:5 *6681:DIODE 16.8 +3 *602:5 *38545:A 17.4964 +*END + +*D_NET *603 0.00101346 +*CONN +*P la_iena_mprj[63] I +*I *6682:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38546:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[63] 0.000333055 +2 *6682:DIODE 0.000108647 +3 *38546:A 6.50276e-05 +4 *603:5 0.00050673 +5 *6682:DIODE *37373:A 0 +6 *6682:DIODE *2120:40 0 +7 *603:5 *37373:A 0 +8 *603:5 *859:5 0 +9 *7003:DIODE *6682:DIODE 0 +10 *475:5 *603:5 0 +*RES +1 la_iena_mprj[63] *603:5 7.5 +2 *603:5 *38546:A 15.1571 +3 *603:5 *6682:DIODE 16.2821 +*END + +*D_NET *604 0.0010918 +*CONN +*P la_iena_mprj[64] I +*I *6684:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38548:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_iena_mprj[64] 0.000223374 +2 *6684:DIODE 0.000296855 +3 *38548:A 2.56688e-05 +4 *604:5 0.000545898 +5 *6684:DIODE *6825:DIODE 0 +6 *6684:DIODE *860:9 0 +7 *6684:DIODE *2048:9 0 +8 *6684:DIODE *5126:10 0 +9 *38548:A *7015:DIODE 0 +10 *38548:A *39108:A 0 +11 *604:5 *860:9 0 +12 *604:5 *2048:9 0 +*RES +1 la_iena_mprj[64] *604:5 5.07143 +2 *604:5 *38548:A 14.3357 +3 *604:5 *6684:DIODE 20.6036 +*END + +*D_NET *605 0.00104731 +*CONN +*P la_iena_mprj[65] I +*I *6685:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38549:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[65] 0.000236745 +2 *6685:DIODE 0.000241564 +3 *38549:A 4.53482e-05 +4 *605:5 0.000523657 +5 *6685:DIODE *6826:DIODE 0 +6 *6685:DIODE *3335:5 0 +7 *6685:DIODE *4481:14 0 +8 *38549:A *3328:11 0 +9 *38549:A *4481:14 0 +10 *605:5 *861:5 0 +11 *477:9 *6685:DIODE 0 +12 *477:9 *605:5 0 +*RES +1 la_iena_mprj[65] *605:5 5.375 +2 *605:5 *38549:A 14.7464 +3 *605:5 *6685:DIODE 19.2286 +*END + +*D_NET *606 0.00076697 +*CONN +*P la_iena_mprj[66] I +*I *38550:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6686:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[66] 0.000236745 +2 *38550:A 6.20329e-05 +3 *6686:DIODE 8.4707e-05 +4 *606:7 0.000383485 +5 *6686:DIODE *3328:11 0 +6 *38550:A *3328:11 0 +7 *606:7 *39109:A 0 +8 *606:7 *862:8 0 +9 *606:7 *4468:37 0 +10 *478:5 *606:7 0 +*RES +1 la_iena_mprj[66] *606:7 9.875 +2 *606:7 *6686:DIODE 11.0679 +3 *606:7 *38550:A 10.6571 +*END + +*D_NET *607 0.00104325 +*CONN +*P la_iena_mprj[67] I +*I *6687:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38551:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[67] 0.000137249 +2 *6687:DIODE 0.000384374 +3 *38551:A 0 +4 *607:7 0.000521623 +5 *6687:DIODE *6829:DIODE 0 +6 *6687:DIODE *863:5 0 +7 *6687:DIODE *2106:15 0 +8 *6687:DIODE *3335:5 0 +9 *607:7 *863:5 0 +10 *607:7 *2106:15 0 +11 *607:7 *3716:17 0 +*RES +1 la_iena_mprj[67] *607:7 3.17857 +2 *607:7 *38551:A 13.8 +3 *607:7 *6687:DIODE 22.3714 +*END + +*D_NET *608 0.000961376 +*CONN +*P la_iena_mprj[68] I +*I *38552:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6688:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[68] 0.000180018 +2 *38552:A 0 +3 *6688:DIODE 0.00030067 +4 *608:8 0.000480688 +5 *6688:DIODE *864:5 0 +6 *6688:DIODE *4485:8 0 +7 *6688:DIODE *5159:20 0 +8 *608:8 *864:5 0 +9 *608:8 *3341:9 0 +10 *480:9 *6688:DIODE 0 +11 *480:9 *608:8 0 +*RES +1 la_iena_mprj[68] *608:8 8.5 +2 *608:8 *6688:DIODE 25.1036 +3 *608:8 *38552:A 9.3 +*END + +*D_NET *609 0.000974181 +*CONN +*P la_iena_mprj[69] I +*I *6689:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38553:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[69] 0.000333095 +2 *6689:DIODE 0.000128327 +3 *38553:A 2.56688e-05 +4 *609:5 0.00048709 +5 *6689:DIODE *3335:5 0 +6 *6689:DIODE *3738:13 0 +7 *6689:DIODE *4479:46 0 +8 *609:5 *865:8 0 +9 *7009:DIODE *609:5 0 +10 *481:5 *609:5 0 +*RES +1 la_iena_mprj[69] *609:5 7.5 +2 *609:5 *38553:A 14.3357 +3 *609:5 *6689:DIODE 16.6929 +*END + +*D_NET *610 0.00123514 +*CONN +*P la_iena_mprj[6] I +*I *38554:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6690:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[6] 0.000355749 +2 *38554:A 0 +3 *6690:DIODE 0.000261822 +4 *610:8 0.000617571 +5 *6690:DIODE *6832:DIODE 0 +6 *6690:DIODE *4504:43 0 +7 *6690:DIODE *4504:57 0 +8 *6690:DIODE *4537:19 0 +9 *6690:DIODE *4789:10 0 +10 *610:8 *6832:DIODE 0 +11 *610:8 *39113:A 0 +12 *610:8 *4504:43 0 +13 *610:8 *4842:17 0 +14 *7010:DIODE *610:8 0 +15 *482:5 *610:8 0 +*RES +1 la_iena_mprj[6] *610:8 12.5357 +2 *610:8 *6690:DIODE 14.7643 +3 *610:8 *38554:A 9.3 +*END + +*D_NET *611 0.000838884 +*CONN +*P la_iena_mprj[70] I +*I *6691:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38555:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_iena_mprj[70] 0.000239458 +2 *6691:DIODE 0.000134635 +3 *38555:A 4.53482e-05 +4 *611:5 0.000419442 +5 *6691:DIODE *4851:20 0 +6 *38555:A *5170:14 0 +7 *611:5 *39112:A 0 +8 *611:5 *867:8 0 +9 *7011:DIODE *6691:DIODE 0 +10 *7011:DIODE *611:5 0 +11 *483:5 *611:5 0 +*RES +1 la_iena_mprj[70] *611:5 5.375 +2 *611:5 *38555:A 14.7464 +3 *611:5 *6691:DIODE 16.8 +*END + +*D_NET *612 0.00135722 +*CONN +*P la_iena_mprj[71] I +*I *6692:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38556:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_iena_mprj[71] 0.000236706 +2 *6692:DIODE 0.000441904 +3 *38556:A 0 +4 *612:5 0.00067861 +5 *6692:DIODE *37378:A 0 +6 *6692:DIODE *2053:19 0 +7 *6692:DIODE *3139:11 0 +8 *6692:DIODE *3727:23 0 +9 *6692:DIODE *3771:17 0 +10 *6692:DIODE *4485:14 0 +11 *6692:DIODE *4489:15 0 +12 *612:5 *868:5 0 +13 *612:5 *3727:23 0 +14 *612:5 *3771:17 0 +15 *6551:DIODE *6692:DIODE 0 +16 *484:5 *6692:DIODE 0 +17 *484:5 *612:5 0 +*RES +1 la_iena_mprj[71] *612:5 5.375 +2 *612:5 *38556:A 13.8 +3 *612:5 *6692:DIODE 23.425 +*END + +*D_NET *613 0.000612469 +*CONN +*P la_iena_mprj[72] I +*I *6693:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38557:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_iena_mprj[72] 0.000236706 +2 *6693:DIODE 6.95291e-05 +3 *38557:A 0 +4 *613:5 0.000306235 +5 *613:5 *869:5 0 +6 *613:5 *2072:13 0 +7 *613:5 *2141:14 0 +8 *613:5 *3782:8 0 +*RES +1 la_iena_mprj[72] *613:5 5.375 +2 *613:5 *38557:A 13.8 +3 *613:5 *6693:DIODE 15.4429 +*END + +*D_NET *614 0.000966699 +*CONN +*P la_iena_mprj[73] I +*I *6695:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38559:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[73] 0.000238102 +2 *6695:DIODE 0.000219579 +3 *38559:A 2.56688e-05 +4 *614:5 0.000483349 +5 *6695:DIODE *1960:13 0 +6 *6695:DIODE *4858:48 0 +7 *38559:A *4488:11 0 +8 *38559:A *4490:16 0 +9 *614:5 *39117:A 0 +10 *614:5 *1982:19 0 +11 *6553:DIODE *614:5 0 +12 *486:5 *614:5 0 +*RES +1 la_iena_mprj[73] *614:5 5.375 +2 *614:5 *38559:A 14.3357 +3 *614:5 *6695:DIODE 18.8179 +*END + +*D_NET *615 0.0013446 +*CONN +*P la_iena_mprj[74] I +*I *6696:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38560:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[74] 0.000283486 +2 *6696:DIODE 0.000363147 +3 *38560:A 2.56688e-05 +4 *615:12 0.000672302 +5 *6696:DIODE *1987:13 0 +6 *6696:DIODE *3320:12 0 +7 *6696:DIODE *3760:17 0 +8 *6696:DIODE *5170:27 0 +9 *38560:A *39115:A 0 +10 *38560:A *3320:12 0 +11 *615:12 *39115:A 0 +12 *615:12 *2069:9 0 +13 *615:12 *2080:15 0 +14 *615:12 *2383:10 0 +15 *615:12 *3320:12 0 +16 *615:12 *3782:9 0 +17 *38418:A *6696:DIODE 0 +18 *487:5 *6696:DIODE 0 +*RES +1 la_iena_mprj[74] *615:12 10.8036 +2 *615:12 *38560:A 9.83571 +3 *615:12 *6696:DIODE 26.2821 +*END + +*D_NET *616 0.00091186 +*CONN +*P la_iena_mprj[75] I +*I *6697:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38561:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_iena_mprj[75] 0.000236627 +2 *6697:DIODE 0.000134596 +3 *38561:A 8.4707e-05 +4 *616:5 0.00045593 +5 *6697:DIODE *2087:15 0 +6 *6697:DIODE *4490:27 0 +7 *6697:DIODE *5170:30 0 +8 *38561:A *3328:30 0 +9 *38561:A *4488:16 0 +10 *616:5 *1216:8 0 +11 *616:5 *2087:15 0 +12 *488:5 *616:5 0 +*RES +1 la_iena_mprj[75] *616:5 5.375 +2 *616:5 *38561:A 15.5679 +3 *616:5 *6697:DIODE 16.8 +*END + +*D_NET *617 0.000953286 +*CONN +*P la_iena_mprj[76] I +*I *38562:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6698:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[76] 0.000303403 +2 *38562:A 0.00017324 +3 *6698:DIODE 0 +4 *617:5 0.000476643 +5 *38562:A *39120:A 0 +6 *38562:A *2051:9 0 +7 *38562:A *2394:19 0 +8 *38562:A *4490:27 0 +9 *617:5 *38704:A 0 +10 *617:5 *39120:A 0 +11 *617:5 *873:5 0 +12 *617:5 *2051:9 0 +*RES +1 la_iena_mprj[76] *617:5 6.89286 +2 *617:5 *6698:DIODE 13.8 +3 *617:5 *38562:A 17.5321 +*END + +*D_NET *618 0.000708514 +*CONN +*P la_iena_mprj[77] I +*I *6699:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38563:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_iena_mprj[77] 0.00023936 +2 *6699:DIODE 6.95487e-05 +3 *38563:A 4.53482e-05 +4 *618:5 0.000354257 +5 *618:5 *2040:11 0 +6 *618:5 *4863:14 0 +7 *6557:DIODE *6699:DIODE 0 +8 *490:5 *618:5 0 +*RES +1 la_iena_mprj[77] *618:5 5.375 +2 *618:5 *38563:A 14.7464 +3 *618:5 *6699:DIODE 15.4429 +*END + +*D_NET *619 0.000794059 +*CONN +*P la_iena_mprj[78] I +*I *6700:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38564:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_iena_mprj[78] 0.000223374 +2 *6700:DIODE 0.000147987 +3 *38564:A 2.56688e-05 +4 *619:5 0.000397029 +5 *6700:DIODE *2416:15 0 +6 *6700:DIODE *3816:20 0 +7 *38564:A *3816:20 0 +8 *38564:A *5170:31 0 +9 *619:5 *4864:20 0 +10 *491:9 *6700:DIODE 0 +11 *491:9 *619:5 0 +*RES +1 la_iena_mprj[78] *619:5 5.07143 +2 *619:5 *38564:A 14.3357 +3 *619:5 *6700:DIODE 17.1036 +*END + +*D_NET *620 0.000663492 +*CONN +*P la_iena_mprj[79] I +*I *6701:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38565:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_iena_mprj[79] 0.000223197 +2 *6701:DIODE 8.28804e-05 +3 *38565:A 2.56688e-05 +4 *620:5 0.000331746 +5 *38565:A *4488:24 0 +6 *38565:A *5170:31 0 +*RES +1 la_iena_mprj[79] *620:5 5.07143 +2 *620:5 *38565:A 14.3357 +3 *620:5 *6701:DIODE 15.7464 +*END + +*D_NET *621 0.00184227 +*CONN +*P la_iena_mprj[7] I +*I *38566:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *6702:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[7] 0.000339755 +2 *38566:A 0 +3 *6702:DIODE 0.00058138 +4 *621:8 0.000921135 +5 *6702:DIODE *39443:B 0 +6 *6702:DIODE *877:8 0 +7 *6702:DIODE *4249:24 0 +8 *6702:DIODE *4371:13 0 +9 *6702:DIODE *4371:17 0 +10 *6702:DIODE *4537:23 0 +11 *6702:DIODE *4789:23 0 +12 *621:8 *877:8 0 +13 *621:8 *4451:72 0 +14 *621:8 *4571:19 0 +15 *621:8 *4866:16 0 +16 *493:12 *621:8 0 +*RES +1 la_iena_mprj[7] *621:8 12.0536 +2 *621:8 *6702:DIODE 30.8536 +3 *621:8 *38566:A 9.3 +*END + +*D_NET *622 0.00138405 +*CONN +*P la_iena_mprj[80] I +*I *6703:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38567:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_iena_mprj[80] 0.000236647 +2 *6703:DIODE 0.00037067 +3 *38567:A 8.4707e-05 +4 *622:5 0.000692024 +5 *6703:DIODE *6845:DIODE 0 +6 *6703:DIODE *38709:A 0 +7 *6703:DIODE *2394:27 0 +8 *38567:A *3860:37 0 +9 *38567:A *4488:24 0 +*RES +1 la_iena_mprj[80] *622:5 5.375 +2 *622:5 *38567:A 15.5679 +3 *622:5 *6703:DIODE 21.7286 +*END + +*D_NET *623 0.00121719 +*CONN +*P la_iena_mprj[81] I +*I *6704:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38568:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[81] 0.000138586 +2 *6704:DIODE 0.000470011 +3 *38568:A 0 +4 *623:7 0.000608597 +5 *6704:DIODE *39126:A 0 +6 *6704:DIODE *40414:A 0 +7 *6704:DIODE *2115:49 0 +8 *6704:DIODE *2424:74 0 +*RES +1 la_iena_mprj[81] *623:7 3.17857 +2 *623:7 *38568:A 13.8 +3 *623:7 *6704:DIODE 24.3893 +*END + +*D_NET *624 0.00121048 +*CONN +*P la_iena_mprj[82] I +*I *6706:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38570:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[82] 0.00030718 +2 *6706:DIODE 0.000173994 +3 *38570:A 0.000124066 +4 *624:11 0.00060524 +5 *6706:DIODE *3849:29 0 +6 *38570:A *3860:45 0 +7 *38570:A *4501:20 0 +8 *624:11 *2141:34 0 +*RES +1 la_iena_mprj[82] *624:11 15.8571 +2 *624:11 *38570:A 16.3893 +3 *624:11 *6706:DIODE 17.6214 +*END + +*D_NET *625 0.00113091 +*CONN +*P la_iena_mprj[83] I +*I *6707:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38571:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[83] 0.000437245 +2 *6707:DIODE 8.28607e-05 +3 *38571:A 4.53482e-05 +4 *625:11 0.000565454 +5 *38571:A *40582:A 0 +6 *38571:A *4495:36 0 +7 *625:11 *3782:61 0 +*RES +1 la_iena_mprj[83] *625:11 18.8036 +2 *625:11 *38571:A 14.7464 +3 *625:11 *6707:DIODE 15.7464 +*END + +*D_NET *626 0.00122162 +*CONN +*P la_iena_mprj[84] I +*I *6708:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38572:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[84] 0.000236706 +2 *6708:DIODE 0.000309074 +3 *38572:A 6.50276e-05 +4 *626:5 0.000610808 +5 *6708:DIODE *2424:69 0 +6 *6708:DIODE *3207:95 0 +7 *6708:DIODE *4494:28 0 +8 *38572:A *2407:41 0 +9 *38572:A *4488:74 0 +10 *626:5 *2115:66 0 +11 *626:5 *3207:95 0 +12 *626:5 *4494:28 0 +*RES +1 la_iena_mprj[84] *626:5 5.375 +2 *626:5 *38572:A 15.1571 +3 *626:5 *6708:DIODE 20.8357 +*END + +*D_NET *627 0.00129981 +*CONN +*P la_iena_mprj[85] I +*I *6709:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38573:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[85] 0.000572859 +2 *6709:DIODE 7.70469e-05 +3 *38573:A 0 +4 *627:12 0.000649906 +5 *6709:DIODE *2505:15 0 +6 *6709:DIODE *2516:12 0 +7 *627:12 *2516:12 0 +8 *627:12 *3860:45 0 +9 *627:12 *3860:61 0 +10 *499:9 *627:12 0 +*RES +1 la_iena_mprj[85] *627:12 16.9821 +2 *627:12 *38573:A 9.3 +3 *627:12 *6709:DIODE 20.1036 +*END + +*D_NET *628 0.00138995 +*CONN +*P la_iena_mprj[86] I +*I *38574:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6710:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[86] 0.00031651 +2 *38574:A 6.28885e-05 +3 *6710:DIODE 0.000315575 +4 *628:16 0.000694973 +5 *6710:DIODE *6852:DIODE 0 +6 *6710:DIODE *7188:DIODE 0 +7 *6710:DIODE *884:5 0 +8 *6710:DIODE *2072:48 0 +9 *6710:DIODE *4507:27 0 +10 *38574:A *39131:A 0 +11 *38574:A *2407:35 0 +12 *628:16 *39131:A 0 +13 *628:16 *2407:35 0 +14 *628:16 *4495:40 0 +*RES +1 la_iena_mprj[86] *628:16 12.0714 +2 *628:16 *6710:DIODE 25.4071 +3 *628:16 *38574:A 10.675 +*END + +*D_NET *629 0.00115513 +*CONN +*P la_iena_mprj[87] I +*I *38575:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6711:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[87] 0.000450504 +2 *38575:A 4.23535e-05 +3 *6711:DIODE 8.4707e-05 +4 *629:7 0.000577564 +5 *6711:DIODE *3444:5 0 +6 *6711:DIODE *3813:45 0 +7 *38575:A *3444:5 0 +8 *38575:A *3813:45 0 +9 *629:7 *2424:54 0 +10 *629:7 *2538:19 0 +11 *629:7 *3032:20 0 +12 *629:7 *4253:72 0 +13 *629:7 *4495:40 0 +*RES +1 la_iena_mprj[87] *629:7 14.7321 +2 *629:7 *6711:DIODE 11.0679 +3 *629:7 *38575:A 10.2464 +*END + +*D_NET *630 0.00132484 +*CONN +*P la_iena_mprj[88] I +*I *6712:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38576:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[88] 0.000129757 +2 *6712:DIODE 0.000326029 +3 *38576:A 0.000206634 +4 *630:7 0.00066242 +5 *6712:DIODE *37395:A 0 +6 *6712:DIODE *2431:86 0 +7 *6712:DIODE *2516:12 0 +8 *6712:DIODE *3442:66 0 +9 *38576:A *39132:A 0 +10 *38576:A *3207:83 0 +11 *38576:A *4875:47 0 +12 *38576:A *4875:55 0 +13 *630:7 *2407:35 0 +14 *630:7 *2431:86 0 +15 *630:7 *4875:55 0 +*RES +1 la_iena_mprj[88] *630:7 7.44643 +2 *630:7 *38576:A 13.675 +3 *630:7 *6712:DIODE 25.4964 +*END + +*D_NET *631 0.000992971 +*CONN +*P la_iena_mprj[89] I +*I *6713:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38577:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[89] 0.000355735 +2 *6713:DIODE 0.00014075 +3 *38577:A 0 +4 *631:8 0.000496486 +5 *6713:DIODE *4508:15 0 +6 *631:8 *2089:25 0 +7 *6571:DIODE *6713:DIODE 0 +*RES +1 la_iena_mprj[89] *631:8 12.5357 +2 *631:8 *38577:A 9.3 +3 *631:8 *6713:DIODE 12.3 +*END + +*D_NET *632 0.000703402 +*CONN +*P la_iena_mprj[8] I +*I *6714:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38578:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_iena_mprj[8] 0.000223374 +2 *6714:DIODE 0.000128327 +3 *38578:A 0 +4 *632:5 0.000351701 +5 *6714:DIODE *888:7 0 +6 *6714:DIODE *4249:15 0 +7 *632:5 *888:7 0 +8 *504:5 *632:5 0 +*RES +1 la_iena_mprj[8] *632:5 5.07143 +2 *632:5 *38578:A 13.8 +3 *632:5 *6714:DIODE 16.6929 +*END + +*D_NET *633 0.000829201 +*CONN +*P la_iena_mprj[90] I +*I *6715:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38579:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[90] 0.000174332 +2 *6715:DIODE 6.20329e-05 +3 *38579:A 0.000178236 +4 *633:8 0.0004146 +5 *6715:DIODE *2560:15 0 +6 *38579:A *37648:B 0 +7 *38579:A *2527:19 0 +8 *633:8 *2560:15 0 +*RES +1 la_iena_mprj[90] *633:8 8.30357 +2 *633:8 *38579:A 22.3 +3 *633:8 *6715:DIODE 10.6571 +*END + +*D_NET *634 0.00120936 +*CONN +*P la_iena_mprj[91] I +*I *6717:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38581:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[91] 0.000330165 +2 *6717:DIODE 0.000189809 +3 *38581:A 8.4707e-05 +4 *634:5 0.000604681 +5 *6717:DIODE *2749:64 0 +6 *38581:A *4508:15 0 +7 *38581:A *4878:51 0 +*RES +1 la_iena_mprj[91] *634:5 7.5 +2 *634:5 *38581:A 15.5679 +3 *634:5 *6717:DIODE 18.175 +*END + +*D_NET *635 0.0010744 +*CONN +*P la_iena_mprj[92] I +*I *38582:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6718:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[92] 0.000451528 +2 *38582:A 0 +3 *6718:DIODE 8.56726e-05 +4 *635:22 0.000537201 +5 *635:22 *1212:16 0 +6 *635:22 *1223:14 0 +7 *635:22 *2051:48 0 +8 *635:22 *2390:30 0 +9 *635:22 *4508:23 0 +10 *507:17 *635:22 0 +*RES +1 la_iena_mprj[92] *635:22 15.1071 +2 *635:22 *6718:DIODE 20.2464 +3 *635:22 *38582:A 9.3 +*END + +*D_NET *636 0.00100084 +*CONN +*P la_iena_mprj[93] I +*I *6719:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38583:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[93] 0.00039903 +2 *6719:DIODE 0.000101392 +3 *38583:A 0 +4 *636:10 0.000500422 +5 *6719:DIODE *6861:DIODE 0 +6 *6719:DIODE *4513:101 0 +7 *636:10 *2390:30 0 +8 *636:10 *2738:37 0 +9 *636:10 *4513:101 0 +10 *508:12 *636:10 0 +*RES +1 la_iena_mprj[93] *636:10 13.4821 +2 *636:10 *38583:A 9.3 +3 *636:10 *6719:DIODE 11.4786 +*END + +*D_NET *637 0.00144856 +*CONN +*P la_iena_mprj[94] I +*I *38584:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6720:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[94] 0.000424731 +2 *38584:A 0 +3 *6720:DIODE 0.000299549 +4 *637:10 0.00072428 +5 *6720:DIODE *2124:55 0 +6 *637:10 *2124:55 0 +7 *637:10 *2727:34 0 +8 *637:10 *4508:23 0 +9 *637:10 *4885:29 0 +10 la_data_in_mprj[95] *6720:DIODE 0 +11 *6579:DIODE *6720:DIODE 0 +*RES +1 la_iena_mprj[94] *637:10 13.5536 +2 *637:10 *6720:DIODE 25.1036 +3 *637:10 *38584:A 9.3 +*END + +*D_NET *638 0.00140017 +*CONN +*P la_iena_mprj[95] I +*I *6721:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38585:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[95] 0.000598695 +2 *6721:DIODE 0.000101392 +3 *38585:A 0 +4 *638:12 0.000700087 +5 *6721:DIODE *40177:A 0 +6 *6721:DIODE *4521:23 0 +7 *638:12 *40119:A 0 +8 *638:12 *40177:A 0 +9 *638:12 *2424:31 0 +10 *638:12 *2978:8 0 +11 *638:12 *3134:45 0 +12 *638:12 *4878:42 0 +*RES +1 la_iena_mprj[95] *638:12 17.7143 +2 *638:12 *38585:A 9.3 +3 *638:12 *6721:DIODE 11.4786 +*END + +*D_NET *639 0.00116699 +*CONN +*P la_iena_mprj[96] I +*I *6722:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38586:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[96] 0.000409899 +2 *6722:DIODE 0.000147928 +3 *38586:A 2.56688e-05 +4 *639:13 0.000583495 +5 *6722:DIODE *6864:DIODE 0 +6 *639:13 *2760:44 0 +7 *639:13 *3279:12 0 +*RES +1 la_iena_mprj[96] *639:13 9.64286 +2 *639:13 *38586:A 14.3357 +3 *639:13 *6722:DIODE 17.1036 +*END + +*D_NET *640 0.00130921 +*CONN +*P la_iena_mprj[97] I +*I *6723:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38587:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[97] 0.000553215 +2 *6723:DIODE 0.000101392 +3 *38587:A 0 +4 *640:16 0.000654607 +5 *6723:DIODE *2749:40 0 +6 *6723:DIODE *3105:81 0 +7 *640:16 *1230:20 0 +8 *640:16 *3105:81 0 +9 *640:16 *4521:23 0 +*RES +1 la_iena_mprj[97] *640:16 17.5357 +2 *640:16 *38587:A 9.3 +3 *640:16 *6723:DIODE 11.4786 +*END + +*D_NET *641 0.000877507 +*CONN +*P la_iena_mprj[98] I +*I *6724:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38588:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[98] 0.000330243 +2 *6724:DIODE 8.28411e-05 +3 *38588:A 2.56688e-05 +4 *641:5 0.000438753 +5 *641:5 *3291:10 0 +*RES +1 la_iena_mprj[98] *641:5 7.5 +2 *641:5 *38588:A 14.3357 +3 *641:5 *6724:DIODE 15.7464 +*END + +*D_NET *642 0.000993208 +*CONN +*P la_iena_mprj[99] I +*I *6725:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38589:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_iena_mprj[99] 0.000395212 +2 *6725:DIODE 0.000101392 +3 *38589:A 0 +4 *642:8 0.000496604 +5 *6725:DIODE *2749:40 0 +6 *6725:DIODE *3105:81 0 +7 *642:8 *39145:A 0 +8 *642:8 *2379:44 0 +9 *642:8 *2749:40 0 +10 *642:8 *3105:81 0 +*RES +1 la_iena_mprj[99] *642:8 13.3571 +2 *642:8 *38589:A 9.3 +3 *642:8 *6725:DIODE 11.4786 +*END + +*D_NET *643 0.00132956 +*CONN +*P la_iena_mprj[9] I +*I *38590:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6726:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_iena_mprj[9] 0.000286139 +2 *38590:A 6.28885e-05 +3 *6726:DIODE 0.000315752 +4 *643:8 0.000664779 +5 *6726:DIODE *39146:A 0 +6 *6726:DIODE *899:5 0 +7 *6726:DIODE *4523:10 0 +8 *6726:DIODE *4693:29 0 +9 *38590:A *4693:9 0 +10 *643:8 *899:5 0 +11 *643:8 *4693:9 0 +12 *515:5 *643:8 0 +*RES +1 la_iena_mprj[9] *643:8 10.6607 +2 *643:8 *6726:DIODE 25.4071 +3 *643:8 *38590:A 10.675 +*END + +*D_NET *644 0.000420006 +*CONN +*P la_oenb_core[0] O +*I *39147:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[0] 0.000210003 +2 *39147:X 0.000210003 +3 la_data_in_core[1] la_oenb_core[0] 0 +4 *260:11 la_oenb_core[0] 0 +*RES +1 *39147:X la_oenb_core[0] 18.5679 +*END + +*D_NET *645 0.00130401 +*CONN +*P la_oenb_core[100] O +*I *39148:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[100] 0.000652004 +2 *39148:X 0.000652004 +3 la_oenb_core[100] *39273:A 0 +4 la_oenb_core[100] *1004:20 0 +5 la_oenb_core[100] *2470:39 0 +6 la_oenb_core[100] *2470:47 0 +7 la_data_in_core[101] la_oenb_core[100] 0 +*RES +1 *39148:X la_oenb_core[100] 36.9786 +*END + +*D_NET *646 0.00143049 +*CONN +*P la_oenb_core[101] O +*I *39149:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[101] 0.000715247 +2 *39149:X 0.000715247 +3 la_oenb_core[101] *2459:33 0 +4 la_oenb_core[101] *2463:14 0 +5 la_oenb_core[101] *4731:8 0 +6 la_oenb_core[101] *4745:31 0 +7 la_data_in_core[102] la_oenb_core[101] 0 +8 *262:7 la_oenb_core[101] 0 +*RES +1 *39149:X la_oenb_core[101] 29.6036 +*END + +*D_NET *647 0.000804077 +*CONN +*P la_oenb_core[102] O +*I *39150:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[102] 0.000402039 +2 *39150:X 0.000402039 +3 la_oenb_core[102] *2468:27 0 +4 la_oenb_core[102] *4609:43 0 +5 la_oenb_core[102] *5031:25 0 +6 *263:7 la_oenb_core[102] 0 +*RES +1 *39150:X la_oenb_core[102] 22.9071 +*END + +*D_NET *648 0.000780236 +*CONN +*P la_oenb_core[103] O +*I *39151:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[103] 0.000390118 +2 *39151:X 0.000390118 +3 la_data_in_core[104] la_oenb_core[103] 0 +4 *265:7 la_oenb_core[103] 0 +*RES +1 *39151:X la_oenb_core[103] 22.6571 +*END + +*D_NET *649 0.000998609 +*CONN +*P la_oenb_core[104] O +*I *39152:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[104] 0.000499304 +2 *39152:X 0.000499304 +3 la_oenb_core[104] *39152:A 0 +4 la_oenb_core[104] *2462:43 0 +5 la_oenb_core[104] *2470:19 0 +6 la_oenb_core[104] *2470:39 0 +7 la_data_in_core[105] la_oenb_core[104] 0 +*RES +1 *39152:X la_oenb_core[104] 24.7643 +*END + +*D_NET *650 0.000962988 +*CONN +*P la_oenb_core[105] O +*I *39153:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[105] 0.000481494 +2 *39153:X 0.000481494 +3 la_oenb_core[105] *4909:39 0 +4 la_oenb_core[105] *5031:8 0 +5 la_oenb_core[105] *5032:38 0 +6 la_data_in_core[106] la_oenb_core[105] 0 +*RES +1 *39153:X la_oenb_core[105] 24.675 +*END + +*D_NET *651 0.00110256 +*CONN +*P la_oenb_core[106] O +*I *39154:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[106] 0.00055128 +2 *39154:X 0.00055128 +3 la_oenb_core[106] *38898:A 0 +4 la_oenb_core[106] *1020:13 0 +5 la_oenb_core[106] *2470:19 0 +6 la_data_in_core[107] la_oenb_core[106] 0 +*RES +1 *39154:X la_oenb_core[106] 25.8 +*END + +*D_NET *652 0.00125852 +*CONN +*P la_oenb_core[107] O +*I *39155:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[107] 0.00062926 +2 *39155:X 0.00062926 +3 la_oenb_core[107] *39154:A 0 +4 la_oenb_core[107] *2470:19 0 +5 la_oenb_core[107] *4900:25 0 +6 *268:7 la_oenb_core[107] 0 +*RES +1 *39155:X la_oenb_core[107] 27.3179 +*END + +*D_NET *653 0.00119021 +*CONN +*P la_oenb_core[108] O +*I *39156:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[108] 0.000595105 +2 *39156:X 0.000595105 +3 la_oenb_core[108] *2462:36 0 +4 la_oenb_core[108] *2468:18 0 +5 la_oenb_core[108] *2470:8 0 +6 la_oenb_core[108] *4745:19 0 +7 la_oenb_core[108] *4907:15 0 +8 la_data_in_core[109] la_oenb_core[108] 0 +*RES +1 *39156:X la_oenb_core[108] 27.1571 +*END + +*D_NET *654 0.00090854 +*CONN +*P la_oenb_core[109] O +*I *39157:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[109] 0.00045427 +2 *39157:X 0.00045427 +3 la_oenb_core[109] *39157:A 0 +4 la_oenb_core[109] *2462:36 0 +5 la_oenb_core[109] *5032:25 0 +6 *270:7 la_oenb_core[109] 0 +*RES +1 *39157:X la_oenb_core[109] 23.8893 +*END + +*D_NET *655 0.00113697 +*CONN +*P la_oenb_core[10] O +*I *39158:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[10] 0.000568483 +2 *39158:X 0.000568483 +3 la_oenb_core[10] *2520:33 0 +4 la_oenb_core[10] *2651:20 0 +5 la_oenb_core[10] *2710:54 0 +6 la_data_in_core[11] la_oenb_core[10] 0 +7 *271:27 la_oenb_core[10] 0 +*RES +1 *39158:X la_oenb_core[10] 26.3714 +*END + +*D_NET *656 0.000832933 +*CONN +*P la_oenb_core[110] O +*I *39159:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[110] 0.000416467 +2 *39159:X 0.000416467 +3 la_oenb_core[110] *4900:18 0 +4 la_oenb_core[110] *4900:25 0 +5 la_data_in_core[111] la_oenb_core[110] 0 +*RES +1 *39159:X la_oenb_core[110] 23.3179 +*END + +*D_NET *657 0.00095925 +*CONN +*P la_oenb_core[111] O +*I *39160:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[111] 0.000479625 +2 *39160:X 0.000479625 +3 la_oenb_core[111] *39160:A 0 +4 la_oenb_core[111] *4621:21 0 +5 la_data_in_core[112] la_oenb_core[111] 0 +*RES +1 *39160:X la_oenb_core[111] 24.3536 +*END + +*D_NET *658 0.00109707 +*CONN +*P la_oenb_core[112] O +*I *39161:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[112] 0.000548537 +2 *39161:X 0.000548537 +3 la_oenb_core[112] *2462:30 0 +4 la_oenb_core[112] *4621:21 0 +5 la_oenb_core[112] *4912:29 0 +6 la_data_in_core[113] la_oenb_core[112] 0 +*RES +1 *39161:X la_oenb_core[112] 35.0321 +*END + +*D_NET *659 0.00135284 +*CONN +*P la_oenb_core[113] O +*I *39162:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[113] 0.000676419 +2 *39162:X 0.000676419 +3 la_oenb_core[113] *39162:A 0 +4 la_oenb_core[113] *4621:21 0 +5 la_data_in_core[114] la_oenb_core[113] 0 +6 *276:13 la_oenb_core[113] 0 +*RES +1 *39162:X la_oenb_core[113] 28.4607 +*END + +*D_NET *660 0.00126 +*CONN +*P la_oenb_core[114] O +*I *39163:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[114] 0.000629998 +2 *39163:X 0.000629998 +3 la_oenb_core[114] *4621:21 0 +4 la_data_in_core[115] la_oenb_core[114] 0 +*RES +1 *39163:X la_oenb_core[114] 27.4429 +*END + +*D_NET *661 0.00116431 +*CONN +*P la_oenb_core[115] O +*I *39164:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[115] 0.000582154 +2 *39164:X 0.000582154 +3 la_oenb_core[115] *39164:A 0 +4 la_oenb_core[115] *2462:15 0 +5 la_oenb_core[115] *4621:20 0 +6 la_oenb_core[115] *4625:25 0 +7 la_oenb_core[115] *4627:18 0 +8 la_oenb_core[115] *4628:6 0 +9 la_data_in_core[116] la_oenb_core[115] 0 +*RES +1 *39164:X la_oenb_core[115] 26.9964 +*END + +*D_NET *662 0.000910291 +*CONN +*P la_oenb_core[116] O +*I *39165:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[116] 0.000455145 +2 *39165:X 0.000455145 +3 la_oenb_core[116] *4621:20 0 +4 la_data_in_core[117] la_oenb_core[116] 0 +*RES +1 *39165:X la_oenb_core[116] 24.0143 +*END + +*D_NET *663 0.000936482 +*CONN +*P la_oenb_core[117] O +*I *39166:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[117] 0.000468241 +2 *39166:X 0.000468241 +3 la_oenb_core[117] *39166:A 0 +4 la_oenb_core[117] *4621:20 0 +5 la_oenb_core[117] *4914:29 0 +6 *279:10 la_oenb_core[117] 0 +*RES +1 *39166:X la_oenb_core[117] 24.2643 +*END + +*D_NET *664 0.00095925 +*CONN +*P la_oenb_core[118] O +*I *39167:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[118] 0.000479625 +2 *39167:X 0.000479625 +3 la_oenb_core[118] *39167:A 0 +4 la_oenb_core[118] *4629:19 0 +5 la_data_in_core[119] la_oenb_core[118] 0 +*RES +1 *39167:X la_oenb_core[118] 24.3536 +*END + +*D_NET *665 0.00108205 +*CONN +*P la_oenb_core[119] O +*I *39168:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[119] 0.000541027 +2 *39168:X 0.000541027 +3 la_oenb_core[119] *4621:14 0 +4 la_oenb_core[119] *4916:15 0 +5 la_oenb_core[119] *4924:15 0 +6 la_data_in_core[120] la_oenb_core[119] 0 +7 *283:7 la_oenb_core[119] 0 +*RES +1 *39168:X la_oenb_core[119] 25.7821 +*END + +*D_NET *666 0.00112739 +*CONN +*P la_oenb_core[11] O +*I *39169:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[11] 0.000563696 +2 *39169:X 0.000563696 +3 la_oenb_core[11] *2503:16 0 +4 la_oenb_core[11] *2520:33 0 +5 la_oenb_core[11] *2710:54 0 +6 la_oenb_core[11] *4933:35 0 +7 la_data_in_core[12] la_oenb_core[11] 0 +8 *331:11 la_oenb_core[11] 0 +*RES +1 *39169:X la_oenb_core[11] 26.3714 +*END + +*D_NET *667 0.00129345 +*CONN +*P la_oenb_core[120] O +*I *39170:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[120] 0.000646724 +2 *39170:X 0.000646724 +3 la_oenb_core[120] *38914:A 0 +4 la_oenb_core[120] *4633:13 0 +5 la_data_in_core[121] la_oenb_core[120] 0 +*RES +1 *39170:X la_oenb_core[120] 27.8893 +*END + +*D_NET *668 0.00126 +*CONN +*P la_oenb_core[121] O +*I *39171:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[121] 0.000629998 +2 *39171:X 0.000629998 +3 la_oenb_core[121] *4633:13 0 +4 la_data_in_core[122] la_oenb_core[121] 0 +*RES +1 *39171:X la_oenb_core[121] 27.4429 +*END + +*D_NET *669 0.00114949 +*CONN +*P la_oenb_core[122] O +*I *39172:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[122] 0.000574746 +2 *39172:X 0.000574746 +3 la_oenb_core[122] *39171:A 0 +4 la_oenb_core[122] *39172:A 0 +5 la_oenb_core[122] *4618:17 0 +6 la_oenb_core[122] *4634:11 0 +7 la_oenb_core[122] *4641:8 0 +8 la_oenb_core[122] *4919:12 0 +9 *285:10 la_oenb_core[122] 0 +*RES +1 *39172:X la_oenb_core[122] 26.6214 +*END + +*D_NET *670 0.00094965 +*CONN +*P la_oenb_core[123] O +*I *39173:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[123] 0.000474825 +2 *39173:X 0.000474825 +3 la_oenb_core[123] *4607:17 0 +4 la_oenb_core[123] *4641:12 0 +5 la_data_in_core[124] la_oenb_core[123] 0 +*RES +1 *39173:X la_oenb_core[123] 24.425 +*END + +*D_NET *671 0.000785741 +*CONN +*P la_oenb_core[124] O +*I *39174:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[124] 0.000392871 +2 *39174:X 0.000392871 +3 la_oenb_core[124] *38917:A 0 +4 la_oenb_core[124] *4633:12 0 +5 la_oenb_core[124] *4636:8 0 +6 la_data_in_core[125] la_oenb_core[124] 0 +*RES +1 *39174:X la_oenb_core[124] 22.6571 +*END + +*D_NET *672 0.000972589 +*CONN +*P la_oenb_core[125] O +*I *39175:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[125] 0.000486294 +2 *39175:X 0.000486294 +3 la_oenb_core[125] *39175:A 0 +4 la_oenb_core[125] *4638:11 0 +5 la_data_in_core[126] la_oenb_core[125] 0 +*RES +1 *39175:X la_oenb_core[125] 24.6036 +*END + +*D_NET *673 0.00106733 +*CONN +*P la_oenb_core[126] O +*I *39176:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[126] 0.000533666 +2 *39176:X 0.000533666 +3 la_oenb_core[126] *39176:A 0 +4 la_oenb_core[126] *4607:9 0 +5 la_data_in_core[127] la_oenb_core[126] 0 +*RES +1 *39176:X la_oenb_core[126] 25.6571 +*END + +*D_NET *674 0.00128598 +*CONN +*P la_oenb_core[127] O +*I *39177:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[127] 0.000642992 +2 *39177:X 0.000642992 +3 la_oenb_core[127] user_clock2 0 +4 la_oenb_core[127] *39177:A 0 +5 la_oenb_core[127] *4638:11 0 +6 la_oenb_core[127] *4925:8 0 +7 *290:7 la_oenb_core[127] 0 +*RES +1 *39177:X la_oenb_core[127] 27.7643 +*END + +*D_NET *675 0.000908815 +*CONN +*P la_oenb_core[12] O +*I *39178:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[12] 0.000454408 +2 *39178:X 0.000454408 +3 la_oenb_core[12] *2520:31 0 +4 la_oenb_core[12] *2663:24 0 +5 la_oenb_core[12] *2666:24 0 +6 la_data_in_core[13] la_oenb_core[12] 0 +7 *291:15 la_oenb_core[12] 0 +*RES +1 *39178:X la_oenb_core[12] 23.8893 +*END + +*D_NET *676 0.00118869 +*CONN +*P la_oenb_core[13] O +*I *39179:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[13] 0.000594347 +2 *39179:X 0.000594347 +3 la_oenb_core[13] *7051:DIODE 0 +4 la_oenb_core[13] *2493:32 0 +5 la_oenb_core[13] *2498:50 0 +6 la_oenb_core[13] *2518:30 0 +7 la_oenb_core[13] *2647:33 0 +8 la_oenb_core[13] *2710:25 0 +9 la_oenb_core[13] *2710:44 0 +10 la_oenb_core[13] *4665:14 0 +11 la_data_in_core[14] la_oenb_core[13] 0 +*RES +1 *39179:X la_oenb_core[13] 27.0321 +*END + +*D_NET *677 0.000473451 +*CONN +*P la_oenb_core[14] O +*I *39180:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[14] 0.000236725 +2 *39180:X 0.000236725 +3 la_data_in_core[15] la_oenb_core[14] 0 +4 *293:18 la_oenb_core[14] 0 +*RES +1 *39180:X la_oenb_core[14] 19.175 +*END + +*D_NET *678 0.000473411 +*CONN +*P la_oenb_core[15] O +*I *39181:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[15] 0.000236706 +2 *39181:X 0.000236706 +3 la_data_in_core[16] la_oenb_core[15] 0 +*RES +1 *39181:X la_oenb_core[15] 19.175 +*END + +*D_NET *679 0.000629222 +*CONN +*P la_oenb_core[16] O +*I *39182:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[16] 0.000314611 +2 *39182:X 0.000314611 +3 la_oenb_core[16] *2493:32 0 +4 la_data_in_core[17] la_oenb_core[16] 0 +*RES +1 *39182:X la_oenb_core[16] 20.9429 +*END + +*D_NET *680 0.0010709 +*CONN +*P la_oenb_core[17] O +*I *39183:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[17] 0.000535452 +2 *39183:X 0.000535452 +3 la_oenb_core[17] *2492:74 0 +4 la_oenb_core[17] *2680:25 0 +5 la_oenb_core[17] *4647:19 0 +6 la_data_in_core[18] la_oenb_core[17] 0 +7 *296:12 la_oenb_core[17] 0 +*RES +1 *39183:X la_oenb_core[17] 25.6571 +*END + +*D_NET *681 0.00094965 +*CONN +*P la_oenb_core[18] O +*I *39184:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[18] 0.000474825 +2 *39184:X 0.000474825 +3 la_oenb_core[18] *2490:36 0 +4 la_oenb_core[18] *2493:46 0 +5 la_oenb_core[18] *2710:24 0 +6 la_oenb_core[18] *4647:19 0 +7 la_oenb_core[18] *4656:27 0 +8 la_oenb_core[18] *4665:30 0 +9 la_oenb_core[18] *4934:29 0 +10 la_data_in_core[19] la_oenb_core[18] 0 +*RES +1 *39184:X la_oenb_core[18] 24.425 +*END + +*D_NET *682 0.000914726 +*CONN +*P la_oenb_core[19] O +*I *39185:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[19] 0.000457363 +2 *39185:X 0.000457363 +3 la_oenb_core[19] *7212:DIODE 0 +4 la_oenb_core[19] *2493:51 0 +5 la_oenb_core[19] *2758:32 0 +6 la_oenb_core[19] *4934:35 0 +7 *341:29 la_oenb_core[19] 0 +*RES +1 *39185:X la_oenb_core[19] 23.8893 +*END + +*D_NET *683 0.00047349 +*CONN +*P la_oenb_core[1] O +*I *39186:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[1] 0.000236745 +2 *39186:X 0.000236745 +3 la_data_in_core[2] la_oenb_core[1] 0 +*RES +1 *39186:X la_oenb_core[1] 19.175 +*END + +*D_NET *684 0.00114988 +*CONN +*P la_oenb_core[20] O +*I *39187:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[20] 0.000574941 +2 *39187:X 0.000574941 +3 la_oenb_core[20] *38932:A 0 +4 la_oenb_core[20] *2485:36 0 +5 la_oenb_core[20] *4658:28 0 +6 la_oenb_core[20] *4966:14 0 +7 *300:7 la_oenb_core[20] 0 +*RES +1 *39187:X la_oenb_core[20] 26.8714 +*END + +*D_NET *685 0.000526109 +*CONN +*P la_oenb_core[21] O +*I *39188:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[21] 0.000263054 +2 *39188:X 0.000263054 +3 la_oenb_core[21] *2710:24 0 +4 la_data_in_core[22] la_oenb_core[21] 0 +5 *301:7 la_oenb_core[21] 0 +*RES +1 *39188:X la_oenb_core[21] 19.8357 +*END + +*D_NET *686 0.000467198 +*CONN +*P la_oenb_core[22] O +*I *39189:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[22] 0.000233599 +2 *39189:X 0.000233599 +3 la_oenb_core[22] *2758:56 0 +4 la_data_in_core[23] la_oenb_core[22] 0 +*RES +1 *39189:X la_oenb_core[22] 19.1036 +*END + +*D_NET *687 0.000592924 +*CONN +*P la_oenb_core[23] O +*I *39190:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[23] 0.000296462 +2 *39190:X 0.000296462 +3 la_data_in_core[24] la_oenb_core[23] 0 +*RES +1 *39190:X la_oenb_core[23] 20.5321 +*END + +*D_NET *688 0.000908658 +*CONN +*P la_oenb_core[24] O +*I *39191:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[24] 0.000454329 +2 *39191:X 0.000454329 +3 la_oenb_core[24] *39191:A 0 +4 la_oenb_core[24] *39192:A 0 +5 la_oenb_core[24] *2485:39 0 +6 la_oenb_core[24] *2496:54 0 +7 la_data_in_core[25] la_oenb_core[24] 0 +*RES +1 *39191:X la_oenb_core[24] 23.8893 +*END + +*D_NET *689 0.000908618 +*CONN +*P la_oenb_core[25] O +*I *39192:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[25] 0.000454309 +2 *39192:X 0.000454309 +3 la_oenb_core[25] *38937:A 0 +4 la_oenb_core[25] *39192:A 0 +5 la_oenb_core[25] *2485:39 0 +6 la_oenb_core[25] *2490:39 0 +7 la_oenb_core[25] *4673:23 0 +8 la_oenb_core[25] *4946:24 0 +9 la_data_in_core[26] la_oenb_core[25] 0 +*RES +1 *39192:X la_oenb_core[25] 23.8893 +*END + +*D_NET *690 0.000908461 +*CONN +*P la_oenb_core[26] O +*I *39193:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[26] 0.000454231 +2 *39193:X 0.000454231 +3 la_oenb_core[26] *2485:41 0 +4 la_oenb_core[26] *4665:64 0 +5 la_oenb_core[26] *4943:15 0 +6 la_oenb_core[26] *4946:24 0 +7 la_data_in_core[27] la_oenb_core[26] 0 +8 *306:7 la_oenb_core[26] 0 +*RES +1 *39193:X la_oenb_core[26] 23.8893 +*END + +*D_NET *691 0.00114914 +*CONN +*P la_oenb_core[27] O +*I *39194:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[27] 0.000574569 +2 *39194:X 0.000574569 +3 la_oenb_core[27] *39194:A 0 +4 la_oenb_core[27] *39815:A 0 +5 la_oenb_core[27] *2452:40 0 +6 la_oenb_core[27] *2575:28 0 +7 la_oenb_core[27] *2640:16 0 +8 la_oenb_core[27] *2711:25 0 +9 la_data_in_core[28] la_oenb_core[27] 0 +*RES +1 *39194:X la_oenb_core[27] 26.6214 +*END + +*D_NET *692 0.000420006 +*CONN +*P la_oenb_core[28] O +*I *39195:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[28] 0.000210003 +2 *39195:X 0.000210003 +3 la_data_in_core[29] la_oenb_core[28] 0 +4 *308:7 la_oenb_core[28] 0 +*RES +1 *39195:X la_oenb_core[28] 18.5679 +*END + +*D_NET *693 0.00047349 +*CONN +*P la_oenb_core[29] O +*I *39196:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[29] 0.000236745 +2 *39196:X 0.000236745 +3 *309:11 la_oenb_core[29] 0 +*RES +1 *39196:X la_oenb_core[29] 19.175 +*END + +*D_NET *694 0.000603545 +*CONN +*P la_oenb_core[2] O +*I *39197:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[2] 0.000301773 +2 *39197:X 0.000301773 +3 la_oenb_core[2] *2530:73 0 +4 la_oenb_core[2] *2553:29 0 +5 la_data_in_core[3] la_oenb_core[2] 0 +*RES +1 *39197:X la_oenb_core[2] 20.5321 +*END + +*D_NET *695 0.000446748 +*CONN +*P la_oenb_core[30] O +*I *39198:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[30] 0.000223374 +2 *39198:X 0.000223374 +3 la_oenb_core[30] *2485:53 0 +4 la_data_in_core[31] la_oenb_core[30] 0 +5 *311:13 la_oenb_core[30] 0 +*RES +1 *39198:X la_oenb_core[30] 18.8714 +*END + +*D_NET *696 0.000910094 +*CONN +*P la_oenb_core[31] O +*I *39199:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[31] 0.000455047 +2 *39199:X 0.000455047 +3 la_oenb_core[31] *38944:A 0 +4 la_oenb_core[31] *39200:A 0 +5 la_oenb_core[31] *2451:37 0 +6 la_oenb_core[31] *4950:10 0 +7 la_data_in_core[32] la_oenb_core[31] 0 +*RES +1 *39199:X la_oenb_core[31] 24.0143 +*END + +*D_NET *697 0.00100155 +*CONN +*P la_oenb_core[32] O +*I *39200:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[32] 0.000500773 +2 *39200:X 0.000500773 +3 la_oenb_core[32] *39200:A 0 +4 la_oenb_core[32] *2451:37 0 +5 la_oenb_core[32] *2484:49 0 +6 la_data_in_core[33] la_oenb_core[32] 0 +*RES +1 *39200:X la_oenb_core[32] 24.8357 +*END + +*D_NET *698 0.000949493 +*CONN +*P la_oenb_core[33] O +*I *39201:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[33] 0.000474746 +2 *39201:X 0.000474746 +3 la_oenb_core[33] *2484:51 0 +4 la_oenb_core[33] *4675:26 0 +5 la_oenb_core[33] *5049:18 0 +6 la_data_in_core[34] la_oenb_core[33] 0 +*RES +1 *39201:X la_oenb_core[33] 24.425 +*END + +*D_NET *699 0.00115065 +*CONN +*P la_oenb_core[34] O +*I *39202:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[34] 0.000575327 +2 *39202:X 0.000575327 +3 la_oenb_core[34] *38947:A 0 +4 la_oenb_core[34] *2575:15 0 +5 la_oenb_core[34] *2711:25 0 +6 la_oenb_core[34] *4954:26 0 +7 la_oenb_core[34] *4965:24 0 +8 la_data_in_core[35] la_oenb_core[34] 0 +*RES +1 *39202:X la_oenb_core[34] 26.7464 +*END + +*D_NET *700 0.000420006 +*CONN +*P la_oenb_core[35] O +*I *39203:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[35] 0.000210003 +2 *39203:X 0.000210003 +3 la_data_in_core[36] la_oenb_core[35] 0 +4 *316:7 la_oenb_core[35] 0 +*RES +1 *39203:X la_oenb_core[35] 18.5679 +*END + +*D_NET *701 0.00047349 +*CONN +*P la_oenb_core[36] O +*I *39204:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[36] 0.000236745 +2 *39204:X 0.000236745 +3 la_oenb_core[36] *4673:44 0 +4 la_data_in_core[37] la_oenb_core[36] 0 +*RES +1 *39204:X la_oenb_core[36] 19.175 +*END + +*D_NET *702 0.000471343 +*CONN +*P la_oenb_core[37] O +*I *39205:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[37] 0.000235672 +2 *39205:X 0.000235672 +3 la_oenb_core[37] *1004:20 0 +4 la_oenb_core[37] *4675:39 0 +5 la_data_in_core[38] la_oenb_core[37] 0 +6 *318:7 la_oenb_core[37] 0 +*RES +1 *39205:X la_oenb_core[37] 19.1036 +*END + +*D_NET *703 0.000935968 +*CONN +*P la_oenb_core[38] O +*I *39206:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[38] 0.000467984 +2 *39206:X 0.000467984 +3 la_oenb_core[38] *4963:57 0 +4 la_data_in_core[39] la_oenb_core[38] 0 +*RES +1 *39206:X la_oenb_core[38] 24.425 +*END + +*D_NET *704 0.000908815 +*CONN +*P la_oenb_core[39] O +*I *39207:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[39] 0.000454408 +2 *39207:X 0.000454408 +3 la_oenb_core[39] *2451:37 0 +4 la_oenb_core[39] *2452:55 0 +5 la_oenb_core[39] *2484:51 0 +6 la_oenb_core[39] *4956:15 0 +*RES +1 *39207:X la_oenb_core[39] 23.8893 +*END + +*D_NET *705 0.000948134 +*CONN +*P la_oenb_core[3] O +*I *39208:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[3] 0.000474067 +2 *39208:X 0.000474067 +3 la_oenb_core[3] *38963:A 0 +4 la_oenb_core[3] *39208:A 0 +5 la_oenb_core[3] *2518:59 0 +6 la_oenb_core[3] *2520:57 0 +7 la_oenb_core[3] *2546:30 0 +8 la_oenb_core[3] *2891:12 0 +9 la_data_in_core[4] la_oenb_core[3] 0 +10 *321:9 la_oenb_core[3] 0 +*RES +1 *39208:X la_oenb_core[3] 24.3 +*END + +*D_NET *706 0.00092363 +*CONN +*P la_oenb_core[40] O +*I *39209:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[40] 0.000461815 +2 *39209:X 0.000461815 +3 la_oenb_core[40] *2451:44 0 +4 la_oenb_core[40] *2576:19 0 +5 la_oenb_core[40] *4678:15 0 +6 la_oenb_core[40] *4971:18 0 +7 la_data_in_core[41] la_oenb_core[40] 0 +*RES +1 *39209:X la_oenb_core[40] 24.2643 +*END + +*D_NET *707 0.00114728 +*CONN +*P la_oenb_core[41] O +*I *39210:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[41] 0.000573639 +2 *39210:X 0.000573639 +3 la_oenb_core[41] *3799:12 0 +4 la_oenb_core[41] *4687:19 0 +5 la_oenb_core[41] *5069:23 0 +6 la_data_in_core[42] la_oenb_core[41] 0 +*RES +1 *39210:X la_oenb_core[41] 26.7464 +*END + +*D_NET *708 0.000420006 +*CONN +*P la_oenb_core[42] O +*I *39211:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[42] 0.000210003 +2 *39211:X 0.000210003 +3 *324:7 la_oenb_core[42] 0 +*RES +1 *39211:X la_oenb_core[42] 18.5679 +*END + +*D_NET *709 0.000467198 +*CONN +*P la_oenb_core[43] O +*I *39212:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[43] 0.000233599 +2 *39212:X 0.000233599 +3 la_data_in_core[44] la_oenb_core[43] 0 +4 *325:15 la_oenb_core[43] 0 +*RES +1 *39212:X la_oenb_core[43] 19.1036 +*END + +*D_NET *710 0.000593042 +*CONN +*P la_oenb_core[44] O +*I *39213:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[44] 0.000296521 +2 *39213:X 0.000296521 +3 la_data_in_core[45] la_oenb_core[44] 0 +*RES +1 *39213:X la_oenb_core[44] 20.5321 +*END + +*D_NET *711 0.000908815 +*CONN +*P la_oenb_core[45] O +*I *39214:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[45] 0.000454408 +2 *39214:X 0.000454408 +3 la_oenb_core[45] *38959:A 0 +4 la_oenb_core[45] *39214:A 0 +5 la_oenb_core[45] *3799:25 0 +6 la_oenb_core[45] *4973:30 0 +7 la_data_in_core[46] la_oenb_core[45] 0 +*RES +1 *39214:X la_oenb_core[45] 23.8893 +*END + +*D_NET *712 0.000780236 +*CONN +*P la_oenb_core[46] O +*I *39215:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[46] 0.000390118 +2 *39215:X 0.000390118 +3 la_oenb_core[46] *38960:A 0 +4 la_oenb_core[46] *4974:32 0 +5 la_data_in_core[47] la_oenb_core[46] 0 +*RES +1 *39215:X la_oenb_core[46] 22.6571 +*END + +*D_NET *713 0.000655521 +*CONN +*P la_oenb_core[47] O +*I *39216:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[47] 0.00032776 +2 *39216:X 0.00032776 +3 la_oenb_core[47] *2576:9 0 +4 la_oenb_core[47] *3798:29 0 +5 la_data_in_core[48] la_oenb_core[47] 0 +*RES +1 *39216:X la_oenb_core[47] 21.05 +*END + +*D_NET *714 0.000839698 +*CONN +*P la_oenb_core[48] O +*I *39217:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[48] 0.000419849 +2 *39217:X 0.000419849 +3 la_oenb_core[48] *39216:A 0 +4 la_oenb_core[48] *2576:9 0 +5 la_data_in_core[49] la_oenb_core[48] 0 +6 *330:9 la_oenb_core[48] 0 +*RES +1 *39217:X la_oenb_core[48] 22.9964 +*END + +*D_NET *715 0.00106625 +*CONN +*P la_oenb_core[49] O +*I *39218:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[49] 0.000533125 +2 *39218:X 0.000533125 +3 la_oenb_core[49] *39216:A 0 +4 la_oenb_core[49] *39218:A 0 +5 la_oenb_core[49] *3799:25 0 +6 la_oenb_core[49] *3804:27 0 +7 la_data_in_core[50] la_oenb_core[49] 0 +8 *331:7 la_oenb_core[49] 0 +*RES +1 *39218:X la_oenb_core[49] 25.5321 +*END + +*D_NET *716 0.000908815 +*CONN +*P la_oenb_core[4] O +*I *39219:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[4] 0.000454408 +2 *39219:X 0.000454408 +3 la_oenb_core[4] *37941:A 0 +4 la_oenb_core[4] *38974:A 0 +5 la_oenb_core[4] *39219:A 0 +6 la_oenb_core[4] *2520:57 0 +7 la_oenb_core[4] *2546:30 0 +8 la_data_in_core[5] la_oenb_core[4] 0 +9 *322:25 la_oenb_core[4] 0 +*RES +1 *39219:X la_oenb_core[4] 23.8893 +*END + +*D_NET *717 0.00127264 +*CONN +*P la_oenb_core[50] O +*I *39220:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[50] 0.000636322 +2 *39220:X 0.000636322 +3 la_oenb_core[50] *2576:9 0 +4 la_oenb_core[50] *3798:29 0 +5 la_data_in_core[51] la_oenb_core[50] 0 +6 *333:18 la_oenb_core[50] 0 +*RES +1 *39220:X la_oenb_core[50] 27.5143 +*END + +*D_NET *718 0.00146932 +*CONN +*P la_oenb_core[51] O +*I *39221:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[51] 0.00073466 +2 *39221:X 0.00073466 +3 la_oenb_core[51] *39220:A 0 +4 la_oenb_core[51] *39221:A 0 +5 la_oenb_core[51] *2576:9 0 +6 la_oenb_core[51] *3798:29 0 +7 la_data_in_core[52] la_oenb_core[51] 0 +8 *334:7 la_oenb_core[51] 0 +*RES +1 *39221:X la_oenb_core[51] 29.5679 +*END + +*D_NET *719 0.00114934 +*CONN +*P la_oenb_core[52] O +*I *39222:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[52] 0.000574668 +2 *39222:X 0.000574668 +3 la_oenb_core[52] *2746:44 0 +4 la_oenb_core[52] *4976:49 0 +5 la_oenb_core[52] *4979:15 0 +6 la_oenb_core[52] *4994:15 0 +7 *335:7 la_oenb_core[52] 0 +*RES +1 *39222:X la_oenb_core[52] 26.6214 +*END + +*D_NET *720 0.00113383 +*CONN +*P la_oenb_core[53] O +*I *39223:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[53] 0.000566913 +2 *39223:X 0.000566913 +3 la_oenb_core[53] *3804:40 0 +4 la_oenb_core[53] *4980:12 0 +5 la_data_in_core[54] la_oenb_core[53] 0 +*RES +1 *39223:X la_oenb_core[53] 26.3714 +*END + +*D_NET *721 0.000806899 +*CONN +*P la_oenb_core[54] O +*I *39224:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[54] 0.00040345 +2 *39224:X 0.00040345 +3 la_oenb_core[54] *2746:32 0 +4 la_oenb_core[54] *3804:40 0 +5 la_data_in_core[55] la_oenb_core[54] 0 +*RES +1 *39224:X la_oenb_core[54] 22.9607 +*END + +*D_NET *722 0.00078769 +*CONN +*P la_oenb_core[55] O +*I *39225:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[55] 0.000393845 +2 *39225:X 0.000393845 +3 la_oenb_core[55] *1004:20 0 +4 la_oenb_core[55] *3798:42 0 +5 la_data_in_core[56] la_oenb_core[55] 0 +*RES +1 *39225:X la_oenb_core[55] 22.5143 +*END + +*D_NET *723 0.00131785 +*CONN +*P la_oenb_core[56] O +*I *39226:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[56] 0.000658923 +2 *39226:X 0.000658923 +3 la_oenb_core[56] *38247:A 0 +4 la_oenb_core[56] *1535:30 0 +5 la_oenb_core[56] *2746:22 0 +6 la_oenb_core[56] *2746:32 0 +7 la_oenb_core[56] *3799:29 0 +8 la_oenb_core[56] *4709:25 0 +9 la_oenb_core[56] *4980:12 0 +10 la_oenb_core[56] *4986:25 0 +11 la_data_in_core[57] la_oenb_core[56] 0 +*RES +1 *39226:X la_oenb_core[56] 28.3179 +*END + +*D_NET *724 0.00130604 +*CONN +*P la_oenb_core[57] O +*I *39227:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[57] 0.00065302 +2 *39227:X 0.00065302 +3 la_oenb_core[57] *38971:A 0 +4 la_oenb_core[57] *39227:A 0 +5 la_oenb_core[57] *1004:20 0 +6 la_oenb_core[57] *2576:9 0 +7 la_oenb_core[57] *4697:12 0 +*RES +1 *39227:X la_oenb_core[57] 28.0679 +*END + +*D_NET *725 0.00143156 +*CONN +*P la_oenb_core[58] O +*I *39228:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[58] 0.000715778 +2 *39228:X 0.000715778 +3 la_oenb_core[58] *39228:A 0 +4 la_oenb_core[58] *1004:20 0 +5 la_oenb_core[58] *2576:9 0 +6 la_data_in_core[59] la_oenb_core[58] 0 +*RES +1 *39228:X la_oenb_core[58] 29.2821 +*END + +*D_NET *726 0.000967351 +*CONN +*P la_oenb_core[59] O +*I *39229:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[59] 0.000483675 +2 *39229:X 0.000483675 +3 la_oenb_core[59] *2746:16 0 +4 la_oenb_core[59] *3799:37 0 +5 la_data_in_core[60] la_oenb_core[59] 0 +*RES +1 *39229:X la_oenb_core[59] 24.7821 +*END + +*D_NET *727 0.00112704 +*CONN +*P la_oenb_core[5] O +*I *39230:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[5] 0.000563519 +2 *39230:X 0.000563519 +3 la_oenb_core[5] *2507:32 0 +4 la_oenb_core[5] *2520:33 0 +5 la_oenb_core[5] *4663:15 0 +6 la_data_in_core[6] la_oenb_core[5] 0 +*RES +1 *39230:X la_oenb_core[5] 26.3714 +*END + +*D_NET *728 0.00114166 +*CONN +*P la_oenb_core[60] O +*I *39231:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[60] 0.00057083 +2 *39231:X 0.00057083 +3 la_oenb_core[60] *2576:8 0 +4 la_oenb_core[60] *3804:63 0 +5 la_oenb_core[60] *4709:39 0 +6 la_data_in_core[61] la_oenb_core[60] 0 +*RES +1 *39231:X la_oenb_core[60] 26.4964 +*END + +*D_NET *729 0.000806978 +*CONN +*P la_oenb_core[61] O +*I *39232:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[61] 0.000403489 +2 *39232:X 0.000403489 +3 la_oenb_core[61] *4705:31 0 +4 la_data_in_core[62] la_oenb_core[61] 0 +*RES +1 *39232:X la_oenb_core[61] 22.9607 +*END + +*D_NET *730 0.000786214 +*CONN +*P la_oenb_core[62] O +*I *39233:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[62] 0.000393107 +2 *39233:X 0.000393107 +3 la_oenb_core[62] *1004:20 0 +4 la_oenb_core[62] *4709:39 0 +5 la_oenb_core[62] *4710:13 0 +*RES +1 *39233:X la_oenb_core[62] 22.3893 +*END + +*D_NET *731 0.00094965 +*CONN +*P la_oenb_core[63] O +*I *39234:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[63] 0.000474825 +2 *39234:X 0.000474825 +3 la_oenb_core[63] *38261:A 0 +4 la_oenb_core[63] *38979:A 0 +5 la_oenb_core[63] *3804:63 0 +6 la_oenb_core[63] *4990:21 0 +7 la_data_in_core[64] la_oenb_core[63] 0 +*RES +1 *39234:X la_oenb_core[63] 24.425 +*END + +*D_NET *732 0.00127412 +*CONN +*P la_oenb_core[64] O +*I *39235:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[64] 0.00063706 +2 *39235:X 0.00063706 +3 la_oenb_core[64] *39235:A 0 +4 la_oenb_core[64] *4709:41 0 +5 la_oenb_core[64] *4710:15 0 +6 la_data_in_core[65] la_oenb_core[64] 0 +*RES +1 *39235:X la_oenb_core[64] 27.6393 +*END + +*D_NET *733 0.00143939 +*CONN +*P la_oenb_core[65] O +*I *39236:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[65] 0.000719694 +2 *39236:X 0.000719694 +3 la_oenb_core[65] *4709:41 0 +4 la_oenb_core[65] *4710:15 0 +5 la_data_in_core[66] la_oenb_core[65] 0 +*RES +1 *39236:X la_oenb_core[65] 29.4071 +*END + +*D_NET *734 0.00115097 +*CONN +*P la_oenb_core[66] O +*I *39237:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[66] 0.000575484 +2 *39237:X 0.000575484 +3 la_oenb_core[66] *39236:A 0 +4 la_oenb_core[66] *4711:33 0 +5 la_data_in_core[67] la_oenb_core[66] 0 +*RES +1 *39237:X la_oenb_core[66] 26.7464 +*END + +*D_NET *735 0.000908815 +*CONN +*P la_oenb_core[67] O +*I *39238:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[67] 0.000454408 +2 *39238:X 0.000454408 +3 la_oenb_core[67] *39238:A 0 +4 la_oenb_core[67] *39239:A 0 +5 la_oenb_core[67] *4714:14 0 +6 la_oenb_core[67] *4989:21 0 +7 *351:7 la_oenb_core[67] 0 +*RES +1 *39238:X la_oenb_core[67] 23.8893 +*END + +*D_NET *736 0.000949374 +*CONN +*P la_oenb_core[68] O +*I *39239:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[68] 0.000474687 +2 *39239:X 0.000474687 +3 la_oenb_core[68] *4989:20 0 +4 la_data_in_core[69] la_oenb_core[68] 0 +*RES +1 *39239:X la_oenb_core[68] 24.425 +*END + +*D_NET *737 0.000998609 +*CONN +*P la_oenb_core[69] O +*I *39240:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[69] 0.000499304 +2 *39240:X 0.000499304 +3 la_oenb_core[69] *1004:20 0 +4 la_oenb_core[69] *4989:20 0 +5 la_oenb_core[69] *4996:10 0 +6 la_data_in_core[70] la_oenb_core[69] 0 +*RES +1 *39240:X la_oenb_core[69] 24.7643 +*END + +*D_NET *738 0.00115058 +*CONN +*P la_oenb_core[6] O +*I *39241:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[6] 0.000575288 +2 *39241:X 0.000575288 +3 la_oenb_core[6] *2506:32 0 +4 la_oenb_core[6] *2647:61 0 +5 la_oenb_core[6] *2663:25 0 +6 la_data_in_core[7] la_oenb_core[6] 0 +*RES +1 *39241:X la_oenb_core[6] 26.7464 +*END + +*D_NET *739 0.000957483 +*CONN +*P la_oenb_core[70] O +*I *39242:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[70] 0.000478741 +2 *39242:X 0.000478741 +3 la_oenb_core[70] *4719:11 0 +4 la_oenb_core[70] *4989:14 0 +5 la_data_in_core[71] la_oenb_core[70] 0 +*RES +1 *39242:X la_oenb_core[70] 24.55 +*END + +*D_NET *740 0.00109938 +*CONN +*P la_oenb_core[71] O +*I *39243:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[71] 0.000549691 +2 *39243:X 0.000549691 +3 la_oenb_core[71] *1004:20 0 +4 la_data_in_core[72] la_oenb_core[71] 0 +*RES +1 *39243:X la_oenb_core[71] 25.8 +*END + +*D_NET *741 0.00146347 +*CONN +*P la_oenb_core[72] O +*I *39244:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[72] 0.000731737 +2 *39244:X 0.000731737 +3 la_oenb_core[72] *39243:A 0 +4 la_oenb_core[72] *39244:A 0 +5 la_oenb_core[72] *1004:20 0 +6 *357:7 la_oenb_core[72] 0 +*RES +1 *39244:X la_oenb_core[72] 29.7107 +*END + +*D_NET *742 0.000967351 +*CONN +*P la_oenb_core[73] O +*I *39245:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[73] 0.000483675 +2 *39245:X 0.000483675 +3 la_oenb_core[73] *4717:6 0 +4 la_data_in_core[74] la_oenb_core[73] 0 +5 *358:9 la_oenb_core[73] 0 +*RES +1 *39245:X la_oenb_core[73] 24.7821 +*END + +*D_NET *743 0.000780236 +*CONN +*P la_oenb_core[74] O +*I *39246:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[74] 0.000390118 +2 *39246:X 0.000390118 +3 la_data_in_core[75] la_oenb_core[74] 0 +*RES +1 *39246:X la_oenb_core[74] 22.6571 +*END + +*D_NET *744 0.000827427 +*CONN +*P la_oenb_core[75] O +*I *39247:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[75] 0.000413714 +2 *39247:X 0.000413714 +3 la_oenb_core[75] *7094:DIODE 0 +4 la_oenb_core[75] *38991:A 0 +5 la_data_in_core[76] la_oenb_core[75] 0 +*RES +1 *39247:X la_oenb_core[75] 23.1929 +*END + +*D_NET *745 0.000827049 +*CONN +*P la_oenb_core[76] O +*I *39248:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[76] 0.000413524 +2 *39248:X 0.000413524 +3 la_oenb_core[76] *1004:20 0 +4 la_oenb_core[76] *4718:23 0 +5 la_data_in_core[77] la_oenb_core[76] 0 +*RES +1 *39248:X la_oenb_core[76] 22.925 +*END + +*D_NET *746 0.000956007 +*CONN +*P la_oenb_core[77] O +*I *39249:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[77] 0.000478003 +2 *39249:X 0.000478003 +3 la_oenb_core[77] *7096:DIODE 0 +4 la_oenb_core[77] *38993:A 0 +5 la_oenb_core[77] *39248:A 0 +6 la_oenb_core[77] *4721:25 0 +7 la_oenb_core[77] *5002:53 0 +8 *362:7 la_oenb_core[77] 0 +*RES +1 *39249:X la_oenb_core[77] 24.425 +*END + +*D_NET *747 0.00110256 +*CONN +*P la_oenb_core[78] O +*I *39250:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[78] 0.00055128 +2 *39250:X 0.00055128 +3 la_oenb_core[78] *1004:20 0 +4 la_oenb_core[78] *4718:21 0 +5 la_oenb_core[78] *4718:23 0 +6 la_data_in_core[79] la_oenb_core[78] 0 +*RES +1 *39250:X la_oenb_core[78] 25.8 +*END + +*D_NET *748 0.00131348 +*CONN +*P la_oenb_core[79] O +*I *39251:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[79] 0.00065674 +2 *39251:X 0.00065674 +3 la_oenb_core[79] *39250:A 0 +4 la_oenb_core[79] *1004:20 0 +5 la_oenb_core[79] *4718:21 0 +6 la_data_in_core[80] la_oenb_core[79] 0 +*RES +1 *39251:X la_oenb_core[79] 28.05 +*END + +*D_NET *749 0.000420006 +*CONN +*P la_oenb_core[7] O +*I *39252:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[7] 0.000210003 +2 *39252:X 0.000210003 +3 la_oenb_core[7] *2523:46 0 +4 la_oenb_core[7] *2633:34 0 +*RES +1 *39252:X la_oenb_core[7] 18.5679 +*END + +*D_NET *750 0.00101869 +*CONN +*P la_oenb_core[80] O +*I *39253:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[80] 0.000509344 +2 *39253:X 0.000509344 +3 la_oenb_core[80] *38997:A 0 +4 la_oenb_core[80] *39251:A 0 +5 la_oenb_core[80] *2450:29 0 +6 la_oenb_core[80] *2481:24 0 +7 la_oenb_core[80] *4723:25 0 +8 la_data_in_core[81] la_oenb_core[80] 0 +*RES +1 *39253:X la_oenb_core[80] 25.3179 +*END + +*D_NET *751 0.000780236 +*CONN +*P la_oenb_core[81] O +*I *39254:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[81] 0.000390118 +2 *39254:X 0.000390118 +3 la_data_in_core[82] la_oenb_core[81] 0 +*RES +1 *39254:X la_oenb_core[81] 22.6571 +*END + +*D_NET *752 0.000809544 +*CONN +*P la_oenb_core[82] O +*I *39255:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[82] 0.000404772 +2 *39255:X 0.000404772 +3 la_oenb_core[82] *38998:A 0 +4 la_oenb_core[82] *2464:8 0 +5 la_oenb_core[82] *5006:31 0 +6 *368:9 la_oenb_core[82] 0 +*RES +1 *39255:X la_oenb_core[82] 22.9071 +*END + +*D_NET *753 0.00078769 +*CONN +*P la_oenb_core[83] O +*I *39256:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[83] 0.000393845 +2 *39256:X 0.000393845 +3 la_oenb_core[83] *1004:20 0 +4 la_oenb_core[83] *4722:27 0 +5 la_data_in_core[84] la_oenb_core[83] 0 +*RES +1 *39256:X la_oenb_core[83] 22.5143 +*END + +*D_NET *754 0.000948056 +*CONN +*P la_oenb_core[84] O +*I *39257:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[84] 0.000474028 +2 *39257:X 0.000474028 +3 la_oenb_core[84] *39001:A 0 +4 la_oenb_core[84] *5002:35 0 +5 la_oenb_core[84] *5007:10 0 +6 la_oenb_core[84] *5008:11 0 +*RES +1 *39257:X la_oenb_core[84] 24.3 +*END + +*D_NET *755 0.00110855 +*CONN +*P la_oenb_core[85] O +*I *39258:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[85] 0.000554275 +2 *39258:X 0.000554275 +3 la_oenb_core[85] *39002:A 0 +4 la_oenb_core[85] *1004:20 0 +5 la_oenb_core[85] *4731:29 0 +6 la_oenb_core[85] *5013:29 0 +7 la_data_in_core[86] la_oenb_core[85] 0 +*RES +1 *39258:X la_oenb_core[85] 25.8 +*END + +*D_NET *756 0.00125852 +*CONN +*P la_oenb_core[86] O +*I *39259:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[86] 0.00062926 +2 *39259:X 0.00062926 +3 la_oenb_core[86] *1004:20 0 +4 la_oenb_core[86] *4721:9 0 +5 la_oenb_core[86] *5013:29 0 +6 la_data_in_core[87] la_oenb_core[86] 0 +7 *372:13 la_oenb_core[86] 0 +*RES +1 *39259:X la_oenb_core[86] 27.3179 +*END + +*D_NET *757 0.000977736 +*CONN +*P la_oenb_core[87] O +*I *39260:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[87] 0.000488868 +2 *39260:X 0.000488868 +3 la_oenb_core[87] *2450:27 0 +4 la_oenb_core[87] *4721:9 0 +5 la_oenb_core[87] *5012:22 0 +6 *373:16 la_oenb_core[87] 0 +*RES +1 *39260:X la_oenb_core[87] 24.7821 +*END + +*D_NET *758 0.000780157 +*CONN +*P la_oenb_core[88] O +*I *39261:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[88] 0.000390079 +2 *39261:X 0.000390079 +3 la_oenb_core[88] *5012:16 0 +4 la_oenb_core[88] *5016:41 0 +5 la_data_in_core[89] la_oenb_core[88] 0 +*RES +1 *39261:X la_oenb_core[88] 22.6571 +*END + +*D_NET *759 0.000906955 +*CONN +*P la_oenb_core[89] O +*I *39262:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[89] 0.000453478 +2 *39262:X 0.000453478 +3 la_oenb_core[89] *39006:A 0 +4 la_oenb_core[89] *5017:37 0 +5 la_oenb_core[89] *5024:28 0 +6 la_data_in_core[89] la_oenb_core[89] 0 +7 la_data_in_core[90] la_oenb_core[89] 0 +8 *375:19 la_oenb_core[89] 0 +*RES +1 *39262:X la_oenb_core[89] 24.0143 +*END + +*D_NET *760 0.00047349 +*CONN +*P la_oenb_core[8] O +*I *39263:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[8] 0.000236745 +2 *39263:X 0.000236745 +3 la_oenb_core[8] *2710:70 0 +4 la_data_in_core[9] la_oenb_core[8] 0 +5 *376:9 la_oenb_core[8] 0 +*RES +1 *39263:X la_oenb_core[8] 19.175 +*END + +*D_NET *761 0.000786214 +*CONN +*P la_oenb_core[90] O +*I *39264:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[90] 0.000393107 +2 *39264:X 0.000393107 +3 la_oenb_core[90] *1004:20 0 +4 la_oenb_core[90] *4734:45 0 +5 *377:17 la_oenb_core[90] 0 +*RES +1 *39264:X la_oenb_core[90] 22.3893 +*END + +*D_NET *762 0.00106757 +*CONN +*P la_oenb_core[91] O +*I *39265:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[91] 0.000533784 +2 *39265:X 0.000533784 +3 la_oenb_core[91] *39265:A 0 +4 la_oenb_core[91] *2464:24 0 +5 la_oenb_core[91] *2475:61 0 +6 la_oenb_core[91] *5012:10 0 +7 la_oenb_core[91] *5017:37 0 +8 la_data_in_core[92] la_oenb_core[91] 0 +9 *378:13 la_oenb_core[91] 0 +*RES +1 *39265:X la_oenb_core[91] 25.6571 +*END + +*D_NET *763 0.00130076 +*CONN +*P la_oenb_core[92] O +*I *39266:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[92] 0.000650378 +2 *39266:X 0.000650378 +3 la_oenb_core[92] *39264:A 0 +4 la_oenb_core[92] *39266:A 0 +5 la_oenb_core[92] *1004:20 0 +6 la_oenb_core[92] *2464:27 0 +7 la_oenb_core[92] *4734:26 0 +8 la_oenb_core[92] *4734:45 0 +9 la_oenb_core[92] *5017:36 0 +10 *379:7 la_oenb_core[92] 0 +*RES +1 *39266:X la_oenb_core[92] 27.8893 +*END + +*D_NET *764 0.00125852 +*CONN +*P la_oenb_core[93] O +*I *39267:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[93] 0.00062926 +2 *39267:X 0.00062926 +3 la_oenb_core[93] *1004:20 0 +4 la_oenb_core[93] *4734:26 0 +5 la_data_in_core[94] la_oenb_core[93] 0 +6 *380:5 la_oenb_core[93] 0 +*RES +1 *39267:X la_oenb_core[93] 27.3179 +*END + +*D_NET *765 0.000967351 +*CONN +*P la_oenb_core[94] O +*I *39268:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[94] 0.000483675 +2 *39268:X 0.000483675 +3 la_oenb_core[94] *39269:A 0 +4 la_oenb_core[94] *4734:26 0 +5 la_oenb_core[94] *5017:30 0 +6 la_data_in_core[95] la_oenb_core[94] 0 +*RES +1 *39268:X la_oenb_core[94] 24.7821 +*END + +*D_NET *766 0.000918124 +*CONN +*P la_oenb_core[95] O +*I *39269:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[95] 0.000459062 +2 *39269:X 0.000459062 +3 la_oenb_core[95] *2475:51 0 +4 la_oenb_core[95] *5010:13 0 +5 la_data_in_core[96] la_oenb_core[95] 0 +6 *382:17 la_oenb_core[95] 0 +*RES +1 *39269:X la_oenb_core[95] 24.1393 +*END + +*D_NET *767 0.00091347 +*CONN +*P la_oenb_core[96] O +*I *39270:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[96] 0.000456735 +2 *39270:X 0.000456735 +3 la_oenb_core[96] *39013:A 0 +4 la_oenb_core[96] *2468:27 0 +5 la_oenb_core[96] *5025:38 0 +6 la_data_in_core[97] la_oenb_core[96] 0 +7 *383:12 la_oenb_core[96] 0 +*RES +1 *39270:X la_oenb_core[96] 24.0143 +*END + +*D_NET *768 0.000794047 +*CONN +*P la_oenb_core[97] O +*I *39271:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[97] 0.000397023 +2 *39271:X 0.000397023 +3 la_oenb_core[97] *1004:20 0 +4 la_oenb_core[97] *2475:40 0 +5 *384:7 la_oenb_core[97] 0 +*RES +1 *39271:X la_oenb_core[97] 22.5143 +*END + +*D_NET *769 0.00094965 +*CONN +*P la_oenb_core[98] O +*I *39272:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[98] 0.000474825 +2 *39272:X 0.000474825 +3 la_oenb_core[98] *39271:A 0 +4 la_oenb_core[98] *1552:52 0 +5 la_oenb_core[98] *2468:27 0 +6 la_data_in_core[99] la_oenb_core[98] 0 +*RES +1 *39272:X la_oenb_core[98] 24.425 +*END + +*D_NET *770 0.00110248 +*CONN +*P la_oenb_core[99] O +*I *39273:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[99] 0.000551241 +2 *39273:X 0.000551241 +3 la_oenb_core[99] *1004:20 0 +4 la_oenb_core[99] *2470:47 0 +5 la_data_in_core[100] la_oenb_core[99] 0 +6 *386:12 la_oenb_core[99] 0 +*RES +1 *39273:X la_oenb_core[99] 25.8 +*END + +*D_NET *771 0.000420006 +*CONN +*P la_oenb_core[9] O +*I *39274:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 la_oenb_core[9] 0.000210003 +2 *39274:X 0.000210003 +3 *387:5 la_oenb_core[9] 0 +*RES +1 *39274:X la_oenb_core[9] 18.5679 +*END + +*D_NET *772 0.00113162 +*CONN +*P la_oenb_mprj[0] I +*I *6728:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38592:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_oenb_mprj[0] 0.000333095 +2 *6728:DIODE 0.000207044 +3 *38592:A 2.56688e-05 +4 *772:5 0.000565808 +5 *6728:DIODE *4515:16 0 +6 *772:5 *4515:16 0 +7 la_data_in_mprj[1] *772:5 0 +8 *6586:DIODE *6728:DIODE 0 +9 *6586:DIODE *772:5 0 +10 *516:5 *772:5 0 +*RES +1 la_oenb_mprj[0] *772:5 7.5 +2 *772:5 *38592:A 14.3357 +3 *772:5 *6728:DIODE 18.3357 +*END + +*D_NET *773 0.00108679 +*CONN +*P la_oenb_mprj[100] I +*I *38593:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6729:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_oenb_mprj[100] 0.000450287 +2 *38593:A 2.35958e-05 +3 *6729:DIODE 6.95094e-05 +4 *773:5 0.000543393 +5 *38593:A *3105:76 0 +6 *38593:A *3529:62 0 +*RES +1 la_oenb_mprj[100] *773:5 10.2321 +2 *773:5 *6729:DIODE 15.4429 +3 *773:5 *38593:A 14.3357 +*END + +*D_NET *774 0.00100996 +*CONN +*P la_oenb_mprj[101] I +*I *6730:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38594:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[101] 0.000361233 +2 *6730:DIODE 0.000143745 +3 *38594:A 0 +4 *774:11 0.000504978 +5 *6730:DIODE *2749:25 0 +6 *6730:DIODE *3105:62 0 +7 *774:11 *2410:34 0 +8 *774:11 *2760:13 0 +9 *774:11 *3274:17 0 +10 *774:11 *4890:10 0 +*RES +1 la_oenb_mprj[101] *774:11 12.7679 +2 *774:11 *38594:A 9.3 +3 *774:11 *6730:DIODE 12.3 +*END + +*D_NET *775 0.00225488 +*CONN +*P la_oenb_mprj[102] I +*I *38595:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6731:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_oenb_mprj[102] 0.000570214 +2 *38595:A 2.0535e-05 +3 *6731:DIODE 0.000536691 +4 *775:12 0.00112744 +5 *6731:DIODE *3430:53 0 +6 *6731:DIODE *3500:32 0 +7 *775:12 *39023:A 0 +8 *775:12 *40510:A 0 +9 *775:12 *2418:8 0 +10 *775:12 *3430:53 0 +11 *775:12 *4204:32 0 +12 *775:12 *4759:10 0 +13 *775:12 *4870:16 0 +14 la_data_in_mprj[103] *775:12 0 +*RES +1 la_oenb_mprj[102] *775:12 16.6607 +2 *775:12 *6731:DIODE 20.5321 +3 *775:12 *38595:A 9.72857 +*END + +*D_NET *776 0.00104692 +*CONN +*P la_oenb_mprj[103] I +*I *6732:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38596:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[103] 0.000316853 +2 *6732:DIODE 0.0001219 +3 *38596:A 8.4707e-05 +4 *776:5 0.00052346 +5 *6732:DIODE *7596:DIODE 0 +6 *6732:DIODE *3296:49 0 +7 *38596:A *3105:62 0 +8 *38596:A *3250:64 0 +9 *776:5 *7596:DIODE 0 +10 *776:5 *2407:11 0 +11 *776:5 *2408:40 0 +12 *776:5 *2516:30 0 +13 *776:5 *3025:21 0 +*RES +1 la_oenb_mprj[103] *776:5 7.19643 +2 *776:5 *38596:A 15.5679 +3 *776:5 *6732:DIODE 16.5857 +*END + +*D_NET *777 0.00118693 +*CONN +*P la_oenb_mprj[104] I +*I *6733:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38597:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[104] 0.00047879 +2 *6733:DIODE 0.000114675 +3 *38597:A 0 +4 *777:14 0.000593465 +5 *6733:DIODE *2460:15 0 +6 *6733:DIODE *3105:42 0 +7 *777:14 *7121:DIODE 0 +8 *777:14 *1220:53 0 +9 *777:14 *2389:29 0 +10 *777:14 *2404:10 0 +11 *777:14 *2460:15 0 +12 *777:14 *3105:42 0 +13 *777:14 *4182:8 0 +14 *777:14 *4182:20 0 +15 *777:14 *4753:30 0 +16 la_data_in_mprj[105] *777:14 0 +17 *394:7 *777:14 0 +*RES +1 la_oenb_mprj[104] *777:14 19.8166 +2 *777:14 *38597:A 9.3 +3 *777:14 *6733:DIODE 20.8893 +*END + +*D_NET *778 0.00162307 +*CONN +*P la_oenb_mprj[105] I +*I *6734:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38598:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_oenb_mprj[105] 0.00041495 +2 *6734:DIODE 0.000396583 +3 *38598:A 0 +4 *778:8 0.000811533 +5 *6734:DIODE *2771:23 0 +6 *6734:DIODE *5105:9 0 +7 *778:8 *2401:10 0 +8 *778:8 *2771:23 0 +9 *778:8 *5105:9 0 +10 la_data_in_mprj[106] *778:8 0 +11 *6572:DIODE *6734:DIODE 0 +*RES +1 la_oenb_mprj[105] *778:8 13.7679 +2 *778:8 *38598:A 9.3 +3 *778:8 *6734:DIODE 17.6393 +*END + +*D_NET *779 0.00342938 +*CONN +*P la_oenb_mprj[106] I +*I *6735:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38599:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[106] 0.000531671 +2 *6735:DIODE 0.00118302 +3 *38599:A 0 +4 *779:17 0.00171469 +5 *6735:DIODE *7020:DIODE 0 +6 *6735:DIODE *8012:DIODE 0 +7 *6735:DIODE *2381:49 0 +8 *6735:DIODE *3032:65 0 +9 *6735:DIODE *3435:52 0 +10 *779:17 *2406:16 0 +11 *779:17 *2984:11 0 +12 *779:17 *4182:68 0 +13 la_data_in_mprj[107] *779:17 0 +14 *6595:DIODE *6735:DIODE 0 +15 *524:8 *6735:DIODE 0 +*RES +1 la_oenb_mprj[106] *779:17 19.0347 +2 *779:17 *38599:A 9.3 +3 *779:17 *6735:DIODE 43.3714 +*END + +*D_NET *780 0.00386738 +*CONN +*P la_oenb_mprj[107] I +*I *6736:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38600:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[107] 0.000321275 +2 *6736:DIODE 0.000171134 +3 *38600:A 0 +4 *780:30 0.00161241 +5 *780:8 0.00176255 +6 *6736:DIODE *2381:49 0 +7 *6736:DIODE *3230:39 0 +8 *780:8 *7020:DIODE 0 +9 *780:8 *39027:A 0 +10 *780:8 *3422:14 0 +11 *780:30 *7020:DIODE 0 +12 *780:30 *8265:DIODE 0 +13 *780:30 *38096:C 0 +14 *780:30 *1880:22 0 +15 *780:30 *3265:23 0 +16 *780:30 *4182:68 0 +17 *780:30 *4215:8 0 +18 *780:30 *4753:17 0 +19 *780:30 *5196:39 0 +20 *6583:DIODE *6736:DIODE 0 +21 *6594:DIODE *6736:DIODE 0 +22 *6594:DIODE *780:30 0 +23 *396:25 *780:30 0 +24 *524:8 *780:8 0 +*RES +1 la_oenb_mprj[107] *780:8 11.6429 +2 *780:8 *38600:A 9.3 +3 *780:8 *780:30 48.9464 +4 *780:30 *6736:DIODE 22.1036 +*END + +*D_NET *781 0.00396396 +*CONN +*P la_oenb_mprj[108] I +*I *6737:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38601:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_oenb_mprj[108] 0.000506384 +2 *6737:DIODE 0 +3 *38601:A 0 +4 *781:30 0.0014756 +5 *781:12 0.00198198 +6 *781:12 *2805:17 0 +7 *781:12 *4754:12 0 +8 *781:12 *4756:42 0 +9 *781:12 *5191:46 0 +10 *781:30 *8300:DIODE 0 +11 *781:30 *37441:A 0 +12 *781:30 *39552:A 0 +13 *781:30 *2712:163 0 +14 *781:30 *2805:17 0 +15 *781:30 *3106:96 0 +16 *781:30 *3145:74 0 +17 *781:30 *3276:53 0 +18 *781:30 *3500:55 0 +19 *781:30 *4756:42 0 +20 *781:30 *5105:9 0 +21 *6583:DIODE *781:30 0 +22 *6605:DIODE *781:30 0 +23 *38460:A *781:12 0 +24 *400:29 *781:30 0 +25 *525:10 *781:12 0 +26 *526:29 *781:30 0 +*RES +1 la_oenb_mprj[108] *781:12 15.3214 +2 *781:12 *38601:A 9.3 +3 *781:12 *781:30 49.5179 +4 *781:30 *6737:DIODE 9.3 +*END + +*D_NET *782 0.0032641 +*CONN +*P la_oenb_mprj[109] I +*I *38603:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6739:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_oenb_mprj[109] 0.000156421 +2 *38603:A 0.000215104 +3 *6739:DIODE 0.00126052 +4 *782:5 0.00163205 +5 *6739:DIODE *3106:96 0 +6 *6739:DIODE *3430:55 0 +7 *6739:DIODE *4756:42 0 +8 *38603:A *37441:A 0 +9 *782:5 *3500:55 0 +10 *38461:A *38603:A 0 +11 *398:11 *38603:A 0 +12 *526:10 *38603:A 0 +13 *526:10 *782:5 0 +14 *526:29 *38603:A 0 +*RES +1 la_oenb_mprj[109] *782:5 3.55357 +2 *782:5 *6739:DIODE 49.3893 +3 *782:5 *38603:A 18.7643 +*END + +*D_NET *783 0.000794019 +*CONN +*P la_oenb_mprj[10] I +*I *6740:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38604:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[10] 0.000236706 +2 *6740:DIODE 0.000134635 +3 *38604:A 2.56688e-05 +4 *783:5 0.00039701 +5 *6740:DIODE *3115:12 0 +6 *6740:DIODE *4693:29 0 +7 *38604:A *4504:34 0 +8 *38604:A *4789:32 0 +9 *783:5 *39030:A 0 +10 *783:5 *3115:12 0 +11 la_data_in_mprj[11] *783:5 0 +*RES +1 la_oenb_mprj[10] *783:5 5.375 +2 *783:5 *38604:A 14.3357 +3 *783:5 *6740:DIODE 16.8 +*END + +*D_NET *784 0.00550892 +*CONN +*P la_oenb_mprj[110] I +*I *38605:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6741:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_oenb_mprj[110] 0.000517146 +2 *38605:A 0 +3 *6741:DIODE 0.000688748 +4 *784:31 0.00223732 +5 *784:10 0.00206571 +6 *6741:DIODE *40383:A 0 +7 *6741:DIODE *3141:14 0 +8 *6741:DIODE *5188:60 0 +9 *784:10 *1884:14 0 +10 *784:10 *2838:8 0 +11 *784:10 *3276:53 0 +12 *784:10 *3291:37 0 +13 *784:10 *5105:9 0 +14 *784:31 *8486:DIODE 0 +15 *784:31 *1030:54 0 +16 *784:31 *1230:39 0 +17 *784:31 *2794:25 0 +18 *784:31 *2995:19 0 +19 *784:31 *3087:98 0 +20 *784:31 *3235:111 0 +21 *784:31 *3276:53 0 +22 *400:29 *784:10 0 +23 *401:51 *6741:DIODE 0 +24 *402:35 *6741:DIODE 0 +25 *528:31 *784:31 0 +*RES +1 la_oenb_mprj[110] *784:10 15.9464 +2 *784:10 *784:31 46.7857 +3 *784:31 *6741:DIODE 37.4071 +4 *784:10 *38605:A 9.3 +*END + +*D_NET *785 0.0049962 +*CONN +*P la_oenb_mprj[111] I +*I *6742:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38606:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_oenb_mprj[111] 0.000345246 +2 *6742:DIODE 0.000192463 +3 *38606:A 0 +4 *785:43 0.00215286 +5 *785:7 0.00230564 +6 *6742:DIODE *38100:C 0 +7 *785:7 *7125:DIODE 0 +8 *785:7 *1230:39 0 +9 *785:43 *7540:DIODE 0 +10 *785:43 *8486:DIODE 0 +11 *785:43 *40561:A 0 +12 *785:43 *40566:A 0 +13 *785:43 *2712:152 0 +14 *785:43 *2794:25 0 +15 *785:43 *3034:20 0 +16 *785:43 *3125:46 0 +17 *785:43 *3126:29 0 +18 *785:43 *3235:96 0 +19 *785:43 *3276:53 0 +20 *785:43 *3276:60 0 +21 *785:43 *5189:66 0 +22 *785:43 *5196:39 0 +23 *6600:DIODE *785:43 0 +24 *401:34 *785:43 0 +25 *401:51 *785:43 0 +26 *404:34 *785:43 0 +27 *530:31 *6742:DIODE 0 +*RES +1 la_oenb_mprj[111] *785:7 8.03571 +2 *785:7 *38606:A 13.8 +3 *785:7 *785:43 49.1961 +4 *785:43 *6742:DIODE 22.675 +*END + +*D_NET *786 0.00745228 +*CONN +*P la_oenb_mprj[112] I +*I *38607:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6743:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_oenb_mprj[112] 5.21075e-05 +2 *38607:A 0.000377338 +3 *6743:DIODE 0.00020325 +4 *786:21 0.00329669 +5 *786:7 0.00352289 +6 *6743:DIODE *7494:DIODE 0 +7 *38607:A *39033:A 0 +8 *38607:A *2860:20 0 +9 *38607:A *5105:22 0 +10 *786:21 *39037:A 0 +11 *786:21 *788:34 0 +12 *786:21 *1854:20 0 +13 *786:21 *2377:24 0 +14 *786:21 *2445:43 0 +15 *786:21 *2712:125 0 +16 *786:21 *5163:18 0 +17 *786:21 *5164:19 0 +18 *786:21 *5164:24 0 +19 la_data_in_mprj[113] *38607:A 0 +20 *6602:DIODE *6743:DIODE 0 +21 *38465:A *38607:A 0 +22 *402:35 *38607:A 0 +23 *405:54 *6743:DIODE 0 +24 *532:35 *786:21 0 +25 *533:40 *786:21 0 +26 *534:22 *786:21 0 +*RES +1 la_oenb_mprj[112] *786:7 1.35714 +2 *786:7 *786:21 49.2223 +3 *786:21 *6743:DIODE 18.5232 +4 *786:7 *38607:A 22.4429 +*END + +*D_NET *787 0.00866758 +*CONN +*P la_oenb_mprj[113] I +*I *38608:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6744:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_oenb_mprj[113] 0.00078221 +2 *38608:A 0 +3 *6744:DIODE 0.000905603 +4 *787:22 0.00333216 +5 *787:21 0.00264598 +6 *787:16 0.00100163 +7 *6744:DIODE *3041:55 0 +8 *6744:DIODE *4550:91 0 +9 *787:16 *3106:71 0 +10 *787:16 *5182:142 0 +11 *787:22 *1884:36 0 +12 *787:22 *2379:39 0 +13 *787:22 *2410:24 0 +14 *787:22 *2838:9 0 +15 *787:22 *2927:41 0 +16 *787:22 *3018:46 0 +17 *787:22 *3034:43 0 +18 *787:22 *3034:54 0 +19 *787:22 *5172:94 0 +20 *787:22 *5186:102 0 +21 *787:22 *5191:31 0 +22 la_data_in_mprj[114] *787:16 0 +23 *403:18 *787:22 0 +24 *403:33 *787:22 0 +25 *406:49 *787:22 0 +*RES +1 la_oenb_mprj[113] *787:16 21.1071 +2 *787:16 *787:21 13.9821 +3 *787:21 *787:22 50.6429 +4 *787:22 *6744:DIODE 38.675 +5 *787:16 *38608:A 9.3 +*END + +*D_NET *788 0.00832162 +*CONN +*P la_oenb_mprj[114] I +*I *6745:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38609:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_oenb_mprj[114] 0.000853502 +2 *6745:DIODE 0.00057557 +3 *38609:A 0 +4 *788:57 0.00181751 +5 *788:34 0.00273174 +6 *788:17 0.0023433 +7 *6745:DIODE *8466:DIODE 0 +8 *6745:DIODE *3235:63 0 +9 *6745:DIODE *3291:68 0 +10 *6745:DIODE *4149:121 0 +11 *6745:DIODE *5193:48 0 +12 *788:17 *789:11 0 +13 *788:17 *1884:36 0 +14 *788:17 *2408:37 0 +15 *788:17 *2978:9 0 +16 *788:34 *39582:A 0 +17 *788:34 *40295:A 0 +18 *788:34 *1854:20 0 +19 *788:34 *3087:90 0 +20 *788:34 *5165:35 0 +21 *788:57 *2712:96 0 +22 *788:57 *3043:75 0 +23 *788:57 *3230:27 0 +24 *788:57 *3245:21 0 +25 *788:57 *3721:24 0 +26 *6601:DIODE *788:34 0 +27 *405:54 *788:34 0 +28 *407:36 *788:57 0 +29 *532:35 *788:34 0 +30 *535:21 *788:34 0 +31 *786:21 *788:34 0 +*RES +1 la_oenb_mprj[114] *788:17 31.625 +2 *788:17 *38609:A 9.3 +3 *788:17 *788:34 49.8929 +4 *788:34 *788:57 41.9444 +5 *788:57 *6745:DIODE 30.3714 +*END + +*D_NET *789 0.00797467 +*CONN +*P la_oenb_mprj[115] I +*I *6746:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38610:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_oenb_mprj[115] 0.00052145 +2 *6746:DIODE 0 +3 *38610:A 2.56688e-05 +4 *789:59 0.00167814 +5 *789:49 0.00344022 +6 *789:11 0.00230919 +7 *38610:A *3106:71 0 +8 *38610:A *5165:35 0 +9 *789:11 *2408:37 0 +10 *789:11 *2445:35 0 +11 *789:49 *8185:DIODE 0 +12 *789:49 *39037:A 0 +13 *789:49 *39580:A 0 +14 *789:49 *39582:A 0 +15 *789:49 *40254:A 0 +16 *789:49 *40265:A 0 +17 *789:49 *901:62 0 +18 *789:49 *1027:80 0 +19 *789:49 *3043:75 0 +20 *789:49 *3230:39 0 +21 *789:49 *3262:86 0 +22 *789:49 *3417:32 0 +23 *789:49 *4524:99 0 +24 *789:49 *5163:18 0 +25 *789:59 *37444:A 0 +26 *789:59 *1010:129 0 +27 *789:59 *1027:96 0 +28 *789:59 *2712:97 0 +29 *789:59 *2712:99 0 +30 *789:59 *2712:110 0 +31 *789:59 *3250:39 0 +32 *789:59 *4550:71 0 +33 *789:59 *4550:91 0 +34 *6683:DIODE *789:59 0 +35 *404:58 *789:49 0 +36 *411:36 *789:59 0 +37 *535:29 *789:59 0 +38 *536:46 *789:59 0 +39 *536:53 *789:59 0 +40 *788:17 *789:11 0 +*RES +1 la_oenb_mprj[115] *789:11 20.1071 +2 *789:11 *38610:A 14.3357 +3 *789:11 *789:49 45.8925 +4 *789:49 *789:59 44.2679 +5 *789:59 *6746:DIODE 9.3 +*END + +*D_NET *790 0.00782082 +*CONN +*P la_oenb_mprj[116] I +*I *6747:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38611:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_oenb_mprj[116] 0.000508147 +2 *6747:DIODE 0.00048753 +3 *38611:A 7.23213e-05 +4 *790:51 0.00182034 +5 *790:29 0.00284241 +6 *790:8 0.00209007 +7 *6747:DIODE *792:83 0 +8 *6747:DIODE *1001:72 0 +9 *6747:DIODE *3266:92 0 +10 *790:8 *2445:35 0 +11 *790:29 *7130:DIODE 0 +12 *790:29 *2408:37 0 +13 *790:29 *2445:35 0 +14 *790:29 *2882:17 0 +15 *790:29 *3034:54 0 +16 *790:29 *3142:91 0 +17 *790:29 *3250:49 0 +18 *790:29 *5103:18 0 +19 *790:29 *5175:108 0 +20 *790:29 *5177:23 0 +21 *790:29 *5179:133 0 +22 *790:51 *39640:A 0 +23 *790:51 *40160:A 0 +24 *790:51 *792:57 0 +25 *790:51 *792:83 0 +26 *790:51 *1864:36 0 +27 *790:51 *3024:18 0 +28 *790:51 *3106:51 0 +29 *790:51 *3266:92 0 +30 *408:52 *790:51 0 +31 *535:9 *38611:A 0 +*RES +1 la_oenb_mprj[116] *790:8 15.1964 +2 *790:8 *38611:A 19.9429 +3 *790:8 *790:29 45.7946 +4 *790:29 *790:51 46.5804 +5 *790:51 *6747:DIODE 32.9964 +*END + +*D_NET *791 0.00741889 +*CONN +*P la_oenb_mprj[117] I +*I *6748:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38612:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_oenb_mprj[117] 0.000212107 +2 *6748:DIODE 0.000245992 +3 *38612:A 0.000273084 +4 *791:50 0.00322425 +5 *791:7 0.00346345 +6 *6748:DIODE *1027:120 0 +7 *6748:DIODE *3266:62 0 +8 *791:7 *2445:35 0 +9 *791:50 *793:49 0 +10 *791:50 *2406:16 0 +11 *791:50 *2782:14 0 +12 *791:50 *2782:39 0 +13 *791:50 *2849:23 0 +14 *791:50 *2916:29 0 +15 *791:50 *2927:33 0 +16 *791:50 *2984:58 0 +17 *791:50 *3125:22 0 +18 *791:50 *3135:26 0 +19 *791:50 *3250:39 0 +20 *791:50 *5194:22 0 +21 *406:49 *791:50 0 +22 *411:36 *6748:DIODE 0 +23 *534:43 *791:7 0 +24 *535:29 *6748:DIODE 0 +*RES +1 la_oenb_mprj[117] *791:7 4.84821 +2 *791:7 *38612:A 19.9696 +3 *791:7 *791:50 49.2417 +4 *791:50 *6748:DIODE 14.4964 +*END + +*D_NET *792 0.00704593 +*CONN +*P la_oenb_mprj[118] I +*I *6750:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38614:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_oenb_mprj[118] 0.000842437 +2 *6750:DIODE 0.000124066 +3 *38614:A 0 +4 *792:83 0.00160355 +5 *792:57 0.00255646 +6 *792:36 0.00191942 +7 *6750:DIODE *1010:169 0 +8 *6750:DIODE *3018:95 0 +9 *792:36 *2927:33 0 +10 *792:36 *3087:74 0 +11 *792:36 *3266:113 0 +12 *792:36 *3413:60 0 +13 *792:36 *4756:19 0 +14 *792:36 *5072:17 0 +15 *792:36 *5196:39 0 +16 *792:57 *7577:DIODE 0 +17 *792:57 *3041:55 0 +18 *792:57 *4550:91 0 +19 *792:57 *4756:19 0 +20 *792:57 *5072:17 0 +21 *792:83 *39523:A 0 +22 *792:83 *39640:A 0 +23 *792:83 *40282:A 0 +24 *792:83 *1001:72 0 +25 *792:83 *1010:169 0 +26 *792:83 *1879:21 0 +27 *792:83 *3106:51 0 +28 *792:83 *3266:92 0 +29 *6604:DIODE *792:57 0 +30 *6747:DIODE *792:83 0 +31 *408:52 *792:57 0 +32 *536:53 *792:83 0 +33 *537:22 *792:36 0 +34 *537:43 *792:57 0 +35 *790:51 *792:57 0 +36 *790:51 *792:83 0 +*RES +1 la_oenb_mprj[118] *792:36 29.4244 +2 *792:36 *38614:A 9.3 +3 *792:36 *792:57 45.8036 +4 *792:57 *792:83 49.75 +5 *792:83 *6750:DIODE 16.3893 +*END + +*D_NET *793 0.00617909 +*CONN +*P la_oenb_mprj[119] I +*I *6751:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38615:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[119] 0.00020377 +2 *6751:DIODE 0.000157743 +3 *38615:A 0.000275749 +4 *793:49 0.00261003 +5 *793:13 0.0029318 +6 *793:49 *1862:22 0 +7 *793:49 *2406:16 0 +8 *793:49 *2893:39 0 +9 *793:49 *3077:91 0 +10 *793:49 *5072:32 0 +11 *793:49 *5103:18 0 +12 *793:49 *5171:118 0 +13 la_data_in_mprj[120] *38615:A 0 +14 la_data_in_mprj[120] *793:13 0 +15 *406:49 *793:49 0 +16 *409:29 *38615:A 0 +17 *409:29 *793:13 0 +18 *542:22 *793:49 0 +19 *542:60 *793:49 0 +20 *791:50 *793:49 0 +*RES +1 la_oenb_mprj[119] *793:13 5.54464 +2 *793:13 *38615:A 20.0054 +3 *793:13 *793:49 46.7117 +4 *793:49 *6751:DIODE 21.8 +*END + +*D_NET *794 0.00109218 +*CONN +*P la_oenb_mprj[11] I +*I *6752:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38616:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[11] 0.000236706 +2 *6752:DIODE 0.000204997 +3 *38616:A 0.000104386 +4 *794:5 0.000546089 +5 *6752:DIODE *1680:8 0 +6 *6752:DIODE *2359:15 0 +7 *6752:DIODE *4126:14 0 +8 *6752:DIODE *4693:29 0 +9 *38616:A *39041:A 0 +10 *38616:A *4789:32 0 +11 *794:5 *2949:8 0 +12 la_data_in_mprj[12] *794:5 0 +13 *538:8 *794:5 0 +*RES +1 la_oenb_mprj[11] *794:5 5.375 +2 *794:5 *38616:A 15.9786 +3 *794:5 *6752:DIODE 18.4071 +*END + +*D_NET *795 0.00608406 +*CONN +*P la_oenb_mprj[120] I +*I *6753:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38617:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_oenb_mprj[120] 0.000665602 +2 *6753:DIODE 0.00030118 +3 *38617:A 6.26076e-05 +4 *795:41 0.00231382 +5 *795:8 0.00274085 +6 *6753:DIODE *5508:DIODE 0 +7 *6753:DIODE *4555:65 0 +8 *795:8 *2882:17 0 +9 *795:41 *39547:B 0 +10 *795:41 *798:23 0 +11 *795:41 *1001:100 0 +12 *795:41 *1829:47 0 +13 *795:41 *1833:28 0 +14 *795:41 *1879:21 0 +15 *795:41 *2849:23 0 +16 *795:41 *2882:17 0 +17 *795:41 *2882:46 0 +18 *795:41 *2960:43 0 +19 *795:41 *3077:91 0 +20 *795:41 *3106:23 0 +21 *795:41 *4550:63 0 +22 *413:13 *795:41 0 +23 *415:28 *6753:DIODE 0 +*RES +1 la_oenb_mprj[120] *795:8 18.4821 +2 *795:8 *38617:A 19.9429 +3 *795:8 *795:41 45.6872 +4 *795:41 *6753:DIODE 20.0857 +*END + +*D_NET *796 0.00439635 +*CONN +*P la_oenb_mprj[121] I +*I *38618:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6754:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_oenb_mprj[121] 0.000215253 +2 *38618:A 8.41992e-05 +3 *6754:DIODE 0.000520648 +4 *796:31 0.00189872 +5 *796:5 0.00167753 +6 *6754:DIODE *7517:DIODE 0 +7 *6754:DIODE *2982:51 0 +8 *6754:DIODE *3184:57 0 +9 *6754:DIODE *3199:81 0 +10 *6754:DIODE *3496:19 0 +11 *6754:DIODE *3793:65 0 +12 *6754:DIODE *4415:46 0 +13 *38618:A *2960:20 0 +14 *38618:A *5072:17 0 +15 *796:31 *39640:A 0 +16 *796:31 *40326:A 0 +17 *796:31 *3106:51 0 +18 *796:31 *3113:70 0 +19 *796:31 *3400:52 0 +20 *796:31 *3460:73 0 +21 *796:31 *5175:74 0 +22 *796:31 *5175:86 0 +*RES +1 la_oenb_mprj[121] *796:5 4.91964 +2 *796:5 *796:31 41.991 +3 *796:31 *6754:DIODE 20.1036 +4 *796:5 *38618:A 15.7375 +*END + +*D_NET *797 0.00440767 +*CONN +*P la_oenb_mprj[122] I +*I *6755:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38619:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[122] 0.000345895 +2 *6755:DIODE 0 +3 *38619:A 4.53482e-05 +4 *797:54 0.00181259 +5 *797:9 0.00220383 +6 *38619:A *5179:115 0 +7 *797:54 *39606:A 0 +8 *797:54 *40252:A 0 +9 *797:54 *798:23 0 +10 *797:54 *1008:129 0 +11 *797:54 *1731:51 0 +12 *797:54 *3205:68 0 +13 *797:54 *3209:17 0 +14 *797:54 *3721:24 0 +15 *797:54 *4427:42 0 +16 *797:54 *4774:57 0 +17 *797:54 *5100:19 0 +18 *797:54 *5179:91 0 +19 *797:54 *5179:115 0 +20 *540:55 *797:9 0 +21 *542:60 *797:54 0 +*RES +1 la_oenb_mprj[122] *797:9 7.85714 +2 *797:9 *38619:A 14.7464 +3 *797:9 *797:54 46.0914 +4 *797:54 *6755:DIODE 13.8 +*END + +*D_NET *798 0.00624061 +*CONN +*P la_oenb_mprj[123] I +*I *6756:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38620:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[123] 0.000671069 +2 *6756:DIODE 0.00194272 +3 *38620:A 0.000506512 +4 *798:23 0.0031203 +5 *6756:DIODE *2406:16 0 +6 *6756:DIODE *2882:46 0 +7 *6756:DIODE *3017:55 0 +8 *6756:DIODE *3135:26 0 +9 *6756:DIODE *3230:27 0 +10 *6756:DIODE *3266:46 0 +11 *6756:DIODE *4532:38 0 +12 *6756:DIODE *4554:77 0 +13 *6756:DIODE *4774:25 0 +14 *6756:DIODE *4774:35 0 +15 *38620:A *1857:28 0 +16 *38620:A *4536:9 0 +17 *38620:A *5102:19 0 +18 *38620:A *5167:85 0 +19 *798:23 *1731:51 0 +20 *798:23 *1828:47 0 +21 *798:23 *1862:22 0 +22 *798:23 *2849:23 0 +23 *798:23 *2893:39 0 +24 *798:23 *3122:31 0 +25 la_data_in_mprj[125] *38620:A 0 +26 *417:13 *6756:DIODE 0 +27 *542:22 *798:23 0 +28 *795:41 *798:23 0 +29 *797:54 *798:23 0 +*RES +1 la_oenb_mprj[123] *798:23 14.817 +2 *798:23 *38620:A 46.1386 +3 *798:23 *6756:DIODE 43.4223 +*END + +*D_NET *799 0.00351902 +*CONN +*P la_oenb_mprj[124] I +*I *6757:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38621:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_oenb_mprj[124] 0.000208156 +2 *6757:DIODE 0.00129376 +3 *38621:A 0.000257589 +4 *799:8 0.00175951 +5 *6757:DIODE *40329:A 0 +6 *6757:DIODE *2406:10 0 +7 *6757:DIODE *3212:59 0 +8 *6757:DIODE *4536:9 0 +9 *6757:DIODE *4590:47 0 +10 *6757:DIODE *5167:85 0 +11 *38621:A *4393:28 0 +12 *38621:A *4561:49 0 +13 *38621:A *5100:31 0 +14 *799:8 *4536:9 0 +15 *799:8 *5167:85 0 +*RES +1 la_oenb_mprj[124] *799:8 9.10714 +2 *799:8 *38621:A 24.0321 +3 *799:8 *6757:DIODE 46.8179 +*END + +*D_NET *800 0.00313323 +*CONN +*P la_oenb_mprj[125] I +*I *6758:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38622:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[125] 0.000582731 +2 *6758:DIODE 0.000958214 +3 *38622:A 2.56688e-05 +4 *800:11 0.00156661 +5 *6758:DIODE *7591:DIODE 0 +6 *6758:DIODE *39604:A 0 +7 *6758:DIODE *2713:176 0 +8 *6758:DIODE *2713:186 0 +9 *6758:DIODE *3027:20 0 +10 *6758:DIODE *3077:51 0 +11 *6758:DIODE *4602:40 0 +12 *38622:A *3004:24 0 +13 *38622:A *5102:19 0 +14 *800:11 *2983:16 0 +15 *800:11 *3004:24 0 +16 *800:11 *4550:35 0 +17 *800:11 *4550:63 0 +18 *800:11 *5102:19 0 +19 *6816:DIODE *6758:DIODE 0 +*RES +1 la_oenb_mprj[125] *800:11 21.6071 +2 *800:11 *38622:A 14.3357 +3 *800:11 *6758:DIODE 34.6214 +*END + +*D_NET *801 0.00260393 +*CONN +*P la_oenb_mprj[126] I +*I *6759:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38623:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_oenb_mprj[126] 0.00039533 +2 *6759:DIODE 0 +3 *38623:A 0 +4 *801:36 0.000906634 +5 *801:8 0.00130196 +6 *801:8 *39048:A 0 +7 *801:8 *40370:A 0 +8 *801:8 *4561:49 0 +9 *801:8 *5100:31 0 +10 *801:8 *5166:78 0 +11 *801:36 *8142:DIODE 0 +12 *801:36 *1856:16 0 +13 *801:36 *3262:49 0 +14 *801:36 *3375:23 0 +15 *801:36 *4460:27 0 +16 *801:36 *4554:77 0 +17 *801:36 *4561:49 0 +18 *801:36 *4780:86 0 +19 *801:36 *4780:99 0 +20 *801:36 *5100:31 0 +21 *801:36 *5186:28 0 +*RES +1 la_oenb_mprj[126] *801:8 13.3571 +2 *801:8 *38623:A 9.3 +3 *801:8 *801:36 46.6964 +4 *801:36 *6759:DIODE 9.3 +*END + +*D_NET *802 0.00234518 +*CONN +*P la_oenb_mprj[127] I +*I *6761:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38625:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_oenb_mprj[127] 0.00082839 +2 *6761:DIODE 0.000318529 +3 *38625:A 2.56688e-05 +4 *802:27 0.00117259 +5 *6761:DIODE *3027:43 0 +6 *6761:DIODE *4555:54 0 +7 *38625:A *3403:7 0 +8 *802:27 *39049:A 0 +9 *802:27 *41424:A 0 +10 *802:27 *1862:69 0 +11 *802:27 *3017:55 0 +12 *802:27 *4540:26 0 +13 *802:27 *4550:35 0 +14 *6619:DIODE *38625:A 0 +*RES +1 la_oenb_mprj[127] *802:27 23.6262 +2 *802:27 *38625:A 14.3357 +3 *802:27 *6761:DIODE 21.0143 +*END + +*D_NET *803 0.00075602 +*CONN +*P la_oenb_mprj[12] I +*I *6762:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38626:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_oenb_mprj[12] 0.000249683 +2 *6762:DIODE 8.29787e-05 +3 *38626:A 4.53482e-05 +4 *803:9 0.00037801 +5 *6762:DIODE *2357:8 0 +6 *6762:DIODE *4593:20 0 +7 *38626:A *39041:A 0 +8 *803:9 *39051:A 0 +9 *803:9 *2357:8 0 +10 *803:9 *4439:42 0 +11 *803:9 *4560:12 0 +12 *803:9 *4593:20 0 +13 la_data_in_mprj[13] *803:9 0 +14 *547:7 *803:9 0 +*RES +1 la_oenb_mprj[12] *803:9 5.73214 +2 *803:9 *38626:A 14.7464 +3 *803:9 *6762:DIODE 15.7464 +*END + +*D_NET *804 0.00146432 +*CONN +*P la_oenb_mprj[13] I +*I *6763:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38627:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_oenb_mprj[13] 0.000249644 +2 *6763:DIODE 0.000482517 +3 *38627:A 0 +4 *804:9 0.000732161 +5 *6763:DIODE *37481:A 0 +6 *6763:DIODE *4785:17 0 +7 *6763:DIODE *4854:8 0 +8 *804:9 *4785:17 0 +9 *804:9 *4854:8 0 +*RES +1 la_oenb_mprj[13] *804:9 5.73214 +2 *804:9 *38627:A 13.8 +3 *804:9 *6763:DIODE 24.0857 +*END + +*D_NET *805 0.00113146 +*CONN +*P la_oenb_mprj[14] I +*I *6764:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38628:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[14] 0.000343884 +2 *6764:DIODE 0.000176497 +3 *38628:A 4.53482e-05 +4 *805:11 0.000565729 +5 *6764:DIODE *4419:20 0 +6 *6764:DIODE *4424:33 0 +7 *6764:DIODE *4433:40 0 +8 *6764:DIODE *4515:10 0 +9 *6764:DIODE *4775:30 0 +10 *38628:A *4593:7 0 +11 *805:11 *40514:A 0 +12 *805:11 *2827:32 0 +13 *805:11 *4433:37 0 +14 *805:11 *4433:40 0 +15 *805:11 *4515:10 0 +16 *805:11 *4775:30 0 +17 *805:11 *4789:45 0 +18 la_data_in_mprj[15] *805:11 0 +19 *549:5 *805:11 0 +*RES +1 la_oenb_mprj[14] *805:11 16.6786 +2 *805:11 *38628:A 14.7464 +3 *805:11 *6764:DIODE 17.8714 +*END + +*D_NET *806 0.00101354 +*CONN +*P la_oenb_mprj[15] I +*I *6765:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38629:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[15] 0.000239498 +2 *6765:DIODE 0.000241603 +3 *38629:A 2.56688e-05 +4 *806:5 0.00050677 +5 *6765:DIODE *7149:DIODE 0 +6 *6765:DIODE *2359:15 0 +7 *38629:A *40514:A 0 +8 *38629:A *4424:27 0 +9 *806:5 *7149:DIODE 0 +10 la_data_in_mprj[16] *806:5 0 +11 *6623:DIODE *6765:DIODE 0 +12 *550:5 *806:5 0 +*RES +1 la_oenb_mprj[15] *806:5 5.375 +2 *806:5 *38629:A 14.3357 +3 *806:5 *6765:DIODE 19.2286 +*END + +*D_NET *807 0.000669549 +*CONN +*P la_oenb_mprj[16] I +*I *6766:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38630:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_oenb_mprj[16] 0.000239498 +2 *6766:DIODE 6.96077e-05 +3 *38630:A 2.56688e-05 +4 *807:5 0.000334774 +5 *6766:DIODE *3431:17 0 +6 *6766:DIODE *4891:40 0 +7 *38630:A *4424:21 0 +8 *807:5 *39055:A 0 +9 *807:5 *2827:32 0 +10 la_data_in_mprj[17] *807:5 0 +11 *6624:DIODE *6766:DIODE 0 +12 *6624:DIODE *807:5 0 +13 *551:5 *807:5 0 +*RES +1 la_oenb_mprj[16] *807:5 5.375 +2 *807:5 *38630:A 14.3357 +3 *807:5 *6766:DIODE 15.4429 +*END + +*D_NET *808 0.000846717 +*CONN +*P la_oenb_mprj[17] I +*I *6767:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38631:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[17] 0.000236706 +2 *6767:DIODE 0.000160984 +3 *38631:A 2.56688e-05 +4 *808:5 0.000423358 +5 *6767:DIODE *39056:A 0 +6 *6767:DIODE *4421:12 0 +7 *6767:DIODE *4422:11 0 +8 *6767:DIODE *4538:8 0 +9 *6767:DIODE *4775:30 0 +10 *38631:A *4424:21 0 +11 *38631:A *4593:7 0 +12 *808:5 *4538:8 0 +13 *808:5 *4866:10 0 +*RES +1 la_oenb_mprj[17] *808:5 5.375 +2 *808:5 *38631:A 14.3357 +3 *808:5 *6767:DIODE 17.4607 +*END + +*D_NET *809 0.00143227 +*CONN +*P la_oenb_mprj[18] I +*I *6768:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38632:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_oenb_mprj[18] 0.000160825 +2 *6768:DIODE 0.000456057 +3 *38632:A 9.92526e-05 +4 *809:9 0.000716135 +5 *6768:DIODE *39057:A 0 +6 *6768:DIODE *2364:30 0 +7 *6768:DIODE *3467:9 0 +8 *6768:DIODE *4775:16 0 +9 *6768:DIODE *4891:40 0 +10 *38632:A *40479:A 0 +11 *38632:A *4891:19 0 +12 la_data_in_mprj[19] *809:9 0 +13 *343:52 *6768:DIODE 0 +14 *553:11 *809:9 0 +*RES +1 la_oenb_mprj[18] *809:9 3.71429 +2 *809:9 *38632:A 15.8714 +3 *809:9 *6768:DIODE 24.175 +*END + +*D_NET *810 0.00111025 +*CONN +*P la_oenb_mprj[19] I +*I *6769:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38633:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_oenb_mprj[19] 0.000263054 +2 *6769:DIODE 0.000292071 +3 *38633:A 0 +4 *810:9 0.000555125 +5 *6769:DIODE *4433:25 0 +6 *6769:DIODE *4637:30 0 +7 *810:9 *4560:8 0 +8 *810:9 *4637:30 0 +9 la_data_in_mprj[20] *810:9 0 +10 *6628:DIODE *6769:DIODE 0 +11 *38492:A *6769:DIODE 0 +12 *554:7 *6769:DIODE 0 +13 *554:7 *810:9 0 +*RES +1 la_oenb_mprj[19] *810:9 6.03571 +2 *810:9 *38633:A 13.8 +3 *810:9 *6769:DIODE 20.0857 +*END + +*D_NET *811 0.000754739 +*CONN +*P la_oenb_mprj[1] I +*I *6770:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38634:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_oenb_mprj[1] 0.000223374 +2 *6770:DIODE 0.000128327 +3 *38634:A 2.56688e-05 +4 *811:5 0.00037737 +5 *6770:DIODE *3138:10 0 +6 *6770:DIODE *4425:8 0 +7 *38634:A *4439:5 0 +8 *38634:A *4504:65 0 +9 la_data_in_mprj[2] *811:5 0 +10 *6927:DIODE *6770:DIODE 0 +11 *555:5 *6770:DIODE 0 +12 *555:5 *811:5 0 +*RES +1 la_oenb_mprj[1] *811:5 5.07143 +2 *811:5 *38634:A 14.3357 +3 *811:5 *6770:DIODE 16.6929 +*END + +*D_NET *812 0.000877821 +*CONN +*P la_oenb_mprj[20] I +*I *6773:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38637:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_oenb_mprj[20] 0.000330283 +2 *6773:DIODE 8.2959e-05 +3 *38637:A 2.56688e-05 +4 *812:5 0.000438911 +5 *6773:DIODE *1782:36 0 +6 *6773:DIODE *3304:34 0 +7 *6773:DIODE *4430:20 0 +8 *38637:A *3488:13 0 +9 *38637:A *4433:25 0 +10 *812:5 *1782:36 0 +11 *812:5 *4430:20 0 +12 la_data_in_mprj[21] *812:5 0 +13 *556:7 *812:5 0 +*RES +1 la_oenb_mprj[20] *812:5 7.5 +2 *812:5 *38637:A 14.3357 +3 *812:5 *6773:DIODE 15.7464 +*END + +*D_NET *813 0.00103534 +*CONN +*P la_oenb_mprj[21] I +*I *38638:A I *D sky130_fd_sc_hd__buf_2 +*I *6774:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_oenb_mprj[21] 0.000339755 +2 *38638:A 0 +3 *6774:DIODE 0.000177913 +4 *813:8 0.000517668 +5 *6774:DIODE *3488:13 0 +6 *6774:DIODE *4429:67 0 +7 *6774:DIODE *4430:20 0 +8 *6774:DIODE *4671:30 0 +9 *813:8 *39060:A 0 +10 *813:8 *4649:33 0 +11 *813:8 *4671:30 0 +12 la_data_in_mprj[22] *813:8 0 +13 *557:7 *813:8 0 +*RES +1 la_oenb_mprj[21] *813:8 12.0536 +2 *813:8 *6774:DIODE 22.3714 +3 *813:8 *38638:A 9.3 +*END + +*D_NET *814 0.00121516 +*CONN +*P la_oenb_mprj[22] I +*I *38639:A I *D sky130_fd_sc_hd__buf_2 +*I *6775:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_oenb_mprj[22] 0.00047682 +2 *38639:A 4.23535e-05 +3 *6775:DIODE 8.84057e-05 +4 *814:8 0.000607579 +5 *6775:DIODE *7154:DIODE 0 +6 *6775:DIODE *39062:A 0 +7 *38639:A *3304:34 0 +8 *38639:A *4433:25 0 +9 *814:8 *39062:A 0 +10 *814:8 *3171:14 0 +11 *814:8 *3304:34 0 +12 *814:8 *4433:25 0 +13 la_data_in_mprj[23] *814:8 0 +14 *6954:DIODE *6775:DIODE 0 +15 *558:5 *814:8 0 +*RES +1 la_oenb_mprj[22] *814:8 15 +2 *814:8 *6775:DIODE 20.2464 +3 *814:8 *38639:A 10.2464 +*END + +*D_NET *815 0.000965057 +*CONN +*P la_oenb_mprj[23] I +*I *38640:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *6776:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_oenb_mprj[23] 0.00032776 +2 *38640:A 4.23535e-05 +3 *6776:DIODE 0.000112415 +4 *815:8 0.000482528 +5 *6776:DIODE *4626:14 0 +6 *38640:A *4671:11 0 +7 *815:8 *39063:A 0 +8 *815:8 *2360:59 0 +9 *815:8 *3160:26 0 +10 *815:8 *3182:10 0 +11 *815:8 *4431:17 0 +12 la_data_in_mprj[24] *815:8 0 +13 *559:8 *815:8 0 +*RES +1 la_oenb_mprj[23] *815:8 11.75 +2 *815:8 *6776:DIODE 20.8536 +3 *815:8 *38640:A 10.2464 +*END + +*D_NET *816 0.000669391 +*CONN +*P la_oenb_mprj[24] I +*I *6777:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38641:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[24] 0.000236666 +2 *6777:DIODE 9.80294e-05 +3 *38641:A 0 +4 *816:5 0.000334696 +5 *6777:DIODE *3304:22 0 +6 *6777:DIODE *4432:12 0 +7 *816:5 *4432:12 0 +8 *816:5 *5201:10 0 +9 *560:10 *816:5 0 +*RES +1 la_oenb_mprj[24] *816:5 5.375 +2 *816:5 *38641:A 13.8 +3 *816:5 *6777:DIODE 15.9786 +*END + +*D_NET *817 0.00136421 +*CONN +*P la_oenb_mprj[25] I +*I *38642:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6778:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_oenb_mprj[25] 0.000435961 +2 *38642:A 0.000246142 +3 *6778:DIODE 0 +4 *817:8 0.000682103 +5 *38642:A *3215:16 0 +6 *38642:A *3238:12 0 +7 *38642:A *5202:15 0 +8 *817:8 *39065:A 0 +9 *817:8 *3204:8 0 +10 *817:8 *5202:15 0 +11 la_data_in_mprj[26] *817:8 0 +12 *561:9 *817:8 0 +*RES +1 la_oenb_mprj[25] *817:8 14.3571 +2 *817:8 *6778:DIODE 9.3 +3 *817:8 *38642:A 23.6571 +*END + +*D_NET *818 0.00146633 +*CONN +*P la_oenb_mprj[26] I +*I *6779:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38643:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_oenb_mprj[26] 0.000157383 +2 *6779:DIODE 0.000550111 +3 *38643:A 2.56688e-05 +4 *818:8 0.000733163 +5 *6779:DIODE *39066:A 0 +6 *6779:DIODE *3271:20 0 +7 *6779:DIODE *3879:37 0 +8 *6779:DIODE *4660:16 0 +9 *6779:DIODE *4820:18 0 +10 *6779:DIODE *5202:15 0 +11 *38643:A *4891:9 0 +12 *818:8 *4434:8 0 +13 *818:8 *4796:18 0 +14 *818:8 *4891:9 0 +15 *6958:DIODE *6779:DIODE 0 +16 *562:5 *6779:DIODE 0 +17 *562:5 *818:8 0 +*RES +1 la_oenb_mprj[26] *818:8 8.08929 +2 *818:8 *38643:A 9.83571 +3 *818:8 *6779:DIODE 30.3536 +*END + +*D_NET *819 0.00113233 +*CONN +*P la_oenb_mprj[27] I +*I *38644:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6780:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_oenb_mprj[27] 0.00033173 +2 *38644:A 2.0535e-05 +3 *6780:DIODE 0.000213901 +4 *819:8 0.000566166 +5 *6780:DIODE *3378:5 0 +6 *6780:DIODE *4434:29 0 +7 *6780:DIODE *4671:11 0 +8 *819:8 *39067:A 0 +9 *819:8 *40469:A 0 +10 *819:8 *2357:16 0 +11 *819:8 *3378:5 0 +12 *819:8 *4671:11 0 +13 *819:8 *4796:18 0 +14 la_data_in_mprj[28] *819:8 0 +15 *563:12 *6780:DIODE 0 +16 *563:12 *819:8 0 +*RES +1 la_oenb_mprj[27] *819:8 11.9464 +2 *819:8 *6780:DIODE 23.0143 +3 *819:8 *38644:A 9.72857 +*END + +*D_NET *820 0.00124677 +*CONN +*P la_oenb_mprj[28] I +*I *6781:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38645:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[28] 0.000356907 +2 *6781:DIODE 8.46584e-05 +3 *38645:A 0.000181821 +4 *820:5 0.000623386 +5 *6781:DIODE *38405:A 0 +6 *6781:DIODE *5202:15 0 +7 *38645:A *38405:A 0 +8 *38645:A *3304:9 0 +9 *38645:A *4430:91 0 +10 *820:5 *4891:8 0 +11 la_data_in_mprj[29] *820:5 0 +12 *564:8 *820:5 0 +*RES +1 la_oenb_mprj[28] *820:5 8.10714 +2 *820:5 *38645:A 17.6571 +3 *820:5 *6781:DIODE 15.675 +*END + +*D_NET *821 0.00101555 +*CONN +*P la_oenb_mprj[29] I +*I *6782:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38646:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[29] 0.000258866 +2 *6782:DIODE 0.000223241 +3 *38646:A 2.56688e-05 +4 *821:7 0.000507776 +5 *6782:DIODE *39070:A 0 +6 *6782:DIODE *3249:18 0 +7 *6782:DIODE *4437:10 0 +8 *6782:DIODE *5202:15 0 +9 *38646:A *3271:14 0 +10 *38646:A *4437:5 0 +11 *821:7 *39070:A 0 +12 *821:7 *3377:8 0 +13 la_data_in_mprj[30] *821:7 0 +14 *6640:DIODE *6782:DIODE 0 +15 *565:8 *821:7 0 +*RES +1 la_oenb_mprj[29] *821:7 5.91071 +2 *821:7 *38646:A 14.3357 +3 *821:7 *6782:DIODE 18.8179 +*END + +*D_NET *822 0.00121697 +*CONN +*P la_oenb_mprj[2] I +*I *6784:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38648:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_mprj[2] 0.000299274 +2 *6784:DIODE 0.000309212 +3 *38648:A 0 +4 *822:9 0.000608486 +5 *6784:DIODE *38395:A 0 +6 *6784:DIODE *38400:A 0 +7 *6784:DIODE *39080:A 0 +8 *6784:DIODE *3260:8 0 +9 *6784:DIODE *4463:28 0 +10 *6784:DIODE *4463:32 0 +11 *6784:DIODE *4487:9 0 +12 *6784:DIODE *4493:38 0 +13 *822:9 *1775:16 0 +14 *822:9 *3260:8 0 +15 la_data_in_mprj[3] *822:9 0 +16 *449:7 *6784:DIODE 0 +17 *449:7 *822:9 0 +18 *566:7 *6784:DIODE 0 +19 *566:7 *822:9 0 +*RES +1 la_oenb_mprj[2] *822:9 6.85714 +2 *822:9 *38648:A 13.8 +3 *822:9 *6784:DIODE 20.8357 +*END + +*D_NET *823 0.000927266 +*CONN +*P la_oenb_mprj[30] I +*I *38649:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6785:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_oenb_mprj[30] 0.000359247 +2 *38649:A 0 +3 *6785:DIODE 0.000104386 +4 *823:11 0.000463633 +5 *6785:DIODE *3304:9 0 +6 *6785:DIODE *4441:9 0 +7 *823:11 *41397:A 0 +8 *823:11 *3282:6 0 +9 la_data_in_mprj[31] *823:11 0 +10 *6642:DIODE *823:11 0 +11 *567:5 *823:11 0 +*RES +1 la_oenb_mprj[30] *823:11 12.6607 +2 *823:11 *6785:DIODE 11.4786 +3 *823:11 *38649:A 9.3 +*END + +*D_NET *824 0.000956382 +*CONN +*P la_oenb_mprj[31] I +*I *6786:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38650:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_oenb_mprj[31] 0.000330184 +2 *6786:DIODE 8.29787e-05 +3 *38650:A 6.50276e-05 +4 *824:5 0.000478191 +5 *6786:DIODE *39072:A 0 +6 *6786:DIODE *3458:16 0 +7 *38650:A *3304:9 0 +8 *38650:A *3367:5 0 +9 *38650:A *3367:21 0 +10 *38650:A *4441:9 0 +11 *824:5 *39072:A 0 +12 *824:5 *3315:12 0 +13 *824:5 *3437:59 0 +14 la_data_in_mprj[32] *824:5 0 +15 *568:11 *824:5 0 +*RES +1 la_oenb_mprj[31] *824:5 7.5 +2 *824:5 *38650:A 15.1571 +3 *824:5 *6786:DIODE 15.7464 +*END + +*D_NET *825 0.000917062 +*CONN +*P la_oenb_mprj[32] I +*I *6787:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38651:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[32] 0.000316853 +2 *6787:DIODE 9.633e-05 +3 *38651:A 4.53482e-05 +4 *825:5 0.000458531 +5 *6787:DIODE *39073:A 0 +6 *6787:DIODE *4430:97 0 +7 *6787:DIODE *4442:8 0 +8 *38651:A *3304:7 0 +9 *825:5 *39073:A 0 +10 *825:5 *2007:19 0 +11 *825:5 *4430:97 0 +12 la_data_in_mprj[33] *825:5 0 +13 *569:9 *825:5 0 +*RES +1 la_oenb_mprj[32] *825:5 7.19643 +2 *825:5 *38651:A 14.7464 +3 *825:5 *6787:DIODE 16.05 +*END + +*D_NET *826 0.000913807 +*CONN +*P la_oenb_mprj[33] I +*I *6788:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38652:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[33] 0.000223335 +2 *6788:DIODE 0.000148006 +3 *38652:A 8.55626e-05 +4 *826:5 0.000456904 +5 *6788:DIODE *1793:20 0 +6 *38652:A *3315:12 0 +7 *38652:A *4443:8 0 +8 *38652:A *4825:7 0 +9 *826:5 *2353:16 0 +10 la_data_in_mprj[34] *826:5 0 +11 *570:7 *826:5 0 +*RES +1 la_oenb_mprj[33] *826:5 5.07143 +2 *826:5 *38652:A 15.5857 +3 *826:5 *6788:DIODE 17.1036 +*END + +*D_NET *827 0.000878125 +*CONN +*P la_oenb_mprj[34] I +*I *6789:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38653:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_oenb_mprj[34] 0.000239399 +2 *6789:DIODE 0.000173994 +3 *38653:A 2.56688e-05 +4 *827:5 0.000439062 +5 *6789:DIODE *40543:A 0 +6 *6789:DIODE *1793:20 0 +7 *6789:DIODE *3455:55 0 +8 *38653:A *4748:18 0 +9 *38653:A *4825:7 0 +10 *827:5 *40543:A 0 +11 la_data_in_mprj[35] *827:5 0 +12 *6646:DIODE *6789:DIODE 0 +13 *571:5 *827:5 0 +*RES +1 la_oenb_mprj[34] *827:5 5.375 +2 *827:5 *38653:A 14.3357 +3 *827:5 *6789:DIODE 17.6214 +*END + +*D_NET *828 0.00113146 +*CONN +*P la_oenb_mprj[35] I +*I *6790:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38654:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_oenb_mprj[35] 0.000333016 +2 *6790:DIODE 0.000207044 +3 *38654:A 2.56688e-05 +4 *828:5 0.000565729 +5 *6790:DIODE *2351:21 0 +6 *6790:DIODE *4748:18 0 +7 *38654:A *3367:5 0 +8 *38654:A *3455:55 0 +9 *828:5 *4748:18 0 +10 la_data_in_mprj[36] *828:5 0 +11 *6647:DIODE *6790:DIODE 0 +12 *572:5 *828:5 0 +*RES +1 la_oenb_mprj[35] *828:5 7.5 +2 *828:5 *38654:A 14.3357 +3 *828:5 *6790:DIODE 18.3357 +*END + +*D_NET *829 0.0008779 +*CONN +*P la_oenb_mprj[36] I +*I *6791:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38655:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_oenb_mprj[36] 0.000330302 +2 *6791:DIODE 8.29787e-05 +3 *38655:A 2.56688e-05 +4 *829:5 0.00043895 +5 *6791:DIODE *3337:8 0 +6 *6791:DIODE *3374:14 0 +7 *6791:DIODE *3374:25 0 +8 *6791:DIODE *4771:17 0 +9 *38655:A *3367:5 0 +10 *38655:A *4904:46 0 +11 *829:5 *39077:A 0 +12 *829:5 *3374:14 0 +13 *829:5 *3455:47 0 +14 la_data_in_mprj[37] *829:5 0 +15 *573:7 *829:5 0 +*RES +1 la_oenb_mprj[36] *829:5 7.5 +2 *829:5 *38655:A 14.3357 +3 *829:5 *6791:DIODE 15.7464 +*END + +*D_NET *830 0.000880833 +*CONN +*P la_oenb_mprj[37] I +*I *38656:A I *D sky130_fd_sc_hd__buf_4 +*I *6792:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_oenb_mprj[37] 0.000333036 +2 *38656:A 2.26741e-05 +3 *6792:DIODE 8.4707e-05 +4 *830:7 0.000440417 +5 *6792:DIODE *3367:5 0 +6 *6792:DIODE *4771:17 0 +7 *6792:DIODE *4904:46 0 +8 *38656:A *3367:5 0 +9 *38656:A *4771:17 0 +10 *830:7 *3349:8 0 +11 *830:7 *3360:9 0 +12 *830:7 *3437:50 0 +13 *830:7 *3454:46 0 +14 la_data_in_mprj[38] *830:7 0 +15 *6651:DIODE *830:7 0 +16 *574:9 *830:7 0 +17 *575:15 *830:7 0 +*RES +1 la_oenb_mprj[37] *830:7 12 +2 *830:7 *6792:DIODE 11.0679 +3 *830:7 *38656:A 9.83571 +*END + +*D_NET *831 0.000887905 +*CONN +*P la_oenb_mprj[38] I +*I *38657:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6793:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_oenb_mprj[38] 0.000316892 +2 *38657:A 4.23535e-05 +3 *6793:DIODE 8.4707e-05 +4 *831:7 0.000443953 +5 *6793:DIODE *3454:41 0 +6 *6793:DIODE *4447:10 0 +7 *38657:A *3454:41 0 +8 *38657:A *4447:10 0 +9 *831:7 *4804:11 0 +10 *831:7 *4959:44 0 +11 la_data_in_mprj[39] *831:7 0 +12 *575:15 *831:7 0 +*RES +1 la_oenb_mprj[38] *831:7 11.6964 +2 *831:7 *6793:DIODE 11.0679 +3 *831:7 *38657:A 10.2464 +*END + +*D_NET *832 0.000794019 +*CONN +*P la_oenb_mprj[39] I +*I *6795:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38659:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_oenb_mprj[39] 0.000236706 +2 *6795:DIODE 9.52765e-05 +3 *38659:A 6.50276e-05 +4 *832:5 0.00039701 +5 *6795:DIODE *3062:14 0 +6 *6795:DIODE *3361:18 0 +7 *6795:DIODE *3454:41 0 +8 *6795:DIODE *3455:24 0 +9 *38659:A *4823:27 0 +10 *38659:A *4848:9 0 +11 *832:5 *6797:DIODE 0 +12 *832:5 *3062:14 0 +13 *832:5 *3455:24 0 +14 la_data_in_mprj[40] *832:5 0 +*RES +1 la_oenb_mprj[39] *832:5 5.375 +2 *832:5 *38659:A 15.1571 +3 *832:5 *6795:DIODE 15.9786 +*END + +*D_NET *833 0.00111177 +*CONN +*P la_oenb_mprj[3] I +*I *6796:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38660:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_mprj[3] 0.000303541 +2 *6796:DIODE 0.000155069 +3 *38660:A 9.72752e-05 +4 *833:5 0.000555885 +5 *6796:DIODE *3382:8 0 +6 *6796:DIODE *4937:8 0 +7 *38660:A *4451:11 0 +8 *833:5 *3382:8 0 +9 *833:5 *4937:8 0 +10 la_data_in_mprj[4] *833:5 0 +11 *6653:DIODE *38660:A 0 +12 *577:8 *833:5 0 +*RES +1 la_oenb_mprj[3] *833:5 6.89286 +2 *833:5 *38660:A 24.8893 +3 *833:5 *6796:DIODE 17.3 +*END + +*D_NET *834 0.00131404 +*CONN +*P la_oenb_mprj[40] I +*I *38661:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6797:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_oenb_mprj[40] 0.000185817 +2 *38661:A 2.94555e-05 +3 *6797:DIODE 0.000441747 +4 *834:13 0.00065702 +5 *6797:DIODE *39081:A 0 +6 *6797:DIODE *3377:9 0 +7 *6797:DIODE *3437:40 0 +8 *6797:DIODE *3455:24 0 +9 *6797:DIODE *4450:8 0 +10 *834:13 *2049:23 0 +11 la_data_in_mprj[40] *6797:DIODE 0 +12 la_data_in_mprj[41] *834:13 0 +13 *6975:DIODE *6797:DIODE 0 +14 *450:5 *6797:DIODE 0 +15 *832:5 *6797:DIODE 0 +*RES +1 la_oenb_mprj[40] *834:13 4.98214 +2 *834:13 *6797:DIODE 32.425 +3 *834:13 *38661:A 14.5321 +*END + +*D_NET *835 0.000833339 +*CONN +*P la_oenb_mprj[41] I +*I *6798:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38662:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_oenb_mprj[41] 0.000236706 +2 *6798:DIODE 0.000134616 +3 *38662:A 4.53482e-05 +4 *835:5 0.000416669 +5 *6798:DIODE *4825:33 0 +6 *38662:A *4848:9 0 +7 *38662:A *4959:44 0 +8 *835:5 *3448:18 0 +9 *835:5 *4819:19 0 +10 *835:5 *5201:16 0 +11 *579:5 *835:5 0 +*RES +1 la_oenb_mprj[41] *835:5 5.375 +2 *835:5 *38662:A 14.7464 +3 *835:5 *6798:DIODE 16.8 +*END + +*D_NET *836 0.000872698 +*CONN +*P la_oenb_mprj[42] I +*I *6799:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38663:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_mprj[42] 0.000236706 +2 *6799:DIODE 9.52568e-05 +3 *38663:A 0.000104386 +4 *836:5 0.000436349 +5 *6799:DIODE *4825:33 0 +6 *38663:A *3437:21 0 +7 *38663:A *4455:22 0 +8 *38663:A *4959:44 0 +9 *836:5 *3437:21 0 +10 *836:5 *4454:10 0 +11 *836:5 *4455:22 0 +12 la_data_in_mprj[43] *836:5 0 +13 *580:8 *836:5 0 +*RES +1 la_oenb_mprj[42] *836:5 5.375 +2 *836:5 *38663:A 15.9786 +3 *836:5 *6799:DIODE 15.9786 +*END + +*D_NET *837 0.000838727 +*CONN +*P la_oenb_mprj[43] I +*I *6800:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38664:A I *D sky130_fd_sc_hd__buf_6 +*CAP +1 la_oenb_mprj[43] 0.000236647 +2 *6800:DIODE 0.000137368 +3 *38664:A 4.53482e-05 +4 *837:5 0.000419363 +5 *6800:DIODE *7174:DIODE 0 +6 *6800:DIODE *4825:33 0 +7 *38664:A *4455:22 0 +8 *38664:A *4959:32 0 +9 *837:5 *39085:A 0 +10 la_data_in_mprj[44] *837:5 0 +11 *581:5 *837:5 0 +*RES +1 la_oenb_mprj[43] *837:5 5.375 +2 *837:5 *38664:A 14.7464 +3 *837:5 *6800:DIODE 16.8 +*END + +*D_NET *838 0.000895432 +*CONN +*P la_oenb_mprj[44] I +*I *6801:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38665:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_oenb_mprj[44] 0.00021254 +2 *6801:DIODE 0.000189829 +3 *38665:A 4.53482e-05 +4 *838:13 0.000447716 +5 *6801:DIODE *3057:12 0 +6 *6801:DIODE *3461:16 0 +7 *38665:A *3448:11 0 +8 *38665:A *3448:18 0 +9 *38665:A *5026:32 0 +10 *838:13 *3057:12 0 +11 *582:5 *6801:DIODE 0 +12 *582:5 *838:13 0 +*RES +1 la_oenb_mprj[44] *838:13 5.58929 +2 *838:13 *38665:A 14.7464 +3 *838:13 *6801:DIODE 18.175 +*END + +*D_NET *839 0.00066365 +*CONN +*P la_oenb_mprj[45] I +*I *6802:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38666:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[45] 0.000236666 +2 *6802:DIODE 9.51585e-05 +3 *38666:A 0 +4 *839:5 0.000331825 +5 *6802:DIODE *2048:15 0 +6 *6802:DIODE *4457:6 0 +7 *6802:DIODE *4825:33 0 +8 *839:5 *2048:15 0 +9 *839:5 *3377:24 0 +10 la_data_in_mprj[46] *839:5 0 +11 *583:8 *839:5 0 +*RES +1 la_oenb_mprj[45] *839:5 5.375 +2 *839:5 *38666:A 13.8 +3 *839:5 *6802:DIODE 15.9786 +*END + +*D_NET *840 0.000877625 +*CONN +*P la_oenb_mprj[46] I +*I *6803:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38667:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_mprj[46] 0.000330224 +2 *6803:DIODE 8.29197e-05 +3 *38667:A 2.56688e-05 +4 *840:5 0.000438812 +5 *6803:DIODE *39088:A 0 +6 *6803:DIODE *4470:34 0 +7 *38667:A *40539:A 0 +8 *38667:A *3454:5 0 +9 *840:5 *39088:A 0 +10 *840:5 *4829:13 0 +11 *840:5 *4893:9 0 +12 la_data_in_mprj[47] *840:5 0 +13 *584:8 *840:5 0 +*RES +1 la_oenb_mprj[46] *840:5 7.5 +2 *840:5 *38667:A 14.3357 +3 *840:5 *6803:DIODE 15.7464 +*END + +*D_NET *841 0.0011508 +*CONN +*P la_oenb_mprj[47] I +*I *38668:A I *D sky130_fd_sc_hd__buf_6 +*I *6804:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_oenb_mprj[47] 0.000330263 +2 *38668:A 4.23535e-05 +3 *6804:DIODE 0.000202783 +4 *841:7 0.0005754 +5 *6804:DIODE *7018:DIODE 0 +6 *6804:DIODE *3454:5 0 +7 *6804:DIODE *4915:20 0 +8 *38668:A *7018:DIODE 0 +9 *38668:A *3454:5 0 +10 *841:7 *39089:A 0 +11 *841:7 *4831:21 0 +12 *841:7 *4836:10 0 +13 la_data_in_mprj[48] *841:7 0 +*RES +1 la_oenb_mprj[47] *841:7 12 +2 *841:7 *6804:DIODE 13.5321 +3 *841:7 *38668:A 10.2464 +*END + +*D_NET *842 0.00134484 +*CONN +*P la_oenb_mprj[48] I +*I *38670:A I *D sky130_fd_sc_hd__buf_6 +*I *6806:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_oenb_mprj[48] 0.000321354 +2 *38670:A 0 +3 *6806:DIODE 0.000351068 +4 *842:8 0.000672422 +5 *6806:DIODE *3332:17 0 +6 *6806:DIODE *4455:62 0 +7 *6806:DIODE *4458:11 0 +8 *6806:DIODE *4461:42 0 +9 *6806:DIODE *4835:30 0 +10 *6806:DIODE *5015:31 0 +11 *6806:DIODE *5048:66 0 +12 *842:8 *4459:31 0 +13 *842:8 *4834:36 0 +14 *842:8 *4893:14 0 +15 *842:8 *4970:36 0 +16 *842:8 *5048:66 0 +17 la_data_in_mprj[49] *842:8 0 +18 *586:8 *6806:DIODE 0 +19 *586:8 *842:8 0 +*RES +1 la_oenb_mprj[48] *842:8 11.6429 +2 *842:8 *6806:DIODE 26.1571 +3 *842:8 *38670:A 9.3 +*END + +*D_NET *843 0.000912056 +*CONN +*P la_oenb_mprj[49] I +*I *6807:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38671:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_mprj[49] 0.000236686 +2 *6807:DIODE 0.000114956 +3 *38671:A 0.000104386 +4 *843:5 0.000456028 +5 *6807:DIODE *3454:5 0 +6 *6807:DIODE *3455:8 0 +7 *38671:A *4970:19 0 +8 *38671:A *5015:11 0 +9 la_data_in_mprj[50] *843:5 0 +10 *6665:DIODE *6807:DIODE 0 +11 *587:8 *843:5 0 +*RES +1 la_oenb_mprj[49] *843:5 5.375 +2 *843:5 *38671:A 15.9786 +3 *843:5 *6807:DIODE 16.3893 +*END + +*D_NET *844 0.000802234 +*CONN +*P la_oenb_mprj[4] I +*I *38672:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *6808:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_oenb_mprj[4] 0.000267346 +2 *38672:A 2.26741e-05 +3 *6808:DIODE 0.000111097 +4 *844:8 0.000401117 +5 *6808:DIODE *38412:A 0 +6 *38672:A *4439:24 0 +7 *38672:A *4511:24 0 +8 *844:8 *4439:24 0 +9 *844:8 *4511:24 0 +10 la_data_in_mprj[5] *844:8 0 +11 *6666:DIODE *6808:DIODE 0 +12 *6666:DIODE *844:8 0 +13 *471:7 *6808:DIODE 0 +14 *588:7 *844:8 0 +*RES +1 la_oenb_mprj[4] *844:8 10.5179 +2 *844:8 *6808:DIODE 20.8536 +3 *844:8 *38672:A 9.83571 +*END + +*D_NET *845 0.000838687 +*CONN +*P la_oenb_mprj[50] I +*I *6809:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38673:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[50] 0.00023938 +2 *6809:DIODE 0.000134616 +3 *38673:A 4.53482e-05 +4 *845:5 0.000419344 +5 *6809:DIODE *3527:19 0 +6 *6809:DIODE *4464:10 0 +7 *38673:A *4970:19 0 +8 *38673:A *5015:11 0 +9 la_data_in_mprj[51] *845:5 0 +10 *6667:DIODE *6809:DIODE 0 +11 *589:5 *845:5 0 +*RES +1 la_oenb_mprj[50] *845:5 5.375 +2 *845:5 *38673:A 14.7464 +3 *845:5 *6809:DIODE 16.8 +*END + +*D_NET *846 0.00104101 +*CONN +*P la_oenb_mprj[51] I +*I *38674:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6810:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_oenb_mprj[51] 0.000180057 +2 *38674:A 4.23535e-05 +3 *6810:DIODE 0.000298094 +4 *846:8 0.000520505 +5 *6810:DIODE *40534:A 0 +6 *6810:DIODE *3339:32 0 +7 *6810:DIODE *3352:15 0 +8 *38674:A *5026:13 0 +9 *846:8 *40534:A 0 +10 *846:8 *5026:13 0 +11 la_data_in_mprj[52] *846:8 0 +12 *301:39 *6810:DIODE 0 +13 *301:39 *846:8 0 +*RES +1 la_oenb_mprj[51] *846:8 8.5 +2 *846:8 *6810:DIODE 25.1036 +3 *846:8 *38674:A 10.2464 +*END + +*D_NET *847 0.00122185 +*CONN +*P la_oenb_mprj[52] I +*I *6811:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38675:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[52] 0.000236745 +2 *6811:DIODE 0.000348512 +3 *38675:A 2.56688e-05 +4 *847:5 0.000610926 +5 *6811:DIODE *7182:DIODE 0 +6 *6811:DIODE *3331:50 0 +7 *6811:DIODE *3332:17 0 +8 *6811:DIODE *3660:33 0 +9 *6811:DIODE *4462:48 0 +10 *6811:DIODE *4466:16 0 +11 *38675:A *40196:A 0 +12 *38675:A *5015:11 0 +13 *847:5 *4834:40 0 +14 la_data_in_mprj[53] *847:5 0 +15 *591:9 *6811:DIODE 0 +16 *591:9 *847:5 0 +*RES +1 la_oenb_mprj[52] *847:5 5.375 +2 *847:5 *38675:A 14.3357 +3 *847:5 *6811:DIODE 21.6571 +*END + +*D_NET *848 0.0014749 +*CONN +*P la_oenb_mprj[53] I +*I *6812:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38676:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_oenb_mprj[53] 0.000145468 +2 *6812:DIODE 0.000465041 +3 *38676:A 0.000126941 +4 *848:9 0.00073745 +5 *6812:DIODE *39096:A 0 +6 *6812:DIODE *40196:A 0 +7 *6812:DIODE *40447:A 0 +8 *6812:DIODE *3605:17 0 +9 *6812:DIODE *3660:33 0 +10 *38676:A *5026:13 0 +11 *848:9 *39096:A 0 +12 *848:9 *1985:9 0 +13 *6670:DIODE *6812:DIODE 0 +14 *6991:DIODE *6812:DIODE 0 +15 *592:13 *848:9 0 +*RES +1 la_oenb_mprj[53] *848:9 3.30357 +2 *848:9 *38676:A 16.55 +3 *848:9 *6812:DIODE 23.9429 +*END + +*D_NET *849 0.00104561 +*CONN +*P la_oenb_mprj[54] I +*I *6813:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38677:A I *D sky130_fd_sc_hd__buf_6 +*CAP +1 la_oenb_mprj[54] 0.000236706 +2 *6813:DIODE 0.000240751 +3 *38677:A 4.53482e-05 +4 *849:5 0.000522804 +5 *6813:DIODE *3331:50 0 +6 *6813:DIODE *4462:49 0 +7 *38677:A *3616:11 0 +8 *849:5 *39097:A 0 +9 *849:5 *3331:50 0 +10 *849:5 *4839:17 0 +11 *6671:DIODE *6813:DIODE 0 +12 *6671:DIODE *849:5 0 +13 *593:8 *849:5 0 +*RES +1 la_oenb_mprj[54] *849:5 5.375 +2 *849:5 *38677:A 14.7464 +3 *849:5 *6813:DIODE 19.1393 +*END + +*D_NET *850 0.00114869 +*CONN +*P la_oenb_mprj[55] I +*I *6814:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38678:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_oenb_mprj[55] 0.000426377 +2 *6814:DIODE 0.000147967 +3 *38678:A 0 +4 *850:13 0.000574344 +5 *6814:DIODE *40437:A 0 +6 *6814:DIODE *3594:14 0 +7 *6814:DIODE *5037:13 0 +8 *850:13 *40437:A 0 +9 la_data_in_mprj[56] *850:13 0 +10 *594:5 *850:13 0 +*RES +1 la_oenb_mprj[55] *850:13 10.4464 +2 *850:13 *38678:A 13.8 +3 *850:13 *6814:DIODE 17.1036 +*END + +*D_NET *851 0.00112535 +*CONN +*P la_oenb_mprj[56] I +*I *6815:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38679:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[56] 0.000235329 +2 *6815:DIODE 0.000281998 +3 *38679:A 4.53482e-05 +4 *851:7 0.000562676 +5 *6815:DIODE *5227:DIODE 0 +6 *6815:DIODE *3616:7 0 +7 *6815:DIODE *4473:25 0 +8 *6815:DIODE *5070:30 0 +9 *38679:A *3616:7 0 +10 *38679:A *5070:30 0 +11 la_data_in_mprj[57] *851:7 0 +12 *6674:DIODE *6815:DIODE 0 +13 *595:9 *6815:DIODE 0 +14 *595:9 *851:7 0 +*RES +1 la_oenb_mprj[56] *851:7 9.875 +2 *851:7 *38679:A 10.2464 +3 *851:7 *6815:DIODE 24.55 +*END + +*D_NET *852 0.000877428 +*CONN +*P la_oenb_mprj[57] I +*I *6817:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38681:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_oenb_mprj[57] 0.000330165 +2 *6817:DIODE 8.28804e-05 +3 *38681:A 2.56688e-05 +4 *852:5 0.000438714 +5 *6817:DIODE *4459:45 0 +6 *6817:DIODE *4840:15 0 +7 *38681:A *40441:A 0 +8 *38681:A *4462:49 0 +9 *852:5 *4473:19 0 +10 *852:5 *4840:15 0 +11 *852:5 *4844:18 0 +12 *852:5 *5070:20 0 +13 la_data_in_mprj[58] *852:5 0 +14 *596:7 *852:5 0 +*RES +1 la_oenb_mprj[57] *852:5 7.5 +2 *852:5 *38681:A 14.3357 +3 *852:5 *6817:DIODE 15.7464 +*END + +*D_NET *853 0.00109226 +*CONN +*P la_oenb_mprj[58] I +*I *6818:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38682:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_oenb_mprj[58] 0.000239498 +2 *6818:DIODE 0.000202244 +3 *38682:A 0.000104386 +4 *853:5 0.000546128 +5 *6818:DIODE *2049:13 0 +6 *6818:DIODE *3366:15 0 +7 *6818:DIODE *4843:16 0 +8 *38682:A *3616:7 0 +9 *38682:A *4844:18 0 +10 *853:5 *2049:13 0 +11 *6676:DIODE *6818:DIODE 0 +12 *597:5 *853:5 0 +*RES +1 la_oenb_mprj[58] *853:5 5.375 +2 *853:5 *38682:A 15.9786 +3 *853:5 *6818:DIODE 18.4071 +*END + +*D_NET *854 0.00150806 +*CONN +*P la_oenb_mprj[59] I +*I *38683:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6819:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_oenb_mprj[59] 0.000496304 +2 *38683:A 0 +3 *6819:DIODE 0.000257727 +4 *854:8 0.000754031 +5 *6819:DIODE *1807:34 0 +6 *6819:DIODE *2049:13 0 +7 *854:8 *39104:A 0 +8 *854:8 *3660:22 0 +9 *854:8 *4468:34 0 +10 *854:8 *4474:11 0 +11 *854:8 *4477:14 0 +12 la_data_in_mprj[59] *854:8 0 +13 la_data_in_mprj[60] *854:8 0 +14 *470:7 *6819:DIODE 0 +15 *598:5 *854:8 0 +*RES +1 la_oenb_mprj[59] *854:8 15.0714 +2 *854:8 *6819:DIODE 24.0321 +3 *854:8 *38683:A 9.3 +*END + +*D_NET *855 0.000754739 +*CONN +*P la_oenb_mprj[5] I +*I *6820:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38684:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[5] 0.000236745 +2 *6820:DIODE 9.52765e-05 +3 *38684:A 4.53482e-05 +4 *855:5 0.00037737 +5 *6820:DIODE *4789:10 0 +6 *6820:DIODE *5181:10 0 +7 *38684:A *4439:24 0 +8 *38684:A *4571:19 0 +9 *855:5 *3627:8 0 +10 la_data_in_mprj[6] *855:5 0 +11 *6678:DIODE *855:5 0 +12 *599:8 *855:5 0 +*RES +1 la_oenb_mprj[5] *855:5 5.375 +2 *855:5 *38684:A 14.7464 +3 *855:5 *6820:DIODE 15.9786 +*END + +*D_NET *856 0.00105968 +*CONN +*P la_oenb_mprj[60] I +*I *38685:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6821:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_oenb_mprj[60] 0.000227462 +2 *38685:A 0 +3 *6821:DIODE 0.000302381 +4 *856:12 0.000529842 +5 *6821:DIODE *3057:8 0 +6 *856:12 *39104:A 0 +7 *856:12 *2071:11 0 +8 *856:12 *3057:8 0 +9 *856:12 *4841:17 0 +10 *6679:DIODE *6821:DIODE 0 +11 *6999:DIODE *6821:DIODE 0 +*RES +1 la_oenb_mprj[60] *856:12 9.57143 +2 *856:12 *6821:DIODE 25.1036 +3 *856:12 *38685:A 9.3 +*END + +*D_NET *857 0.000761526 +*CONN +*P la_oenb_mprj[61] I +*I *6822:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38686:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_oenb_mprj[61] 0.000142716 +2 *6822:DIODE 0.000238048 +3 *38686:A 0 +4 *857:9 0.000380763 +5 *6822:DIODE *39105:A 0 +6 *6822:DIODE *40190:A 0 +7 *6822:DIODE *3649:11 0 +8 *6822:DIODE *4841:17 0 +9 *857:9 *39105:A 0 +10 *857:9 *4477:14 0 +11 la_data_in_mprj[62] *857:9 0 +12 *6680:DIODE *6822:DIODE 0 +13 *601:9 *6822:DIODE 0 +*RES +1 la_oenb_mprj[61] *857:9 3.30357 +2 *857:9 *38686:A 13.8 +3 *857:9 *6822:DIODE 19.1214 +*END + +*D_NET *858 0.000883366 +*CONN +*P la_oenb_mprj[62] I +*I *6823:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38687:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_oenb_mprj[62] 0.000333036 +2 *6823:DIODE 8.29787e-05 +3 *38687:A 2.56688e-05 +4 *858:5 0.000441683 +5 *6823:DIODE *39106:A 0 +6 *6823:DIODE *2012:17 0 +7 *6823:DIODE *5104:10 0 +8 *38687:A *3671:14 0 +9 *858:5 *39106:A 0 +10 *858:5 *2012:17 0 +11 la_data_in_mprj[63] *858:5 0 +12 *38545:A *858:5 0 +13 *602:5 *858:5 0 +*RES +1 la_oenb_mprj[62] *858:5 7.5 +2 *858:5 *38687:A 14.3357 +3 *858:5 *6823:DIODE 15.7464 +*END + +*D_NET *859 0.00108655 +*CONN +*P la_oenb_mprj[63] I +*I *6824:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38688:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 la_oenb_mprj[63] 0.000236745 +2 *6824:DIODE 0.000202146 +3 *38688:A 0.000104386 +4 *859:5 0.000543277 +5 *6824:DIODE *37373:A 0 +6 *6824:DIODE *39107:A 0 +7 *6824:DIODE *2120:40 0 +8 *6824:DIODE *4479:35 0 +9 *38688:A *39108:A 0 +10 *38688:A *4479:10 0 +11 *859:5 *39107:A 0 +12 la_data_in_mprj[64] *859:5 0 +13 *603:5 *859:5 0 +*RES +1 la_oenb_mprj[63] *859:5 5.375 +2 *859:5 *38688:A 15.9786 +3 *859:5 *6824:DIODE 18.4071 +*END + +*D_NET *860 0.00121601 +*CONN +*P la_oenb_mprj[64] I +*I *38689:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6825:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_oenb_mprj[64] 0.000479762 +2 *38689:A 5.86765e-05 +3 *6825:DIODE 6.95684e-05 +4 *860:9 0.000608007 +5 *6825:DIODE *37372:A 0 +6 *6825:DIODE *4480:8 0 +7 *38689:A *2023:22 0 +8 *860:9 *3139:23 0 +9 *860:9 *3146:8 0 +10 *860:9 *4480:8 0 +11 la_data_in_mprj[65] *860:9 0 +12 *6684:DIODE *6825:DIODE 0 +13 *6684:DIODE *860:9 0 +14 *604:5 *860:9 0 +*RES +1 la_oenb_mprj[64] *860:9 10.8929 +2 *860:9 *6825:DIODE 15.4429 +3 *860:9 *38689:A 15.0679 +*END + +*D_NET *861 0.000748266 +*CONN +*P la_oenb_mprj[65] I +*I *6826:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38690:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[65] 0.000239498 +2 *6826:DIODE 6.96077e-05 +3 *38690:A 6.50276e-05 +4 *861:5 0.000374133 +5 *6826:DIODE *5137:11 0 +6 *38690:A *3328:11 0 +7 *38690:A *4481:14 0 +8 *861:5 *5137:11 0 +9 la_data_in_mprj[66] *861:5 0 +10 *6685:DIODE *6826:DIODE 0 +11 *605:5 *861:5 0 +*RES +1 la_oenb_mprj[65] *861:5 5.375 +2 *861:5 *38690:A 15.1571 +3 *861:5 *6826:DIODE 15.4429 +*END + +*D_NET *862 0.00128306 +*CONN +*P la_oenb_mprj[66] I +*I *38692:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6828:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_oenb_mprj[66] 0.000260151 +2 *38692:A 8.17123e-05 +3 *6828:DIODE 0.000299667 +4 *862:8 0.000641531 +5 *6828:DIODE *39111:A 0 +6 *38692:A *39111:A 0 +7 *38692:A *3341:9 0 +8 *862:8 *3341:9 0 +9 la_data_in_mprj[67] *6828:DIODE 0 +10 la_data_in_mprj[67] *862:8 0 +11 *606:7 *862:8 0 +*RES +1 la_oenb_mprj[66] *862:8 10.1429 +2 *862:8 *6828:DIODE 25.1036 +3 *862:8 *38692:A 11.0679 +*END + +*D_NET *863 0.000794019 +*CONN +*P la_oenb_mprj[67] I +*I *6829:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38693:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_oenb_mprj[67] 0.000236706 +2 *6829:DIODE 9.52765e-05 +3 *38693:A 6.50276e-05 +4 *863:5 0.00039701 +5 *6829:DIODE *1981:15 0 +6 *6829:DIODE *5148:7 0 +7 *38693:A *39110:A 0 +8 *38693:A *3328:11 0 +9 *863:5 *1981:15 0 +10 *863:5 *3716:17 0 +11 *6687:DIODE *6829:DIODE 0 +12 *6687:DIODE *863:5 0 +13 *607:7 *863:5 0 +*RES +1 la_oenb_mprj[67] *863:5 5.375 +2 *863:5 *38693:A 15.1571 +3 *863:5 *6829:DIODE 15.9786 +*END + +*D_NET *864 0.000703126 +*CONN +*P la_oenb_mprj[68] I +*I *6830:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38694:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[68] 0.000236647 +2 *6830:DIODE 6.95684e-05 +3 *38694:A 4.53482e-05 +4 *864:5 0.000351563 +5 *6830:DIODE *4485:8 0 +6 *38694:A *3328:22 0 +7 la_data_in_mprj[69] *864:5 0 +8 *6688:DIODE *864:5 0 +9 *608:8 *864:5 0 +*RES +1 la_oenb_mprj[68] *864:5 5.375 +2 *864:5 *38694:A 14.7464 +3 *864:5 *6830:DIODE 15.4429 +*END + +*D_NET *865 0.000998988 +*CONN +*P la_oenb_mprj[69] I +*I *6831:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38695:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_oenb_mprj[69] 0.000358744 +2 *6831:DIODE 0.00014075 +3 *38695:A 0 +4 *865:8 0.000499494 +5 *6831:DIODE *4484:14 0 +6 *6831:DIODE *4851:20 0 +7 *865:8 *40426:A 0 +8 *865:8 *3320:8 0 +9 la_data_in_mprj[70] *865:8 0 +10 *609:5 *865:8 0 +*RES +1 la_oenb_mprj[69] *865:8 12.5357 +2 *865:8 *38695:A 9.3 +3 *865:8 *6831:DIODE 12.3 +*END + +*D_NET *866 0.00128157 +*CONN +*P la_oenb_mprj[6] I +*I *38696:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6832:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_oenb_mprj[6] 0.000316931 +2 *38696:A 6.20329e-05 +3 *6832:DIODE 0.000261822 +4 *866:7 0.000640786 +5 *6832:DIODE *4451:54 0 +6 *6832:DIODE *4504:43 0 +7 *6832:DIODE *4537:19 0 +8 *38696:A *4451:54 0 +9 *38696:A *4537:19 0 +10 *866:7 *39113:A 0 +11 *866:7 *1775:10 0 +12 *866:7 *2449:10 0 +13 *866:7 *4504:43 0 +14 la_data_in_mprj[7] *866:7 0 +15 *6690:DIODE *6832:DIODE 0 +16 *610:8 *6832:DIODE 0 +*RES +1 la_oenb_mprj[6] *866:7 11.6964 +2 *866:7 *6832:DIODE 14.7643 +3 *866:7 *38696:A 10.6571 +*END + +*D_NET *867 0.00108567 +*CONN +*P la_oenb_mprj[70] I +*I *6833:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38697:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[70] 0.000360752 +2 *6833:DIODE 0.000182081 +3 *38697:A 0 +4 *867:8 0.000542833 +5 *6833:DIODE *37377:A 0 +6 *6833:DIODE *39114:A 0 +7 *6833:DIODE *1818:32 0 +8 *6833:DIODE *3760:13 0 +9 *6833:DIODE *4484:14 0 +10 *867:8 *39112:A 0 +11 *867:8 *2089:7 0 +12 *867:8 *3760:13 0 +13 *867:8 *5170:14 0 +14 la_data_in_mprj[71] *867:8 0 +15 *6551:DIODE *6833:DIODE 0 +16 *484:5 *6833:DIODE 0 +17 *611:5 *867:8 0 +*RES +1 la_oenb_mprj[70] *867:8 12.4643 +2 *867:8 *38697:A 9.3 +3 *867:8 *6833:DIODE 22.3714 +*END + +*D_NET *868 0.000916983 +*CONN +*P la_oenb_mprj[71] I +*I *6834:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38698:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[71] 0.000236627 +2 *6834:DIODE 0.000176517 +3 *38698:A 4.53482e-05 +4 *868:5 0.000458492 +5 *6834:DIODE *3727:23 0 +6 *6834:DIODE *4489:15 0 +7 *6834:DIODE *5170:14 0 +8 *38698:A *3328:22 0 +9 *38698:A *4488:11 0 +10 *38698:A *5170:14 0 +11 *868:5 *2129:13 0 +12 *868:5 *3727:23 0 +13 *612:5 *868:5 0 +*RES +1 la_oenb_mprj[71] *868:5 5.375 +2 *868:5 *38698:A 14.7464 +3 *868:5 *6834:DIODE 17.8714 +*END + +*D_NET *869 0.000703126 +*CONN +*P la_oenb_mprj[72] I +*I *6835:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38699:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[72] 0.000236706 +2 *6835:DIODE 6.95094e-05 +3 *38699:A 4.53482e-05 +4 *869:5 0.000351563 +5 *38699:A *4488:11 0 +6 *869:5 *2141:14 0 +7 *869:5 *2361:16 0 +8 *869:5 *2372:8 0 +9 *869:5 *3782:8 0 +10 *869:5 *4857:30 0 +11 *613:5 *869:5 0 +*RES +1 la_oenb_mprj[72] *869:5 5.375 +2 *869:5 *38699:A 14.7464 +3 *869:5 *6835:DIODE 15.4429 +*END + +*D_NET *870 0.000956539 +*CONN +*P la_oenb_mprj[73] I +*I *6836:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38700:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_oenb_mprj[73] 0.000236706 +2 *6836:DIODE 0.000241564 +3 *38700:A 0 +4 *870:5 0.000478269 +5 *6836:DIODE *1982:19 0 +6 *6836:DIODE *3335:5 0 +7 *6836:DIODE *4491:16 0 +8 *6836:DIODE *4851:10 0 +9 *870:5 *1982:19 0 +10 la_data_in_mprj[74] *870:5 0 +*RES +1 la_oenb_mprj[73] *870:5 5.375 +2 *870:5 *38700:A 13.8 +3 *870:5 *6836:DIODE 19.2286 +*END + +*D_NET *871 0.00101237 +*CONN +*P la_oenb_mprj[74] I +*I *6837:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38701:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_oenb_mprj[74] 0.000330224 +2 *6837:DIODE 0.000150294 +3 *38701:A 2.56688e-05 +4 *871:5 0.000506187 +5 *6837:DIODE *37379:A 0 +6 *6837:DIODE *2069:9 0 +7 *6837:DIODE *3335:5 0 +8 *871:5 *37379:A 0 +9 *871:5 *2069:9 0 +10 *871:5 *4490:16 0 +11 la_data_in_mprj[75] *871:5 0 +*RES +1 la_oenb_mprj[74] *871:5 7.5 +2 *871:5 *38701:A 14.3357 +3 *871:5 *6837:DIODE 17.2286 +*END + +*D_NET *872 0.000866551 +*CONN +*P la_oenb_mprj[75] I +*I *6839:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38703:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_oenb_mprj[75] 0.00011499 +2 *6839:DIODE 0.000253258 +3 *38703:A 6.50276e-05 +4 *872:7 0.000433275 +5 *6839:DIODE *2087:15 0 +6 *6839:DIODE *2141:26 0 +7 *6839:DIODE *4861:29 0 +8 *38703:A *2141:26 0 +9 *38703:A *4861:29 0 +10 *872:7 *2087:15 0 +11 *872:7 *4494:8 0 +12 la_data_in_mprj[76] *872:7 0 +13 *489:7 *6839:DIODE 0 +*RES +1 la_oenb_mprj[75] *872:7 7.14286 +2 *872:7 *38703:A 10.6571 +3 *872:7 *6839:DIODE 24.0321 +*END + +*D_NET *873 0.000620872 +*CONN +*P la_oenb_mprj[76] I +*I *38704:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6840:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_oenb_mprj[76] 8.96249e-05 +2 *38704:A 0.000195142 +3 *6840:DIODE 2.56688e-05 +4 *873:5 0.000310436 +5 *6840:DIODE *4861:29 0 +6 *38704:A *2008:13 0 +7 *38704:A *3328:30 0 +8 *38704:A *3760:35 0 +9 *38704:A *4488:16 0 +10 la_data_in_mprj[77] *38704:A 0 +11 la_data_in_mprj[77] *873:5 0 +12 *617:5 *38704:A 0 +13 *617:5 *873:5 0 +*RES +1 la_oenb_mprj[76] *873:5 2.03571 +2 *873:5 *6840:DIODE 14.3357 +3 *873:5 *38704:A 18.2107 +*END + +*D_NET *874 0.00100979 +*CONN +*P la_oenb_mprj[77] I +*I *6841:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38705:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[77] 0.000364144 +2 *6841:DIODE 0.00014075 +3 *38705:A 0 +4 *874:12 0.000504895 +5 *6841:DIODE *2405:5 0 +6 *6841:DIODE *3320:54 0 +7 *6841:DIODE *4488:16 0 +8 *874:12 *2040:11 0 +9 *874:12 *2383:20 0 +10 *874:12 *3805:12 0 +*RES +1 la_oenb_mprj[77] *874:12 12.7143 +2 *874:12 *38705:A 9.3 +3 *874:12 *6841:DIODE 12.3 +*END + +*D_NET *875 0.000984144 +*CONN +*P la_oenb_mprj[78] I +*I *38706:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6842:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_oenb_mprj[78] 0.000421127 +2 *38706:A 0 +3 *6842:DIODE 7.09448e-05 +4 *875:8 0.000492072 +5 *875:8 *4488:24 0 +6 *875:8 *4864:20 0 +7 *875:8 *5170:31 0 +8 la_data_in_mprj[79] *875:8 0 +*RES +1 la_oenb_mprj[78] *875:8 13.6964 +2 *875:8 *6842:DIODE 19.9429 +3 *875:8 *38706:A 9.3 +*END + +*D_NET *876 0.00131028 +*CONN +*P la_oenb_mprj[79] I +*I *6843:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38707:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_oenb_mprj[79] 0.000341268 +2 *6843:DIODE 0.000313872 +3 *38707:A 0 +4 *876:8 0.00065514 +5 *6843:DIODE *2394:27 0 +6 *6843:DIODE *2416:15 0 +7 *6843:DIODE *3760:50 0 +8 *6843:DIODE *3816:33 0 +9 *6843:DIODE *4495:27 0 +10 *6843:DIODE *4497:9 0 +*RES +1 la_oenb_mprj[79] *876:8 12.2321 +2 *876:8 *38707:A 9.3 +3 *876:8 *6843:DIODE 25.1929 +*END + +*D_NET *877 0.0010455 +*CONN +*P la_oenb_mprj[7] I +*I *38708:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6844:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_oenb_mprj[7] 0.000379004 +2 *38708:A 0 +3 *6844:DIODE 0.000143745 +4 *877:8 0.000522749 +5 *6844:DIODE *4493:34 0 +6 *6844:DIODE *4537:19 0 +7 *877:8 *37474:B 0 +8 *877:8 *4249:24 0 +9 *877:8 *4493:34 0 +10 *877:8 *4537:19 0 +11 *877:8 *4866:16 0 +12 la_data_in_mprj[8] *877:8 0 +13 *6702:DIODE *877:8 0 +14 *621:8 *877:8 0 +*RES +1 la_oenb_mprj[7] *877:8 13.0536 +2 *877:8 *6844:DIODE 12.3 +3 *877:8 *38708:A 9.3 +*END + +*D_NET *878 0.00108508 +*CONN +*P la_oenb_mprj[80] I +*I *38709:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6845:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_oenb_mprj[80] 0.00031819 +2 *38709:A 4.23535e-05 +3 *6845:DIODE 0.000181996 +4 *878:7 0.000542539 +5 *6845:DIODE *2394:27 0 +6 *6845:DIODE *3335:27 0 +7 *6845:DIODE *3444:5 0 +8 *38709:A *2394:27 0 +9 *6703:DIODE *6845:DIODE 0 +10 *6703:DIODE *38709:A 0 +*RES +1 la_oenb_mprj[80] *878:7 11.6964 +2 *878:7 *6845:DIODE 22.3357 +3 *878:7 *38709:A 10.2464 +*END + +*D_NET *879 0.00117887 +*CONN +*P la_oenb_mprj[81] I +*I *6846:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38710:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[81] 0.000326781 +2 *6846:DIODE 0.000225584 +3 *38710:A 3.707e-05 +4 *879:8 0.000589435 +5 *6846:DIODE *2409:22 0 +6 *6846:DIODE *3860:37 0 +7 *6846:DIODE *3905:22 0 +8 *6846:DIODE *4488:45 0 +9 *6846:DIODE *4501:20 0 +10 *879:8 *2115:49 0 +11 *879:8 *2405:24 0 +12 *879:8 *3860:37 0 +13 *879:8 *3905:22 0 +14 *879:8 *4501:20 0 +*RES +1 la_oenb_mprj[81] *879:8 11.8393 +2 *879:8 *38710:A 10.1884 +3 *879:8 *6846:DIODE 23.2821 +*END + +*D_NET *880 0.00102518 +*CONN +*P la_oenb_mprj[82] I +*I *6847:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38711:A I *D sky130_fd_sc_hd__buf_4 +*CAP +1 la_oenb_mprj[82] 0.000265009 +2 *6847:DIODE 0.00024758 +3 *38711:A 0 +4 *880:8 0.000512589 +5 *6847:DIODE *2394:46 0 +6 *6847:DIODE *3807:40 0 +7 *6847:DIODE *3849:46 0 +8 *6847:DIODE *4501:20 0 +*RES +1 la_oenb_mprj[82] *880:8 10.4107 +2 *880:8 *38711:A 9.3 +3 *880:8 *6847:DIODE 23.925 +*END + +*D_NET *881 0.00113118 +*CONN +*P la_oenb_mprj[83] I +*I *6848:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38712:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[83] 0.000236647 +2 *6848:DIODE 0.000283597 +3 *38712:A 4.53482e-05 +4 *881:5 0.000565592 +5 *6848:DIODE *3807:41 0 +6 *38712:A *3860:45 0 +7 *38712:A *4501:20 0 +*RES +1 la_oenb_mprj[83] *881:5 5.375 +2 *881:5 *38712:A 14.7464 +3 *881:5 *6848:DIODE 20.05 +*END + +*D_NET *882 0.00134973 +*CONN +*P la_oenb_mprj[84] I +*I *6850:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38714:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[84] 0.000494903 +2 *6850:DIODE 9.52568e-05 +3 *38714:A 8.4707e-05 +4 *882:9 0.000674867 +5 *6850:DIODE *2424:69 0 +6 *38714:A *3444:5 0 +7 *38714:A *3813:45 0 +8 *882:9 *2080:26 0 +9 *882:9 *3442:51 0 +10 *882:9 *3511:15 0 +11 *882:9 *4494:28 0 +*RES +1 la_oenb_mprj[84] *882:9 11.3036 +2 *882:9 *38714:A 15.5679 +3 *882:9 *6850:DIODE 15.9786 +*END + +*D_NET *883 0.000968085 +*CONN +*P la_oenb_mprj[85] I +*I *6851:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38715:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[85] 0.000236509 +2 *6851:DIODE 0.000202185 +3 *38715:A 4.53482e-05 +4 *883:5 0.000484042 +5 *883:5 *2428:34 0 +*RES +1 la_oenb_mprj[85] *883:5 5.375 +2 *883:5 *38715:A 14.7464 +3 *883:5 *6851:DIODE 18.4071 +*END + +*D_NET *884 0.000703048 +*CONN +*P la_oenb_mprj[86] I +*I *6852:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38716:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[86] 0.000236627 +2 *6852:DIODE 6.95487e-05 +3 *38716:A 4.53482e-05 +4 *884:5 0.000351524 +5 *6852:DIODE *2399:12 0 +6 *38716:A *2516:12 0 +7 *38716:A *3860:61 0 +8 *884:5 *2399:12 0 +9 *6710:DIODE *6852:DIODE 0 +10 *6710:DIODE *884:5 0 +*RES +1 la_oenb_mprj[86] *884:5 5.375 +2 *884:5 *38716:A 14.7464 +3 *884:5 *6852:DIODE 15.4429 +*END + +*D_NET *885 0.00104672 +*CONN +*P la_oenb_mprj[87] I +*I *6853:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38717:A I *D sky130_fd_sc_hd__buf_6 +*CAP +1 la_oenb_mprj[87] 0.000330047 +2 *6853:DIODE 0.000147967 +3 *38717:A 4.53482e-05 +4 *885:5 0.000523362 +5 *6853:DIODE *3807:41 0 +6 *38717:A *3442:51 0 +7 *38717:A *4253:72 0 +*RES +1 la_oenb_mprj[87] *885:5 7.5 +2 *885:5 *38717:A 14.7464 +3 *885:5 *6853:DIODE 17.1036 +*END + +*D_NET *886 0.000921943 +*CONN +*P la_oenb_mprj[88] I +*I *6854:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38718:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[88] 0.000317226 +2 *6854:DIODE 0.000143745 +3 *38718:A 0 +4 *886:11 0.000460972 +5 *6854:DIODE *39133:A 0 +6 *6854:DIODE *2527:19 0 +7 *886:11 *37395:A 0 +8 *886:11 *2431:86 0 +9 *886:11 *3860:61 0 +*RES +1 la_oenb_mprj[88] *886:11 11.7679 +2 *886:11 *38718:A 9.3 +3 *886:11 *6854:DIODE 12.3 +*END + +*D_NET *887 0.00144647 +*CONN +*P la_oenb_mprj[89] I +*I *6855:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38719:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_oenb_mprj[89] 0.000295066 +2 *6855:DIODE 0.000343462 +3 *38719:A 8.4707e-05 +4 *887:7 0.000723235 +5 *6855:DIODE *39133:A 0 +6 *6855:DIODE *2505:32 0 +7 *6855:DIODE *3055:71 0 +8 *38719:A *37648:B 0 +9 *38719:A *2527:19 0 +10 *887:7 *37674:B 0 +11 *887:7 *1236:11 0 +12 *887:7 *2418:36 0 +*RES +1 la_oenb_mprj[89] *887:7 6.73214 +2 *887:7 *38719:A 15.5679 +3 *887:7 *6855:DIODE 21.55 +*END + +*D_NET *888 0.00128491 +*CONN +*P la_oenb_mprj[8] I +*I *6856:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38720:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 la_oenb_mprj[8] 0.000260341 +2 *6856:DIODE 0.000382112 +3 *38720:A 0 +4 *888:7 0.000642453 +5 *6856:DIODE *39135:A 0 +6 *6856:DIODE *2359:15 0 +7 *6856:DIODE *4371:13 0 +8 *6856:DIODE *4879:28 0 +9 *888:7 *39135:A 0 +10 la_data_in_mprj[9] *888:7 0 +11 *6714:DIODE *888:7 0 +12 *632:5 *888:7 0 +*RES +1 la_oenb_mprj[8] *888:7 5.91071 +2 *888:7 *38720:A 13.8 +3 *888:7 *6856:DIODE 22.1036 +*END + +*D_NET *889 0.000995504 +*CONN +*P la_oenb_mprj[90] I +*I *6857:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38721:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_oenb_mprj[90] 0.000343496 +2 *6857:DIODE 6.95487e-05 +3 *38721:A 8.4707e-05 +4 *889:17 0.000497752 +5 *6857:DIODE *2431:71 0 +6 *38721:A *37648:B 0 +7 *38721:A *2738:39 0 +8 *38721:A *4501:56 0 +9 *889:17 *2431:71 0 +*RES +1 la_oenb_mprj[90] *889:17 8.67857 +2 *889:17 *38721:A 15.5679 +3 *889:17 *6857:DIODE 15.4429 +*END + +*D_NET *890 0.00184965 +*CONN +*P la_oenb_mprj[91] I +*I *6858:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38722:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[91] 0.000554193 +2 *6858:DIODE 0.000370631 +3 *38722:A 0 +4 *890:8 0.000924823 +5 *6858:DIODE *2435:52 0 +6 *6858:DIODE *2594:21 0 +7 *6858:DIODE *2605:23 0 +8 *6858:DIODE *3792:41 0 +9 *6858:DIODE *4501:56 0 +10 *6858:DIODE *4506:49 0 +11 *6858:DIODE *4513:31 0 +12 *890:8 *37628:B 0 +13 *890:8 *4506:29 0 +14 *890:8 *4506:49 0 +15 *890:8 *4513:31 0 +*RES +1 la_oenb_mprj[91] *890:8 16.4643 +2 *890:8 *38722:A 9.3 +3 *890:8 *6858:DIODE 32.579 +*END + +*D_NET *891 0.00121692 +*CONN +*P la_oenb_mprj[92] I +*I *6859:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38723:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_oenb_mprj[92] 0.000301889 +2 *6859:DIODE 0.000221865 +3 *38723:A 8.4707e-05 +4 *891:9 0.000608461 +5 *6859:DIODE *3807:49 0 +6 *38723:A *4038:18 0 +7 *38723:A *4506:49 0 +8 *507:17 *891:9 0 +*RES +1 la_oenb_mprj[92] *891:9 6.85714 +2 *891:9 *38723:A 15.5679 +3 *891:9 *6859:DIODE 18.8179 +*END + +*D_NET *892 0.00109615 +*CONN +*P la_oenb_mprj[93] I +*I *6861:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38725:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[93] 0.000236666 +2 *6861:DIODE 0.000246384 +3 *38725:A 6.50276e-05 +4 *892:5 0.000548077 +5 *6861:DIODE *40177:A 0 +6 *6861:DIODE *3134:45 0 +7 *6861:DIODE *4513:101 0 +8 *38725:A *4506:49 0 +9 *38725:A *4880:27 0 +10 *38725:A *4880:39 0 +11 *892:5 *39139:A 0 +12 *892:5 *2051:49 0 +13 *892:5 *2424:31 0 +14 *6719:DIODE *6861:DIODE 0 +*RES +1 la_oenb_mprj[93] *892:5 5.375 +2 *892:5 *38725:A 15.1571 +3 *892:5 *6861:DIODE 19.1571 +*END + +*D_NET *893 0.0010092 +*CONN +*P la_oenb_mprj[94] I +*I *38726:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6862:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_oenb_mprj[94] 0.000250037 +2 *38726:A 2.35958e-05 +3 *6862:DIODE 0.000230965 +4 *893:5 0.000504598 +5 *6862:DIODE *40119:A 0 +6 *6862:DIODE *1216:18 0 +7 *6862:DIODE *3882:53 0 +8 *893:5 *40119:A 0 +9 *893:5 *1216:18 0 +10 *893:5 *1236:19 0 +11 *893:5 *4885:29 0 +*RES +1 la_oenb_mprj[94] *893:5 5.67857 +2 *893:5 *6862:DIODE 18.925 +3 *893:5 *38726:A 14.3357 +*END + +*D_NET *894 0.000924287 +*CONN +*P la_oenb_mprj[95] I +*I *6863:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38727:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[95] 0.000321393 +2 *6863:DIODE 0.00014075 +3 *38727:A 0 +4 *894:8 0.000462143 +5 *6863:DIODE *4517:43 0 +6 *6863:DIODE *4518:33 0 +7 *6863:DIODE *4880:27 0 +8 *894:8 *2124:66 0 +9 *894:8 *2424:19 0 +10 *894:8 *2978:8 0 +11 *894:8 *3500:20 0 +12 *894:8 *4517:43 0 +13 *894:8 *4880:27 0 +*RES +1 la_oenb_mprj[95] *894:8 11.6429 +2 *894:8 *38727:A 9.3 +3 *894:8 *6863:DIODE 12.3 +*END + +*D_NET *895 0.00139173 +*CONN +*P la_oenb_mprj[96] I +*I *6864:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38728:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_oenb_mprj[96] 0.000371084 +2 *6864:DIODE 0.000279434 +3 *38728:A 4.53482e-05 +4 *895:13 0.000695866 +5 *6864:DIODE *2393:62 0 +6 *6864:DIODE *4104:27 0 +7 *6864:DIODE *4506:62 0 +8 *38728:A *40177:A 0 +9 *38728:A *4521:23 0 +10 *6722:DIODE *6864:DIODE 0 +*RES +1 la_oenb_mprj[96] *895:13 8.82143 +2 *895:13 *38728:A 14.7464 +3 *895:13 *6864:DIODE 19.8714 +*END + +*D_NET *896 0.0010357 +*CONN +*P la_oenb_mprj[97] I +*I *38729:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6865:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_oenb_mprj[97] 0.000301694 +2 *38729:A 0 +3 *6865:DIODE 0.000216158 +4 *896:8 0.000517851 +5 *6865:DIODE *4520:20 0 +6 *896:8 *2549:37 0 +7 *896:8 *2738:7 0 +8 *896:8 *2749:40 0 +9 *896:8 *3788:46 0 +10 *896:8 *4204:50 0 +*RES +1 la_oenb_mprj[97] *896:8 11.2321 +2 *896:8 *6865:DIODE 23.2107 +3 *896:8 *38729:A 9.3 +*END + +*D_NET *897 0.00104049 +*CONN +*P la_oenb_mprj[98] I +*I *38730:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *6866:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 la_oenb_mprj[98] 0.000327642 +2 *38730:A 0 +3 *6866:DIODE 0.000192601 +4 *897:8 0.000520243 +5 *6866:DIODE *3276:26 0 +6 *6866:DIODE *4521:10 0 +7 *897:8 *2460:29 0 +8 *897:8 *2549:37 0 +9 *897:8 *2738:7 0 +*RES +1 la_oenb_mprj[98] *897:8 11.75 +2 *897:8 *6866:DIODE 22.675 +3 *897:8 *38730:A 9.3 +*END + +*D_NET *898 0.00129389 +*CONN +*P la_oenb_mprj[99] I +*I *6867:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38731:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 la_oenb_mprj[99] 0.000479396 +2 *6867:DIODE 8.28411e-05 +3 *38731:A 8.4707e-05 +4 *898:11 0.000646944 +5 *38731:A *2749:40 0 +6 *38731:A *3105:81 0 +7 *898:11 *39145:A 0 +8 *898:11 *2683:27 0 +9 *898:11 *2738:7 0 +10 la_data_in_mprj[100] *898:11 0 +*RES +1 la_oenb_mprj[99] *898:11 19.625 +2 *898:11 *38731:A 15.5679 +3 *898:11 *6867:DIODE 15.7464 +*END + +*D_NET *899 0.000872737 +*CONN +*P la_oenb_mprj[9] I +*I *6868:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38732:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 la_oenb_mprj[9] 0.000236706 +2 *6868:DIODE 9.52765e-05 +3 *38732:A 0.000104386 +4 *899:5 0.000436368 +5 *6868:DIODE *39146:A 0 +6 *6868:DIODE *4249:15 0 +7 *38732:A *4504:34 0 +8 *38732:A *4789:32 0 +9 *899:5 *39146:A 0 +10 la_data_in_mprj[10] *899:5 0 +11 *6726:DIODE *899:5 0 +12 *643:8 *899:5 0 +*RES +1 la_oenb_mprj[9] *899:5 5.375 +2 *899:5 *38732:A 15.9786 +3 *899:5 *6868:DIODE 15.9786 +*END + +*D_NET *900 0.000729713 +*CONN +*P mprj_ack_i_core O +*I *39275:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_ack_i_core 0.000364857 +2 *39275:X 0.000364857 +3 mprj_ack_i_core *37449:A 0 +4 mprj_ack_i_core *966:14 0 +5 mprj_ack_i_core *1829:47 0 +6 mprj_ack_i_core *2983:16 0 +7 mprj_ack_i_core *4562:26 0 +*RES +1 *39275:X mprj_ack_i_core 21.6036 +*END + +*D_NET *901 0.129991 +*CONN +*P mprj_ack_i_user I +*I *7494:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39521:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 mprj_ack_i_user 0.000671302 +2 *7494:DIODE 0.000639745 +3 *39521:A 0.000420216 +4 *901:62 0.00205729 +5 *901:17 0.00651258 +6 *901:8 0.0618468 +7 *901:7 0.0578432 +8 *7494:DIODE *8515:DIODE 0 +9 *7494:DIODE *2916:29 0 +10 *7494:DIODE *3037:66 0 +11 *7494:DIODE *3405:22 0 +12 *7494:DIODE *4524:84 0 +13 *39521:A *40265:A 0 +14 *39521:A *3257:23 0 +15 *901:7 mprj_cyc_o_user 0 +16 *901:7 user_reset 0 +17 *901:8 *38173:A 0 +18 *901:8 *39771:A 0 +19 *901:8 *1005:76 0 +20 *901:8 *1005:86 0 +21 *901:8 *1008:19 0 +22 *901:8 *1010:41 0 +23 *901:8 *1011:131 0 +24 *901:8 *1016:15 0 +25 *901:8 *1016:39 0 +26 *901:8 *1016:144 0 +27 *901:8 *1021:16 0 +28 *901:8 *1023:19 0 +29 *901:8 *1023:47 0 +30 *901:8 *1024:18 0 +31 *901:8 *1024:75 0 +32 *901:8 *1025:13 0 +33 *901:8 *1025:40 0 +34 *901:8 *1025:62 0 +35 *901:8 *1028:46 0 +36 *901:8 *1031:10 0 +37 *901:8 *1031:28 0 +38 *901:8 *2453:11 0 +39 *901:8 *2482:35 0 +40 *901:8 *2490:36 0 +41 *901:8 *2543:14 0 +42 *901:8 *2543:32 0 +43 *901:8 *2565:29 0 +44 *901:8 *2565:61 0 +45 *901:8 *2574:16 0 +46 *901:8 *2574:40 0 +47 *901:8 *2574:64 0 +48 *901:8 *2574:71 0 +49 *901:8 *2591:20 0 +50 *901:8 *2591:40 0 +51 *901:8 *2622:14 0 +52 *901:8 *2651:49 0 +53 *901:8 *2658:14 0 +54 *901:8 *2851:22 0 +55 *901:8 *3161:69 0 +56 *901:8 *3693:21 0 +57 *901:8 *4669:35 0 +58 *901:17 *39533:A 0 +59 *901:17 *1011:231 0 +60 *901:17 *3010:20 0 +61 *901:17 *3036:20 0 +62 *901:17 *3075:73 0 +63 *901:17 *3485:17 0 +64 *901:17 *3490:14 0 +65 *901:17 *3493:29 0 +66 *901:62 *7990:DIODE 0 +67 *901:62 *40265:A 0 +68 *901:62 *3240:63 0 +69 *901:62 *3257:23 0 +70 *901:62 *3266:134 0 +71 *901:62 *3406:16 0 +72 *901:62 *3407:13 0 +73 *901:62 *3696:27 0 +74 *901:62 *4524:99 0 +75 *6602:DIODE *7494:DIODE 0 +76 *6743:DIODE *7494:DIODE 0 +77 *312:16 *901:8 0 +78 *337:16 *901:8 0 +79 *404:34 *901:62 0 +80 *404:58 *901:62 0 +81 *405:54 *7494:DIODE 0 +82 *789:49 *901:62 0 +*RES +1 mprj_ack_i_user *901:7 18.6511 +2 *901:7 *901:8 207.059 +3 *901:8 *901:17 25.9615 +4 *901:17 *39521:A 20.2407 +5 *901:17 *901:62 48.8712 +6 *901:62 *7494:DIODE 27.8714 +*END + +*D_NET *902 0.00215937 +*CONN +*P mprj_adr_o_core[0] I +*I *38733:A I *D sky130_fd_sc_hd__buf_2 +*I *6869:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_adr_o_core[0] 0.000262995 +2 *38733:A 8.85315e-05 +3 *6869:DIODE 0.000728159 +4 *902:9 0.00107969 +5 *6869:DIODE *3205:47 0 +6 *6869:DIODE *5171:57 0 +7 *38733:A *3142:40 0 +8 *38733:A *3392:18 0 +9 *902:9 *3205:47 0 +*RES +1 mprj_adr_o_core[0] *902:9 6.03571 +2 *902:9 *6869:DIODE 30.175 +3 *902:9 *38733:A 15.7241 +*END + +*D_NET *903 0.00276939 +*CONN +*P mprj_adr_o_core[10] I +*I *38734:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6870:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_adr_o_core[10] 0.000679251 +2 *38734:A 2.26741e-05 +3 *6870:DIODE 0.000682771 +4 *903:26 0.0013847 +5 *6870:DIODE *6908:DIODE 0 +6 *6870:DIODE *7288:DIODE 0 +7 *6870:DIODE *1033:13 0 +8 *6870:DIODE *3117:9 0 +9 *6870:DIODE *4547:65 0 +10 *6870:DIODE *4548:65 0 +11 *38734:A *904:10 0 +12 *38734:A *4535:15 0 +13 *903:26 *904:10 0 +14 *903:26 *1240:44 0 +15 *903:26 *4527:13 0 +16 *903:26 *4535:15 0 +*RES +1 mprj_adr_o_core[10] *903:26 29.4286 +2 *903:26 *6870:DIODE 33.3 +3 *903:26 *38734:A 9.83571 +*END + +*D_NET *904 0.00283022 +*CONN +*P mprj_adr_o_core[11] I +*I *38736:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6872:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_adr_o_core[11] 0.000466155 +2 *38736:A 0 +3 *6872:DIODE 0.000948953 +4 *904:10 0.00141511 +5 *6872:DIODE *7289:DIODE 0 +6 *6872:DIODE *38774:A 0 +7 *6872:DIODE *39574:A 0 +8 *6872:DIODE *40239:A 0 +9 *6872:DIODE *3089:38 0 +10 *6872:DIODE *3111:18 0 +11 *6872:DIODE *4532:19 0 +12 *6872:DIODE *4533:43 0 +13 *6872:DIODE *4539:48 0 +14 *904:10 *4527:13 0 +15 *904:10 *4528:22 0 +16 *904:10 *4535:15 0 +17 *38734:A *904:10 0 +18 *903:26 *904:10 0 +*RES +1 mprj_adr_o_core[11] *904:10 14.6429 +2 *904:10 *6872:DIODE 48.1571 +3 *904:10 *38736:A 9.3 +*END + +*D_NET *905 0.00242919 +*CONN +*P mprj_adr_o_core[12] I +*I *6873:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38737:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mprj_adr_o_core[12] 0.000597394 +2 *6873:DIODE 0.000591531 +3 *38737:A 2.56688e-05 +4 *905:11 0.00121459 +5 *6873:DIODE *6909:DIODE 0 +6 *6873:DIODE *1829:18 0 +7 *6873:DIODE *4545:48 0 +8 *6873:DIODE *4547:65 0 +9 *6873:DIODE *4548:65 0 +10 *905:11 *4528:22 0 +11 *905:11 *4567:21 0 +*RES +1 mprj_adr_o_core[12] *905:11 22.0893 +2 *905:11 *38737:A 14.3357 +3 *905:11 *6873:DIODE 26.7821 +*END + +*D_NET *906 0.00212118 +*CONN +*P mprj_adr_o_core[13] I +*I *6874:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38738:A I *D sky130_fd_sc_hd__buf_6 +*CAP +1 mprj_adr_o_core[13] 0.000530822 +2 *6874:DIODE 0.000529768 +3 *38738:A 0 +4 *906:16 0.00106059 +5 *6874:DIODE *6909:DIODE 0 +6 *6874:DIODE *39538:B 0 +7 *6874:DIODE *2713:11 0 +8 *6874:DIODE *2964:5 0 +9 *6874:DIODE *4530:9 0 +10 *6874:DIODE *4533:25 0 +11 *906:16 mprj_dat_i_core[13] 0 +12 *906:16 *40239:A 0 +13 *906:16 *1035:11 0 +14 *906:16 *4530:9 0 +15 *906:16 *4531:11 0 +16 *906:16 *4533:25 0 +*RES +1 mprj_adr_o_core[13] *906:16 17.125 +2 *906:16 *38738:A 9.3 +3 *906:16 *6874:DIODE 29.8 +*END + +*D_NET *907 0.00208662 +*CONN +*P mprj_adr_o_core[14] I +*I *6875:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38739:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mprj_adr_o_core[14] 0.000598587 +2 *6875:DIODE 0.000444724 +3 *38739:A 0 +4 *907:19 0.00104331 +5 *6875:DIODE *1874:18 0 +6 *6875:DIODE *4534:15 0 +7 *6875:DIODE *4548:57 0 +8 *907:19 mprj_dat_i_core[14] 0 +9 *907:19 *39536:A 0 +10 *907:19 *1036:7 0 +11 *907:19 *1037:12 0 +12 *907:19 *4539:22 0 +*RES +1 mprj_adr_o_core[14] *907:19 14.5179 +2 *907:19 *38739:A 13.8 +3 *907:19 *6875:DIODE 32.4786 +*END + +*D_NET *908 0.00242219 +*CONN +*P mprj_adr_o_core[15] I +*I *6876:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38740:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mprj_adr_o_core[15] 0.000423033 +2 *6876:DIODE 0.000788064 +3 *38740:A 0 +4 *908:13 0.0012111 +5 *6876:DIODE *6913:DIODE 0 +6 *6876:DIODE *37416:A 0 +7 *6876:DIODE *39316:A 0 +8 *6876:DIODE *40608:A 0 +9 *6876:DIODE *1734:32 0 +10 *6876:DIODE *1874:18 0 +11 *6876:DIODE *4570:18 0 +12 *6876:DIODE *4581:31 0 +13 *6876:DIODE *4784:10 0 +14 *6876:DIODE *5077:19 0 +15 *6876:DIODE *5178:33 0 +16 *908:13 mprj_dat_i_core[15] 0 +17 *908:13 *1038:13 0 +18 *908:13 *5078:28 0 +19 *908:13 *5180:11 0 +*RES +1 mprj_adr_o_core[15] *908:13 22.5536 +2 *908:13 *38740:A 9.3 +3 *908:13 *6876:DIODE 35.7464 +*END + +*D_NET *909 0.00146807 +*CONN +*P mprj_adr_o_core[16] I +*I *6877:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38741:A I *D sky130_fd_sc_hd__buf_4 +*CAP +1 mprj_adr_o_core[16] 0.000518637 +2 *6877:DIODE 0.00018973 +3 *38741:A 2.56688e-05 +4 *909:11 0.000734036 +5 *6877:DIODE *39316:A 0 +6 *6877:DIODE *5077:19 0 +7 *38741:A *4534:15 0 +8 *38741:A *4784:10 0 +9 *909:11 mprj_dat_i_core[16] 0 +10 *909:11 *39316:A 0 +11 *909:11 *1038:13 0 +12 *909:11 *3030:36 0 +13 *909:11 *4784:10 0 +14 *909:11 *5168:18 0 +*RES +1 mprj_adr_o_core[16] *909:11 20.4464 +2 *909:11 *38741:A 14.3357 +3 *909:11 *6877:DIODE 18.175 +*END + +*D_NET *910 0.00216359 +*CONN +*P mprj_adr_o_core[17] I +*I *6878:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38742:A I *D sky130_fd_sc_hd__buf_6 +*CAP +1 mprj_adr_o_core[17] 0.000364609 +2 *6878:DIODE 0.000717186 +3 *38742:A 0 +4 *910:10 0.00108179 +5 *6878:DIODE *6879:DIODE 0 +6 *6878:DIODE *1040:12 0 +7 *6878:DIODE *1848:15 0 +8 *6878:DIODE *3212:12 0 +9 *6878:DIODE *4542:25 0 +10 *6878:DIODE *4548:39 0 +11 *6878:DIODE *5166:22 0 +12 *910:10 mprj_dat_i_core[17] 0 +13 *910:10 *39317:A 0 +14 *910:10 *1039:12 0 +15 *910:10 *3205:21 0 +16 *910:10 *3212:12 0 +17 *910:10 *4535:13 0 +18 *910:10 *4548:39 0 +19 *910:10 *4581:21 0 +20 *910:10 *5077:19 0 +*RES +1 mprj_adr_o_core[17] *910:10 12.5893 +2 *910:10 *38742:A 9.3 +3 *910:10 *6878:DIODE 33.7286 +*END + +*D_NET *911 0.00139623 +*CONN +*P mprj_adr_o_core[18] I +*I *38743:A I *D sky130_fd_sc_hd__buf_2 +*I *6879:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_adr_o_core[18] 0.000330184 +2 *38743:A 3.21521e-05 +3 *6879:DIODE 0.000335777 +4 *911:5 0.000698114 +5 *6879:DIODE *7292:DIODE 0 +6 *6879:DIODE *1040:12 0 +7 *6879:DIODE *3099:12 0 +8 *6879:DIODE *4542:25 0 +9 *6879:DIODE *5166:22 0 +10 *6879:DIODE *5178:33 0 +11 *6879:DIODE *5209:86 0 +12 *6879:DIODE *5209:91 0 +13 *38743:A *1755:29 0 +14 *38743:A *4534:15 0 +15 *911:5 mprj_dat_i_core[18] 0 +16 *911:5 *1040:12 0 +17 *911:5 *3030:36 0 +18 *911:5 *4547:31 0 +19 *6878:DIODE *6879:DIODE 0 +*RES +1 mprj_adr_o_core[18] *911:5 7.5 +2 *911:5 *6879:DIODE 21.4429 +3 *911:5 *38743:A 14.5143 +*END + +*D_NET *912 0.00215181 +*CONN +*P mprj_adr_o_core[19] I +*I *38744:A I *D sky130_fd_sc_hd__buf_6 +*I *6880:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_adr_o_core[19] 0.00056471 +2 *38744:A 0 +3 *6880:DIODE 0.000511197 +4 *912:10 0.00107591 +5 *6880:DIODE *39322:A 0 +6 *6880:DIODE *4552:32 0 +7 *6880:DIODE *4573:19 0 +8 *912:10 mprj_dat_i_core[19] 0 +9 *912:10 *6914:DIODE 0 +10 *912:10 *1041:11 0 +11 *912:10 *1042:8 0 +12 *912:10 *4535:13 0 +13 *912:10 *4547:31 0 +14 *912:10 *4548:39 0 +15 *912:10 *4569:8 0 +*RES +1 mprj_adr_o_core[19] *912:10 16.6964 +2 *912:10 *6880:DIODE 29.4429 +3 *912:10 *38744:A 9.3 +*END + +*D_NET *913 0.00206282 +*CONN +*P mprj_adr_o_core[1] I +*I *6881:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38745:A I *D sky130_fd_sc_hd__buf_6 +*CAP +1 mprj_adr_o_core[1] 0.000288605 +2 *6881:DIODE 0.000742804 +3 *38745:A 0 +4 *913:12 0.00103141 +5 *6881:DIODE *6907:DIODE 0 +6 *6881:DIODE *1863:28 0 +7 *6881:DIODE *1866:22 0 +8 *6881:DIODE *2713:116 0 +9 *6881:DIODE *4784:45 0 +10 *913:12 mprj_dat_i_core[1] 0 +11 *913:12 *1731:22 0 +12 *913:12 *4416:32 0 +*RES +1 mprj_adr_o_core[1] *913:12 11.0714 +2 *913:12 *38745:A 9.3 +3 *913:12 *6881:DIODE 44.175 +*END + +*D_NET *914 0.00167345 +*CONN +*P mprj_adr_o_core[20] I +*I *38748:A I *D sky130_fd_sc_hd__buf_6 +*I *6884:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_adr_o_core[20] 0.000493412 +2 *38748:A 0 +3 *6884:DIODE 0.00034331 +4 *914:8 0.000836723 +5 *6884:DIODE *39322:A 0 +6 *6884:DIODE *1834:10 0 +7 *6884:DIODE *2964:5 0 +8 *6884:DIODE *4575:14 0 +9 *6884:DIODE *4579:22 0 +10 *914:8 *6918:DIODE 0 +11 *914:8 *1042:8 0 +12 *914:8 *1834:10 0 +13 *914:8 *5087:15 0 +*RES +1 mprj_adr_o_core[20] *914:8 15.4107 +2 *914:8 *6884:DIODE 25.8714 +3 *914:8 *38748:A 9.3 +*END + +*D_NET *915 0.00135859 +*CONN +*P mprj_adr_o_core[21] I +*I *6885:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38749:A I *D sky130_fd_sc_hd__buf_4 +*CAP +1 mprj_adr_o_core[21] 0.000280559 +2 *6885:DIODE 0.000398735 +3 *38749:A 0 +4 *915:8 0.000679295 +5 *6885:DIODE *1598:21 0 +6 *6885:DIODE *2983:26 0 +7 *6885:DIODE *3537:5 0 +8 *6885:DIODE *4547:9 0 +9 *6885:DIODE *5087:15 0 +10 *915:8 mprj_dat_i_core[21] 0 +11 *915:8 *1044:8 0 +12 *915:8 *2983:26 0 +13 *915:8 *3537:5 0 +14 *915:8 *4547:9 0 +*RES +1 mprj_adr_o_core[21] *915:8 10.8214 +2 *915:8 *38749:A 9.3 +3 *915:8 *6885:DIODE 26.8893 +*END + +*D_NET *916 0.00234038 +*CONN +*P mprj_adr_o_core[22] I +*I *38750:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6886:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_adr_o_core[22] 0.000697227 +2 *38750:A 0 +3 *6886:DIODE 0.00047296 +4 *916:13 0.00117019 +5 *6886:DIODE mprj_dat_i_core[23] 0 +6 *6886:DIODE *6887:DIODE 0 +7 *6886:DIODE *37465:A 0 +8 *6886:DIODE *39324:A 0 +9 *6886:DIODE *917:5 0 +10 *6886:DIODE *1047:10 0 +11 *6886:DIODE *1870:18 0 +12 *6886:DIODE *4544:14 0 +13 *6886:DIODE *4578:12 0 +14 *6886:DIODE *4579:17 0 +15 *6886:DIODE *5190:31 0 +16 *916:13 mprj_dat_i_core[22] 0 +17 *916:13 mprj_dat_i_core[23] 0 +18 *916:13 *1047:10 0 +19 *916:13 *1866:26 0 +20 *916:13 *5180:11 0 +*RES +1 mprj_adr_o_core[22] *916:13 28.3036 +2 *916:13 *6886:DIODE 28.8893 +3 *916:13 *38750:A 9.3 +*END + +*D_NET *917 0.00113076 +*CONN +*P mprj_adr_o_core[23] I +*I *6887:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38751:A I *D sky130_fd_sc_hd__buf_6 +*CAP +1 mprj_adr_o_core[23] 0.000236706 +2 *6887:DIODE 0.000283327 +3 *38751:A 4.53482e-05 +4 *917:5 0.000565381 +5 *6887:DIODE *37465:A 0 +6 *6887:DIODE *1018:73 0 +7 *6887:DIODE *1870:18 0 +8 *6887:DIODE *4544:14 0 +9 *38751:A *4542:13 0 +10 *38751:A *4547:9 0 +11 *917:5 mprj_dat_i_core[23] 0 +12 *917:5 *37465:A 0 +13 *917:5 *1046:8 0 +14 *6886:DIODE *6887:DIODE 0 +15 *6886:DIODE *917:5 0 +*RES +1 mprj_adr_o_core[23] *917:5 5.375 +2 *917:5 *38751:A 14.7464 +3 *917:5 *6887:DIODE 20.3 +*END + +*D_NET *918 0.000917298 +*CONN +*P mprj_adr_o_core[24] I +*I *6888:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38752:A I *D sky130_fd_sc_hd__buf_6 +*CAP +1 mprj_adr_o_core[24] 0.000330322 +2 *6888:DIODE 8.29787e-05 +3 *38752:A 4.53482e-05 +4 *918:5 0.000458649 +5 *6888:DIODE *6923:DIODE 0 +6 *6888:DIODE *4578:12 0 +7 *38752:A *39325:A 0 +8 *38752:A *4757:16 0 +9 *918:5 mprj_dat_i_core[24] 0 +10 *918:5 *1047:10 0 +11 *918:5 *4543:20 0 +12 *918:5 *4578:12 0 +13 *918:5 *4778:32 0 +*RES +1 mprj_adr_o_core[24] *918:5 7.5 +2 *918:5 *38752:A 14.7464 +3 *918:5 *6888:DIODE 15.7464 +*END + +*D_NET *919 0.0011554 +*CONN +*P mprj_adr_o_core[25] I +*I *38753:A I *D sky130_fd_sc_hd__buf_6 +*I *6889:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_adr_o_core[25] 0.000450641 +2 *38753:A 4.23535e-05 +3 *6889:DIODE 8.4707e-05 +4 *919:7 0.000577702 +5 *6889:DIODE *4544:14 0 +6 *6889:DIODE *4762:10 0 +7 *38753:A *4544:14 0 +8 *38753:A *4762:10 0 +9 *919:7 mprj_dat_i_core[25] 0 +10 *919:7 *6924:DIODE 0 +11 *919:7 *6925:DIODE 0 +12 *919:7 *1048:10 0 +13 *919:7 *4551:20 0 +*RES +1 mprj_adr_o_core[25] *919:7 14.7321 +2 *919:7 *6889:DIODE 11.0679 +3 *919:7 *38753:A 10.2464 +*END + +*D_NET *920 0.00164367 +*CONN +*P mprj_adr_o_core[26] I +*I *6890:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38754:A I *D sky130_fd_sc_hd__buf_6 +*CAP +1 mprj_adr_o_core[26] 0.000236745 +2 *6890:DIODE 0.000461025 +3 *38754:A 0.000124066 +4 *920:5 0.000821835 +5 *6890:DIODE *6925:DIODE 0 +6 *6890:DIODE *39543:A 0 +7 *6890:DIODE *2714:8 0 +8 *6890:DIODE *3095:8 0 +9 *6890:DIODE *3189:10 0 +10 *6890:DIODE *4545:16 0 +11 *6890:DIODE *4546:16 0 +12 *6890:DIODE *5178:15 0 +13 *38754:A *3537:5 0 +14 *38754:A *4547:9 0 +15 *920:5 mprj_dat_i_core[26] 0 +16 *920:5 *1049:5 0 +17 *920:5 *4545:16 0 +*RES +1 mprj_adr_o_core[26] *920:5 5.375 +2 *920:5 *38754:A 16.3893 +3 *920:5 *6890:DIODE 24.0857 +*END + +*D_NET *921 0.00228513 +*CONN +*P mprj_adr_o_core[27] I +*I *38755:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6891:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_adr_o_core[27] 0.000611903 +2 *38755:A 0 +3 *6891:DIODE 0.000530661 +4 *921:12 0.00114256 +5 *6891:DIODE *5283:DIODE 0 +6 *6891:DIODE *6892:DIODE 0 +7 *6891:DIODE *39328:A 0 +8 *6891:DIODE *39329:A 0 +9 *6891:DIODE *1720:17 0 +10 *6891:DIODE *1873:15 0 +11 *6891:DIODE *4583:12 0 +12 *921:12 mprj_dat_i_core[26] 0 +13 *921:12 mprj_dat_i_core[27] 0 +14 *921:12 *37464:A 0 +15 *921:12 *39327:A 0 +16 *921:12 *1050:5 0 +17 *921:12 *4546:16 0 +18 *921:12 *4551:13 0 +19 *921:12 *4584:17 0 +*RES +1 mprj_adr_o_core[27] *921:12 17.5714 +2 *921:12 *6891:DIODE 30.1214 +3 *921:12 *38755:A 9.3 +*END + +*D_NET *922 0.00172495 +*CONN +*P mprj_adr_o_core[28] I +*I *6892:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38756:A I *D sky130_fd_sc_hd__buf_6 +*CAP +1 mprj_adr_o_core[28] 0.000446653 +2 *6892:DIODE 0.00041582 +3 *38756:A 0 +4 *922:10 0.000862473 +5 *6892:DIODE *39329:A 0 +6 *6892:DIODE *3089:14 0 +7 *6892:DIODE *3537:5 0 +8 *6892:DIODE *4547:9 0 +9 *922:10 *1051:5 0 +10 *922:10 *1873:15 0 +11 *922:10 *3537:5 0 +12 *922:10 *4546:16 0 +13 *922:10 *4547:7 0 +14 *922:10 *4547:9 0 +15 *922:10 *5185:10 0 +16 *6891:DIODE *6892:DIODE 0 +*RES +1 mprj_adr_o_core[28] *922:10 14.2321 +2 *922:10 *38756:A 9.3 +3 *922:10 *6892:DIODE 27.7643 +*END + +*D_NET *923 0.00188396 +*CONN +*P mprj_adr_o_core[29] I +*I *38757:A I *D sky130_fd_sc_hd__buf_6 +*I *6893:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_adr_o_core[29] 0.000459208 +2 *38757:A 0 +3 *6893:DIODE 0.000482771 +4 *923:8 0.000941979 +5 *6893:DIODE *6930:DIODE 0 +6 *6893:DIODE *1053:9 0 +7 *6893:DIODE *1750:18 0 +8 *6893:DIODE *1753:11 0 +9 *6893:DIODE *4548:14 0 +10 *6893:DIODE *4585:13 0 +11 *6893:DIODE *5209:30 0 +12 *923:8 mprj_dat_i_core[29] 0 +13 *923:8 *39329:A 0 +14 *923:8 *1052:8 0 +15 *923:8 *3537:5 0 +16 *923:8 *4552:22 0 +*RES +1 mprj_adr_o_core[29] *923:8 14.5179 +2 *923:8 *6893:DIODE 28.925 +3 *923:8 *38757:A 9.3 +*END + +*D_NET *924 0.00223333 +*CONN +*P mprj_adr_o_core[2] I +*I *6895:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38759:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_adr_o_core[2] 0.000321354 +2 *6895:DIODE 0.000795309 +3 *38759:A 0 +4 *924:8 0.00111666 +5 *6895:DIODE *40260:A 0 +6 *6895:DIODE *3470:20 0 +7 *6895:DIODE *4586:24 0 +8 *6895:DIODE *4592:50 0 +9 *924:8 *40260:A 0 +10 *924:8 *4592:50 0 +*RES +1 mprj_adr_o_core[2] *924:8 11.6429 +2 *924:8 *38759:A 9.3 +3 *924:8 *6895:DIODE 36.2821 +*END + +*D_NET *925 0.00147526 +*CONN +*P mprj_adr_o_core[30] I +*I *6896:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38760:A I *D sky130_fd_sc_hd__buf_6 +*CAP +1 mprj_adr_o_core[30] 0.000330342 +2 *6896:DIODE 0.000361942 +3 *38760:A 4.53482e-05 +4 *925:5 0.000737632 +5 *6896:DIODE *6929:DIODE 0 +6 *6896:DIODE *37470:A 0 +7 *6896:DIODE *37732:A 0 +8 *6896:DIODE *3695:34 0 +9 *38760:A *4551:13 0 +10 *38760:A *4766:13 0 +11 *925:5 mprj_dat_i_core[30] 0 +12 *925:5 *6929:DIODE 0 +13 *925:5 *1053:9 0 +*RES +1 mprj_adr_o_core[30] *925:5 7.5 +2 *925:5 *38760:A 14.7464 +3 *925:5 *6896:DIODE 21.9607 +*END + +*D_NET *926 0.00176759 +*CONN +*P mprj_adr_o_core[31] I +*I *38761:A I *D sky130_fd_sc_hd__buf_6 +*I *6897:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_adr_o_core[31] 0.000535467 +2 *38761:A 6.20329e-05 +3 *6897:DIODE 0.000286296 +4 *926:12 0.000883796 +5 *6897:DIODE *6932:DIODE 0 +6 *6897:DIODE *37468:A 0 +7 *6897:DIODE *37732:B 0 +8 *6897:DIODE *39333:A 0 +9 *6897:DIODE *4587:8 0 +10 *6897:DIODE *4768:10 0 +11 *6897:DIODE *5180:10 0 +12 *38761:A *1055:12 0 +13 *38761:A *4768:10 0 +14 *926:12 mprj_dat_i_core[31] 0 +15 *926:12 *37469:A 0 +16 *926:12 *39332:A 0 +17 *926:12 *1055:12 0 +18 *926:12 *4552:22 0 +19 *926:12 *5180:10 0 +*RES +1 mprj_adr_o_core[31] *926:12 16.1964 +2 *926:12 *6897:DIODE 24.8 +3 *926:12 *38761:A 10.6571 +*END + +*D_NET *927 0.00183133 +*CONN +*P mprj_adr_o_core[3] I +*I *6898:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38762:A I *D sky130_fd_sc_hd__buf_6 +*CAP +1 mprj_adr_o_core[3] 0.000380904 +2 *6898:DIODE 0.000534763 +3 *38762:A 0 +4 *927:12 0.000915667 +5 *6898:DIODE *6945:DIODE 0 +6 *6898:DIODE *40378:A 0 +7 *6898:DIODE *4529:46 0 +8 *6898:DIODE *4534:82 0 +9 *6898:DIODE *5107:27 0 +10 *927:12 *40378:A 0 +11 *927:12 *2714:57 0 +12 *927:12 *4535:38 0 +13 *927:12 *4592:28 0 +14 *927:12 *5107:27 0 +*RES +1 mprj_adr_o_core[3] *927:12 13.1964 +2 *927:12 *38762:A 9.3 +3 *927:12 *6898:DIODE 30.4964 +*END + +*D_NET *928 0.00192271 +*CONN +*P mprj_adr_o_core[4] I +*I *6899:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38763:A I *D sky130_fd_sc_hd__buf_6 +*CAP +1 mprj_adr_o_core[4] 0.000399004 +2 *6899:DIODE 0.000497322 +3 *38763:A 6.50276e-05 +4 *928:15 0.000961353 +5 *6899:DIODE *7297:DIODE 0 +6 *6899:DIODE *5175:22 0 +7 *38763:A *4555:19 0 +8 *38763:A *4761:9 0 +9 *38763:A *5075:37 0 +10 *928:15 *1728:28 0 +11 *928:15 *2373:47 0 +12 *928:15 *3016:52 0 +13 *928:15 *5075:37 0 +14 *928:15 *5185:14 0 +15 *375:41 *6899:DIODE 0 +*RES +1 mprj_adr_o_core[4] *928:15 13.7988 +2 *928:15 *38763:A 15.1571 +3 *928:15 *6899:DIODE 25.1571 +*END + +*D_NET *929 0.00150158 +*CONN +*P mprj_adr_o_core[5] I +*I *38764:A I *D sky130_fd_sc_hd__buf_6 +*I *6900:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_adr_o_core[5] 0.000359297 +2 *38764:A 0 +3 *6900:DIODE 0.000391494 +4 *929:8 0.000750791 +5 *929:8 mprj_dat_i_core[5] 0 +6 *929:8 *7293:DIODE 0 +7 *929:8 *4555:19 0 +8 *929:8 *5075:37 0 +*RES +1 mprj_adr_o_core[5] *929:8 12.4643 +2 *929:8 *6900:DIODE 27.2286 +3 *929:8 *38764:A 9.3 +*END + +*D_NET *930 0.00174666 +*CONN +*P mprj_adr_o_core[6] I +*I *6901:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38765:A I *D sky130_fd_sc_hd__buf_6 +*CAP +1 mprj_adr_o_core[6] 0.000446615 +2 *6901:DIODE 0.000426712 +3 *38765:A 0 +4 *930:20 0.000873328 +5 *6901:DIODE *1059:8 0 +6 *6901:DIODE *5075:37 0 +7 *930:20 mprj_dat_i_core[6] 0 +8 *930:20 *40255:A 0 +9 *930:20 *1059:8 0 +10 *930:20 *2714:24 0 +11 *930:20 *3392:50 0 +12 *930:20 *4592:16 0 +13 *930:20 *5075:37 0 +*RES +1 mprj_adr_o_core[6] *930:20 15.25 +2 *930:20 *38765:A 9.3 +3 *930:20 *6901:DIODE 27.8 +*END + +*D_NET *931 0.00253525 +*CONN +*P mprj_adr_o_core[7] I +*I *38766:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6902:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_adr_o_core[7] 0.000496284 +2 *38766:A 0 +3 *6902:DIODE 0.000771339 +4 *931:8 0.00126762 +5 *6902:DIODE *3189:36 0 +6 *931:8 mprj_dat_i_core[6] 0 +7 *931:8 mprj_dat_i_core[7] 0 +8 *931:8 *3030:23 0 +9 *931:8 *4557:10 0 +*RES +1 mprj_adr_o_core[7] *931:8 15.0714 +2 *931:8 *6902:DIODE 35.6214 +3 *931:8 *38766:A 9.3 +*END + +*D_NET *932 0.00156386 +*CONN +*P mprj_adr_o_core[8] I +*I *6903:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38767:A I *D sky130_fd_sc_hd__buf_6 +*CAP +1 mprj_adr_o_core[8] 0.000332957 +2 *6903:DIODE 0.000403627 +3 *38767:A 4.53482e-05 +4 *932:5 0.000781932 +5 *38767:A *1061:20 0 +6 *38767:A *4533:63 0 +*RES +1 mprj_adr_o_core[8] *932:5 7.5 +2 *932:5 *38767:A 14.7464 +3 *932:5 *6903:DIODE 23.0321 +*END + +*D_NET *933 0.00327341 +*CONN +*P mprj_adr_o_core[9] I +*I *38768:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6904:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_adr_o_core[9] 0.000479899 +2 *38768:A 2.0535e-05 +3 *6904:DIODE 0.00113627 +4 *933:8 0.00163671 +5 *6904:DIODE mprj_dat_i_core[10] 0 +6 *6904:DIODE mprj_dat_i_core[11] 0 +7 *6904:DIODE *6909:DIODE 0 +8 *6904:DIODE *39310:A 0 +9 *6904:DIODE *1034:12 0 +10 *6904:DIODE *3030:23 0 +11 *933:8 *38804:A 0 +12 *933:8 *39338:A 0 +13 *933:8 *1062:11 0 +14 *933:8 *1828:18 0 +15 *933:8 *3030:23 0 +16 *933:8 *4534:31 0 +17 *368:106 *933:8 0 +*RES +1 mprj_adr_o_core[9] *933:8 14.7679 +2 *933:8 *6904:DIODE 33.1393 +3 *933:8 *38768:A 9.72857 +*END + +*D_NET *934 0.000467119 +*CONN +*P mprj_adr_o_user[0] O +*I *39276:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_adr_o_user[0] 0.000233559 +2 *39276:X 0.000233559 +3 mprj_adr_o_user[0] mprj_we_o_user 0 +4 mprj_adr_o_user[0] *1000:13 0 +*RES +1 *39276:X mprj_adr_o_user[0] 19.1036 +*END + +*D_NET *935 0.000539558 +*CONN +*P mprj_adr_o_user[10] O +*I *39277:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_adr_o_user[10] 0.000269779 +2 *39277:X 0.000269779 +3 mprj_adr_o_user[10] *1001:16 0 +4 *297:13 mprj_adr_o_user[10] 0 +*RES +1 *39277:X mprj_adr_o_user[10] 19.925 +*END + +*D_NET *936 0.000780236 +*CONN +*P mprj_adr_o_user[11] O +*I *39278:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_adr_o_user[11] 0.000390118 +2 *39278:X 0.000390118 +3 mprj_adr_o_user[11] mprj_dat_o_user[10] 0 +4 mprj_adr_o_user[11] *1002:7 0 +*RES +1 *39278:X mprj_adr_o_user[11] 22.6571 +*END + +*D_NET *937 0.000869074 +*CONN +*P mprj_adr_o_user[12] O +*I *39279:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_adr_o_user[12] 0.000434537 +2 *39279:X 0.000434537 +3 mprj_adr_o_user[12] *1003:7 0 +4 mprj_adr_o_user[12] *2581:36 0 +5 *302:13 mprj_adr_o_user[12] 0 +*RES +1 *39279:X mprj_adr_o_user[12] 23.7286 +*END + +*D_NET *938 0.000999677 +*CONN +*P mprj_adr_o_user[13] O +*I *39280:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_adr_o_user[13] 0.000499839 +2 *39280:X 0.000499839 +3 mprj_adr_o_user[13] *39279:A 0 +4 mprj_adr_o_user[13] *39344:A 0 +5 mprj_adr_o_user[13] *1004:7 0 +6 mprj_adr_o_user[13] *2652:12 0 +7 mprj_adr_o_user[13] *2665:47 0 +*RES +1 *39280:X mprj_adr_o_user[13] 25.0857 +*END + +*D_NET *939 0.000760573 +*CONN +*P mprj_adr_o_user[14] O +*I *39281:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_adr_o_user[14] 0.000380286 +2 *39281:X 0.000380286 +3 mprj_adr_o_user[14] mprj_dat_o_user[13] 0 +4 mprj_adr_o_user[14] *1005:18 0 +5 mprj_adr_o_user[14] *2657:87 0 +6 *304:23 mprj_adr_o_user[14] 0 +*RES +1 *39281:X mprj_adr_o_user[14] 22.4964 +*END + +*D_NET *940 0.000467198 +*CONN +*P mprj_adr_o_user[15] O +*I *39282:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_adr_o_user[15] 0.000233599 +2 *39282:X 0.000233599 +3 mprj_adr_o_user[15] mprj_dat_o_user[14] 0 +4 *307:13 mprj_adr_o_user[15] 0 +5 *316:11 mprj_adr_o_user[15] 0 +*RES +1 *39282:X mprj_adr_o_user[15] 19.1036 +*END + +*D_NET *941 0.000420006 +*CONN +*P mprj_adr_o_user[16] O +*I *39283:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_adr_o_user[16] 0.000210003 +2 *39283:X 0.000210003 +3 mprj_adr_o_user[16] mprj_dat_o_user[15] 0 +*RES +1 *39283:X mprj_adr_o_user[16] 18.5679 +*END + +*D_NET *942 0.000592924 +*CONN +*P mprj_adr_o_user[17] O +*I *39284:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_adr_o_user[17] 0.000296462 +2 *39284:X 0.000296462 +3 mprj_adr_o_user[17] mprj_dat_o_user[16] 0 +4 *309:17 mprj_adr_o_user[17] 0 +*RES +1 *39284:X mprj_adr_o_user[17] 20.5321 +*END + +*D_NET *943 0.00116596 +*CONN +*P mprj_adr_o_user[18] O +*I *39285:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_adr_o_user[18] 0.000582978 +2 *39285:X 0.000582978 +3 mprj_adr_o_user[18] mprj_dat_o_user[17] 0 +4 mprj_adr_o_user[18] *39285:A 0 +5 mprj_adr_o_user[18] *1009:16 0 +6 mprj_adr_o_user[18] *2642:63 0 +7 mprj_adr_o_user[18] *2646:76 0 +8 mprj_adr_o_user[18] *2668:17 0 +*RES +1 *39285:X mprj_adr_o_user[18] 26.8 +*END + +*D_NET *944 0.000838652 +*CONN +*P mprj_adr_o_user[19] O +*I *39286:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_adr_o_user[19] 0.000419326 +2 *39286:X 0.000419326 +3 mprj_adr_o_user[19] mprj_dat_o_user[18] 0 +4 mprj_adr_o_user[19] *39350:A 0 +5 mprj_adr_o_user[19] *1010:19 0 +6 mprj_adr_o_user[19] *2556:21 0 +7 mprj_adr_o_user[19] *2654:29 0 +8 mprj_adr_o_user[19] *2668:15 0 +*RES +1 *39286:X mprj_adr_o_user[19] 23.3357 +*END + +*D_NET *945 0.000660683 +*CONN +*P mprj_adr_o_user[1] O +*I *39287:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_adr_o_user[1] 0.000330342 +2 *39287:X 0.000330342 +3 mprj_adr_o_user[1] mprj_sel_o_user[0] 0 +4 mprj_adr_o_user[1] *1011:7 0 +*RES +1 *39287:X mprj_adr_o_user[1] 21.3 +*END + +*D_NET *946 0.000967233 +*CONN +*P mprj_adr_o_user[20] O +*I *39288:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_adr_o_user[20] 0.000483616 +2 *39288:X 0.000483616 +3 mprj_adr_o_user[20] *39351:A 0 +4 mprj_adr_o_user[20] *1012:9 0 +5 mprj_adr_o_user[20] *2599:27 0 +6 mprj_adr_o_user[20] *2645:42 0 +7 mprj_adr_o_user[20] *5050:26 0 +*RES +1 *39288:X mprj_adr_o_user[20] 24.7821 +*END + +*D_NET *947 0.000744056 +*CONN +*P mprj_adr_o_user[21] O +*I *39289:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_adr_o_user[21] 0.000372028 +2 *39289:X 0.000372028 +3 mprj_adr_o_user[21] mprj_dat_o_user[20] 0 +4 mprj_adr_o_user[21] *39353:A 0 +5 mprj_adr_o_user[21] *2646:76 0 +*RES +1 *39289:X mprj_adr_o_user[21] 22.2464 +*END + +*D_NET *948 0.000473451 +*CONN +*P mprj_adr_o_user[22] O +*I *39290:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_adr_o_user[22] 0.000236725 +2 *39290:X 0.000236725 +3 mprj_adr_o_user[22] mprj_dat_o_user[21] 0 +4 mprj_adr_o_user[22] *1014:9 0 +*RES +1 *39290:X mprj_adr_o_user[22] 19.175 +*END + +*D_NET *949 0.000446748 +*CONN +*P mprj_adr_o_user[23] O +*I *39291:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_adr_o_user[23] 0.000223374 +2 *39291:X 0.000223374 +3 mprj_adr_o_user[23] mprj_dat_o_user[22] 0 +4 mprj_adr_o_user[23] *1015:28 0 +5 *324:11 mprj_adr_o_user[23] 0 +*RES +1 *39291:X mprj_adr_o_user[23] 18.8714 +*END + +*D_NET *950 0.000576803 +*CONN +*P mprj_adr_o_user[24] O +*I *39292:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_adr_o_user[24] 0.000288402 +2 *39292:X 0.000288402 +3 mprj_adr_o_user[24] mprj_dat_o_user[23] 0 +4 mprj_adr_o_user[24] *1016:15 0 +5 mprj_adr_o_user[24] *2577:37 0 +6 mprj_adr_o_user[24] *2633:67 0 +*RES +1 *39292:X mprj_adr_o_user[24] 20.2286 +*END + +*D_NET *951 0.000812129 +*CONN +*P mprj_adr_o_user[25] O +*I *39293:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_adr_o_user[25] 0.000406065 +2 *39293:X 0.000406065 +3 mprj_adr_o_user[25] *39292:A 0 +4 mprj_adr_o_user[25] *1017:33 0 +5 mprj_adr_o_user[25] *5053:22 0 +*RES +1 *39293:X mprj_adr_o_user[25] 22.9607 +*END + +*D_NET *952 0.000780079 +*CONN +*P mprj_adr_o_user[26] O +*I *39294:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_adr_o_user[26] 0.000390039 +2 *39294:X 0.000390039 +3 mprj_adr_o_user[26] *39358:A 0 +4 mprj_adr_o_user[26] *1018:11 0 +5 *323:13 mprj_adr_o_user[26] 0 +*RES +1 *39294:X mprj_adr_o_user[26] 22.6571 +*END + +*D_NET *953 0.000967311 +*CONN +*P mprj_adr_o_user[27] O +*I *39295:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_adr_o_user[27] 0.000483656 +2 *39295:X 0.000483656 +3 mprj_adr_o_user[27] *1019:7 0 +4 mprj_adr_o_user[27] *2632:46 0 +5 mprj_adr_o_user[27] *2662:12 0 +*RES +1 *39295:X mprj_adr_o_user[27] 24.7821 +*END + +*D_NET *954 0.000660644 +*CONN +*P mprj_adr_o_user[28] O +*I *39296:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_adr_o_user[28] 0.000330322 +2 *39296:X 0.000330322 +3 mprj_adr_o_user[28] mprj_dat_o_user[27] 0 +4 mprj_adr_o_user[28] *1020:7 0 +5 *334:13 mprj_adr_o_user[28] 0 +*RES +1 *39296:X mprj_adr_o_user[28] 21.3 +*END + +*D_NET *955 0.000467198 +*CONN +*P mprj_adr_o_user[29] O +*I *39297:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_adr_o_user[29] 0.000233599 +2 *39297:X 0.000233599 +3 mprj_adr_o_user[29] mprj_dat_o_user[28] 0 +4 mprj_adr_o_user[29] *1021:15 0 +5 mprj_adr_o_user[29] *2526:70 0 +*RES +1 *39297:X mprj_adr_o_user[29] 19.1036 +*END + +*D_NET *956 0.000967429 +*CONN +*P mprj_adr_o_user[2] O +*I *39298:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_adr_o_user[2] 0.000483715 +2 *39298:X 0.000483715 +3 mprj_adr_o_user[2] *1022:9 0 +*RES +1 *39298:X mprj_adr_o_user[2] 24.7821 +*END + +*D_NET *957 0.000446748 +*CONN +*P mprj_adr_o_user[30] O +*I *39299:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_adr_o_user[30] 0.000223374 +2 *39299:X 0.000223374 +3 mprj_adr_o_user[30] mprj_dat_o_user[29] 0 +4 *329:15 mprj_adr_o_user[30] 0 +*RES +1 *39299:X mprj_adr_o_user[30] 18.8714 +*END + +*D_NET *958 0.0005663 +*CONN +*P mprj_adr_o_user[31] O +*I *39300:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_adr_o_user[31] 0.00028315 +2 *39300:X 0.00028315 +3 mprj_adr_o_user[31] mprj_dat_o_user[30] 0 +4 mprj_adr_o_user[31] *1024:18 0 +*RES +1 *39300:X mprj_adr_o_user[31] 20.2286 +*END + +*D_NET *959 0.000832933 +*CONN +*P mprj_adr_o_user[3] O +*I *39301:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_adr_o_user[3] 0.000416467 +2 *39301:X 0.000416467 +3 mprj_adr_o_user[3] *1025:7 0 +*RES +1 *39301:X mprj_adr_o_user[3] 23.3179 +*END + +*D_NET *960 0.000817416 +*CONN +*P mprj_adr_o_user[4] O +*I *39302:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_adr_o_user[4] 0.000408708 +2 *39302:X 0.000408708 +3 mprj_adr_o_user[4] mprj_sel_o_user[3] 0 +4 mprj_adr_o_user[4] *39366:A 0 +5 mprj_adr_o_user[4] *2611:24 0 +6 mprj_adr_o_user[4] *2708:30 0 +7 *305:19 mprj_adr_o_user[4] 0 +*RES +1 *39302:X mprj_adr_o_user[4] 23.0321 +*END + +*D_NET *961 0.000780236 +*CONN +*P mprj_adr_o_user[5] O +*I *39303:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_adr_o_user[5] 0.000390118 +2 *39303:X 0.000390118 +3 mprj_adr_o_user[5] *39367:A 0 +4 mprj_adr_o_user[5] *1027:9 0 +5 mprj_adr_o_user[5] *2608:12 0 +*RES +1 *39303:X mprj_adr_o_user[5] 22.6571 +*END + +*D_NET *962 0.000994171 +*CONN +*P mprj_adr_o_user[6] O +*I *39304:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_adr_o_user[6] 0.000497086 +2 *39304:X 0.000497086 +3 mprj_adr_o_user[6] *39368:A 0 +4 mprj_adr_o_user[6] *1028:9 0 +5 mprj_adr_o_user[6] *2603:28 0 +*RES +1 *39304:X mprj_adr_o_user[6] 25.0857 +*END + +*D_NET *963 0.000744055 +*CONN +*P mprj_adr_o_user[7] O +*I *39305:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_adr_o_user[7] 0.000372028 +2 *39305:X 0.000372028 +3 mprj_adr_o_user[7] mprj_dat_o_user[6] 0 +4 mprj_adr_o_user[7] *1029:7 0 +5 *306:11 mprj_adr_o_user[7] 0 +*RES +1 *39305:X mprj_adr_o_user[7] 22.2464 +*END + +*D_NET *964 0.000467198 +*CONN +*P mprj_adr_o_user[8] O +*I *39306:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_adr_o_user[8] 0.000233599 +2 *39306:X 0.000233599 +3 mprj_adr_o_user[8] mprj_dat_o_user[7] 0 +4 mprj_adr_o_user[8] *1030:7 0 +5 *308:11 mprj_adr_o_user[8] 0 +*RES +1 *39306:X mprj_adr_o_user[8] 19.1036 +*END + +*D_NET *965 0.000420006 +*CONN +*P mprj_adr_o_user[9] O +*I *39307:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_adr_o_user[9] 0.000210003 +2 *39307:X 0.000210003 +3 mprj_adr_o_user[9] mprj_dat_o_user[8] 0 +4 *303:13 mprj_adr_o_user[9] 0 +5 *313:33 mprj_adr_o_user[9] 0 +*RES +1 *39307:X mprj_adr_o_user[9] 18.5679 +*END + +*D_NET *966 0.00281189 +*CONN +*P mprj_cyc_o_core I +*I *6906:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38770:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mprj_cyc_o_core 0.000701957 +2 *6906:DIODE 0.000703988 +3 *38770:A 0 +4 *966:14 0.00140594 +5 *6906:DIODE *6948:DIODE 0 +6 *6906:DIODE *39534:B 0 +7 *6906:DIODE *40443:A 0 +8 *6906:DIODE *1253:17 0 +9 *6906:DIODE *2713:137 0 +10 *6906:DIODE *2713:169 0 +11 *6906:DIODE *4589:32 0 +12 *6906:DIODE *4784:45 0 +13 *966:14 *37449:A 0 +14 *966:14 *2713:169 0 +15 *966:14 *4562:26 0 +16 *966:14 *4784:45 0 +17 mprj_ack_i_core *966:14 0 +*RES +1 mprj_cyc_o_core *966:14 28.7679 +2 *966:14 *38770:A 9.3 +3 *966:14 *6906:DIODE 34.3536 +*END + +*D_NET *967 0.000660684 +*CONN +*P mprj_cyc_o_user O +*I *39308:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_cyc_o_user 0.000330342 +2 *39308:X 0.000330342 +3 mprj_cyc_o_user mprj_stb_o_user 0 +4 mprj_cyc_o_user *7345:DIODE 0 +5 *901:7 mprj_cyc_o_user 0 +*RES +1 *39308:X mprj_cyc_o_user 21.3 +*END + +*D_NET *968 0.000507686 +*CONN +*P mprj_dat_i_core[0] O +*I *39309:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_i_core[0] 0.000253843 +2 *39309:X 0.000253843 +3 mprj_dat_i_core[0] *39309:A 0 +4 mprj_dat_i_core[0] *4562:26 0 +5 mprj_dat_i_core[0] *5075:13 0 +*RES +1 *39309:X mprj_dat_i_core[0] 19.3357 +*END + +*D_NET *969 0.000336008 +*CONN +*P mprj_dat_i_core[10] O +*I *39310:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_i_core[10] 0.000168004 +2 *39310:X 0.000168004 +3 mprj_dat_i_core[10] *3030:23 0 +4 *6904:DIODE mprj_dat_i_core[10] 0 +*RES +1 *39310:X mprj_dat_i_core[10] 17.4964 +*END + +*D_NET *970 0.000729517 +*CONN +*P mprj_dat_i_core[11] O +*I *39311:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_i_core[11] 0.000364758 +2 *39311:X 0.000364758 +3 mprj_dat_i_core[11] *1034:12 0 +4 mprj_dat_i_core[11] *3030:23 0 +5 *6904:DIODE mprj_dat_i_core[11] 0 +*RES +1 *39311:X mprj_dat_i_core[11] 21.6036 +*END + +*D_NET *971 0.000745668 +*CONN +*P mprj_dat_i_core[12] O +*I *39312:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_i_core[12] 0.000372834 +2 *39312:X 0.000372834 +*RES +1 *39312:X mprj_dat_i_core[12] 23.3357 +*END + +*D_NET *972 0.000650917 +*CONN +*P mprj_dat_i_core[13] O +*I *39313:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_i_core[13] 0.000325459 +2 *39313:X 0.000325459 +3 mprj_dat_i_core[13] *39313:A 0 +4 mprj_dat_i_core[13] *2714:24 0 +5 mprj_dat_i_core[13] *3030:23 0 +6 mprj_dat_i_core[13] *4531:11 0 +7 *906:16 mprj_dat_i_core[13] 0 +*RES +1 *39313:X mprj_dat_i_core[13] 20.7821 +*END + +*D_NET *973 0.000493403 +*CONN +*P mprj_dat_i_core[14] O +*I *39314:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_i_core[14] 0.000246702 +2 *39314:X 0.000246702 +3 mprj_dat_i_core[14] *1037:12 0 +4 mprj_dat_i_core[14] *4531:11 0 +5 mprj_dat_i_core[14] *4784:11 0 +6 *907:19 mprj_dat_i_core[14] 0 +*RES +1 *39314:X mprj_dat_i_core[14] 19.1393 +*END + +*D_NET *974 0.000694643 +*CONN +*P mprj_dat_i_core[15] O +*I *39315:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_i_core[15] 0.000347322 +2 *39315:X 0.000347322 +3 mprj_dat_i_core[15] *7291:DIODE 0 +4 mprj_dat_i_core[15] *1038:13 0 +5 mprj_dat_i_core[15] *3030:36 0 +6 mprj_dat_i_core[15] *4535:15 0 +7 mprj_dat_i_core[15] *5078:28 0 +8 mprj_dat_i_core[15] *5078:29 0 +9 *908:13 mprj_dat_i_core[15] 0 +*RES +1 *39315:X mprj_dat_i_core[15] 21.4607 +*END + +*D_NET *975 0.000650917 +*CONN +*P mprj_dat_i_core[16] O +*I *39316:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_i_core[16] 0.000325459 +2 *39316:X 0.000325459 +3 mprj_dat_i_core[16] *1039:12 0 +4 mprj_dat_i_core[16] *4581:21 0 +5 mprj_dat_i_core[16] *4581:31 0 +6 mprj_dat_i_core[16] *5077:19 0 +7 *909:11 mprj_dat_i_core[16] 0 +*RES +1 *39316:X mprj_dat_i_core[16] 20.7821 +*END + +*D_NET *976 0.00088707 +*CONN +*P mprj_dat_i_core[17] O +*I *39317:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_i_core[17] 0.000443535 +2 *39317:X 0.000443535 +3 mprj_dat_i_core[17] *39317:A 0 +4 mprj_dat_i_core[17] *39319:A 0 +5 mprj_dat_i_core[17] *1039:12 0 +6 mprj_dat_i_core[17] *1040:12 0 +7 *910:10 mprj_dat_i_core[17] 0 +*RES +1 *39317:X mprj_dat_i_core[17] 23.2464 +*END + +*D_NET *977 0.000576528 +*CONN +*P mprj_dat_i_core[18] O +*I *39318:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_i_core[18] 0.000288264 +2 *39318:X 0.000288264 +3 mprj_dat_i_core[18] *40330:A 0 +4 mprj_dat_i_core[18] *1041:11 0 +5 mprj_dat_i_core[18] *3030:36 0 +6 mprj_dat_i_core[18] *4547:31 0 +7 mprj_dat_i_core[18] *4548:39 0 +8 *911:5 mprj_dat_i_core[18] 0 +*RES +1 *39318:X mprj_dat_i_core[18] 20.2286 +*END + +*D_NET *978 0.000650917 +*CONN +*P mprj_dat_i_core[19] O +*I *39319:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_i_core[19] 0.000325459 +2 *39319:X 0.000325459 +3 mprj_dat_i_core[19] *39319:A 0 +4 mprj_dat_i_core[19] *1042:8 0 +5 mprj_dat_i_core[19] *4541:9 0 +6 mprj_dat_i_core[19] *4543:32 0 +7 *912:10 mprj_dat_i_core[19] 0 +*RES +1 *39319:X mprj_dat_i_core[19] 20.7821 +*END + +*D_NET *979 0.000629591 +*CONN +*P mprj_dat_i_core[1] O +*I *39320:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_i_core[1] 0.000314795 +2 *39320:X 0.000314795 +3 mprj_dat_i_core[1] *6907:DIODE 0 +4 mprj_dat_i_core[1] *1866:22 0 +5 mprj_dat_i_core[1] *2971:45 0 +6 mprj_dat_i_core[1] *3030:23 0 +7 mprj_dat_i_core[1] *4784:45 0 +8 *913:12 mprj_dat_i_core[1] 0 +*RES +1 *39320:X mprj_dat_i_core[1] 21.2821 +*END + +*D_NET *980 0.000650917 +*CONN +*P mprj_dat_i_core[20] O +*I *39321:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_i_core[20] 0.000325459 +2 *39321:X 0.000325459 +3 mprj_dat_i_core[20] *1044:8 0 +4 mprj_dat_i_core[20] *1834:10 0 +5 mprj_dat_i_core[20] *4541:9 0 +6 mprj_dat_i_core[20] *4543:32 0 +7 mprj_dat_i_core[20] *4778:32 0 +*RES +1 *39321:X mprj_dat_i_core[20] 20.7821 +*END + +*D_NET *981 0.00077124 +*CONN +*P mprj_dat_i_core[21] O +*I *39322:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_i_core[21] 0.00038562 +2 *39322:X 0.00038562 +3 mprj_dat_i_core[21] *1045:10 0 +4 mprj_dat_i_core[21] *1866:26 0 +5 mprj_dat_i_core[21] *2983:26 0 +6 mprj_dat_i_core[21] *3537:5 0 +7 mprj_dat_i_core[21] *4547:9 0 +8 *915:8 mprj_dat_i_core[21] 0 +*RES +1 *39322:X mprj_dat_i_core[21] 23.175 +*END + +*D_NET *982 0.000507607 +*CONN +*P mprj_dat_i_core[22] O +*I *39323:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_i_core[22] 0.000253804 +2 *39323:X 0.000253804 +3 mprj_dat_i_core[22] *1046:8 0 +4 mprj_dat_i_core[22] *1728:17 0 +5 mprj_dat_i_core[22] *1866:26 0 +6 mprj_dat_i_core[22] *4541:9 0 +7 mprj_dat_i_core[22] *4581:11 0 +8 mprj_dat_i_core[22] *5087:15 0 +9 *916:13 mprj_dat_i_core[22] 0 +*RES +1 *39323:X mprj_dat_i_core[22] 19.3357 +*END + +*D_NET *983 0.00104458 +*CONN +*P mprj_dat_i_core[23] O +*I *39324:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_i_core[23] 0.000522292 +2 *39324:X 0.000522292 +3 mprj_dat_i_core[23] *37465:A 0 +4 mprj_dat_i_core[23] *39324:A 0 +5 mprj_dat_i_core[23] *1047:10 0 +6 mprj_dat_i_core[23] *4541:9 0 +7 *6886:DIODE mprj_dat_i_core[23] 0 +8 *916:13 mprj_dat_i_core[23] 0 +9 *917:5 mprj_dat_i_core[23] 0 +*RES +1 *39324:X mprj_dat_i_core[23] 24.8893 +*END + +*D_NET *984 0.000694879 +*CONN +*P mprj_dat_i_core[24] O +*I *39325:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_i_core[24] 0.00034744 +2 *39325:X 0.00034744 +3 mprj_dat_i_core[24] *1048:10 0 +4 mprj_dat_i_core[24] *3537:5 0 +5 mprj_dat_i_core[24] *4543:20 0 +6 mprj_dat_i_core[24] *4547:9 0 +7 mprj_dat_i_core[24] *4551:20 0 +8 mprj_dat_i_core[24] *4579:17 0 +9 *918:5 mprj_dat_i_core[24] 0 +*RES +1 *39325:X mprj_dat_i_core[24] 21.4607 +*END + +*D_NET *985 0.000414843 +*CONN +*P mprj_dat_i_core[25] O +*I *39326:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_i_core[25] 0.000207422 +2 *39326:X 0.000207422 +3 mprj_dat_i_core[25] *39327:A 0 +4 mprj_dat_i_core[25] *1049:5 0 +5 mprj_dat_i_core[25] *4551:20 0 +6 *919:7 mprj_dat_i_core[25] 0 +*RES +1 *39326:X mprj_dat_i_core[25] 18.3179 +*END + +*D_NET *986 0.00114629 +*CONN +*P mprj_dat_i_core[26] O +*I *39327:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_i_core[26] 0.000573146 +2 *39327:X 0.000573146 +3 mprj_dat_i_core[26] mprj_dat_i_core[27] 0 +4 mprj_dat_i_core[26] *1050:5 0 +5 mprj_dat_i_core[26] *5180:11 0 +6 *920:5 mprj_dat_i_core[26] 0 +7 *921:12 mprj_dat_i_core[26] 0 +*RES +1 *39327:X mprj_dat_i_core[26] 34.9607 +*END + +*D_NET *987 0.000576764 +*CONN +*P mprj_dat_i_core[27] O +*I *39328:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_i_core[27] 0.000288382 +2 *39328:X 0.000288382 +3 mprj_dat_i_core[27] *1051:5 0 +4 mprj_dat_i_core[27] *3537:5 0 +5 mprj_dat_i_core[27] *4546:16 0 +6 mprj_dat_i_core[27] *4581:8 0 +7 mprj_dat_i_core[26] mprj_dat_i_core[27] 0 +8 *921:12 mprj_dat_i_core[27] 0 +*RES +1 *39328:X mprj_dat_i_core[27] 20.2286 +*END + +*D_NET *988 0.000808431 +*CONN +*P mprj_dat_i_core[28] O +*I *39329:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_i_core[28] 0.000404216 +2 *39329:X 0.000404216 +3 mprj_dat_i_core[28] *1052:8 0 +4 mprj_dat_i_core[28] *1873:15 0 +5 mprj_dat_i_core[28] *4551:13 0 +6 mprj_dat_i_core[28] *4584:17 0 +*RES +1 *39329:X mprj_dat_i_core[28] 22.425 +*END + +*D_NET *989 0.000940633 +*CONN +*P mprj_dat_i_core[29] O +*I *39330:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_i_core[29] 0.000470316 +2 *39330:X 0.000470316 +3 mprj_dat_i_core[29] *1052:8 0 +4 mprj_dat_i_core[29] *1053:9 0 +5 mprj_dat_i_core[29] *4551:13 0 +6 *923:8 mprj_dat_i_core[29] 0 +*RES +1 *39330:X mprj_dat_i_core[29] 23.8536 +*END + +*D_NET *990 0.00117001 +*CONN +*P mprj_dat_i_core[2] O +*I *39331:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_i_core[2] 0.000585007 +2 *39331:X 0.000585007 +3 mprj_dat_i_core[2] *39331:A 0 +4 mprj_dat_i_core[2] *1043:26 0 +5 mprj_dat_i_core[2] *1726:25 0 +6 mprj_dat_i_core[2] *1858:17 0 +7 mprj_dat_i_core[2] *3016:30 0 +8 mprj_dat_i_core[2] *3030:23 0 +9 mprj_dat_i_core[2] *4574:14 0 +10 mprj_dat_i_core[2] *4592:28 0 +11 mprj_dat_i_core[2] *5165:75 0 +*RES +1 *39331:X mprj_dat_i_core[2] 35.9429 +*END + +*D_NET *991 0.000576803 +*CONN +*P mprj_dat_i_core[30] O +*I *39332:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_i_core[30] 0.000288402 +2 *39332:X 0.000288402 +3 mprj_dat_i_core[30] *1055:12 0 +4 mprj_dat_i_core[30] *3537:5 0 +5 mprj_dat_i_core[30] *4552:22 0 +6 *925:5 mprj_dat_i_core[30] 0 +*RES +1 *39332:X mprj_dat_i_core[30] 20.2286 +*END + +*D_NET *992 0.000914815 +*CONN +*P mprj_dat_i_core[31] O +*I *39333:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_i_core[31] 0.000457408 +2 *39333:X 0.000457408 +3 mprj_dat_i_core[31] *39333:A 0 +4 mprj_dat_i_core[31] *1056:12 0 +5 mprj_dat_i_core[31] *5180:10 0 +6 *926:12 mprj_dat_i_core[31] 0 +*RES +1 *39333:X mprj_dat_i_core[31] 23.6214 +*END + +*D_NET *993 0.000586688 +*CONN +*P mprj_dat_i_core[3] O +*I *39334:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_i_core[3] 0.000293344 +2 *39334:X 0.000293344 +3 mprj_dat_i_core[3] *1829:30 0 +4 mprj_dat_i_core[3] *4592:28 0 +5 mprj_dat_i_core[3] *5100:49 0 +*RES +1 *39334:X mprj_dat_i_core[3] 20.4786 +*END + +*D_NET *994 0.000454123 +*CONN +*P mprj_dat_i_core[4] O +*I *39335:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_i_core[4] 0.000227062 +2 *39335:X 0.000227062 +3 mprj_dat_i_core[4] *3392:50 0 +4 mprj_dat_i_core[4] *4557:10 0 +5 mprj_dat_i_core[4] *5164:24 0 +*RES +1 *39335:X mprj_dat_i_core[4] 18.7286 +*END + +*D_NET *995 0.000336008 +*CONN +*P mprj_dat_i_core[5] O +*I *39336:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_i_core[5] 0.000168004 +2 *39336:X 0.000168004 +3 mprj_dat_i_core[5] *3392:50 0 +4 mprj_dat_i_core[5] *4557:10 0 +5 mprj_dat_i_core[5] *5180:26 0 +6 *929:8 mprj_dat_i_core[5] 0 +*RES +1 *39336:X mprj_dat_i_core[5] 17.4964 +*END + +*D_NET *996 0.000965866 +*CONN +*P mprj_dat_i_core[6] O +*I *39337:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_i_core[6] 0.000482933 +2 *39337:X 0.000482933 +3 mprj_dat_i_core[6] *7298:DIODE 0 +4 mprj_dat_i_core[6] *7299:DIODE 0 +5 mprj_dat_i_core[6] *3030:23 0 +6 mprj_dat_i_core[6] *4557:10 0 +7 mprj_dat_i_core[6] *4592:16 0 +8 mprj_dat_i_core[6] *5103:35 0 +9 *930:20 mprj_dat_i_core[6] 0 +10 *931:8 mprj_dat_i_core[6] 0 +*RES +1 *39337:X mprj_dat_i_core[6] 24.0679 +*END + +*D_NET *997 0.00134486 +*CONN +*P mprj_dat_i_core[7] O +*I *39338:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_i_core[7] 0.000672431 +2 *39338:X 0.000672431 +3 mprj_dat_i_core[7] *1833:17 0 +4 mprj_dat_i_core[7] *3030:23 0 +5 mprj_dat_i_core[7] *3254:16 0 +6 mprj_dat_i_core[7] *4557:10 0 +7 *368:106 mprj_dat_i_core[7] 0 +8 *931:8 mprj_dat_i_core[7] 0 +*RES +1 *39338:X mprj_dat_i_core[7] 29.0321 +*END + +*D_NET *998 0.000576607 +*CONN +*P mprj_dat_i_core[8] O +*I *39339:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_i_core[8] 0.000288303 +2 *39339:X 0.000288303 +3 mprj_dat_i_core[8] *1062:11 0 +4 mprj_dat_i_core[8] *3016:52 0 +5 mprj_dat_i_core[8] *4596:28 0 +6 mprj_dat_i_core[8] *5075:51 0 +7 *368:106 mprj_dat_i_core[8] 0 +*RES +1 *39339:X mprj_dat_i_core[8] 20.2286 +*END + +*D_NET *999 0.000778827 +*CONN +*P mprj_dat_i_core[9] O +*I *39340:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_i_core[9] 0.000389414 +2 *39340:X 0.000389414 +3 mprj_dat_i_core[9] *1828:18 0 +4 mprj_dat_i_core[9] *4527:13 0 +5 mprj_dat_i_core[9] *4535:15 0 +6 mprj_dat_i_core[9] *5107:43 0 +*RES +1 *39340:X mprj_dat_i_core[9] 22.2821 +*END + +*D_NET *1000 0.133062 +*CONN +*P mprj_dat_i_user[0] I +*I *39522:A I *D sky130_fd_sc_hd__nand2_1 +*I *7496:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_dat_i_user[0] 0.00190882 +2 *39522:A 0 +3 *7496:DIODE 1.21519e-05 +4 *1000:78 0.00207628 +5 *1000:50 0.00318759 +6 *1000:33 0.00720783 +7 *1000:14 0.0614224 +8 *1000:13 0.0572469 +9 *1000:13 *2700:10 0 +10 *1000:14 *1006:10 0 +11 *1000:14 *1012:10 0 +12 *1000:14 *1019:8 0 +13 *1000:14 *1019:20 0 +14 *1000:14 *1022:10 0 +15 *1000:14 *1517:22 0 +16 *1000:14 *1950:25 0 +17 *1000:14 *1953:11 0 +18 *1000:14 *1953:51 0 +19 *1000:14 *2158:53 0 +20 *1000:14 *2182:47 0 +21 *1000:14 *2220:40 0 +22 *1000:14 *2420:76 0 +23 *1000:14 *2598:22 0 +24 *1000:14 *2693:78 0 +25 *1000:14 *2702:27 0 +26 *1000:14 *2748:37 0 +27 *1000:14 *3445:44 0 +28 *1000:14 *3701:17 0 +29 *1000:14 *4089:17 0 +30 *1000:14 *4089:37 0 +31 *1000:14 *4658:17 0 +32 *1000:14 *4685:13 0 +33 *1000:14 *5135:61 0 +34 *1000:33 *1012:10 0 +35 *1000:33 *2402:55 0 +36 *1000:33 *3081:38 0 +37 *1000:33 *3081:63 0 +38 *1000:33 *3295:33 0 +39 *1000:33 *3490:17 0 +40 *1000:33 *3499:67 0 +41 *1000:33 *3521:26 0 +42 *1000:50 *1854:20 0 +43 *1000:50 *2382:110 0 +44 *1000:50 *3145:46 0 +45 *1000:50 *3412:30 0 +46 *1000:50 *3417:32 0 +47 *1000:78 *7518:DIODE 0 +48 *1000:78 *39640:A 0 +49 *1000:78 *40326:A 0 +50 *1000:78 *40342:A 0 +51 *1000:78 *1010:169 0 +52 *1000:78 *1027:120 0 +53 *1000:78 *2373:145 0 +54 *1000:78 *3018:95 0 +55 *1000:78 *3077:108 0 +56 *1000:78 *3266:92 0 +57 *1000:78 *3266:113 0 +58 *1000:78 *3571:11 0 +59 *1000:78 *4524:73 0 +60 *1000:78 *5105:66 0 +61 *1000:78 *5186:65 0 +62 mprj_adr_o_user[0] *1000:13 0 +63 *338:76 *1000:14 0 +64 *411:36 *1000:78 0 +*RES +1 mprj_dat_i_user[0] *1000:13 46.8118 +2 *1000:13 *1000:14 200.428 +3 *1000:14 *1000:33 44.2077 +4 *1000:33 *1000:50 46.6429 +5 *1000:50 *1000:78 46.6365 +6 *1000:78 *7496:DIODE 17.4868 +7 *1000:33 *39522:A 13.8 +*END + +*D_NET *1001 0.126282 +*CONN +*P mprj_dat_i_user[10] I +*I *39523:A I *D sky130_fd_sc_hd__nand2_1 +*I *7498:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_dat_i_user[10] 0.00197648 +2 *39523:A 3.80647e-05 +3 *7498:DIODE 0.000457332 +4 *1001:100 0.0020536 +5 *1001:72 0.00199328 +6 *1001:65 0.00204638 +7 *1001:43 0.00349681 +8 *1001:37 0.00384017 +9 *1001:30 0.0277828 +10 *1001:28 0.0300155 +11 *1001:22 0.0274088 +12 *1001:21 0.0231708 +13 *1001:16 0.00200204 +14 *7498:DIODE *40234:A 0 +15 *7498:DIODE *40278:A 0 +16 *7498:DIODE *3087:5 0 +17 *7498:DIODE *3106:23 0 +18 *7498:DIODE *3155:15 0 +19 *1001:16 *39372:A 0 +20 *1001:16 *2591:53 0 +21 *1001:16 *2708:20 0 +22 *1001:16 *5064:29 0 +23 *1001:22 *1007:15 0 +24 *1001:22 *1007:109 0 +25 *1001:22 *1015:28 0 +26 *1001:22 *1015:48 0 +27 *1001:22 *1015:74 0 +28 *1001:22 *1017:33 0 +29 *1001:22 *1017:64 0 +30 *1001:22 *1025:13 0 +31 *1001:22 *1025:40 0 +32 *1001:22 *1025:62 0 +33 *1001:22 *1025:72 0 +34 *1001:22 *2484:17 0 +35 *1001:22 *2488:29 0 +36 *1001:22 *2490:36 0 +37 *1001:22 *2492:47 0 +38 *1001:22 *2493:32 0 +39 *1001:22 *2496:54 0 +40 *1001:22 *2573:14 0 +41 *1001:22 *2618:16 0 +42 *1001:22 *2639:13 0 +43 *1001:22 *2645:42 0 +44 *1001:22 *2645:55 0 +45 *1001:22 *2650:42 0 +46 *1001:22 *2650:49 0 +47 *1001:22 *2657:62 0 +48 *1001:22 *2665:28 0 +49 *1001:22 *2673:31 0 +50 *1001:22 *2678:16 0 +51 *1001:22 *2679:14 0 +52 *1001:22 *2775:30 0 +53 *1001:22 *2780:27 0 +54 *1001:22 *2786:30 0 +55 *1001:22 *2894:50 0 +56 *1001:22 *4632:20 0 +57 *1001:22 *4933:20 0 +58 *1001:28 *39202:A 0 +59 *1001:28 *1015:74 0 +60 *1001:28 *2488:29 0 +61 *1001:28 *2664:11 0 +62 *1001:28 *2711:24 0 +63 *1001:28 *4675:26 0 +64 *1001:28 *4963:42 0 +65 *1001:28 *4963:57 0 +66 *1001:28 *4965:24 0 +67 *1001:28 *5049:32 0 +68 *1001:30 *1013:74 0 +69 *1001:30 *1015:74 0 +70 *1001:30 *1015:98 0 +71 *1001:30 *1015:109 0 +72 *1001:30 *1016:166 0 +73 *1001:30 *1016:201 0 +74 *1001:30 *1025:126 0 +75 *1001:30 *1267:42 0 +76 *1001:30 *1296:15 0 +77 *1001:30 *2450:14 0 +78 *1001:30 *2465:23 0 +79 *1001:30 *2475:61 0 +80 *1001:30 *4347:19 0 +81 *1001:30 *4720:36 0 +82 *1001:30 *4963:57 0 +83 *1001:30 *4971:35 0 +84 *1001:30 *5002:13 0 +85 *1001:30 *5016:25 0 +86 *1001:37 *1007:181 0 +87 *1001:37 *1547:64 0 +88 *1001:37 *2824:63 0 +89 *1001:37 *3072:26 0 +90 *1001:37 *3420:40 0 +91 *1001:43 *39584:A 0 +92 *1001:43 *40821:A 0 +93 *1001:43 *2417:43 0 +94 *1001:43 *3000:37 0 +95 *1001:43 *3409:66 0 +96 *1001:43 *3978:20 0 +97 *1001:65 *8700:DIODE 0 +98 *1001:65 *40238:A 0 +99 *1001:65 *1840:93 0 +100 *1001:65 *3211:42 0 +101 *1001:65 *3432:49 0 +102 *1001:65 *3535:14 0 +103 *1001:65 *4586:92 0 +104 *1001:72 *3266:92 0 +105 *1001:100 *39547:A 0 +106 *1001:100 *39547:B 0 +107 *1001:100 *40282:A 0 +108 *1001:100 *1008:129 0 +109 *1001:100 *1879:21 0 +110 *1001:100 *2712:328 0 +111 *1001:100 *2713:209 0 +112 *1001:100 *3077:91 0 +113 *1001:100 *3087:5 0 +114 *1001:100 *3106:23 0 +115 *1001:100 *3130:20 0 +116 *1001:100 *3159:21 0 +117 *1001:100 *3209:17 0 +118 *1001:100 *3257:23 0 +119 mprj_adr_o_user[10] *1001:16 0 +120 *6747:DIODE *1001:72 0 +121 *293:18 *1001:22 0 +122 *297:13 *1001:16 0 +123 *304:23 *1001:21 0 +124 *358:31 *1001:37 0 +125 *369:19 *1001:30 0 +126 *370:24 *1001:43 0 +127 *379:7 *1001:37 0 +128 *412:43 *1001:65 0 +129 *416:21 *7498:DIODE 0 +130 *540:55 *1001:100 0 +131 *792:83 *39523:A 0 +132 *792:83 *1001:72 0 +133 *795:41 *1001:100 0 +*RES +1 mprj_dat_i_user[10] *1001:16 46.9643 +2 *1001:16 *1001:21 8.49036 +3 *1001:21 *1001:22 83.8245 +4 *1001:22 *1001:28 15.4881 +5 *1001:28 *1001:30 93.2652 +6 *1001:30 *1001:37 49.5796 +7 *1001:37 *1001:43 49.7679 +8 *1001:43 *1001:65 47.4464 +9 *1001:65 *1001:72 12.1607 +10 *1001:72 *1001:100 45.3794 +11 *1001:100 *7498:DIODE 18.9071 +12 *1001:72 *39523:A 10.2643 +*END + +*D_NET *1002 0.124157 +*CONN +*P mprj_dat_i_user[11] I +*I *7500:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39524:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 mprj_dat_i_user[11] 0.00107235 +2 *7500:DIODE 0 +3 *39524:A 0.000600033 +4 *1002:55 0.000600033 +5 *1002:53 0.00331295 +6 *1002:26 0.00701537 +7 *1002:8 0.0570929 +8 *1002:7 0.0544629 +9 *39524:A *8083:DIODE 0 +10 *39524:A *40269:A 0 +11 *39524:A *2713:191 0 +12 *39524:A *4591:11 0 +13 *1002:7 mprj_dat_o_user[11] 0 +14 *1002:7 *39342:A 0 +15 *1002:7 *2585:34 0 +16 *1002:7 *2591:53 0 +17 *1002:8 *39796:A 0 +18 *1002:8 *1007:136 0 +19 *1002:8 *1007:160 0 +20 *1002:8 *1007:181 0 +21 *1002:8 *1010:96 0 +22 *1002:8 *1010:119 0 +23 *1002:8 *1014:10 0 +24 *1002:8 *1014:30 0 +25 *1002:8 *1014:49 0 +26 *1002:8 *1014:74 0 +27 *1002:8 *1014:94 0 +28 *1002:8 *1026:75 0 +29 *1002:8 *1026:88 0 +30 *1002:8 *2474:31 0 +31 *1002:8 *2496:16 0 +32 *1002:8 *2515:50 0 +33 *1002:8 *2524:25 0 +34 *1002:8 *2533:34 0 +35 *1002:8 *2539:46 0 +36 *1002:8 *2547:47 0 +37 *1002:8 *2554:32 0 +38 *1002:8 *2600:20 0 +39 *1002:8 *2607:32 0 +40 *1002:8 *2613:31 0 +41 *1002:8 *2619:9 0 +42 *1002:8 *2620:15 0 +43 *1002:8 *2622:14 0 +44 *1002:8 *2626:48 0 +45 *1002:8 *2659:37 0 +46 *1002:8 *2687:24 0 +47 *1002:8 *2751:36 0 +48 *1002:8 *2755:46 0 +49 *1002:8 *2756:20 0 +50 *1002:8 *2878:34 0 +51 *1002:8 *2897:20 0 +52 *1002:8 *3427:78 0 +53 *1002:8 *3664:17 0 +54 *1002:8 *4023:41 0 +55 *1002:8 *4666:31 0 +56 *1002:8 *4958:23 0 +57 *1002:26 *1007:181 0 +58 *1002:26 *1014:106 0 +59 *1002:26 *1023:98 0 +60 *1002:26 *1572:21 0 +61 *1002:26 *2481:8 0 +62 *1002:26 *3290:30 0 +63 *1002:26 *3303:39 0 +64 *1002:26 *3424:36 0 +65 *1002:26 *3506:37 0 +66 *1002:26 *3625:45 0 +67 *1002:26 *3664:17 0 +68 *1002:26 *3752:33 0 +69 *1002:26 *4021:22 0 +70 *1002:26 *4023:41 0 +71 *1002:26 *4057:24 0 +72 *1002:53 *2373:86 0 +73 *1002:53 *2993:44 0 +74 *1002:53 *3031:66 0 +75 *1002:53 *3101:55 0 +76 *1002:53 *3218:24 0 +77 *1002:53 *3297:35 0 +78 *1002:53 *3419:23 0 +79 *1002:53 *3421:23 0 +80 *1002:53 *3958:25 0 +81 *1002:53 *4003:26 0 +82 mprj_adr_o_user[11] *1002:7 0 +83 *292:14 *1002:8 0 +84 *339:8 *1002:8 0 +85 *348:25 *1002:8 0 +86 *348:32 *1002:8 0 +87 *358:24 *1002:26 0 +88 *361:37 *1002:26 0 +*RES +1 mprj_dat_i_user[11] *1002:7 27.7582 +2 *1002:7 *1002:8 193.366 +3 *1002:8 *1002:26 49.5716 +4 *1002:26 *1002:53 47.8351 +5 *1002:53 *1002:55 4.5 +6 *1002:55 *39524:A 31.6393 +7 *1002:55 *7500:DIODE 9.3 +*END + +*D_NET *1003 0.120345 +*CONN +*P mprj_dat_i_user[12] I +*I *7502:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39525:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 mprj_dat_i_user[12] 0.000156519 +2 *7502:DIODE 6.28633e-05 +3 *39525:A 0.00133501 +4 *1003:36 0.00279594 +5 *1003:22 0.00325058 +6 *1003:13 0.00354825 +7 *1003:8 0.0553674 +8 *1003:7 0.0538282 +9 *7502:DIODE *7140:DIODE 0 +10 *39525:A *7140:DIODE 0 +11 *39525:A *8166:DIODE 0 +12 *39525:A *1857:28 0 +13 *39525:A *2893:39 0 +14 *39525:A *2893:43 0 +15 *39525:A *3571:24 0 +16 *39525:A *4532:38 0 +17 *39525:A *4774:57 0 +18 *39525:A *5167:85 0 +19 *39525:A *5169:57 0 +20 *1003:8 *1020:8 0 +21 *1003:8 *2662:20 0 +22 *1003:13 *39011:A 0 +23 *1003:13 *1015:121 0 +24 *1003:13 *1025:127 0 +25 *1003:13 *1547:64 0 +26 *1003:13 *3673:22 0 +27 *1003:13 *3686:36 0 +28 *1003:13 *5010:28 0 +29 *1003:22 *5534:DIODE 0 +30 *1003:22 *1025:127 0 +31 *1003:22 *1328:28 0 +32 *1003:22 *2905:66 0 +33 *1003:22 *3686:36 0 +34 *1003:22 *3752:50 0 +35 *1003:36 *1006:38 0 +36 *1003:36 *3487:59 0 +37 *1003:36 *3701:50 0 +38 *1003:36 *3715:54 0 +39 *1003:36 *4015:55 0 +40 la_data_in_core[94] *1003:13 0 +41 mprj_adr_o_user[12] *1003:7 0 +42 *302:13 *1003:7 0 +43 *308:8 *1003:8 0 +44 *316:8 *1003:8 0 +45 *334:8 *1003:8 0 +46 *381:10 *1003:13 0 +*RES +1 mprj_dat_i_user[12] *1003:7 8.05357 +2 *1003:7 *1003:8 1120.14 +3 *1003:8 *1003:13 43.0446 +4 *1003:13 *1003:22 46.5804 +5 *1003:22 *1003:36 24.0631 +6 *1003:36 *39525:A 43.5946 +7 *1003:36 *7502:DIODE 15.2911 +*END + +*D_NET *1004 0.124584 +*CONN +*P mprj_dat_i_user[13] I +*I *39526:A I *D sky130_fd_sc_hd__nand2_1 +*I *7504:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_dat_i_user[13] 0.000196632 +2 *39526:A 0.000461061 +3 *7504:DIODE 0 +4 *1004:31 0.0018666 +5 *1004:23 0.0050395 +6 *1004:22 0.00363397 +7 *1004:20 0.0328689 +8 *1004:19 0.0329678 +9 *1004:8 0.0237262 +10 *1004:7 0.0238239 +11 *39526:A *40338:A 0 +12 *39526:A *1858:17 0 +13 *1004:8 *38931:A 0 +14 *1004:8 *39190:A 0 +15 *1004:8 *39252:A 0 +16 *1004:8 *39283:A 0 +17 *1004:8 *39350:A 0 +18 *1004:8 *2497:23 0 +19 *1004:8 *2497:32 0 +20 *1004:8 *2497:41 0 +21 *1004:8 *2523:46 0 +22 *1004:8 *2523:47 0 +23 *1004:8 *2526:13 0 +24 *1004:8 *2526:70 0 +25 *1004:8 *2526:73 0 +26 *1004:8 *2530:73 0 +27 *1004:8 *2553:45 0 +28 *1004:8 *2556:21 0 +29 *1004:8 *2577:35 0 +30 *1004:8 *2577:37 0 +31 *1004:8 *2577:61 0 +32 *1004:8 *2599:47 0 +33 *1004:8 *2599:67 0 +34 *1004:8 *2633:34 0 +35 *1004:8 *2657:87 0 +36 *1004:8 *2662:21 0 +37 *1004:8 *2710:70 0 +38 *1004:8 *4670:32 0 +39 *1004:8 *4670:37 0 +40 *1004:8 *4670:51 0 +41 *1004:8 *4933:35 0 +42 *1004:8 *4933:61 0 +43 *1004:20 *7116:DIODE 0 +44 *1004:20 *38944:A 0 +45 *1004:20 *38945:A 0 +46 *1004:20 *38946:A 0 +47 *1004:20 *38975:A 0 +48 *1004:20 *38982:A 0 +49 *1004:20 *38987:A 0 +50 *1004:20 *39014:A 0 +51 *1004:20 *39017:A 0 +52 *1004:20 *39203:A 0 +53 *1004:20 *39211:A 0 +54 *1004:20 *39227:A 0 +55 *1004:20 *39228:A 0 +56 *1004:20 *39244:A 0 +57 *1004:20 *39248:A 0 +58 *1004:20 *39250:A 0 +59 *1004:20 *39256:A 0 +60 *1004:20 *39259:A 0 +61 *1004:20 *39266:A 0 +62 *1004:20 *2464:13 0 +63 *1004:20 *2464:27 0 +64 *1004:20 *2464:40 0 +65 *1004:20 *2470:47 0 +66 *1004:20 *2475:40 0 +67 *1004:20 *2486:49 0 +68 *1004:20 *2576:9 0 +69 *1004:20 *3798:27 0 +70 *1004:20 *3798:29 0 +71 *1004:20 *3798:42 0 +72 *1004:20 *4673:37 0 +73 *1004:20 *4673:44 0 +74 *1004:20 *4675:39 0 +75 *1004:20 *4702:21 0 +76 *1004:20 *4707:25 0 +77 *1004:20 *4709:39 0 +78 *1004:20 *4709:41 0 +79 *1004:20 *4710:13 0 +80 *1004:20 *4710:15 0 +81 *1004:20 *4714:17 0 +82 *1004:20 *4718:21 0 +83 *1004:20 *4718:23 0 +84 *1004:20 *4721:9 0 +85 *1004:20 *4722:27 0 +86 *1004:20 *4734:26 0 +87 *1004:20 *4734:45 0 +88 *1004:20 *4955:17 0 +89 *1004:20 *4956:15 0 +90 *1004:20 *4963:57 0 +91 *1004:20 *4963:59 0 +92 *1004:20 *4989:20 0 +93 *1004:20 *5007:23 0 +94 *1004:20 *5013:29 0 +95 *1004:20 *5017:17 0 +96 *1004:20 *5017:30 0 +97 *1004:20 *5017:36 0 +98 *1004:23 *7022:DIODE 0 +99 *1004:23 *8452:DIODE 0 +100 *1004:23 *37690:B 0 +101 *1004:23 *1031:93 0 +102 *1004:23 *2813:16 0 +103 *1004:23 *2818:73 0 +104 *1004:23 *2834:41 0 +105 *1004:23 *3275:86 0 +106 *1004:23 *3704:36 0 +107 *1004:23 *3770:34 0 +108 *1004:23 *3810:35 0 +109 *1004:23 *4040:38 0 +110 *1004:23 *4898:21 0 +111 *1004:31 *3470:40 0 +112 la_data_in_core[20] *1004:8 0 +113 la_data_in_core[33] *1004:20 0 +114 la_data_in_core[34] *1004:20 0 +115 la_data_in_core[57] *1004:20 0 +116 la_data_in_core[60] *1004:20 0 +117 la_data_in_core[61] *1004:20 0 +118 la_data_in_core[67] *1004:20 0 +119 la_data_in_core[68] *1004:20 0 +120 la_data_in_core[71] *1004:20 0 +121 la_data_in_core[74] *1004:20 0 +122 la_data_in_core[75] *1004:20 0 +123 la_data_in_core[78] *1004:20 0 +124 la_data_in_core[81] *1004:20 0 +125 la_data_in_core[82] *1004:20 0 +126 la_data_in_core[85] *1004:20 0 +127 la_data_in_core[88] *1004:20 0 +128 la_data_in_core[92] *1004:20 0 +129 la_data_in_core[99] *1004:20 0 +130 la_oenb_core[100] *1004:20 0 +131 la_oenb_core[37] *1004:20 0 +132 la_oenb_core[55] *1004:20 0 +133 la_oenb_core[57] *1004:20 0 +134 la_oenb_core[58] *1004:20 0 +135 la_oenb_core[62] *1004:20 0 +136 la_oenb_core[69] *1004:20 0 +137 la_oenb_core[71] *1004:20 0 +138 la_oenb_core[72] *1004:20 0 +139 la_oenb_core[76] *1004:20 0 +140 la_oenb_core[78] *1004:20 0 +141 la_oenb_core[79] *1004:20 0 +142 la_oenb_core[83] *1004:20 0 +143 la_oenb_core[85] *1004:20 0 +144 la_oenb_core[86] *1004:20 0 +145 la_oenb_core[90] *1004:20 0 +146 la_oenb_core[92] *1004:20 0 +147 la_oenb_core[93] *1004:20 0 +148 la_oenb_core[97] *1004:20 0 +149 la_oenb_core[99] *1004:20 0 +150 mprj_adr_o_user[13] *1004:7 0 +151 *262:7 *1004:23 0 +152 *304:23 *1004:7 0 +153 *359:29 *1004:23 0 +*RES +1 mprj_dat_i_user[13] *1004:7 8.96429 +2 *1004:7 *1004:8 492.982 +3 *1004:8 *1004:19 11.4464 +4 *1004:19 *1004:20 685.607 +5 *1004:20 *1004:22 4.5 +6 *1004:22 *1004:23 82.5446 +7 *1004:23 *1004:31 32.0446 +8 *1004:31 *7504:DIODE 13.8 +9 *1004:31 *39526:A 24.4786 +*END + +*D_NET *1005 0.1372 +*CONN +*P mprj_dat_i_user[14] I +*I *7506:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39527:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 mprj_dat_i_user[14] 0.00947946 +2 *7506:DIODE 2.56688e-05 +3 *39527:A 0.000232694 +4 *1005:91 0.00442763 +5 *1005:90 0.00416927 +6 *1005:88 0.0398584 +7 *1005:86 0.0426437 +8 *1005:76 0.00999722 +9 *1005:46 0.0120491 +10 *1005:18 0.0143166 +11 *7506:DIODE *4544:17 0 +12 *7506:DIODE *4776:9 0 +13 *39527:A *39535:A 0 +14 *39527:A *4570:18 0 +15 *1005:18 mprj_dat_o_user[14] 0 +16 *1005:18 *39281:A 0 +17 *1005:18 *1007:45 0 +18 *1005:18 *1010:19 0 +19 *1005:18 *1011:40 0 +20 *1005:18 *1015:28 0 +21 *1005:18 *1017:33 0 +22 *1005:18 *1021:15 0 +23 *1005:18 *1025:13 0 +24 *1005:18 *1031:10 0 +25 *1005:18 *2581:24 0 +26 *1005:18 *2673:6 0 +27 *1005:46 *7808:DIODE 0 +28 *1005:46 *39817:A 0 +29 *1005:46 *1011:74 0 +30 *1005:46 *1011:85 0 +31 *1005:46 *1013:48 0 +32 *1005:46 *1015:48 0 +33 *1005:46 *1017:33 0 +34 *1005:46 *1021:16 0 +35 *1005:46 *1031:28 0 +36 *1005:46 *2547:58 0 +37 *1005:46 *2642:24 0 +38 *1005:46 *2780:27 0 +39 *1005:46 *4632:20 0 +40 *1005:76 *39822:A 0 +41 *1005:76 *1015:48 0 +42 *1005:76 *1017:64 0 +43 *1005:76 *1017:84 0 +44 *1005:76 *1021:16 0 +45 *1005:76 *1024:75 0 +46 *1005:76 *1025:62 0 +47 *1005:76 *1028:44 0 +48 *1005:76 *1031:28 0 +49 *1005:76 *2485:19 0 +50 *1005:76 *2648:20 0 +51 *1005:76 *4658:28 0 +52 *1005:86 *1014:10 0 +53 *1005:86 *1028:44 0 +54 *1005:86 *1028:46 0 +55 *1005:86 *2517:23 0 +56 *1005:86 *2545:34 0 +57 *1005:86 *2625:32 0 +58 *1005:86 *2628:14 0 +59 *1005:86 *2676:13 0 +60 *1005:86 *4951:22 0 +61 *1005:88 *1007:136 0 +62 *1005:88 *1007:160 0 +63 *1005:88 *1007:181 0 +64 *1005:88 *1007:186 0 +65 *1005:88 *1014:10 0 +66 *1005:88 *1014:106 0 +67 *1005:88 *1026:88 0 +68 *1005:88 *1031:47 0 +69 *1005:88 *1031:52 0 +70 *1005:88 *1031:76 0 +71 *1005:88 *1031:80 0 +72 *1005:88 *2628:14 0 +73 *1005:88 *2873:36 0 +74 *1005:88 *2883:41 0 +75 *1005:88 *4023:41 0 +76 *1005:88 *5133:27 0 +77 *1005:91 *7292:DIODE 0 +78 *1005:91 *8989:DIODE 0 +79 *1005:91 *39505:B 0 +80 *1005:91 *40754:A 0 +81 *1005:91 *1624:18 0 +82 *1005:91 *1717:11 0 +83 *1005:91 *3099:12 0 +84 *1005:91 *3288:81 0 +85 *1005:91 *3399:16 0 +86 *1005:91 *3475:58 0 +87 *1005:91 *3491:30 0 +88 *1005:91 *3700:82 0 +89 *1005:91 *3724:94 0 +90 *1005:91 *3764:62 0 +91 *1005:91 *3981:89 0 +92 *1005:91 *4240:28 0 +93 *1005:91 *4406:28 0 +94 *1005:91 *4572:18 0 +95 *1005:91 *4580:30 0 +96 *1005:91 *4601:70 0 +97 *1005:91 *4773:30 0 +98 *1005:91 *4906:8 0 +99 mprj_adr_o_user[14] *1005:18 0 +100 *293:18 *1005:76 0 +101 *312:16 *1005:86 0 +102 *312:35 *1005:76 0 +103 *325:34 *1005:86 0 +104 *347:34 *1005:88 0 +105 *361:41 *1005:91 0 +106 *373:33 *1005:91 0 +107 *380:29 *1005:91 0 +108 *380:31 *1005:91 0 +109 *901:8 *1005:76 0 +110 *901:8 *1005:86 0 +*RES +1 mprj_dat_i_user[14] *1005:18 48.5695 +2 *1005:18 *1005:46 49.9758 +3 *1005:46 *1005:76 46.52 +4 *1005:76 *1005:86 25.7296 +5 *1005:86 *1005:88 144.361 +6 *1005:88 *1005:90 3.41 +7 *1005:90 *1005:91 94.6875 +8 *1005:91 *39527:A 19.0857 +9 *1005:91 *7506:DIODE 14.3357 +*END + +*D_NET *1006 0.121624 +*CONN +*P mprj_dat_i_user[15] I +*I *7508:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39528:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 mprj_dat_i_user[15] 0.0016697 +2 *7508:DIODE 0.00168232 +3 *39528:A 6.52228e-05 +4 *1006:38 0.00501842 +5 *1006:28 0.00518021 +6 *1006:10 0.054124 +7 *1006:9 0.0538844 +8 *7508:DIODE *2984:58 0 +9 *7508:DIODE *3135:26 0 +10 *7508:DIODE *3266:46 0 +11 *7508:DIODE *4550:11 0 +12 *7508:DIODE *4553:37 0 +13 *7508:DIODE *5168:18 0 +14 *7508:DIODE *5191:27 0 +15 *7508:DIODE *5194:22 0 +16 *39528:A *39528:B 0 +17 *1006:9 mprj_dat_o_user[15] 0 +18 *1006:9 *39282:A 0 +19 *1006:9 *39346:A 0 +20 *1006:9 *39700:A 0 +21 *1006:9 *2513:8 0 +22 *1006:9 *2568:12 0 +23 *1006:9 *2708:13 0 +24 *1006:10 *1009:16 0 +25 *1006:10 *1009:56 0 +26 *1006:10 *1009:92 0 +27 *1006:10 *1009:102 0 +28 *1006:10 *1019:8 0 +29 *1006:10 *1019:20 0 +30 *1006:10 *1030:8 0 +31 *1006:10 *2173:25 0 +32 *1006:10 *2402:30 0 +33 *1006:10 *2693:78 0 +34 *1006:10 *2724:11 0 +35 *1006:10 *2824:49 0 +36 *1006:10 *2863:46 0 +37 *1006:10 *3114:47 0 +38 *1006:10 *3445:67 0 +39 *1006:10 *3528:37 0 +40 *1006:10 *4089:17 0 +41 *1006:10 *4089:37 0 +42 *1006:10 *4241:110 0 +43 *1006:10 *5013:13 0 +44 *1006:10 *5121:22 0 +45 *1006:28 *1019:20 0 +46 *1006:28 *2402:30 0 +47 *1006:28 *3117:51 0 +48 *1006:28 *3280:43 0 +49 *1006:28 *3280:57 0 +50 *1006:28 *3295:33 0 +51 *1006:28 *3414:14 0 +52 *1006:28 *3543:38 0 +53 *1006:28 *3719:52 0 +54 *1006:28 *5013:13 0 +55 *1006:38 *1008:109 0 +56 *1006:38 *1025:151 0 +57 *1006:38 *2816:61 0 +58 *1006:38 *2893:43 0 +59 *1006:38 *3135:26 0 +60 *1006:38 *3205:68 0 +61 *1006:38 *3310:59 0 +62 *1006:38 *4015:55 0 +63 *1006:38 *4404:67 0 +64 *1006:38 *4411:21 0 +65 *1006:38 *5169:57 0 +66 *1006:38 *5194:22 0 +67 *307:13 *1006:9 0 +68 *542:60 *39528:A 0 +69 *544:12 *7508:DIODE 0 +70 *1000:14 *1006:10 0 +71 *1003:36 *1006:38 0 +*RES +1 mprj_dat_i_user[15] *1006:9 41.2582 +2 *1006:9 *1006:10 189.114 +3 *1006:10 *1006:28 42.0033 +4 *1006:28 *1006:38 31.5827 +5 *1006:38 *39528:A 18.7546 +6 *1006:38 *7508:DIODE 31.5054 +*END + +*D_NET *1007 0.126016 +*CONN +*P mprj_dat_i_user[16] I +*I *7510:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39529:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 mprj_dat_i_user[16] 0.00242451 +2 *7510:DIODE 2.56688e-05 +3 *39529:A 0.000848644 +4 *1007:199 0.00202308 +5 *1007:187 0.00375284 +6 *1007:186 0.00474622 +7 *1007:181 0.0106242 +8 *1007:160 0.0219658 +9 *1007:136 0.0203145 +10 *1007:112 0.0131736 +11 *1007:111 0.00634275 +12 *1007:109 0.00239353 +13 *1007:99 0.00317403 +14 *1007:93 0.00272206 +15 *1007:86 0.0039448 +16 *1007:78 0.00342678 +17 *1007:62 0.00351898 +18 *1007:48 0.00448262 +19 *1007:47 0.0023872 +20 *1007:45 0.00180911 +21 *1007:28 0.00410261 +22 *1007:21 0.00384075 +23 *1007:15 0.00397175 +24 *7510:DIODE *3164:45 0 +25 *7510:DIODE *5187:39 0 +26 *39529:A *39529:B 0 +27 *39529:A *2971:48 0 +28 *39529:A *5187:47 0 +29 *1007:15 mprj_dat_o_user[16] 0 +30 *1007:15 *39347:A 0 +31 *1007:15 *1025:13 0 +32 *1007:15 *2618:16 0 +33 *1007:15 *2645:55 0 +34 *1007:15 *2685:16 0 +35 *1007:15 *5043:12 0 +36 *1007:21 *1008:39 0 +37 *1007:21 *1011:21 0 +38 *1007:21 *1015:28 0 +39 *1007:21 *1026:20 0 +40 *1007:21 *2591:29 0 +41 *1007:21 *2603:5 0 +42 *1007:28 *39295:A 0 +43 *1007:28 *2631:17 0 +44 *1007:28 *2646:40 0 +45 *1007:28 *2709:21 0 +46 *1007:28 *2709:23 0 +47 *1007:45 *1011:65 0 +48 *1007:45 *1016:56 0 +49 *1007:45 *1017:33 0 +50 *1007:45 *1021:15 0 +51 *1007:45 *2709:7 0 +52 *1007:45 *2709:21 0 +53 *1007:48 *2526:48 0 +54 *1007:48 *2709:7 0 +55 *1007:62 *2504:39 0 +56 *1007:62 *2526:48 0 +57 *1007:62 *2647:51 0 +58 *1007:62 *2647:61 0 +59 *1007:78 *2647:51 0 +60 *1007:78 *4917:17 0 +61 *1007:78 *4917:19 0 +62 *1007:86 *2647:33 0 +63 *1007:86 *2647:51 0 +64 *1007:86 *4932:16 0 +65 *1007:86 *4933:61 0 +66 *1007:93 *5840:DIODE 0 +67 *1007:93 *2492:47 0 +68 *1007:93 *2492:74 0 +69 *1007:93 *2647:13 0 +70 *1007:93 *2647:26 0 +71 *1007:93 *2710:25 0 +72 *1007:99 *39822:A 0 +73 *1007:99 *1011:144 0 +74 *1007:99 *1011:166 0 +75 *1007:99 *1017:84 0 +76 *1007:99 *1026:50 0 +77 *1007:99 *2574:16 0 +78 *1007:109 *1015:74 0 +79 *1007:109 *1025:72 0 +80 *1007:109 *2710:15 0 +81 *1007:109 *4656:27 0 +82 *1007:109 *4665:33 0 +83 *1007:109 *4665:53 0 +84 *1007:109 *4966:26 0 +85 *1007:112 *38949:A 0 +86 *1007:112 *39837:A 0 +87 *1007:112 *2451:19 0 +88 *1007:112 *2575:15 0 +89 *1007:112 *2711:25 0 +90 *1007:112 *5069:36 0 +91 *1007:136 *1014:10 0 +92 *1007:136 *1014:30 0 +93 *1007:136 *1016:166 0 +94 *1007:136 *2734:10 0 +95 *1007:136 *2845:34 0 +96 *1007:160 *1014:74 0 +97 *1007:160 *1026:75 0 +98 *1007:160 *1026:88 0 +99 *1007:160 *1026:101 0 +100 *1007:181 *1014:94 0 +101 *1007:181 *1014:106 0 +102 *1007:181 *1544:8 0 +103 *1007:181 *4023:18 0 +104 *1007:181 *4023:41 0 +105 *1007:186 *1031:80 0 +106 *1007:186 *3040:25 0 +107 *1007:186 *3303:39 0 +108 *1007:186 *3469:27 0 +109 *1007:186 *3492:17 0 +110 *1007:187 *1548:10 0 +111 *1007:187 *3770:34 0 +112 *1007:199 *1548:8 0 +113 *1007:199 *2819:17 0 +114 *39399:A *39529:A 0 +115 *266:23 *1007:187 0 +116 *266:48 *1007:199 0 +117 *271:27 *1007:78 0 +118 *301:7 *1007:99 0 +119 *351:23 *1007:136 0 +120 *383:12 *1007:181 0 +121 *1001:22 *1007:15 0 +122 *1001:22 *1007:109 0 +123 *1001:37 *1007:181 0 +124 *1002:8 *1007:136 0 +125 *1002:8 *1007:160 0 +126 *1002:8 *1007:181 0 +127 *1002:26 *1007:181 0 +128 *1005:18 *1007:45 0 +129 *1005:88 *1007:136 0 +130 *1005:88 *1007:160 0 +131 *1005:88 *1007:181 0 +132 *1005:88 *1007:186 0 +*RES +1 mprj_dat_i_user[16] *1007:15 31.5679 +2 *1007:15 *1007:21 41.375 +3 *1007:21 *1007:28 47.9286 +4 *1007:28 *1007:45 48.617 +5 *1007:45 *1007:47 4.5 +6 *1007:47 *1007:48 49.8214 +7 *1007:48 *1007:62 43.9821 +8 *1007:62 *1007:78 30.1429 +9 *1007:78 *1007:86 42.1786 +10 *1007:86 *1007:93 49.6786 +11 *1007:93 *1007:99 25.4464 +12 *1007:99 *1007:109 48.052 +13 *1007:109 *1007:111 4.5 +14 *1007:111 *1007:112 132.375 +15 *1007:112 *1007:136 45.9113 +16 *1007:136 *1007:160 48.974 +17 *1007:160 *1007:181 47.3008 +18 *1007:181 *1007:186 14.5781 +19 *1007:186 *1007:187 59.1696 +20 *1007:187 *1007:199 26.5714 +21 *1007:199 *39529:A 33.1393 +22 *1007:199 *7510:DIODE 14.3357 +*END + +*D_NET *1008 0.118186 +*CONN +*P mprj_dat_i_user[17] I +*I *7512:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39530:A I *D sky130_fd_sc_hd__nand2_2 +*CAP +1 mprj_dat_i_user[17] 0.00164585 +2 *7512:DIODE 0 +3 *39530:A 0 +4 *1008:129 0.00156179 +5 *1008:109 0.00401654 +6 *1008:100 0.00424738 +7 *1008:94 0.0240127 +8 *1008:93 0.022951 +9 *1008:77 0.00234686 +10 *1008:67 0.00241687 +11 *1008:56 0.0127689 +12 *1008:54 0.0140789 +13 *1008:40 0.0119732 +14 *1008:39 0.0114279 +15 *1008:29 0.00232879 +16 *1008:19 0.00240916 +17 *1008:19 *39284:A 0 +18 *1008:19 *39348:A 0 +19 *1008:19 *2562:10 0 +20 *1008:19 *2565:61 0 +21 *1008:19 *2585:31 0 +22 *1008:29 *7742:DIODE 0 +23 *1008:29 *1012:9 0 +24 *1008:29 *2585:31 0 +25 *1008:29 *2614:19 0 +26 *1008:29 *5050:26 0 +27 *1008:39 *1015:28 0 +28 *1008:39 *2675:19 0 +29 *1008:39 *2709:23 0 +30 *1008:40 *1010:42 0 +31 *1008:40 *1016:116 0 +32 *1008:40 *1026:32 0 +33 *1008:40 *2565:44 0 +34 *1008:40 *2591:29 0 +35 *1008:54 *39675:A 0 +36 *1008:54 *1010:57 0 +37 *1008:54 *1010:63 0 +38 *1008:54 *1024:53 0 +39 *1008:54 *1026:50 0 +40 *1008:54 *4932:16 0 +41 *1008:56 *1010:73 0 +42 *1008:56 *1010:74 0 +43 *1008:56 *1026:50 0 +44 *1008:56 *2647:13 0 +45 *1008:67 *38241:A 0 +46 *1008:67 *1285:18 0 +47 *1008:67 *2681:5 0 +48 *1008:67 *4681:21 0 +49 *1008:67 *4973:26 0 +50 *1008:77 *1011:207 0 +51 *1008:77 *1016:174 0 +52 *1008:77 *1026:50 0 +53 *1008:77 *1026:61 0 +54 *1008:93 *1016:176 0 +55 *1008:93 *1024:105 0 +56 *1008:93 *2482:35 0 +57 *1008:93 *2681:5 0 +58 *1008:93 *2752:55 0 +59 *1008:94 *1021:16 0 +60 *1008:94 *1023:98 0 +61 *1008:94 *1028:46 0 +62 *1008:94 *1285:15 0 +63 *1008:94 *1511:27 0 +64 *1008:94 *3161:54 0 +65 *1008:94 *3712:18 0 +66 *1008:94 *3729:27 0 +67 *1008:94 *4316:16 0 +68 *1008:100 *1025:127 0 +69 *1008:100 *1545:27 0 +70 *1008:100 *2380:34 0 +71 *1008:100 *3031:43 0 +72 *1008:100 *3280:57 0 +73 *1008:100 *4021:22 0 +74 *1008:100 *4734:26 0 +75 *1008:109 *1025:151 0 +76 *1008:109 *2395:24 0 +77 *1008:109 *2993:44 0 +78 *1008:109 *3348:95 0 +79 *1008:109 *3487:59 0 +80 *1008:109 *4232:40 0 +81 *1008:109 *4404:67 0 +82 *1008:109 *4415:46 0 +83 *1008:129 *7137:DIODE 0 +84 *1008:129 *3087:34 0 +85 *1008:129 *3205:68 0 +86 *1008:129 *3245:21 0 +87 *1008:129 *3254:33 0 +88 *1008:129 *3721:24 0 +89 *1008:129 *5102:19 0 +90 *1008:129 *5169:57 0 +91 *294:19 *1008:54 0 +92 *309:17 *1008:19 0 +93 *367:8 *1008:94 0 +94 *372:13 *1008:94 0 +95 *540:55 *1008:129 0 +96 *797:54 *1008:129 0 +97 *901:8 *1008:19 0 +98 *1001:100 *1008:129 0 +99 *1006:38 *1008:109 0 +100 *1007:21 *1008:39 0 +*RES +1 mprj_dat_i_user[17] *1008:19 45.8141 +2 *1008:19 *1008:29 25.4464 +3 *1008:29 *1008:39 42.125 +4 *1008:39 *1008:40 205.893 +5 *1008:40 *1008:54 44.3929 +6 *1008:54 *1008:56 249.839 +7 *1008:56 *1008:67 35.0536 +8 *1008:67 *1008:77 42.9643 +9 *1008:77 *1008:93 32.7404 +10 *1008:93 *1008:94 80.4734 +11 *1008:94 *1008:100 47.0995 +12 *1008:100 *1008:109 30.9507 +13 *1008:109 *1008:129 49.5902 +14 *1008:129 *39530:A 9.3 +15 *1008:109 *7512:DIODE 13.8 +*END + +*D_NET *1009 0.121531 +*CONN +*P mprj_dat_i_user[18] I +*I *7514:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39531:A I *D sky130_fd_sc_hd__nand2_2 +*CAP +1 mprj_dat_i_user[18] 0.00369123 +2 *7514:DIODE 0 +3 *39531:A 0.000224562 +4 *1009:155 0.00198542 +5 *1009:127 0.00379675 +6 *1009:126 0.00300403 +7 *1009:120 0.00488883 +8 *1009:118 0.00539002 +9 *1009:104 0.018065 +10 *1009:102 0.0197023 +11 *1009:92 0.0165784 +12 *1009:56 0.0269925 +13 *1009:16 0.0172119 +14 *39531:A *1863:28 0 +15 *39531:A *3188:27 0 +16 *39531:A *3212:50 0 +17 *1009:16 mprj_dat_o_user[18] 0 +18 *1009:16 *1030:8 0 +19 *1009:16 *1368:12 0 +20 *1009:16 *1469:20 0 +21 *1009:16 *2558:20 0 +22 *1009:16 *2646:76 0 +23 *1009:16 *2690:16 0 +24 *1009:16 *4688:15 0 +25 *1009:56 *1030:8 0 +26 *1009:56 *2498:14 0 +27 *1009:56 *2753:25 0 +28 *1009:56 *2890:42 0 +29 *1009:92 *2173:25 0 +30 *1009:92 *2542:36 0 +31 *1009:92 *2610:30 0 +32 *1009:92 *2677:61 0 +33 *1009:92 *2693:78 0 +34 *1009:92 *2848:13 0 +35 *1009:92 *2890:42 0 +36 *1009:92 *5120:24 0 +37 *1009:92 *5120:37 0 +38 *1009:102 *2293:22 0 +39 *1009:102 *2848:13 0 +40 *1009:102 *2863:46 0 +41 *1009:104 *2293:22 0 +42 *1009:104 *2856:39 0 +43 *1009:104 *2953:9 0 +44 *1009:104 *3047:33 0 +45 *1009:104 *3079:51 0 +46 *1009:104 *3655:31 0 +47 *1009:104 *4355:17 0 +48 *1009:104 *4701:16 0 +49 *1009:104 *4992:11 0 +50 *1009:118 *1545:39 0 +51 *1009:118 *1545:49 0 +52 *1009:118 *3047:43 0 +53 *1009:118 *3079:49 0 +54 *1009:118 *3079:51 0 +55 *1009:120 *38287:A 0 +56 *1009:120 *38305:A 0 +57 *1009:120 *1545:27 0 +58 *1009:120 *1545:39 0 +59 *1009:120 *1551:33 0 +60 *1009:120 *2430:40 0 +61 *1009:120 *2479:11 0 +62 *1009:120 *2479:26 0 +63 *1009:120 *3047:43 0 +64 *1009:120 *3159:30 0 +65 *1009:120 *4102:53 0 +66 *1009:120 *4102:71 0 +67 *1009:120 *5013:13 0 +68 *1009:126 *2479:11 0 +69 *1009:126 *3117:36 0 +70 *1009:127 *1333:24 0 +71 *1009:127 *2469:21 0 +72 *1009:127 *2820:38 0 +73 *1009:127 *2871:54 0 +74 *1009:127 *2922:22 0 +75 *1009:127 *3117:36 0 +76 *1009:127 *3428:40 0 +77 *1009:127 *3469:30 0 +78 *1009:127 *3696:96 0 +79 *1009:127 *4334:42 0 +80 *1009:127 *4354:35 0 +81 *1009:155 *38050:A_N 0 +82 *1009:155 *2820:38 0 +83 *1009:155 *2871:69 0 +84 *1009:155 *3292:55 0 +85 *1009:155 *3292:84 0 +86 *1009:155 *3434:56 0 +87 *1009:155 *3767:26 0 +88 *1009:155 *3904:80 0 +89 *1009:155 *4003:26 0 +90 *1009:155 *4003:42 0 +91 *1009:155 *4228:22 0 +92 *1009:155 *4318:38 0 +93 *1009:155 *4334:42 0 +94 *1009:155 *4343:53 0 +95 *1009:155 *4598:20 0 +96 *1009:155 *5084:82 0 +97 *1009:155 *5182:28 0 +98 mprj_adr_o_user[18] *1009:16 0 +99 *296:16 *1009:56 0 +100 *311:33 *1009:92 0 +101 *337:29 *1009:104 0 +102 *340:47 *1009:104 0 +103 *340:53 *1009:102 0 +104 *1006:10 *1009:16 0 +105 *1006:10 *1009:56 0 +106 *1006:10 *1009:92 0 +107 *1006:10 *1009:102 0 +*RES +1 mprj_dat_i_user[18] *1009:16 47.8465 +2 *1009:16 *1009:56 49.5631 +3 *1009:56 *1009:92 49.3625 +4 *1009:92 *1009:102 40.2405 +5 *1009:102 *1009:104 346.357 +6 *1009:104 *1009:118 31.25 +7 *1009:118 *1009:120 81.8571 +8 *1009:120 *1009:126 24.7679 +9 *1009:126 *1009:127 46.2679 +10 *1009:127 *1009:155 41.6724 +11 *1009:155 *39531:A 18.7464 +12 *1009:155 *7514:DIODE 13.8 +*END + +*D_NET *1010 0.116443 +*CONN +*P mprj_dat_i_user[19] I +*I *7516:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39532:A I *D sky130_fd_sc_hd__nand2_2 +*CAP +1 mprj_dat_i_user[19] 0.00138586 +2 *7516:DIODE 0 +3 *39532:A 0 +4 *1010:169 0.00262334 +5 *1010:129 0.00308284 +6 *1010:119 0.00668972 +7 *1010:96 0.0201227 +8 *1010:95 0.0143854 +9 *1010:86 0.00563529 +10 *1010:85 0.00525422 +11 *1010:74 0.0124175 +12 *1010:73 0.0142037 +13 *1010:63 0.00279587 +14 *1010:57 0.00220135 +15 *1010:42 0.00853185 +16 *1010:41 0.00969337 +17 *1010:25 0.00424957 +18 *1010:19 0.00317038 +19 *1010:19 mprj_dat_o_user[19] 0 +20 *1010:19 *7742:DIODE 0 +21 *1010:19 *39286:A 0 +22 *1010:19 *1011:21 0 +23 *1010:19 *1012:9 0 +24 *1010:19 *1031:10 0 +25 *1010:19 *2556:21 0 +26 *1010:19 *2591:40 0 +27 *1010:19 *2642:63 0 +28 *1010:19 *2645:42 0 +29 *1010:25 *1016:15 0 +30 *1010:25 *2543:45 0 +31 *1010:25 *2581:25 0 +32 *1010:41 *39771:A 0 +33 *1010:41 *1011:56 0 +34 *1010:41 *1016:15 0 +35 *1010:41 *2543:32 0 +36 *1010:41 *2565:38 0 +37 *1010:41 *2591:20 0 +38 *1010:41 *2622:14 0 +39 *1010:41 *2631:17 0 +40 *1010:41 *2657:15 0 +41 *1010:41 *2669:60 0 +42 *1010:41 *2669:67 0 +43 *1010:41 *2686:43 0 +44 *1010:42 *1016:116 0 +45 *1010:42 *1026:32 0 +46 *1010:57 *39675:A 0 +47 *1010:57 *1016:116 0 +48 *1010:57 *1026:44 0 +49 *1010:63 *39182:A 0 +50 *1010:63 *39675:A 0 +51 *1010:63 *1016:144 0 +52 *1010:63 *1024:63 0 +53 *1010:73 *1026:50 0 +54 *1010:74 *37737:A 0 +55 *1010:74 *1016:176 0 +56 *1010:74 *1026:50 0 +57 *1010:74 *4974:14 0 +58 *1010:74 *4974:27 0 +59 *1010:85 *37737:A 0 +60 *1010:85 *1026:61 0 +61 *1010:86 *37737:A 0 +62 *1010:86 *1016:176 0 +63 *1010:86 *1016:180 0 +64 *1010:86 *1026:61 0 +65 *1010:86 *1267:43 0 +66 *1010:86 *1515:18 0 +67 *1010:86 *2851:45 0 +68 *1010:86 *3693:21 0 +69 *1010:95 *1535:16 0 +70 *1010:95 *3324:58 0 +71 *1010:95 *4697:12 0 +72 *1010:95 *4711:24 0 +73 *1010:96 *1011:228 0 +74 *1010:96 *1014:49 0 +75 *1010:96 *1014:74 0 +76 *1010:96 *1014:94 0 +77 *1010:96 *1023:98 0 +78 *1010:96 *1545:49 0 +79 *1010:119 *1211:113 0 +80 *1010:119 *1544:20 0 +81 *1010:119 *1545:49 0 +82 *1010:119 *2382:70 0 +83 *1010:119 *2474:31 0 +84 *1010:119 *2970:29 0 +85 *1010:119 *2980:22 0 +86 *1010:119 *3348:57 0 +87 *1010:119 *3427:78 0 +88 *1010:119 *3487:45 0 +89 *1010:119 *3512:22 0 +90 *1010:119 *3514:20 0 +91 *1010:119 *3584:37 0 +92 *1010:119 *3664:17 0 +93 *1010:169 *5270:DIODE 0 +94 *1010:169 *40342:A 0 +95 *1010:169 *40555:A 0 +96 *1010:169 *1731:67 0 +97 *1010:169 *2373:145 0 +98 *1010:169 *2960:43 0 +99 *1010:169 *2984:58 0 +100 *1010:169 *3018:95 0 +101 *1010:169 *3145:13 0 +102 *1010:169 *3209:17 0 +103 *1010:169 *4404:25 0 +104 *1010:169 *4550:63 0 +105 *1010:169 *4590:93 0 +106 *1010:169 *5179:115 0 +107 *1010:169 *5186:65 0 +108 mprj_adr_o_user[19] *1010:19 0 +109 *6750:DIODE *1010:169 0 +110 *328:14 *1010:74 0 +111 *358:24 *1010:119 0 +112 *536:53 *1010:129 0 +113 *789:59 *1010:129 0 +114 *792:83 *1010:169 0 +115 *901:8 *1010:41 0 +116 *1000:78 *1010:169 0 +117 *1002:8 *1010:96 0 +118 *1002:8 *1010:119 0 +119 *1005:18 *1010:19 0 +120 *1008:40 *1010:42 0 +121 *1008:54 *1010:57 0 +122 *1008:54 *1010:63 0 +123 *1008:56 *1010:73 0 +124 *1008:56 *1010:74 0 +*RES +1 mprj_dat_i_user[19] *1010:19 45.0373 +2 *1010:19 *1010:25 45.3475 +3 *1010:25 *1010:41 39.0214 +4 *1010:41 *1010:42 150.857 +5 *1010:42 *1010:57 45.4107 +6 *1010:57 *1010:63 27.8214 +7 *1010:63 *1010:73 49.2143 +8 *1010:73 *1010:74 256.821 +9 *1010:74 *1010:85 11.6429 +10 *1010:85 *1010:86 107.321 +11 *1010:86 *1010:95 19.1689 +12 *1010:95 *1010:96 50.3135 +13 *1010:96 *1010:119 46.3836 +14 *1010:119 *1010:129 19.3839 +15 *1010:129 *39532:A 13.8 +16 *1010:129 *1010:169 43.2685 +17 *1010:169 *7516:DIODE 9.3 +*END + +*D_NET *1011 0.132461 +*CONN +*P mprj_dat_i_user[1] I +*I *7518:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39533:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 mprj_dat_i_user[1] 0.000557648 +2 *7518:DIODE 0.000290659 +3 *39533:A 0.000876192 +4 *1011:273 0.00314835 +5 *1011:231 0.00746478 +6 *1011:228 0.0195934 +7 *1011:227 0.0172515 +8 *1011:218 0.00330095 +9 *1011:207 0.00403184 +10 *1011:198 0.00367148 +11 *1011:182 0.00301945 +12 *1011:174 0.00523265 +13 *1011:173 0.00376475 +14 *1011:171 0.00203924 +15 *1011:166 0.00367622 +16 *1011:144 0.00494712 +17 *1011:131 0.0051859 +18 *1011:107 0.00372493 +19 *1011:85 0.00364591 +20 *1011:74 0.00247355 +21 *1011:65 0.00273562 +22 *1011:56 0.00433372 +23 *1011:40 0.00458047 +24 *1011:21 0.00394202 +25 *1011:8 0.0100259 +26 *1011:7 0.00894706 +27 *7518:DIODE *3266:92 0 +28 *7518:DIODE *5105:66 0 +29 *39533:A *2977:31 0 +30 *39533:A *3036:20 0 +31 *39533:A *3230:39 0 +32 *1011:7 *39287:A 0 +33 *1011:7 *39341:A 0 +34 *1011:7 *2672:8 0 +35 *1011:7 *2690:22 0 +36 *1011:8 *1026:8 0 +37 *1011:8 *2603:25 0 +38 *1011:8 *2621:7 0 +39 *1011:21 *39798:A 0 +40 *1011:21 *1026:20 0 +41 *1011:21 *2550:26 0 +42 *1011:21 *2621:7 0 +43 *1011:40 *7730:DIODE 0 +44 *1011:40 *39782:A 0 +45 *1011:40 *1026:32 0 +46 *1011:40 *1031:10 0 +47 *1011:40 *2603:5 0 +48 *1011:56 *7771:DIODE 0 +49 *1011:56 *39831:A 0 +50 *1011:56 *1026:32 0 +51 *1011:56 *2629:24 0 +52 *1011:56 *2654:20 0 +53 *1011:56 *2669:60 0 +54 *1011:65 *39754:A 0 +55 *1011:65 *39824:A 0 +56 *1011:65 *1016:56 0 +57 *1011:65 *1026:32 0 +58 *1011:65 *2515:65 0 +59 *1011:65 *2565:12 0 +60 *1011:65 *2574:71 0 +61 *1011:65 *2650:14 0 +62 *1011:65 *2651:49 0 +63 *1011:74 *7700:DIODE 0 +64 *1011:74 *39748:A 0 +65 *1011:74 *1026:32 0 +66 *1011:74 *2642:24 0 +67 *1011:85 *39737:A 0 +68 *1011:85 *39817:A 0 +69 *1011:85 *1016:82 0 +70 *1011:85 *1024:35 0 +71 *1011:85 *1026:32 0 +72 *1011:85 *1031:28 0 +73 *1011:107 *39809:A 0 +74 *1011:107 *1024:36 0 +75 *1011:107 *1026:32 0 +76 *1011:107 *2543:14 0 +77 *1011:107 *2633:20 0 +78 *1011:107 *2634:17 0 +79 *1011:131 *39713:A 0 +80 *1011:131 *1013:50 0 +81 *1011:131 *1023:61 0 +82 *1011:131 *2526:13 0 +83 *1011:131 *2530:8 0 +84 *1011:131 *2658:14 0 +85 *1011:131 *2667:67 0 +86 *1011:144 *37917:A 0 +87 *1011:144 *1024:63 0 +88 *1011:144 *1024:75 0 +89 *1011:144 *1026:50 0 +90 *1011:144 *2490:36 0 +91 *1011:144 *2491:13 0 +92 *1011:144 *2526:13 0 +93 *1011:144 *2894:50 0 +94 *1011:144 *4656:17 0 +95 *1011:166 *38187:A 0 +96 *1011:166 *39755:A 0 +97 *1011:166 *39822:A 0 +98 *1011:166 *1026:50 0 +99 *1011:166 *2574:16 0 +100 *1011:166 *2575:41 0 +101 *1011:166 *2765:53 0 +102 *1011:171 *1016:156 0 +103 *1011:171 *1026:50 0 +104 *1011:171 *2484:32 0 +105 *1011:171 *2680:10 0 +106 *1011:171 *2680:20 0 +107 *1011:171 *4945:14 0 +108 *1011:174 *1023:78 0 +109 *1011:174 *1024:87 0 +110 *1011:174 *2878:35 0 +111 *1011:182 *38948:A 0 +112 *1011:182 *1016:156 0 +113 *1011:182 *1016:166 0 +114 *1011:182 *1026:50 0 +115 *1011:182 *4672:30 0 +116 *1011:198 *38213:A 0 +117 *1011:198 *1016:166 0 +118 *1011:198 *1026:50 0 +119 *1011:198 *2752:62 0 +120 *1011:207 *38241:A 0 +121 *1011:207 *1016:174 0 +122 *1011:207 *1026:50 0 +123 *1011:207 *4347:14 0 +124 *1011:218 *1016:176 0 +125 *1011:218 *1017:86 0 +126 *1011:218 *1021:16 0 +127 *1011:218 *1026:61 0 +128 *1011:218 *2730:16 0 +129 *1011:218 *3693:21 0 +130 *1011:227 *2529:16 0 +131 *1011:227 *2684:13 0 +132 *1011:227 *2737:31 0 +133 *1011:227 *4689:8 0 +134 *1011:227 *4691:20 0 +135 *1011:228 *1014:49 0 +136 *1011:228 *1023:98 0 +137 *1011:231 *2977:31 0 +138 *1011:231 *2987:78 0 +139 *1011:231 *3036:20 0 +140 *1011:273 *8714:DIODE 0 +141 *1011:273 *40512:A 0 +142 *1011:273 *3018:72 0 +143 *1011:273 *3194:132 0 +144 *1011:273 *3245:21 0 +145 *1011:273 *3264:128 0 +146 *1011:273 *3292:13 0 +147 *1011:273 *3301:42 0 +148 *1011:273 *3406:16 0 +149 *1011:273 *3407:18 0 +150 *1011:273 *3417:32 0 +151 *1011:273 *3430:80 0 +152 *1011:273 *4044:10 0 +153 *1011:273 *4524:73 0 +154 *1011:273 *5186:65 0 +155 mprj_adr_o_user[1] *1011:7 0 +156 *6603:DIODE *7518:DIODE 0 +157 *282:9 *1011:107 0 +158 *325:22 *1011:174 0 +159 *336:23 *1011:227 0 +160 *339:8 *1011:228 0 +161 *344:12 *1011:227 0 +162 *346:30 *1011:174 0 +163 *407:36 *1011:273 0 +164 *408:52 *7518:DIODE 0 +165 *901:8 *1011:131 0 +166 *901:17 *39533:A 0 +167 *901:17 *1011:231 0 +168 *1000:78 *7518:DIODE 0 +169 *1005:18 *1011:40 0 +170 *1005:46 *1011:74 0 +171 *1005:46 *1011:85 0 +172 *1007:21 *1011:21 0 +173 *1007:45 *1011:65 0 +174 *1007:99 *1011:144 0 +175 *1007:99 *1011:166 0 +176 *1008:77 *1011:207 0 +177 *1010:19 *1011:21 0 +178 *1010:41 *1011:56 0 +179 *1010:96 *1011:228 0 +*RES +1 mprj_dat_i_user[1] *1011:7 17.1607 +2 *1011:7 *1011:8 175.089 +3 *1011:8 *1011:21 47.9196 +4 *1011:21 *1011:40 47.9869 +5 *1011:40 *1011:56 47.6964 +6 *1011:56 *1011:65 47.6071 +7 *1011:65 *1011:74 18.75 +8 *1011:74 *1011:85 46.6565 +9 *1011:85 *1011:107 48.5268 +10 *1011:107 *1011:131 46.4722 +11 *1011:131 *1011:144 45.8881 +12 *1011:144 *1011:166 43.5 +13 *1011:166 *1011:171 47.3214 +14 *1011:171 *1011:173 4.5 +15 *1011:173 *1011:174 78.5714 +16 *1011:174 *1011:182 39.9286 +17 *1011:182 *1011:198 41.8929 +18 *1011:198 *1011:207 48.8839 +19 *1011:207 *1011:218 49.0488 +20 *1011:218 *1011:227 42.1689 +21 *1011:227 *1011:228 57.4481 +22 *1011:228 *1011:231 18.6913 +23 *1011:231 *39533:A 25.4152 +24 *1011:231 *1011:273 49.4442 +25 *1011:273 *7518:DIODE 29.4339 +*END + +*D_NET *1012 0.11893 +*CONN +*P mprj_dat_i_user[20] I +*I *7520:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39534:A I *D sky130_fd_sc_hd__nand2_2 +*CAP +1 mprj_dat_i_user[20] 0.001803 +2 *7520:DIODE 0.000145434 +3 *39534:A 0.000751624 +4 *1012:52 0.00234342 +5 *1012:34 0.00977178 +6 *1012:10 0.0553187 +7 *1012:9 0.0487963 +8 *7520:DIODE *2713:137 0 +9 *7520:DIODE *4449:41 0 +10 *7520:DIODE *4764:48 0 +11 *7520:DIODE *5175:48 0 +12 *39534:A *5542:DIODE 0 +13 *39534:A *6947:DIODE 0 +14 *39534:A *2713:137 0 +15 *39534:A *3075:14 0 +16 *39534:A *4556:36 0 +17 *39534:A *4764:48 0 +18 *1012:9 mprj_dat_o_user[20] 0 +19 *1012:9 *39288:A 0 +20 *1012:9 *39843:A 0 +21 *1012:9 *2614:19 0 +22 *1012:9 *2645:42 0 +23 *1012:9 *2650:42 0 +24 *1012:9 *2674:14 0 +25 *1012:9 *5050:26 0 +26 *1012:9 *5063:20 0 +27 *1012:10 *1022:10 0 +28 *1012:10 *1022:16 0 +29 *1012:10 *1820:33 0 +30 *1012:10 *1926:33 0 +31 *1012:10 *2158:31 0 +32 *1012:10 *2170:28 0 +33 *1012:10 *2197:71 0 +34 *1012:10 *2309:29 0 +35 *1012:10 *2402:55 0 +36 *1012:10 *2417:90 0 +37 *1012:10 *2420:57 0 +38 *1012:10 *2420:76 0 +39 *1012:10 *2508:16 0 +40 *1012:10 *2561:26 0 +41 *1012:10 *2590:30 0 +42 *1012:10 *2595:16 0 +43 *1012:10 *2880:34 0 +44 *1012:10 *3073:74 0 +45 *1012:10 *3081:38 0 +46 *1012:10 *3499:67 0 +47 *1012:10 *3521:26 0 +48 *1012:10 *4074:24 0 +49 *1012:10 *4655:13 0 +50 *1012:10 *5134:30 0 +51 *1012:34 *1019:20 0 +52 *1012:34 *1545:20 0 +53 *1012:34 *2402:30 0 +54 *1012:34 *2442:16 0 +55 *1012:34 *3075:45 0 +56 *1012:34 *3081:38 0 +57 *1012:34 *3108:27 0 +58 *1012:34 *3117:36 0 +59 *1012:34 *3295:33 0 +60 *1012:34 *3303:47 0 +61 *1012:34 *3499:67 0 +62 *1012:34 *3521:26 0 +63 *1012:34 *3521:45 0 +64 *1012:34 *3724:29 0 +65 *1012:34 *3768:47 0 +66 *1012:34 *3773:32 0 +67 *1012:52 *38344:A 0 +68 *1012:52 *2442:16 0 +69 *1012:52 *3077:51 0 +70 *1012:52 *3375:23 0 +71 *1012:52 *4216:24 0 +72 mprj_adr_o_user[20] *1012:9 0 +73 *336:23 *1012:10 0 +74 *338:47 *1012:10 0 +75 *1000:14 *1012:10 0 +76 *1000:33 *1012:10 0 +77 *1008:29 *1012:9 0 +78 *1010:19 *1012:9 0 +*RES +1 mprj_dat_i_user[20] *1012:9 44.2939 +2 *1012:9 *1012:10 170.196 +3 *1012:10 *1012:34 46.983 +4 *1012:34 *1012:52 32.2098 +5 *1012:52 *39534:A 39.8089 +6 *1012:52 *7520:DIODE 17.2375 +*END + +*D_NET *1013 0.130245 +*CONN +*P mprj_dat_i_user[21] I +*I *39535:A I *D sky130_fd_sc_hd__nand2_1 +*I *7522:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_dat_i_user[21] 0.00142587 +2 *39535:A 0.000241997 +3 *7522:DIODE 0 +4 *1013:84 0.00117334 +5 *1013:77 0.00512792 +6 *1013:76 0.00419658 +7 *1013:74 0.0339997 +8 *1013:73 0.0344953 +9 *1013:64 0.00162843 +10 *1013:50 0.0151142 +11 *1013:48 0.0141987 +12 *1013:32 0.00781954 +13 *1013:31 0.00850006 +14 *1013:25 0.00232369 +15 *39535:A *8989:DIODE 0 +16 *39535:A *40318:A 0 +17 *39535:A *4570:18 0 +18 *1013:25 mprj_dat_o_user[21] 0 +19 *1013:25 *39353:A 0 +20 *1013:25 *2550:26 0 +21 *1013:25 *2581:25 0 +22 *1013:25 *2585:31 0 +23 *1013:25 *2591:29 0 +24 *1013:25 *2611:15 0 +25 *1013:31 *2540:12 0 +26 *1013:31 *2565:49 0 +27 *1013:31 *2585:31 0 +28 *1013:31 *2669:67 0 +29 *1013:31 *2686:51 0 +30 *1013:32 *39731:A 0 +31 *1013:32 *1016:69 0 +32 *1013:32 *2574:64 0 +33 *1013:32 *2581:25 0 +34 *1013:32 *2585:24 0 +35 *1013:32 *2651:23 0 +36 *1013:32 *2669:41 0 +37 *1013:32 *2669:48 0 +38 *1013:32 *2686:5 0 +39 *1013:32 *2686:17 0 +40 *1013:32 *2686:43 0 +41 *1013:48 *1016:109 0 +42 *1013:48 *1021:16 0 +43 *1013:48 *2504:39 0 +44 *1013:48 *2547:58 0 +45 *1013:50 *39839:A 0 +46 *1013:50 *1016:109 0 +47 *1013:50 *1023:50 0 +48 *1013:50 *1023:61 0 +49 *1013:50 *1023:76 0 +50 *1013:50 *1024:76 0 +51 *1013:50 *2485:19 0 +52 *1013:50 *2521:58 0 +53 *1013:50 *2667:67 0 +54 *1013:50 *2681:5 0 +55 *1013:50 *2878:35 0 +56 *1013:64 *39206:A 0 +57 *1013:64 *1024:94 0 +58 *1013:73 *3798:22 0 +59 *1013:73 *4679:14 0 +60 *1013:73 *4961:12 0 +61 *1013:73 *5069:23 0 +62 *1013:74 *1017:86 0 +63 *1013:74 *1025:126 0 +64 *1013:74 *1296:15 0 +65 *1013:74 *1522:27 0 +66 *1013:74 *1535:30 0 +67 *1013:74 *1547:64 0 +68 *1013:74 *1552:61 0 +69 *1013:74 *2448:36 0 +70 *1013:74 *2458:20 0 +71 *1013:74 *2459:30 0 +72 *1013:74 *2462:43 0 +73 *1013:74 *2464:24 0 +74 *1013:74 *2464:40 0 +75 *1013:74 *2475:61 0 +76 *1013:74 *2718:12 0 +77 *1013:74 *3762:41 0 +78 *1013:74 *3804:40 0 +79 *1013:74 *4347:19 0 +80 *1013:74 *4609:18 0 +81 *1013:74 *4720:36 0 +82 *1013:74 *4721:23 0 +83 *1013:74 *4731:22 0 +84 *1013:74 *4734:26 0 +85 *1013:74 *4745:30 0 +86 *1013:74 *4895:28 0 +87 *1013:74 *4971:35 0 +88 *1013:74 *4994:14 0 +89 *1013:74 *5002:13 0 +90 *1013:74 *5013:28 0 +91 *1013:74 *5016:25 0 +92 *1013:74 *5017:17 0 +93 *1013:77 *8287:DIODE 0 +94 *1013:77 *38352:A 0 +95 *1013:77 *38356:B 0 +96 *1013:77 *38905:A 0 +97 *1013:77 *1333:8 0 +98 *1013:77 *3395:85 0 +99 *1013:77 *3403:56 0 +100 *1013:77 *3414:18 0 +101 *1013:77 *3418:42 0 +102 *1013:77 *3425:24 0 +103 *1013:77 *3476:16 0 +104 *1013:77 *4219:117 0 +105 *1013:77 *4912:23 0 +106 *1013:84 *8989:DIODE 0 +107 *1013:84 *40318:A 0 +108 *1013:84 *3095:27 0 +109 *1013:84 *3101:16 0 +110 *1013:84 *3395:85 0 +111 *1013:84 *3403:56 0 +112 *1013:84 *3491:30 0 +113 *1013:84 *4570:18 0 +114 *1013:84 *4580:30 0 +115 *39527:A *39535:A 0 +116 *274:7 *1013:77 0 +117 *319:5 *1013:64 0 +118 *325:21 *1013:64 0 +119 *325:22 *1013:64 0 +120 *346:18 *1013:64 0 +121 *346:29 *1013:64 0 +122 *361:41 *1013:77 0 +123 *1001:30 *1013:74 0 +124 *1005:46 *1013:48 0 +125 *1011:131 *1013:50 0 +*RES +1 mprj_dat_i_user[21] *1013:25 45.5893 +2 *1013:25 *1013:31 27.8214 +3 *1013:31 *1013:32 158.661 +4 *1013:32 *1013:48 19.2267 +5 *1013:48 *1013:50 291.732 +6 *1013:50 *1013:64 46.2857 +7 *1013:64 *1013:73 23.4011 +8 *1013:73 *1013:74 123.137 +9 *1013:74 *1013:76 3.41 +10 *1013:76 *1013:77 95.2143 +11 *1013:77 *1013:84 25.5268 +12 *1013:84 *7522:DIODE 9.3 +13 *1013:84 *39535:A 23.8179 +*END + +*D_NET *1014 0.129487 +*CONN +*P mprj_dat_i_user[22] I +*I *7524:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39536:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 mprj_dat_i_user[22] 0.00112243 +2 *7524:DIODE 0 +3 *39536:A 0.000613359 +4 *1014:119 0.00129117 +5 *1014:118 0.00209449 +6 *1014:107 0.00379508 +7 *1014:106 0.0118646 +8 *1014:94 0.0154263 +9 *1014:74 0.0126359 +10 *1014:55 0.0085378 +11 *1014:49 0.00680972 +12 *1014:30 0.009983 +13 *1014:10 0.029603 +14 *1014:9 0.0257102 +15 *39536:A *40290:A 0 +16 *39536:A *3030:36 0 +17 *39536:A *3199:18 0 +18 *39536:A *4535:15 0 +19 *39536:A *4568:19 0 +20 *39536:A *4568:36 0 +21 *39536:A *5209:144 0 +22 *1014:9 *2635:32 0 +23 *1014:9 *2665:28 0 +24 *1014:9 *2697:12 0 +25 *1014:10 *1031:47 0 +26 *1014:10 *2547:21 0 +27 *1014:10 *2548:59 0 +28 *1014:10 *2578:34 0 +29 *1014:10 *2582:20 0 +30 *1014:10 *2582:40 0 +31 *1014:10 *2623:16 0 +32 *1014:10 *2676:13 0 +33 *1014:10 *2692:34 0 +34 *1014:10 *2751:22 0 +35 *1014:10 *2778:51 0 +36 *1014:10 *2873:36 0 +37 *1014:10 *4940:12 0 +38 *1014:10 *4963:14 0 +39 *1014:10 *5057:38 0 +40 *1014:10 *5133:27 0 +41 *1014:30 *2644:10 0 +42 *1014:30 *2845:34 0 +43 *1014:30 *2845:35 0 +44 *1014:30 *4694:7 0 +45 *1014:49 *1026:75 0 +46 *1014:49 *1267:28 0 +47 *1014:49 *1525:20 0 +48 *1014:49 *4705:24 0 +49 *1014:55 *3706:11 0 +50 *1014:55 *4316:23 0 +51 *1014:55 *4706:7 0 +52 *1014:74 *1026:88 0 +53 *1014:74 *1026:101 0 +54 *1014:74 *4738:6 0 +55 *1014:94 *2480:16 0 +56 *1014:94 *3427:78 0 +57 *1014:94 *3946:48 0 +58 *1014:94 *4023:18 0 +59 *1014:94 *4023:41 0 +60 *1014:106 *1023:98 0 +61 *1014:106 *4023:41 0 +62 *1014:107 *38337:A 0 +63 *1014:107 *1573:14 0 +64 *1014:107 *2467:8 0 +65 *1014:107 *3003:30 0 +66 *1014:107 *3582:30 0 +67 *1014:107 *4900:18 0 +68 *1014:118 *1573:10 0 +69 *1014:118 *2821:49 0 +70 *1014:118 *2833:43 0 +71 *1014:118 *3421:65 0 +72 *1014:118 *3484:46 0 +73 *1014:118 *3948:35 0 +74 *1014:118 *5176:9 0 +75 *1014:119 *40290:A 0 +76 *1014:119 *3003:38 0 +77 *1014:119 *3028:48 0 +78 *1014:119 *3370:8 0 +79 *1014:119 *4568:36 0 +80 *1014:119 *4764:25 0 +81 mprj_adr_o_user[22] *1014:9 0 +82 *292:12 *1014:10 0 +83 *292:14 *1014:10 0 +84 *339:8 *1014:30 0 +85 *339:8 *1014:49 0 +86 *347:34 *1014:10 0 +87 *348:32 *1014:30 0 +88 *351:23 *1014:30 0 +89 *368:9 *1014:94 0 +90 *907:19 *39536:A 0 +91 *1002:8 *1014:10 0 +92 *1002:8 *1014:30 0 +93 *1002:8 *1014:49 0 +94 *1002:8 *1014:74 0 +95 *1002:8 *1014:94 0 +96 *1002:26 *1014:106 0 +97 *1005:86 *1014:10 0 +98 *1005:88 *1014:10 0 +99 *1005:88 *1014:106 0 +100 *1007:136 *1014:10 0 +101 *1007:136 *1014:30 0 +102 *1007:160 *1014:74 0 +103 *1007:181 *1014:94 0 +104 *1007:181 *1014:106 0 +105 *1010:96 *1014:49 0 +106 *1010:96 *1014:74 0 +107 *1010:96 *1014:94 0 +108 *1011:228 *1014:49 0 +*RES +1 mprj_dat_i_user[22] *1014:9 28.9011 +2 *1014:9 *1014:10 89.0493 +3 *1014:10 *1014:30 49.8565 +4 *1014:30 *1014:49 39.5322 +5 *1014:49 *1014:55 46.4011 +6 *1014:55 *1014:74 48.0875 +7 *1014:74 *1014:94 49.7821 +8 *1014:94 *1014:106 42.9561 +9 *1014:106 *1014:107 54.0089 +10 *1014:107 *1014:118 44.4167 +11 *1014:118 *1014:119 15.4554 +12 *1014:119 *39536:A 27.5857 +13 *1014:119 *7524:DIODE 13.8 +*END + +*D_NET *1015 0.130232 +*CONN +*P mprj_dat_i_user[23] I +*I *39537:A I *D sky130_fd_sc_hd__nand2_1 +*I *7526:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_dat_i_user[23] 0.0068801 +2 *39537:A 0.000104343 +3 *7526:DIODE 3.27097e-05 +4 *1015:125 0.00412099 +5 *1015:124 0.00398394 +6 *1015:122 0.00937338 +7 *1015:121 0.00984225 +8 *1015:110 0.00785463 +9 *1015:109 0.0113962 +10 *1015:98 0.0177124 +11 *1015:74 0.0244633 +12 *1015:48 0.0191747 +13 *1015:28 0.0152934 +14 *39537:A *39537:B 0 +15 *39537:A *5166:22 0 +16 *39537:A *5209:74 0 +17 *1015:28 *39355:A 0 +18 *1015:28 *1016:56 0 +19 *1015:28 *1017:33 0 +20 *1015:28 *1025:13 0 +21 *1015:28 *1025:40 0 +22 *1015:28 *2662:20 0 +23 *1015:28 *2686:17 0 +24 *1015:48 *1017:33 0 +25 *1015:48 *1017:64 0 +26 *1015:48 *1017:84 0 +27 *1015:48 *1025:40 0 +28 *1015:48 *1025:62 0 +29 *1015:48 *1031:28 0 +30 *1015:48 *2490:36 0 +31 *1015:48 *2520:27 0 +32 *1015:48 *2786:30 0 +33 *1015:48 *4632:20 0 +34 *1015:48 *4933:20 0 +35 *1015:74 *39202:A 0 +36 *1015:74 *1016:166 0 +37 *1015:74 *1017:84 0 +38 *1015:74 *1025:62 0 +39 *1015:74 *1025:72 0 +40 *1015:74 *2484:32 0 +41 *1015:98 *1017:86 0 +42 *1015:98 *1025:93 0 +43 *1015:98 *1025:123 0 +44 *1015:98 *1267:42 0 +45 *1015:98 *2752:48 0 +46 *1015:109 *1017:86 0 +47 *1015:109 *1025:126 0 +48 *1015:109 *2718:6 0 +49 *1015:109 *3303:17 0 +50 *1015:109 *3654:14 0 +51 *1015:109 *3983:20 0 +52 *1015:109 *5005:8 0 +53 *1015:110 *2365:39 0 +54 *1015:110 *2722:19 0 +55 *1015:110 *2958:35 0 +56 *1015:121 *3299:19 0 +57 *1015:121 *3492:17 0 +58 *1015:121 *3686:36 0 +59 *1015:122 *3108:36 0 +60 *1015:125 *6499:DIODE 0 +61 *1015:125 *38350:A 0 +62 *1015:125 *38375:A 0 +63 *1015:125 *1574:17 0 +64 *1015:125 *1578:11 0 +65 *1015:125 *1754:8 0 +66 *1015:125 *1755:29 0 +67 *1015:125 *2802:48 0 +68 *1015:125 *2833:43 0 +69 *1015:125 *2999:54 0 +70 *1015:125 *3099:12 0 +71 *1015:125 *3419:28 0 +72 *1015:125 *4013:98 0 +73 *1015:125 *4572:8 0 +74 *1015:125 *4728:6 0 +75 *1015:125 *4914:22 0 +76 *1015:125 *5166:22 0 +77 mprj_adr_o_user[23] *1015:28 0 +78 *262:8 *1015:122 0 +79 *268:8 *1015:122 0 +80 *324:11 *1015:28 0 +81 *361:28 *1015:110 0 +82 *361:38 *1015:122 0 +83 *362:7 *1015:109 0 +84 *363:8 *1015:110 0 +85 *363:19 *1015:121 0 +86 *363:20 *1015:122 0 +87 *368:32 *1015:122 0 +88 *372:22 *1015:121 0 +89 *378:16 *1015:122 0 +90 *1001:22 *1015:28 0 +91 *1001:22 *1015:48 0 +92 *1001:22 *1015:74 0 +93 *1001:28 *1015:74 0 +94 *1001:30 *1015:74 0 +95 *1001:30 *1015:98 0 +96 *1001:30 *1015:109 0 +97 *1003:13 *1015:121 0 +98 *1005:18 *1015:28 0 +99 *1005:46 *1015:48 0 +100 *1005:76 *1015:48 0 +101 *1007:21 *1015:28 0 +102 *1007:109 *1015:74 0 +103 *1008:39 *1015:28 0 +*RES +1 mprj_dat_i_user[23] *1015:28 47.4562 +2 *1015:28 *1015:48 46.9305 +3 *1015:48 *1015:74 47.185 +4 *1015:74 *1015:98 49.7761 +5 *1015:98 *1015:109 39.5323 +6 *1015:109 *1015:110 154.143 +7 *1015:110 *1015:121 19.0041 +8 *1015:121 *1015:122 195.625 +9 *1015:122 *1015:124 4.5 +10 *1015:124 *1015:125 90.4375 +11 *1015:125 *7526:DIODE 14.6125 +12 *1015:125 *39537:A 16.2018 +*END + +*D_NET *1016 0.130041 +*CONN +*P mprj_dat_i_user[24] I +*I *7528:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39538:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 mprj_dat_i_user[24] 0.00107025 +2 *7528:DIODE 8.4707e-05 +3 *39538:A 0.000151435 +4 *1016:235 0.00107682 +5 *1016:232 0.00327003 +6 *1016:220 0.00662556 +7 *1016:218 0.00421674 +8 *1016:216 0.00271961 +9 *1016:214 0.00271961 +10 *1016:212 0.00400027 +11 *1016:211 0.00607443 +12 *1016:201 0.00333322 +13 *1016:184 0.010238 +14 *1016:182 0.00903456 +15 *1016:180 0.00419043 +16 *1016:178 0.00419043 +17 *1016:176 0.00452498 +18 *1016:174 0.00650404 +19 *1016:171 0.00211987 +20 *1016:166 0.00306901 +21 *1016:156 0.0081518 +22 *1016:155 0.00527425 +23 *1016:150 0.00249261 +24 *1016:149 0.00245908 +25 *1016:144 0.00257967 +26 *1016:137 0.00387451 +27 *1016:116 0.00314417 +28 *1016:109 0.00281483 +29 *1016:82 0.00348731 +30 *1016:69 0.00368445 +31 *1016:56 0.0028216 +32 *1016:39 0.00325694 +33 *1016:19 0.00368266 +34 *1016:15 0.00310272 +35 *7528:DIODE *3536:7 0 +36 *7528:DIODE *4545:48 0 +37 *39538:A *6909:DIODE 0 +38 *39538:A *2964:5 0 +39 *1016:15 mprj_dat_o_user[24] 0 +40 *1016:15 *2540:12 0 +41 *1016:15 *2543:45 0 +42 *1016:15 *2622:14 0 +43 *1016:15 *2646:40 0 +44 *1016:15 *2657:33 0 +45 *1016:15 *2665:16 0 +46 *1016:15 *2668:8 0 +47 *1016:15 *2669:67 0 +48 *1016:19 *2604:27 0 +49 *1016:19 *2675:9 0 +50 *1016:39 *7278:DIODE 0 +51 *1016:39 *1021:15 0 +52 *1016:39 *1024:18 0 +53 *1016:39 *2543:32 0 +54 *1016:39 *2565:29 0 +55 *1016:39 *2624:44 0 +56 *1016:39 *2658:14 0 +57 *1016:39 *2709:7 0 +58 *1016:56 *1025:40 0 +59 *1016:56 *2455:24 0 +60 *1016:56 *2514:16 0 +61 *1016:56 *2650:14 0 +62 *1016:56 *2669:48 0 +63 *1016:56 *2686:5 0 +64 *1016:69 *39817:A 0 +65 *1016:69 *1023:31 0 +66 *1016:69 *2669:41 0 +67 *1016:82 *1026:32 0 +68 *1016:82 *2506:32 0 +69 *1016:82 *2551:49 0 +70 *1016:82 *2655:11 0 +71 *1016:82 *2786:24 0 +72 *1016:109 *39731:A 0 +73 *1016:109 *1023:34 0 +74 *1016:109 *1023:47 0 +75 *1016:109 *2504:39 0 +76 *1016:109 *2574:40 0 +77 *1016:116 *1024:36 0 +78 *1016:116 *1026:32 0 +79 *1016:116 *1026:44 0 +80 *1016:116 *2574:40 0 +81 *1016:116 *2651:5 0 +82 *1016:116 *2669:26 0 +83 *1016:137 *2492:22 0 +84 *1016:137 *2496:22 0 +85 *1016:137 *2523:13 0 +86 *1016:137 *2551:49 0 +87 *1016:137 *2606:38 0 +88 *1016:137 *2624:17 0 +89 *1016:137 *2655:11 0 +90 *1016:137 *2687:11 0 +91 *1016:137 *2757:14 0 +92 *1016:137 *4929:12 0 +93 *1016:144 *1024:63 0 +94 *1016:144 *2453:28 0 +95 *1016:144 *2521:58 0 +96 *1016:144 *2521:64 0 +97 *1016:144 *2574:16 0 +98 *1016:150 *1023:78 0 +99 *1016:150 *1024:76 0 +100 *1016:150 *2521:58 0 +101 *1016:156 *37981:A 0 +102 *1016:156 *38209:A 0 +103 *1016:156 *39852:A 0 +104 *1016:156 *1024:93 0 +105 *1016:156 *1026:50 0 +106 *1016:156 *2680:10 0 +107 *1016:156 *2680:20 0 +108 *1016:156 *2878:41 0 +109 *1016:156 *4954:26 0 +110 *1016:171 *6346:DIODE 0 +111 *1016:171 *38956:A 0 +112 *1016:171 *4681:21 0 +113 *1016:174 *1026:50 0 +114 *1016:176 *37737:A 0 +115 *1016:176 *38253:A 0 +116 *1016:176 *1024:106 0 +117 *1016:176 *1026:50 0 +118 *1016:176 *1026:61 0 +119 *1016:176 *1515:18 0 +120 *1016:176 *2752:27 0 +121 *1016:176 *3693:21 0 +122 *1016:176 *3693:32 0 +123 *1016:180 *37845:A 0 +124 *1016:180 *1024:106 0 +125 *1016:180 *1024:112 0 +126 *1016:180 *1024:116 0 +127 *1016:180 *1024:118 0 +128 *1016:180 *2851:45 0 +129 *1016:180 *2942:15 0 +130 *1016:180 *4709:22 0 +131 *1016:180 *4711:24 0 +132 *1016:180 *4969:10 0 +133 *1016:184 *38275:A 0 +134 *1016:184 *1024:118 0 +135 *1016:184 *1536:23 0 +136 *1016:201 *1025:126 0 +137 *1016:201 *2478:32 0 +138 *1016:201 *3712:10 0 +139 *1016:201 *5010:41 0 +140 *1016:211 *3031:43 0 +141 *1016:211 *3298:59 0 +142 *1016:211 *3712:10 0 +143 *1016:211 *4314:28 0 +144 *1016:212 *2446:9 0 +145 *1016:212 *2473:35 0 +146 *1016:212 *2817:33 0 +147 *1016:212 *2818:39 0 +148 *1016:212 *2837:91 0 +149 *1016:212 *3719:37 0 +150 *1016:216 *39669:A 0 +151 *1016:216 *2446:9 0 +152 *1016:216 *2473:21 0 +153 *1016:216 *2473:34 0 +154 *1016:216 *2473:35 0 +155 *1016:216 *2818:39 0 +156 *1016:216 *2818:51 0 +157 *1016:216 *2954:14 0 +158 *1016:216 *4729:23 0 +159 *1016:220 *2444:9 0 +160 *1016:220 *2446:9 0 +161 *1016:220 *2834:41 0 +162 *1016:232 *38363:A 0 +163 *1016:232 *39660:A 0 +164 *1016:232 *2366:12 0 +165 *1016:232 *2398:19 0 +166 *1016:232 *2411:30 0 +167 *1016:232 *2973:23 0 +168 *1016:232 *4026:124 0 +169 *1016:232 *4566:34 0 +170 *1016:232 *4743:20 0 +171 *1016:232 *4903:6 0 +172 *1016:232 *5019:14 0 +173 *1016:235 *6910:DIODE 0 +174 *1016:235 *2411:18 0 +175 *1016:235 *2970:58 0 +176 *1016:235 *3024:78 0 +177 *1016:235 *3391:42 0 +178 *1016:235 *4566:17 0 +179 mprj_adr_o_user[24] *1016:15 0 +180 *287:17 *1016:232 0 +181 *299:9 *1016:56 0 +182 *311:13 *1016:156 0 +183 *312:16 *1016:156 0 +184 *322:25 *1016:69 0 +185 *333:53 *1016:82 0 +186 *347:10 *1016:180 0 +187 *350:10 *1016:180 0 +188 *352:10 *1016:184 0 +189 *352:17 *1016:180 0 +190 *352:17 *1016:184 0 +191 *356:10 *1016:184 0 +192 *357:8 *1016:184 0 +193 *358:10 *1016:184 0 +194 *359:8 *1016:184 0 +195 *365:9 *1016:82 0 +196 *369:19 *1016:184 0 +197 *370:9 *1016:201 0 +198 *371:7 *1016:201 0 +199 *901:8 *1016:15 0 +200 *901:8 *1016:39 0 +201 *901:8 *1016:144 0 +202 *1001:30 *1016:166 0 +203 *1001:30 *1016:201 0 +204 *1007:45 *1016:56 0 +205 *1007:136 *1016:166 0 +206 *1008:40 *1016:116 0 +207 *1008:77 *1016:174 0 +208 *1008:93 *1016:176 0 +209 *1010:25 *1016:15 0 +210 *1010:41 *1016:15 0 +211 *1010:42 *1016:116 0 +212 *1010:57 *1016:116 0 +213 *1010:63 *1016:144 0 +214 *1010:74 *1016:176 0 +215 *1010:86 *1016:176 0 +216 *1010:86 *1016:180 0 +217 *1011:65 *1016:56 0 +218 *1011:85 *1016:82 0 +219 *1011:171 *1016:156 0 +220 *1011:182 *1016:156 0 +221 *1011:182 *1016:166 0 +222 *1011:198 *1016:166 0 +223 *1011:207 *1016:174 0 +224 *1011:218 *1016:176 0 +225 *1013:32 *1016:69 0 +226 *1013:48 *1016:109 0 +227 *1013:50 *1016:109 0 +228 *1015:28 *1016:56 0 +229 *1015:74 *1016:166 0 +*RES +1 mprj_dat_i_user[24] *1016:15 30.8211 +2 *1016:15 *1016:19 47.0982 +3 *1016:19 *1016:39 46.7971 +4 *1016:39 *1016:56 49.6068 +5 *1016:56 *1016:69 39.3661 +6 *1016:69 *1016:82 47.6218 +7 *1016:82 *1016:109 45.2054 +8 *1016:109 *1016:116 46.7143 +9 *1016:116 *1016:137 45.2044 +10 *1016:137 *1016:144 47.9538 +11 *1016:144 *1016:149 9.0975 +12 *1016:149 *1016:150 50.2321 +13 *1016:150 *1016:155 10.9464 +14 *1016:155 *1016:156 108.348 +15 *1016:156 *1016:166 48.1043 +16 *1016:166 *1016:171 10.3118 +17 *1016:171 *1016:174 42.0357 +18 *1016:174 *1016:176 93.7679 +19 *1016:176 *1016:178 0.732143 +20 *1016:178 *1016:180 86.7857 +21 *1016:180 *1016:182 0.732143 +22 *1016:182 *1016:184 187.821 +23 *1016:184 *1016:201 41.8676 +24 *1016:201 *1016:211 42.7242 +25 *1016:211 *1016:212 83.0893 +26 *1016:212 *1016:214 0.428571 +27 *1016:214 *1016:216 56.3929 +28 *1016:216 *1016:218 0.428571 +29 *1016:218 *1016:220 87.6071 +30 *1016:220 *1016:232 47.9985 +31 *1016:232 *1016:235 22.5082 +32 *1016:235 *39538:A 17.1929 +33 *1016:235 *7528:DIODE 15.5679 +*END + +*D_NET *1017 0.134751 +*CONN +*P mprj_dat_i_user[25] I +*I *7530:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39539:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 mprj_dat_i_user[25] 0.00746968 +2 *7530:DIODE 4.53482e-05 +3 *39539:A 0.000157048 +4 *1017:93 0.00188114 +5 *1017:89 0.00497198 +6 *1017:88 0.00329323 +7 *1017:86 0.0443605 +8 *1017:84 0.0493893 +9 *1017:64 0.0103711 +10 *1017:33 0.012812 +11 *7530:DIODE *1720:17 0 +12 *39539:A *6928:DIODE 0 +13 *39539:A *37417:A 0 +14 *39539:A *4584:17 0 +15 *39539:A *4781:7 0 +16 *1017:33 mprj_dat_o_user[25] 0 +17 *1017:33 *1031:28 0 +18 *1017:33 *2553:22 0 +19 *1017:33 *2599:18 0 +20 *1017:33 *2686:17 0 +21 *1017:33 *5053:22 0 +22 *1017:64 *1021:16 0 +23 *1017:64 *1025:62 0 +24 *1017:64 *1031:28 0 +25 *1017:64 *2492:47 0 +26 *1017:84 *38932:A 0 +27 *1017:84 *39755:A 0 +28 *1017:84 *1025:62 0 +29 *1017:84 *1025:72 0 +30 *1017:84 *1031:28 0 +31 *1017:84 *2488:22 0 +32 *1017:84 *2490:36 0 +33 *1017:84 *2518:17 0 +34 *1017:86 *38241:A 0 +35 *1017:86 *1021:16 0 +36 *1017:86 *1025:72 0 +37 *1017:86 *1025:93 0 +38 *1017:86 *1025:111 0 +39 *1017:86 *1025:123 0 +40 *1017:86 *1025:126 0 +41 *1017:86 *1031:28 0 +42 *1017:86 *1031:47 0 +43 *1017:86 *1519:23 0 +44 *1017:86 *1547:76 0 +45 *1017:86 *1552:61 0 +46 *1017:86 *2459:30 0 +47 *1017:86 *2730:16 0 +48 *1017:86 *2752:20 0 +49 *1017:86 *2752:48 0 +50 *1017:86 *3693:21 0 +51 *1017:86 *3762:41 0 +52 *1017:86 *3770:28 0 +53 *1017:86 *4609:18 0 +54 *1017:86 *4745:30 0 +55 *1017:86 *4895:28 0 +56 *1017:86 *4974:27 0 +57 *1017:89 *1751:12 0 +58 *1017:89 *3009:64 0 +59 *1017:89 *3019:28 0 +60 *1017:89 *4607:22 0 +61 *1017:89 *4635:6 0 +62 *1017:93 *5276:DIODE 0 +63 *1017:93 *6928:DIODE 0 +64 *1017:93 *37417:A 0 +65 *1017:93 *39539:B 0 +66 *1017:93 *1466:38 0 +67 *1017:93 *1722:10 0 +68 *1017:93 *1751:12 0 +69 *1017:93 *2388:10 0 +70 *1017:93 *3009:64 0 +71 *1017:93 *3396:39 0 +72 *1017:93 *3398:75 0 +73 *1017:93 *3957:50 0 +74 *1017:93 *4583:12 0 +75 *1017:93 *4779:10 0 +76 *1017:93 *5178:14 0 +77 *1017:93 *5183:8 0 +78 mprj_adr_o_user[25] *1017:33 0 +79 *7484:DIODE *1017:93 0 +80 *39517:A *1017:89 0 +81 *384:17 *1017:89 0 +82 *1001:22 *1017:33 0 +83 *1001:22 *1017:64 0 +84 *1005:18 *1017:33 0 +85 *1005:46 *1017:33 0 +86 *1005:76 *1017:64 0 +87 *1005:76 *1017:84 0 +88 *1007:45 *1017:33 0 +89 *1007:99 *1017:84 0 +90 *1011:218 *1017:86 0 +91 *1013:74 *1017:86 0 +92 *1015:28 *1017:33 0 +93 *1015:48 *1017:33 0 +94 *1015:48 *1017:64 0 +95 *1015:48 *1017:84 0 +96 *1015:74 *1017:84 0 +97 *1015:98 *1017:86 0 +98 *1015:109 *1017:86 0 +*RES +1 mprj_dat_i_user[25] *1017:33 48.7849 +2 *1017:33 *1017:64 49.3516 +3 *1017:64 *1017:84 34.2522 +4 *1017:84 *1017:86 160.648 +5 *1017:86 *1017:88 3.41 +6 *1017:88 *1017:89 74.8036 +7 *1017:89 *1017:93 38.1518 +8 *1017:93 *39539:A 17.2107 +9 *1017:93 *7530:DIODE 14.7464 +*END + +*D_NET *1018 0.131042 +*CONN +*P mprj_dat_i_user[26] I +*I *7532:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39540:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 mprj_dat_i_user[26] 0.00213352 +2 *7532:DIODE 0.000242142 +3 *39540:A 3.50807e-05 +4 *1018:75 0.000277223 +5 *1018:73 0.00211489 +6 *1018:71 0.00381218 +7 *1018:62 0.0150704 +8 *1018:60 0.0150513 +9 *1018:54 0.019566 +10 *1018:52 0.0189824 +11 *1018:44 0.0148491 +12 *1018:20 0.0252643 +13 *1018:11 0.0136434 +14 *7532:DIODE *39324:A 0 +15 *7532:DIODE *4580:15 0 +16 *7532:DIODE *4757:16 0 +17 *1018:11 *2536:20 0 +18 *1018:11 *2600:33 0 +19 *1018:11 *2669:60 0 +20 *1018:20 *1027:10 0 +21 *1018:20 *1027:39 0 +22 *1018:44 *1027:39 0 +23 *1018:44 *1027:63 0 +24 *1018:44 *1925:31 0 +25 *1018:44 *2174:60 0 +26 *1018:52 *1027:63 0 +27 *1018:54 *1027:64 0 +28 *1018:54 *1027:70 0 +29 *1018:54 *2258:31 0 +30 *1018:54 *2300:16 0 +31 *1018:54 *2329:52 0 +32 *1018:54 *2870:31 0 +33 *1018:54 *2871:21 0 +34 *1018:54 *2918:16 0 +35 *1018:54 *2920:19 0 +36 *1018:54 *2962:38 0 +37 *1018:54 *3011:58 0 +38 *1018:54 *3073:68 0 +39 *1018:54 *3358:48 0 +40 *1018:54 *3358:72 0 +41 *1018:54 *3679:38 0 +42 *1018:54 *3713:17 0 +43 *1018:54 *3713:39 0 +44 *1018:54 *3735:22 0 +45 *1018:54 *3899:16 0 +46 *1018:54 *3942:80 0 +47 *1018:60 *1027:70 0 +48 *1018:60 *2430:54 0 +49 *1018:60 *2871:21 0 +50 *1018:60 *2871:39 0 +51 *1018:60 *3543:35 0 +52 *1018:60 *4074:47 0 +53 *1018:62 *1545:27 0 +54 *1018:62 *2430:54 0 +55 *1018:62 *2871:39 0 +56 *1018:62 *2980:41 0 +57 *1018:62 *3079:33 0 +58 *1018:62 *3290:30 0 +59 *1018:62 *3413:132 0 +60 *1018:62 *3490:17 0 +61 *1018:62 *3506:24 0 +62 *1018:62 *3506:37 0 +63 *1018:62 *3543:35 0 +64 *1018:62 *4229:51 0 +65 *1018:62 *5106:17 0 +66 *1018:71 *5527:DIODE 0 +67 *1018:71 *37752:A 0 +68 *1018:71 *1257:13 0 +69 *1018:71 *1461:15 0 +70 *1018:71 *3482:36 0 +71 *1018:73 *38054:C 0 +72 *1018:73 *38136:C 0 +73 *1018:73 *1426:6 0 +74 *1018:73 *1461:15 0 +75 *1018:73 *1467:8 0 +76 *1018:73 *1627:14 0 +77 *1018:73 *1728:17 0 +78 *1018:73 *3012:20 0 +79 *1018:73 *3342:36 0 +80 *1018:73 *3391:64 0 +81 *1018:73 *3391:74 0 +82 *1018:73 *3495:36 0 +83 *1018:73 *4318:104 0 +84 *1018:73 *4426:15 0 +85 *1018:73 *4544:14 0 +86 *1018:73 *5209:194 0 +87 mprj_adr_o_user[26] *1018:11 0 +88 *6887:DIODE *1018:73 0 +89 *264:11 *1018:71 0 +90 *264:15 *1018:71 0 +91 *317:10 *1018:20 0 +92 *323:13 *1018:11 0 +93 *326:14 *1018:20 0 +94 *327:22 *1018:44 0 +95 *328:34 *1018:20 0 +96 *336:52 *1018:44 0 +97 *336:52 *1018:52 0 +98 *336:52 *1018:54 0 +99 *336:72 *1018:20 0 +100 *336:72 *1018:44 0 +*RES +1 mprj_dat_i_user[26] *1018:11 48.4554 +2 *1018:11 *1018:20 45.1413 +3 *1018:20 *1018:44 49.9563 +4 *1018:44 *1018:52 4.07647 +5 *1018:52 *1018:54 64.7989 +6 *1018:54 *1018:60 6.1194 +7 *1018:60 *1018:62 48.4398 +8 *1018:62 *1018:71 41.9814 +9 *1018:71 *1018:73 47.9375 +10 *1018:73 *1018:75 4.5 +11 *1018:75 *39540:A 10.0321 +12 *1018:75 *7532:DIODE 14.3536 +*END + +*D_NET *1019 0.120207 +*CONN +*P mprj_dat_i_user[27] I +*I *7534:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39541:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 mprj_dat_i_user[27] 0.0016336 +2 *7534:DIODE 0 +3 *39541:A 0.000491683 +4 *1019:42 0.00209471 +5 *1019:23 0.00384585 +6 *1019:22 0.00224282 +7 *1019:20 0.0254827 +8 *1019:19 0.0256841 +9 *1019:8 0.0286495 +10 *1019:7 0.0300817 +11 *39541:A *7289:DIODE 0 +12 *39541:A *40244:A 0 +13 *39541:A *3089:38 0 +14 *39541:A *4529:16 0 +15 *39541:A *4530:9 0 +16 *1019:7 mprj_dat_o_user[27] 0 +17 *1019:7 *2585:24 0 +18 *1019:7 *2632:46 0 +19 *1019:7 *2686:43 0 +20 *1019:8 *1517:22 0 +21 *1019:8 *1953:11 0 +22 *1019:8 *1953:51 0 +23 *1019:8 *2158:53 0 +24 *1019:8 *2182:47 0 +25 *1019:8 *2220:40 0 +26 *1019:8 *2420:76 0 +27 *1019:8 *2598:22 0 +28 *1019:8 *2617:27 0 +29 *1019:8 *2693:78 0 +30 *1019:8 *2702:27 0 +31 *1019:8 *2748:37 0 +32 *1019:8 *2853:38 0 +33 *1019:8 *4089:17 0 +34 *1019:8 *4658:17 0 +35 *1019:8 *4685:13 0 +36 *1019:8 *5135:38 0 +37 *1019:8 *5135:61 0 +38 *1019:19 *2278:48 0 +39 *1019:19 *2853:39 0 +40 *1019:20 *2380:34 0 +41 *1019:20 *2402:30 0 +42 *1019:20 *2469:21 0 +43 *1019:20 *3040:25 0 +44 *1019:20 *3079:49 0 +45 *1019:20 *3114:15 0 +46 *1019:20 *3117:36 0 +47 *1019:20 *3280:43 0 +48 *1019:20 *3295:33 0 +49 *1019:20 *3521:45 0 +50 *1019:20 *3701:17 0 +51 *1019:20 *4089:37 0 +52 *1019:20 *5106:17 0 +53 *1019:23 *38048:A_N 0 +54 *1019:23 *1332:19 0 +55 *1019:23 *1751:8 0 +56 *1019:23 *2986:34 0 +57 *1019:23 *3117:20 0 +58 *1019:23 *3489:25 0 +59 *1019:23 *3661:32 0 +60 *1019:23 *3978:120 0 +61 *1019:23 *4907:8 0 +62 *1019:42 *6172:DIODE 0 +63 *1019:42 *39504:B 0 +64 *1019:42 *1453:30 0 +65 *1019:42 *1460:14 0 +66 *1019:42 *2985:8 0 +67 *1019:42 *3089:38 0 +68 *1019:42 *3095:27 0 +69 *1019:42 *3117:9 0 +70 *1019:42 *3117:12 0 +71 *1019:42 *3189:17 0 +72 *1019:42 *3251:12 0 +73 *1019:42 *3963:88 0 +74 mprj_adr_o_user[27] *1019:7 0 +75 *266:19 *1019:20 0 +76 *338:76 *1019:8 0 +77 *1000:14 *1019:8 0 +78 *1000:14 *1019:20 0 +79 *1006:10 *1019:8 0 +80 *1006:10 *1019:20 0 +81 *1006:28 *1019:20 0 +82 *1012:34 *1019:20 0 +*RES +1 mprj_dat_i_user[27] *1019:7 40.5082 +2 *1019:7 *1019:8 103.03 +3 *1019:8 *1019:19 20.195 +4 *1019:19 *1019:20 92.2923 +5 *1019:20 *1019:22 3.41 +6 *1019:22 *1019:23 50.9732 +7 *1019:23 *1019:42 41 +8 *1019:42 *39541:A 29.3893 +9 *1019:42 *7534:DIODE 9.3 +*END + +*D_NET *1020 0.11628 +*CONN +*P mprj_dat_i_user[28] I +*I *7536:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39542:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 mprj_dat_i_user[28] 0.000143148 +2 *7536:DIODE 0 +3 *39542:A 0.000563754 +4 *1020:22 0.00142963 +5 *1020:15 0.0034494 +6 *1020:13 0.00431259 +7 *1020:8 0.0539839 +8 *1020:7 0.0523979 +9 *39542:A *1828:18 0 +10 *39542:A *1874:11 0 +11 *39542:A *3016:52 0 +12 *39542:A *3189:17 0 +13 *39542:A *4545:69 0 +14 *39542:A *5106:28 0 +15 *1020:8 *5012:16 0 +16 *1020:13 *38898:A 0 +17 *1020:13 *3273:24 0 +18 *1020:13 *4616:34 0 +19 *1020:13 *4897:24 0 +20 *1020:15 *1550:17 0 +21 *1020:15 *1733:18 0 +22 *1020:15 *2378:10 0 +23 *1020:15 *3273:24 0 +24 *1020:15 *4018:109 0 +25 *1020:15 *5106:24 0 +26 *1020:22 *3189:17 0 +27 la_data_in_core[107] *1020:13 0 +28 la_oenb_core[106] *1020:13 0 +29 mprj_adr_o_user[28] *1020:7 0 +30 *268:7 *1020:13 0 +31 *331:8 *1020:8 0 +32 *334:8 *1020:8 0 +33 *334:13 *1020:7 0 +34 *335:8 *1020:8 0 +35 *363:29 *1020:13 0 +36 *363:29 *1020:15 0 +37 *1003:8 *1020:8 0 +*RES +1 mprj_dat_i_user[28] *1020:7 7.75 +2 *1020:7 *1020:8 1090.57 +3 *1020:8 *1020:13 43.7589 +4 *1020:13 *1020:15 58.7768 +5 *1020:15 *1020:22 24.2143 +6 *1020:22 *39542:A 30.9429 +7 *1020:22 *7536:DIODE 9.3 +*END + +*D_NET *1021 0.127614 +*CONN +*P mprj_dat_i_user[29] I +*I *7538:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39543:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 mprj_dat_i_user[29] 0.00228733 +2 *7538:DIODE 6.50276e-05 +3 *39543:A 9.09668e-05 +4 *1021:19 0.00495446 +5 *1021:18 0.00479847 +6 *1021:16 0.0565653 +7 *1021:15 0.0588526 +8 *7538:DIODE *1875:11 0 +9 *39543:A *1720:17 0 +10 *39543:A *4545:16 0 +11 *1021:15 mprj_dat_o_user[29] 0 +12 *1021:15 *39297:A 0 +13 *1021:15 *1031:10 0 +14 *1021:15 *2523:66 0 +15 *1021:15 *2633:56 0 +16 *1021:15 *2657:15 0 +17 *1021:16 *38241:A 0 +18 *1021:16 *1024:35 0 +19 *1021:16 *1025:72 0 +20 *1021:16 *1025:93 0 +21 *1021:16 *1025:111 0 +22 *1021:16 *1025:123 0 +23 *1021:16 *1026:75 0 +24 *1021:16 *1028:46 0 +25 *1021:16 *1031:28 0 +26 *1021:16 *1031:47 0 +27 *1021:16 *1519:23 0 +28 *1021:16 *1547:76 0 +29 *1021:16 *2730:16 0 +30 *1021:16 *2752:20 0 +31 *1021:16 *3693:21 0 +32 *1021:16 *3729:27 0 +33 *1021:16 *3735:41 0 +34 *1021:16 *3770:28 0 +35 *1021:16 *4974:27 0 +36 *1021:19 *5549:DIODE 0 +37 *1021:19 *38095:A 0 +38 *1021:19 *1464:18 0 +39 *1021:19 *1734:21 0 +40 *1021:19 *1737:27 0 +41 *1021:19 *2813:62 0 +42 *1021:19 *2819:27 0 +43 *1021:19 *2828:38 0 +44 *1021:19 *3039:46 0 +45 *1021:19 *3189:10 0 +46 *1021:19 *3370:22 0 +47 *1021:19 *3474:42 0 +48 *1021:19 *3731:12 0 +49 *1021:19 *4587:15 0 +50 *1021:19 *4616:13 0 +51 *1021:19 *4616:14 0 +52 *1021:19 *4918:11 0 +53 *1021:19 *5209:47 0 +54 mprj_adr_o_user[29] *1021:15 0 +55 *6890:DIODE *39543:A 0 +56 *265:23 *1021:19 0 +57 *281:7 *1021:19 0 +58 *290:17 *1021:19 0 +59 *357:23 *1021:16 0 +60 *367:8 *1021:16 0 +61 *372:13 *1021:16 0 +62 *379:23 *1021:16 0 +63 *901:8 *1021:16 0 +64 *1005:18 *1021:15 0 +65 *1005:46 *1021:16 0 +66 *1005:76 *1021:16 0 +67 *1007:45 *1021:15 0 +68 *1008:94 *1021:16 0 +69 *1011:218 *1021:16 0 +70 *1013:48 *1021:16 0 +71 *1016:39 *1021:15 0 +72 *1017:64 *1021:16 0 +73 *1017:86 *1021:16 0 +*RES +1 mprj_dat_i_user[29] *1021:15 33.4409 +2 *1021:15 *1021:16 204.86 +3 *1021:16 *1021:18 3.41 +4 *1021:18 *1021:19 108.955 +5 *1021:19 *39543:A 15.7821 +6 *1021:19 *7538:DIODE 15.1571 +*END + +*D_NET *1022 0.119906 +*CONN +*P mprj_dat_i_user[2] I +*I *39544:A I *D sky130_fd_sc_hd__nand2_1 +*I *7540:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_dat_i_user[2] 0.00186289 +2 *39544:A 1.7139e-05 +3 *7540:DIODE 0.0014423 +4 *1022:34 0.00592153 +5 *1022:16 0.0191069 +6 *1022:15 0.0146865 +7 *1022:10 0.0375238 +8 *1022:9 0.0393451 +9 *7540:DIODE *2794:25 0 +10 *7540:DIODE *3034:20 0 +11 *7540:DIODE *3041:11 0 +12 *7540:DIODE *3145:74 0 +13 *7540:DIODE *3276:53 0 +14 *7540:DIODE *3291:37 0 +15 *7540:DIODE *5196:39 0 +16 *1022:9 mprj_dat_o_user[2] 0 +17 *1022:9 *2618:22 0 +18 *1022:10 *1027:10 0 +19 *1022:10 *1027:63 0 +20 *1022:10 *1926:33 0 +21 *1022:10 *2158:31 0 +22 *1022:10 *2158:53 0 +23 *1022:10 *2170:28 0 +24 *1022:10 *2197:71 0 +25 *1022:10 *2258:31 0 +26 *1022:10 *2270:21 0 +27 *1022:10 *2273:26 0 +28 *1022:10 *2309:21 0 +29 *1022:10 *2420:76 0 +30 *1022:10 *2508:16 0 +31 *1022:10 *2561:26 0 +32 *1022:10 *2590:30 0 +33 *1022:10 *2880:34 0 +34 *1022:10 *4655:13 0 +35 *1022:10 *5134:30 0 +36 *1022:16 *1027:64 0 +37 *1022:16 *1820:33 0 +38 *1022:16 *2268:40 0 +39 *1022:16 *2273:43 0 +40 *1022:16 *2305:39 0 +41 *1022:16 *2308:27 0 +42 *1022:16 *2309:29 0 +43 *1022:16 *2402:55 0 +44 *1022:16 *2417:90 0 +45 *1022:16 *2420:57 0 +46 *1022:16 *2595:16 0 +47 *1022:16 *2918:20 0 +48 *1022:16 *3073:74 0 +49 *1022:16 *3081:63 0 +50 *1022:16 *3543:21 0 +51 *1022:16 *4074:24 0 +52 *1022:34 *2391:76 0 +53 *1022:34 *2402:55 0 +54 *1022:34 *2682:21 0 +55 *1022:34 *2816:19 0 +56 *1022:34 *3543:21 0 +57 mprj_adr_o_user[2] *1022:9 0 +58 *327:22 *1022:10 0 +59 *336:23 *1022:16 0 +60 *338:47 *1022:10 0 +61 *401:34 *7540:DIODE 0 +62 *529:19 *7540:DIODE 0 +63 *785:43 *7540:DIODE 0 +64 *1000:14 *1022:10 0 +65 *1012:10 *1022:10 0 +66 *1012:10 *1022:16 0 +*RES +1 mprj_dat_i_user[2] *1022:9 45.7046 +2 *1022:9 *1022:10 135.749 +3 *1022:10 *1022:15 7.76643 +4 *1022:15 *1022:16 53.052 +5 *1022:16 *1022:34 28.2733 +6 *1022:34 *7540:DIODE 33.4228 +7 *1022:34 *39544:A 14.2375 +*END + +*D_NET *1023 0.13137 +*CONN +*P mprj_dat_i_user[30] I +*I *7542:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39545:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 mprj_dat_i_user[30] 0.00251832 +2 *7542:DIODE 2.56688e-05 +3 *39545:A 0.000144682 +4 *1023:101 0.00473129 +5 *1023:100 0.00456094 +6 *1023:98 0.0372336 +7 *1023:96 0.0435489 +8 *1023:92 0.00780596 +9 *1023:78 0.0059412 +10 *1023:76 0.00602061 +11 *1023:61 0.00346472 +12 *1023:50 0.00317916 +13 *1023:47 0.00189425 +14 *1023:34 0.0028964 +15 *1023:31 0.00358644 +16 *1023:19 0.0038181 +17 *7542:DIODE *37468:A 0 +18 *39545:A *37470:A 0 +19 *39545:A *5209:8 0 +20 *39545:A *5209:15 0 +21 *1023:19 mprj_dat_o_user[30] 0 +22 *1023:19 *39828:A 0 +23 *1023:19 *1024:18 0 +24 *1023:19 *2511:16 0 +25 *1023:19 *2565:29 0 +26 *1023:19 *2645:16 0 +27 *1023:19 *2658:14 0 +28 *1023:19 *2669:48 0 +29 *1023:19 *2686:5 0 +30 *1023:31 *2543:21 0 +31 *1023:31 *4659:29 0 +32 *1023:34 *39731:A 0 +33 *1023:34 *39856:A 0 +34 *1023:34 *2543:19 0 +35 *1023:34 *2574:64 0 +36 *1023:34 *2669:38 0 +37 *1023:34 *2686:5 0 +38 *1023:47 *1025:62 0 +39 *1023:47 *2669:26 0 +40 *1023:50 *2574:17 0 +41 *1023:50 *2574:40 0 +42 *1023:50 *2666:24 0 +43 *1023:61 *39839:A 0 +44 *1023:61 *2485:19 0 +45 *1023:61 *2492:47 0 +46 *1023:61 *2574:17 0 +47 *1023:61 *2651:5 0 +48 *1023:61 *2667:67 0 +49 *1023:76 *39705:A 0 +50 *1023:76 *39707:A 0 +51 *1023:76 *2485:19 0 +52 *1023:76 *2518:17 0 +53 *1023:76 *2519:47 0 +54 *1023:76 *2521:58 0 +55 *1023:76 *2651:5 0 +56 *1023:78 *1024:76 0 +57 *1023:78 *2452:40 0 +58 *1023:78 *2453:31 0 +59 *1023:78 *2453:38 0 +60 *1023:78 *2521:58 0 +61 *1023:78 *2878:35 0 +62 *1023:92 *39810:A 0 +63 *1023:92 *1503:19 0 +64 *1023:92 *4951:43 0 +65 *1023:96 *1028:46 0 +66 *1023:96 *1285:15 0 +67 *1023:96 *2648:15 0 +68 *1023:98 *1285:15 0 +69 *1023:98 *1290:25 0 +70 *1023:98 *1511:27 0 +71 *1023:98 *1544:20 0 +72 *1023:98 *1545:49 0 +73 *1023:98 *3161:54 0 +74 *1023:98 *3424:36 0 +75 *1023:98 *3625:45 0 +76 *1023:98 *4316:16 0 +77 *1023:101 *5541:DIODE 0 +78 *1023:101 *6515:DIODE 0 +79 *1023:101 *37470:A 0 +80 *1023:101 *1252:12 0 +81 *1023:101 *1582:13 0 +82 *1023:101 *1593:10 0 +83 *1023:101 *1851:14 0 +84 *1023:101 *3039:52 0 +85 *1023:101 *3398:94 0 +86 *1023:101 *3953:94 0 +87 *1023:101 *4619:11 0 +88 *1023:101 *4634:8 0 +89 *1023:101 *4742:8 0 +90 *1023:101 *4921:8 0 +91 *1023:101 *5176:9 0 +92 *311:13 *1023:92 0 +93 *311:22 *1023:78 0 +94 *312:16 *1023:92 0 +95 *325:22 *1023:78 0 +96 *333:18 *1023:96 0 +97 *333:18 *1023:98 0 +98 *333:42 *1023:92 0 +99 *333:42 *1023:96 0 +100 *339:8 *1023:98 0 +101 *344:29 *1023:92 0 +102 *345:31 *1023:92 0 +103 *345:31 *1023:96 0 +104 *358:24 *1023:98 0 +105 *367:8 *1023:98 0 +106 *386:27 *1023:101 0 +107 *901:8 *1023:19 0 +108 *901:8 *1023:47 0 +109 *1002:26 *1023:98 0 +110 *1008:94 *1023:98 0 +111 *1010:96 *1023:98 0 +112 *1011:131 *1023:61 0 +113 *1011:174 *1023:78 0 +114 *1011:228 *1023:98 0 +115 *1013:50 *1023:50 0 +116 *1013:50 *1023:61 0 +117 *1013:50 *1023:76 0 +118 *1014:106 *1023:98 0 +119 *1016:69 *1023:31 0 +120 *1016:109 *1023:34 0 +121 *1016:109 *1023:47 0 +122 *1016:150 *1023:78 0 +*RES +1 mprj_dat_i_user[30] *1023:19 46.464 +2 *1023:19 *1023:31 41.2857 +3 *1023:31 *1023:34 47.7857 +4 *1023:34 *1023:47 26.4065 +5 *1023:47 *1023:50 26.8393 +6 *1023:50 *1023:61 48.8214 +7 *1023:61 *1023:76 33.3214 +8 *1023:76 *1023:78 92.9464 +9 *1023:78 *1023:92 37.3704 +10 *1023:92 *1023:96 22.9015 +11 *1023:96 *1023:98 134.848 +12 *1023:98 *1023:100 3.41 +13 *1023:100 *1023:101 103.491 +14 *1023:101 *39545:A 16.9607 +15 *1023:101 *7542:DIODE 14.3357 +*END + +*D_NET *1024 0.129877 +*CONN +*P mprj_dat_i_user[31] I +*I *7544:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39546:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 mprj_dat_i_user[31] 0.00438168 +2 *7544:DIODE 0.000104386 +3 *39546:A 0 +4 *1024:139 0.000104386 +5 *1024:137 0.00478103 +6 *1024:136 0.00618426 +7 *1024:132 0.00324496 +8 *1024:126 0.0143722 +9 *1024:124 0.0139521 +10 *1024:118 0.0116382 +11 *1024:116 0.0109206 +12 *1024:112 0.00282592 +13 *1024:106 0.00729378 +14 *1024:105 0.00584607 +15 *1024:94 0.00628886 +16 *1024:93 0.00689341 +17 *1024:87 0.00246818 +18 *1024:76 0.00495414 +19 *1024:75 0.00514882 +20 *1024:63 0.00327883 +21 *1024:53 0.00241841 +22 *1024:36 0.00365866 +23 *1024:35 0.00393571 +24 *1024:18 0.00518238 +25 *7544:DIODE *37470:A 0 +26 *7544:DIODE *2967:38 0 +27 *7544:DIODE *4776:9 0 +28 *1024:18 mprj_dat_o_user[31] 0 +29 *1024:18 *39300:A 0 +30 *1024:18 *2566:28 0 +31 *1024:18 *2622:14 0 +32 *1024:18 *2624:44 0 +33 *1024:18 *2651:49 0 +34 *1024:18 *2655:11 0 +35 *1024:18 *2658:14 0 +36 *1024:18 *4960:17 0 +37 *1024:35 *39737:A 0 +38 *1024:35 *1031:28 0 +39 *1024:35 *2506:32 0 +40 *1024:35 *2780:27 0 +41 *1024:35 *4736:16 0 +42 *1024:36 *39809:A 0 +43 *1024:36 *1026:44 0 +44 *1024:36 *2543:14 0 +45 *1024:36 *2633:20 0 +46 *1024:36 *2634:17 0 +47 *1024:63 *1026:50 0 +48 *1024:63 *2485:19 0 +49 *1024:63 *2521:64 0 +50 *1024:63 *4647:19 0 +51 *1024:75 *2574:16 0 +52 *1024:75 *2648:20 0 +53 *1024:75 *4658:28 0 +54 *1024:76 *2452:40 0 +55 *1024:76 *2878:35 0 +56 *1024:87 *1503:22 0 +57 *1024:87 *2878:35 0 +58 *1024:87 *4954:14 0 +59 *1024:93 *2878:41 0 +60 *1024:94 *2681:5 0 +61 *1024:94 *2752:57 0 +62 *1024:105 *2482:35 0 +63 *1024:105 *2681:5 0 +64 *1024:105 *4347:14 0 +65 *1024:105 *4964:9 0 +66 *1024:106 *4709:22 0 +67 *1024:106 *4711:24 0 +68 *1024:112 *38235:A 0 +69 *1024:112 *1025:111 0 +70 *1024:116 *37845:A 0 +71 *1024:116 *2942:15 0 +72 *1024:118 *2465:14 0 +73 *1024:118 *2942:15 0 +74 *1024:124 *2477:33 0 +75 *1024:126 *2448:15 0 +76 *1024:126 *2467:22 0 +77 *1024:126 *3735:41 0 +78 *1024:132 *4616:29 0 +79 *1024:132 *4616:31 0 +80 *1024:132 *4630:16 0 +81 *1024:136 *4630:9 0 +82 *1024:136 *4630:16 0 +83 *1024:137 *7348:DIODE 0 +84 *1024:137 *7545:DIODE 0 +85 *1024:137 *1120:11 0 +86 *1024:137 *1243:32 0 +87 *1024:137 *1587:8 0 +88 *1024:137 *1590:42 0 +89 *1024:137 *1607:14 0 +90 *1024:137 *1735:16 0 +91 *1024:137 *1753:11 0 +92 *1024:137 *3009:71 0 +93 *1024:137 *3940:26 0 +94 *1024:137 *4585:13 0 +95 *1024:137 *4627:6 0 +96 mprj_adr_o_user[31] *1024:18 0 +97 *7378:DIODE *1024:137 0 +98 *278:10 *1024:132 0 +99 *284:23 *1024:137 0 +100 *315:10 *1024:93 0 +101 *325:22 *1024:94 0 +102 *333:53 *1024:18 0 +103 *346:18 *1024:94 0 +104 *346:30 *1024:94 0 +105 *357:23 *1024:124 0 +106 *369:19 *1024:118 0 +107 *369:26 *1024:118 0 +108 *369:26 *1024:124 0 +109 *369:26 *1024:126 0 +110 *369:28 *1024:126 0 +111 *384:8 *1024:126 0 +112 *384:8 *1024:132 0 +113 *901:8 *1024:18 0 +114 *901:8 *1024:75 0 +115 *1005:76 *1024:75 0 +116 *1008:54 *1024:53 0 +117 *1008:93 *1024:105 0 +118 *1010:63 *1024:63 0 +119 *1011:85 *1024:35 0 +120 *1011:107 *1024:36 0 +121 *1011:144 *1024:63 0 +122 *1011:144 *1024:75 0 +123 *1011:174 *1024:87 0 +124 *1013:50 *1024:76 0 +125 *1013:64 *1024:94 0 +126 *1016:39 *1024:18 0 +127 *1016:116 *1024:36 0 +128 *1016:144 *1024:63 0 +129 *1016:150 *1024:76 0 +130 *1016:156 *1024:93 0 +131 *1016:176 *1024:106 0 +132 *1016:180 *1024:106 0 +133 *1016:180 *1024:112 0 +134 *1016:180 *1024:116 0 +135 *1016:180 *1024:118 0 +136 *1016:184 *1024:118 0 +137 *1021:16 *1024:35 0 +138 *1023:19 *1024:18 0 +139 *1023:78 *1024:76 0 +*RES +1 mprj_dat_i_user[31] *1024:18 45.4273 +2 *1024:18 *1024:35 35.0054 +3 *1024:35 *1024:36 65.4286 +4 *1024:36 *1024:53 29.3929 +5 *1024:53 *1024:63 48.7143 +6 *1024:63 *1024:75 37.0245 +7 *1024:75 *1024:76 78.5714 +8 *1024:76 *1024:87 42.9821 +9 *1024:87 *1024:93 35.8214 +10 *1024:93 *1024:94 117.179 +11 *1024:94 *1024:105 32.2143 +12 *1024:105 *1024:106 107.938 +13 *1024:106 *1024:112 44.4107 +14 *1024:112 *1024:116 14.7232 +15 *1024:116 *1024:118 213.286 +16 *1024:118 *1024:124 29.7321 +17 *1024:124 *1024:126 261.545 +18 *1024:126 *1024:132 38.5625 +19 *1024:132 *1024:136 33.7857 +20 *1024:136 *1024:137 108.5 +21 *1024:137 *1024:139 4.5 +22 *1024:139 *39546:A 9.3 +23 *1024:139 *7544:DIODE 11.4786 +*END + +*D_NET *1025 0.132842 +*CONN +*P mprj_dat_i_user[3] I +*I *7546:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39547:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 mprj_dat_i_user[3] 0.000540325 +2 *7546:DIODE 0 +3 *39547:A 0.000844623 +4 *1025:160 0.00164635 +5 *1025:151 0.00240751 +6 *1025:127 0.00385015 +7 *1025:126 0.0120078 +8 *1025:123 0.0137676 +9 *1025:111 0.00863539 +10 *1025:93 0.0101792 +11 *1025:72 0.0160283 +12 *1025:62 0.0183828 +13 *1025:40 0.0152591 +14 *1025:13 0.0180544 +15 *1025:7 0.0112381 +16 *39547:A *40210:A 0 +17 *39547:A *1879:21 0 +18 *39547:A *3038:42 0 +19 *39547:A *3113:35 0 +20 *39547:A *3184:56 0 +21 *39547:A *4411:21 0 +22 *39547:A *5179:91 0 +23 *1025:7 mprj_dat_o_user[3] 0 +24 *1025:7 *39363:A 0 +25 *1025:7 *2614:34 0 +26 *1025:13 *1031:10 0 +27 *1025:13 *2673:31 0 +28 *1025:13 *5053:22 0 +29 *1025:40 *2543:14 0 +30 *1025:40 *2658:14 0 +31 *1025:40 *4997:8 0 +32 *1025:62 *39158:A 0 +33 *1025:62 *2492:47 0 +34 *1025:62 *2574:40 0 +35 *1025:62 *2634:17 0 +36 *1025:62 *2658:14 0 +37 *1025:62 *2775:30 0 +38 *1025:62 *4669:35 0 +39 *1025:72 *38956:A 0 +40 *1025:72 *2484:17 0 +41 *1025:93 *1026:75 0 +42 *1025:93 *1515:14 0 +43 *1025:126 *1547:64 0 +44 *1025:126 *1552:61 0 +45 *1025:126 *2450:14 0 +46 *1025:126 *2465:23 0 +47 *1025:126 *5016:25 0 +48 *1025:127 *3310:59 0 +49 *1025:151 *8861:DIODE 0 +50 *1025:151 *2378:17 0 +51 *1025:151 *2414:126 0 +52 *1025:151 *2839:25 0 +53 *1025:160 *3023:40 0 +54 *1025:160 *3113:35 0 +55 *1025:160 *3184:56 0 +56 *1025:160 *3413:94 0 +57 *1025:160 *3416:54 0 +58 *1025:160 *3481:22 0 +59 mprj_adr_o_user[3] *1025:7 0 +60 *293:18 *1025:62 0 +61 *354:11 *1025:40 0 +62 *369:19 *1025:126 0 +63 *377:27 *1025:127 0 +64 *542:60 *39547:A 0 +65 *901:8 *1025:13 0 +66 *901:8 *1025:40 0 +67 *901:8 *1025:62 0 +68 *1001:22 *1025:13 0 +69 *1001:22 *1025:40 0 +70 *1001:22 *1025:62 0 +71 *1001:22 *1025:72 0 +72 *1001:30 *1025:126 0 +73 *1001:100 *39547:A 0 +74 *1003:13 *1025:127 0 +75 *1003:22 *1025:127 0 +76 *1005:18 *1025:13 0 +77 *1005:76 *1025:62 0 +78 *1006:38 *1025:151 0 +79 *1007:15 *1025:13 0 +80 *1007:109 *1025:72 0 +81 *1008:100 *1025:127 0 +82 *1008:109 *1025:151 0 +83 *1013:74 *1025:126 0 +84 *1015:28 *1025:13 0 +85 *1015:28 *1025:40 0 +86 *1015:48 *1025:40 0 +87 *1015:48 *1025:62 0 +88 *1015:74 *1025:62 0 +89 *1015:74 *1025:72 0 +90 *1015:98 *1025:93 0 +91 *1015:98 *1025:123 0 +92 *1015:109 *1025:126 0 +93 *1016:56 *1025:40 0 +94 *1016:201 *1025:126 0 +95 *1017:64 *1025:62 0 +96 *1017:84 *1025:62 0 +97 *1017:84 *1025:72 0 +98 *1017:86 *1025:72 0 +99 *1017:86 *1025:93 0 +100 *1017:86 *1025:111 0 +101 *1017:86 *1025:123 0 +102 *1017:86 *1025:126 0 +103 *1021:16 *1025:72 0 +104 *1021:16 *1025:93 0 +105 *1021:16 *1025:111 0 +106 *1021:16 *1025:123 0 +107 *1023:47 *1025:62 0 +108 *1024:112 *1025:111 0 +*RES +1 mprj_dat_i_user[3] *1025:7 15.6154 +2 *1025:7 *1025:13 46.6899 +3 *1025:13 *1025:40 47.4458 +4 *1025:40 *1025:62 41.2934 +5 *1025:62 *1025:72 49.3181 +6 *1025:72 *1025:93 48.4658 +7 *1025:93 *1025:111 42.8042 +8 *1025:111 *1025:123 30.3935 +9 *1025:123 *1025:126 38.7697 +10 *1025:126 *1025:127 50.9732 +11 *1025:127 *1025:151 45.3729 +12 *1025:151 *1025:160 22.8214 +13 *1025:160 *39547:A 37.3179 +14 *1025:160 *7546:DIODE 9.3 +*END + +*D_NET *1026 0.113911 +*CONN +*P mprj_dat_i_user[4] I +*I *7548:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39548:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 mprj_dat_i_user[4] 0.000544258 +2 *7548:DIODE 0.000625875 +3 *39548:A 5.4935e-05 +4 *1026:101 0.00697596 +5 *1026:88 0.0148031 +6 *1026:75 0.0122808 +7 *1026:61 0.00525584 +8 *1026:50 0.0162909 +9 *1026:49 0.0149938 +10 *1026:44 0.00181578 +11 *1026:32 0.0118358 +12 *1026:31 0.0103488 +13 *1026:20 0.00232517 +14 *1026:8 0.00869915 +15 *1026:7 0.00706113 +16 *7548:DIODE *2712:181 0 +17 *7548:DIODE *3174:16 0 +18 *7548:DIODE *3296:49 0 +19 *7548:DIODE *3504:42 0 +20 *7548:DIODE *3744:70 0 +21 *7548:DIODE *4754:12 0 +22 *39548:A *3744:70 0 +23 *1026:7 mprj_dat_o_user[4] 0 +24 *1026:7 *7337:DIODE 0 +25 *1026:7 *39302:A 0 +26 *1026:7 *2678:23 0 +27 *1026:8 *2603:25 0 +28 *1026:8 *2621:7 0 +29 *1026:20 *39855:A 0 +30 *1026:20 *2591:29 0 +31 *1026:20 *2603:5 0 +32 *1026:20 *2603:23 0 +33 *1026:20 *2603:25 0 +34 *1026:20 *2618:16 0 +35 *1026:20 *2621:7 0 +36 *1026:20 *2685:10 0 +37 *1026:20 *2686:57 0 +38 *1026:31 *2603:5 0 +39 *1026:32 *7771:DIODE 0 +40 *1026:32 *39737:A 0 +41 *1026:32 *39748:A 0 +42 *1026:32 *39754:A 0 +43 *1026:32 *39782:A 0 +44 *1026:32 *39809:A 0 +45 *1026:32 *39817:A 0 +46 *1026:32 *39831:A 0 +47 *1026:32 *2543:14 0 +48 *1026:32 *2565:12 0 +49 *1026:32 *2565:44 0 +50 *1026:32 *2574:71 0 +51 *1026:32 *2591:29 0 +52 *1026:32 *2629:24 0 +53 *1026:44 *2490:36 0 +54 *1026:44 *2492:47 0 +55 *1026:44 *2658:14 0 +56 *1026:50 *37917:A 0 +57 *1026:50 *37981:A 0 +58 *1026:50 *38187:A 0 +59 *1026:50 *38209:A 0 +60 *1026:50 *38213:A 0 +61 *1026:50 *39852:A 0 +62 *1026:50 *2647:13 0 +63 *1026:50 *2680:10 0 +64 *1026:50 *2765:53 0 +65 *1026:50 *2878:41 0 +66 *1026:50 *4954:26 0 +67 *1026:50 *4956:15 0 +68 *1026:61 *37737:A 0 +69 *1026:75 *2344:23 0 +70 *1026:75 *3161:54 0 +71 *1026:88 *1031:76 0 +72 *1026:88 *4316:23 0 +73 *1026:101 *2402:55 0 +74 *1026:101 *2720:8 0 +75 *1026:101 *3031:17 0 +76 *1026:101 *3420:20 0 +77 *1026:101 *3701:20 0 +78 *1026:101 *3983:17 0 +79 *1026:101 *4981:39 0 +80 *6594:DIODE *7548:DIODE 0 +81 *305:19 *1026:7 0 +82 *312:16 *1026:50 0 +83 *336:23 *1026:75 0 +84 *1002:8 *1026:75 0 +85 *1002:8 *1026:88 0 +86 *1005:88 *1026:88 0 +87 *1007:21 *1026:20 0 +88 *1007:99 *1026:50 0 +89 *1007:160 *1026:75 0 +90 *1007:160 *1026:88 0 +91 *1007:160 *1026:101 0 +92 *1008:40 *1026:32 0 +93 *1008:54 *1026:50 0 +94 *1008:56 *1026:50 0 +95 *1008:77 *1026:50 0 +96 *1008:77 *1026:61 0 +97 *1010:42 *1026:32 0 +98 *1010:57 *1026:44 0 +99 *1010:73 *1026:50 0 +100 *1010:74 *1026:50 0 +101 *1010:85 *1026:61 0 +102 *1010:86 *1026:61 0 +103 *1011:8 *1026:8 0 +104 *1011:21 *1026:20 0 +105 *1011:40 *1026:32 0 +106 *1011:56 *1026:32 0 +107 *1011:65 *1026:32 0 +108 *1011:74 *1026:32 0 +109 *1011:85 *1026:32 0 +110 *1011:107 *1026:32 0 +111 *1011:144 *1026:50 0 +112 *1011:166 *1026:50 0 +113 *1011:171 *1026:50 0 +114 *1011:182 *1026:50 0 +115 *1011:198 *1026:50 0 +116 *1011:207 *1026:50 0 +117 *1011:218 *1026:61 0 +118 *1014:49 *1026:75 0 +119 *1014:74 *1026:88 0 +120 *1014:74 *1026:101 0 +121 *1016:82 *1026:32 0 +122 *1016:116 *1026:32 0 +123 *1016:116 *1026:44 0 +124 *1016:156 *1026:50 0 +125 *1016:174 *1026:50 0 +126 *1016:176 *1026:50 0 +127 *1016:176 *1026:61 0 +128 *1021:16 *1026:75 0 +129 *1024:36 *1026:44 0 +130 *1024:63 *1026:50 0 +131 *1025:93 *1026:75 0 +*RES +1 mprj_dat_i_user[4] *1026:7 16.8571 +2 *1026:7 *1026:8 136.071 +3 *1026:8 *1026:20 45.6071 +4 *1026:20 *1026:31 21.125 +5 *1026:31 *1026:32 212.875 +6 *1026:32 *1026:44 46.9379 +7 *1026:44 *1026:49 12.1332 +8 *1026:49 *1026:50 308.982 +9 *1026:50 *1026:61 48.1154 +10 *1026:61 *1026:75 39.1913 +11 *1026:75 *1026:88 47.7257 +12 *1026:88 *1026:101 41.2441 +13 *1026:101 *39548:A 17.6419 +14 *1026:101 *7548:DIODE 20.4984 +*END + +*D_NET *1027 0.127166 +*CONN +*P mprj_dat_i_user[5] I +*I *39549:A I *D sky130_fd_sc_hd__nand2_1 +*I *7550:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_dat_i_user[5] 0.00195295 +2 *39549:A 4.70594e-06 +3 *7550:DIODE 0 +4 *1027:120 0.00192051 +5 *1027:96 0.00230432 +6 *1027:80 0.00214482 +7 *1027:74 0.00422646 +8 *1027:70 0.0034448 +9 *1027:64 0.0188056 +10 *1027:63 0.0245502 +11 *1027:39 0.0166106 +12 *1027:10 0.029565 +13 *1027:9 0.021636 +14 *1027:9 mprj_dat_o_user[5] 0 +15 *1027:9 *39367:A 0 +16 *1027:9 *2608:12 0 +17 *1027:39 *1494:33 0 +18 *1027:39 *1925:31 0 +19 *1027:39 *1943:23 0 +20 *1027:39 *2174:60 0 +21 *1027:39 *2197:52 0 +22 *1027:39 *2875:24 0 +23 *1027:63 *2258:31 0 +24 *1027:63 *2270:21 0 +25 *1027:63 *2273:26 0 +26 *1027:63 *2273:43 0 +27 *1027:63 *2293:13 0 +28 *1027:63 *2329:52 0 +29 *1027:64 *2258:51 0 +30 *1027:64 *2268:40 0 +31 *1027:64 *2273:43 0 +32 *1027:64 *2305:39 0 +33 *1027:64 *2308:27 0 +34 *1027:64 *2312:15 0 +35 *1027:64 *2856:38 0 +36 *1027:64 *2918:20 0 +37 *1027:64 *3081:63 0 +38 *1027:64 *3543:35 0 +39 *1027:64 *3674:44 0 +40 *1027:64 *3717:31 0 +41 *1027:64 *4341:39 0 +42 *1027:70 *3543:35 0 +43 *1027:74 *39625:A 0 +44 *1027:74 *3073:37 0 +45 *1027:74 *3163:40 0 +46 *1027:74 *3487:45 0 +47 *1027:74 *3946:48 0 +48 *1027:74 *3987:43 0 +49 *1027:74 *3990:16 0 +50 *1027:74 *3996:10 0 +51 *1027:74 *4215:74 0 +52 *1027:80 *7978:DIODE 0 +53 *1027:80 *3070:50 0 +54 *1027:80 *3194:107 0 +55 *1027:80 *3262:86 0 +56 *1027:80 *3428:21 0 +57 *1027:80 *3497:59 0 +58 *1027:80 *3904:49 0 +59 *1027:96 *2712:97 0 +60 *1027:120 *37444:A 0 +61 *1027:120 *40252:A 0 +62 *1027:120 *40326:A 0 +63 *1027:120 *1864:36 0 +64 *1027:120 *2373:145 0 +65 *1027:120 *3018:95 0 +66 *1027:120 *3250:39 0 +67 *1027:120 *4524:73 0 +68 *1027:120 *4550:63 0 +69 *1027:120 *4590:93 0 +70 *1027:120 *5105:66 0 +71 mprj_adr_o_user[5] *1027:9 0 +72 *6683:DIODE *1027:96 0 +73 *6748:DIODE *1027:120 0 +74 *314:20 *1027:10 0 +75 *317:10 *1027:10 0 +76 *327:22 *1027:10 0 +77 *327:22 *1027:39 0 +78 *327:22 *1027:63 0 +79 *535:29 *1027:120 0 +80 *541:59 *1027:120 0 +81 *789:49 *1027:80 0 +82 *789:59 *1027:96 0 +83 *1000:78 *1027:120 0 +84 *1018:20 *1027:10 0 +85 *1018:20 *1027:39 0 +86 *1018:44 *1027:39 0 +87 *1018:44 *1027:63 0 +88 *1018:52 *1027:63 0 +89 *1018:54 *1027:64 0 +90 *1018:54 *1027:70 0 +91 *1018:60 *1027:70 0 +92 *1022:10 *1027:10 0 +93 *1022:10 *1027:63 0 +94 *1022:16 *1027:64 0 +*RES +1 mprj_dat_i_user[5] *1027:9 47.7225 +2 *1027:9 *1027:10 71.2849 +3 *1027:10 *1027:39 44.7001 +4 *1027:39 *1027:63 33.5156 +5 *1027:63 *1027:64 64.5467 +6 *1027:64 *1027:70 6.99767 +7 *1027:70 *1027:74 49.17 +8 *1027:74 *1027:80 47.6332 +9 *1027:80 *1027:96 17.7321 +10 *1027:96 *1027:120 43.4468 +11 *1027:120 *7550:DIODE 9.3 +12 *1027:96 *39549:A 9.46071 +*END + +*D_NET *1028 0.125165 +*CONN +*P mprj_dat_i_user[6] I +*I *7552:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39550:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 mprj_dat_i_user[6] 0.000793961 +2 *7552:DIODE 0 +3 *39550:A 0.000300002 +4 *1028:94 0.00167288 +5 *1028:55 0.00671819 +6 *1028:46 0.0355948 +7 *1028:44 0.0319098 +8 *1028:32 0.0127422 +9 *1028:31 0.0128897 +10 *1028:22 0.00285332 +11 *1028:10 0.010271 +12 *1028:9 0.00941953 +13 *39550:A *39550:B 0 +14 *39550:A *40342:A 0 +15 *39550:A *3113:100 0 +16 *39550:A *3230:27 0 +17 *39550:A *4524:73 0 +18 *1028:9 mprj_dat_o_user[6] 0 +19 *1028:9 *39368:A 0 +20 *1028:9 *2603:28 0 +21 *1028:9 *5067:8 0 +22 *1028:10 *2543:41 0 +23 *1028:10 *2608:9 0 +24 *1028:10 *2652:5 0 +25 *1028:10 *2652:9 0 +26 *1028:10 *2675:9 0 +27 *1028:22 *39778:A 0 +28 *1028:22 *2543:41 0 +29 *1028:22 *2565:44 0 +30 *1028:22 *2581:25 0 +31 *1028:22 *2585:24 0 +32 *1028:22 *2591:20 0 +33 *1028:22 *2686:43 0 +34 *1028:22 *5053:22 0 +35 *1028:31 *2543:32 0 +36 *1028:31 *2550:23 0 +37 *1028:31 *2585:24 0 +38 *1028:31 *2586:26 0 +39 *1028:31 *2686:43 0 +40 *1028:32 *38932:A 0 +41 *1028:32 *39801:A 0 +42 *1028:32 *39825:A 0 +43 *1028:32 *2452:15 0 +44 *1028:32 *2496:23 0 +45 *1028:32 *2519:47 0 +46 *1028:32 *2521:38 0 +47 *1028:32 *2604:27 0 +48 *1028:32 *2606:11 0 +49 *1028:32 *2624:17 0 +50 *1028:32 *2625:41 0 +51 *1028:32 *2667:44 0 +52 *1028:32 *5040:21 0 +53 *1028:44 *2648:15 0 +54 *1028:44 *2648:20 0 +55 *1028:46 *1285:15 0 +56 *1028:46 *2477:17 0 +57 *1028:46 *2482:35 0 +58 *1028:46 *2625:32 0 +59 *1028:46 *2648:15 0 +60 *1028:46 *3161:69 0 +61 *1028:46 *3693:21 0 +62 *1028:55 *1864:36 0 +63 *1028:55 *2426:40 0 +64 *1028:55 *4031:50 0 +65 *1028:55 *4331:46 0 +66 *1028:94 *8013:DIODE 0 +67 *1028:94 *40342:A 0 +68 *1028:94 *3130:26 0 +69 *1028:94 *3230:27 0 +70 *1028:94 *3235:42 0 +71 *1028:94 *3240:25 0 +72 *1028:94 *3251:67 0 +73 *1028:94 *3262:69 0 +74 *1028:94 *4524:73 0 +75 *1028:94 *4590:93 0 +76 mprj_adr_o_user[6] *1028:9 0 +77 *6603:DIODE *39550:A 0 +78 *6716:DIODE *1028:94 0 +79 *306:11 *1028:9 0 +80 *312:16 *1028:46 0 +81 *312:35 *1028:44 0 +82 *325:34 *1028:46 0 +83 *329:17 *1028:31 0 +84 *337:16 *1028:46 0 +85 *339:23 *1028:46 0 +86 *372:13 *1028:46 0 +87 *901:8 *1028:46 0 +88 *1005:76 *1028:44 0 +89 *1005:86 *1028:44 0 +90 *1005:86 *1028:46 0 +91 *1008:94 *1028:46 0 +92 *1021:16 *1028:46 0 +93 *1023:96 *1028:46 0 +*RES +1 mprj_dat_i_user[6] *1028:9 22.4643 +2 *1028:9 *1028:10 180.018 +3 *1028:10 *1028:22 48.5536 +4 *1028:22 *1028:31 39.0714 +5 *1028:31 *1028:32 243.679 +6 *1028:32 *1028:44 12.3146 +7 *1028:44 *1028:46 111.75 +8 *1028:46 *1028:55 26.2498 +9 *1028:55 *39550:A 21.9831 +10 *1028:55 *1028:94 42.0957 +11 *1028:94 *7552:DIODE 9.3 +*END + +*D_NET *1029 0.111685 +*CONN +*P mprj_dat_i_user[7] I +*I *39551:A I *D sky130_fd_sc_hd__nand2_1 +*I *7554:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_dat_i_user[7] 5.70233e-05 +2 *39551:A 2.56688e-05 +3 *7554:DIODE 0.000753573 +4 *1029:93 0.00396377 +5 *1029:84 0.0232638 +6 *1029:83 0.0227073 +7 *1029:70 0.00918392 +8 *1029:49 0.0128017 +9 *1029:31 0.00807513 +10 *1029:25 0.00350305 +11 *1029:18 0.0122314 +12 *1029:7 0.0128095 +13 *1029:5 0.00230881 +14 *7554:DIODE *8091:DIODE 0 +15 *7554:DIODE *1883:13 0 +16 *7554:DIODE *3043:26 0 +17 *7554:DIODE *3105:42 0 +18 *7554:DIODE *3435:52 0 +19 *7554:DIODE *3744:70 0 +20 *39551:A *2771:23 0 +21 *39551:A *3121:7 0 +22 *1029:31 *1364:31 0 +23 *1029:31 *2779:17 0 +24 *1029:31 *5063:10 0 +25 *1029:49 *1499:26 0 +26 *1029:49 *2758:12 0 +27 *1029:70 *8551:DIODE 0 +28 *1029:70 *1804:8 0 +29 *1029:70 *1930:47 0 +30 *1029:70 *2198:44 0 +31 *1029:70 *2884:19 0 +32 *1029:83 *1199:10 0 +33 *1029:83 *3566:51 0 +34 *1029:83 *5142:16 0 +35 *1029:84 *1298:36 0 +36 *1029:84 *1446:29 0 +37 *1029:84 *2225:27 0 +38 *1029:84 *2248:18 0 +39 *1029:84 *2248:29 0 +40 *1029:84 *2266:37 0 +41 *1029:84 *2280:30 0 +42 *1029:84 *2365:71 0 +43 *1029:84 *2699:26 0 +44 *1029:84 *2861:25 0 +45 *1029:84 *2935:20 0 +46 *1029:84 *2936:37 0 +47 *1029:84 *2937:26 0 +48 *1029:84 *2961:36 0 +49 *1029:84 *2961:53 0 +50 *1029:84 *3011:36 0 +51 *1029:84 *3023:21 0 +52 *1029:84 *3029:46 0 +53 *1029:84 *3298:40 0 +54 *1029:84 *3334:39 0 +55 *1029:84 *3531:17 0 +56 *1029:84 *3531:36 0 +57 *1029:84 *3674:86 0 +58 *1029:84 *3678:19 0 +59 *1029:84 *3678:32 0 +60 *1029:84 *3715:32 0 +61 *1029:84 *3733:72 0 +62 *1029:84 *3789:40 0 +63 *1029:84 *3789:65 0 +64 *1029:84 *3791:45 0 +65 *1029:84 *3820:61 0 +66 *1029:84 *4114:28 0 +67 *1029:84 *5128:19 0 +68 *1029:84 *5136:40 0 +69 *1029:84 *5140:46 0 +70 *1029:84 *5142:16 0 +71 *1029:93 *8091:DIODE 0 +72 *1029:93 *3245:51 0 +73 *1029:93 *3543:21 0 +74 mprj_adr_o_user[7] *1029:7 0 +75 *271:37 *1029:25 0 +76 *293:27 *1029:7 0 +77 *294:35 *1029:31 0 +78 *299:24 *1029:25 0 +79 *300:10 *1029:18 0 +80 *306:11 *1029:7 0 +81 *314:20 *1029:18 0 +82 *314:20 *1029:49 0 +83 *315:22 *1029:70 0 +84 *315:22 *1029:83 0 +85 *315:24 *1029:18 0 +86 *315:24 *1029:25 0 +87 *315:24 *1029:49 0 +88 *315:24 *1029:70 0 +89 *317:10 *1029:83 0 +90 *318:28 *1029:70 0 +91 *318:28 *1029:83 0 +92 *318:28 *1029:84 0 +93 *318:46 *1029:49 0 +94 *318:46 *1029:70 0 +95 *318:60 *1029:18 0 +96 *319:12 *1029:18 0 +97 *319:12 *1029:25 0 +98 *319:12 *1029:49 0 +99 *319:12 *1029:70 0 +100 *326:14 *1029:84 0 +101 *340:91 *1029:49 0 +102 *346:78 *1029:49 0 +*RES +1 mprj_dat_i_user[7] *1029:5 1.29464 +2 *1029:5 *1029:7 51.125 +3 *1029:7 *1029:18 49.6158 +4 *1029:18 *1029:25 14.7144 +5 *1029:25 *1029:31 46.1868 +6 *1029:31 *1029:49 47.9882 +7 *1029:49 *1029:70 48.0915 +8 *1029:70 *1029:83 25.9199 +9 *1029:83 *1029:84 72.7262 +10 *1029:84 *1029:93 24.7256 +11 *1029:93 *7554:DIODE 27.1485 +12 *1029:93 *39551:A 14.3357 +*END + +*D_NET *1030 0.114039 +*CONN +*P mprj_dat_i_user[8] I +*I *7556:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39552:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 mprj_dat_i_user[8] 0.00142004 +2 *7556:DIODE 0 +3 *39552:A 0.000159213 +4 *1030:54 0.00162314 +5 *1030:22 0.00594982 +6 *1030:8 0.0538172 +7 *1030:7 0.0510697 +8 *39552:A *37443:A 0 +9 *1030:7 mprj_dat_o_user[8] 0 +10 *1030:7 *39306:A 0 +11 *1030:7 *39370:A 0 +12 *1030:7 *1367:8 0 +13 *1030:7 *2596:8 0 +14 *1030:7 *5068:26 0 +15 *1030:8 *39797:A 0 +16 *1030:8 *1031:76 0 +17 *1030:8 *1307:22 0 +18 *1030:8 *2193:21 0 +19 *1030:8 *2232:57 0 +20 *1030:8 *2253:31 0 +21 *1030:8 *2253:49 0 +22 *1030:8 *2260:40 0 +23 *1030:8 *2278:35 0 +24 *1030:8 *2298:19 0 +25 *1030:8 *2318:33 0 +26 *1030:8 *2400:39 0 +27 *1030:8 *2400:56 0 +28 *1030:8 *2498:14 0 +29 *1030:8 *2500:11 0 +30 *1030:8 *2503:16 0 +31 *1030:8 *2509:26 0 +32 *1030:8 *2522:19 0 +33 *1030:8 *2542:36 0 +34 *1030:8 *2545:16 0 +35 *1030:8 *2548:34 0 +36 *1030:8 *2557:9 0 +37 *1030:8 *2559:39 0 +38 *1030:8 *2570:49 0 +39 *1030:8 *2579:16 0 +40 *1030:8 *2584:11 0 +41 *1030:8 *2620:9 0 +42 *1030:8 *2629:11 0 +43 *1030:8 *2689:12 0 +44 *1030:8 *2724:11 0 +45 *1030:8 *2736:34 0 +46 *1030:8 *2742:28 0 +47 *1030:8 *2753:25 0 +48 *1030:8 *2843:32 0 +49 *1030:8 *2848:13 0 +50 *1030:8 *2890:42 0 +51 *1030:8 *3114:47 0 +52 *1030:8 *3354:26 0 +53 *1030:8 *3445:67 0 +54 *1030:8 *3528:37 0 +55 *1030:8 *3584:37 0 +56 *1030:8 *4672:17 0 +57 *1030:8 *4672:29 0 +58 *1030:8 *4935:25 0 +59 *1030:8 *4936:19 0 +60 *1030:8 *5039:16 0 +61 *1030:8 *5039:28 0 +62 *1030:8 *5109:32 0 +63 *1030:8 *5118:22 0 +64 *1030:8 *5120:37 0 +65 *1030:22 *3230:39 0 +66 *1030:22 *3499:48 0 +67 *1030:54 *8486:DIODE 0 +68 *1030:54 *2442:79 0 +69 *1030:54 *2794:25 0 +70 *1030:54 *3126:29 0 +71 *1030:54 *3174:16 0 +72 *1030:54 *3230:39 0 +73 *1030:54 *3276:53 0 +74 *1030:54 *3276:60 0 +75 *1030:54 *3291:52 0 +76 *1030:54 *3348:15 0 +77 *1030:54 *3435:76 0 +78 mprj_adr_o_user[8] *1030:7 0 +79 *292:14 *1030:8 0 +80 *296:12 *1030:8 0 +81 *296:16 *1030:8 0 +82 *308:11 *1030:7 0 +83 *338:21 *1030:8 0 +84 *340:31 *1030:8 0 +85 *347:50 *1030:8 0 +86 *781:30 *39552:A 0 +87 *784:31 *1030:54 0 +88 *1006:10 *1030:8 0 +89 *1009:16 *1030:8 0 +90 *1009:56 *1030:8 0 +*RES +1 mprj_dat_i_user[8] *1030:7 35.6511 +2 *1030:7 *1030:8 179.817 +3 *1030:8 *1030:22 23.9766 +4 *1030:22 *39552:A 20.8261 +5 *1030:22 *1030:54 44.7804 +6 *1030:54 *7556:DIODE 9.3 +*END + +*D_NET *1031 0.132232 +*CONN +*P mprj_dat_i_user[9] I +*I *7558:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39553:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 mprj_dat_i_user[9] 0.00064454 +2 *7558:DIODE 0.00018396 +3 *39553:A 0.000422205 +4 *1031:101 0.000987758 +5 *1031:93 0.00257271 +6 *1031:86 0.00425267 +7 *1031:80 0.0148361 +8 *1031:76 0.0214336 +9 *1031:52 0.0188759 +10 *1031:47 0.0144007 +11 *1031:28 0.017372 +12 *1031:10 0.0243966 +13 *1031:7 0.0118529 +14 *7558:DIODE *3262:33 0 +15 *7558:DIODE *3470:20 0 +16 *7558:DIODE *4780:63 0 +17 *39553:A *3027:43 0 +18 *39553:A *4780:63 0 +19 *1031:7 mprj_dat_o_user[9] 0 +20 *1031:7 *39307:A 0 +21 *1031:7 *39371:A 0 +22 *1031:7 *2673:40 0 +23 *1031:10 *2581:24 0 +24 *1031:28 *2485:19 0 +25 *1031:28 *2546:20 0 +26 *1031:28 *2547:58 0 +27 *1031:28 *4632:20 0 +28 *1031:47 *7758:DIODE 0 +29 *1031:47 *39815:A 0 +30 *1031:47 *1299:24 0 +31 *1031:47 *2452:40 0 +32 *1031:47 *2680:10 0 +33 *1031:47 *2873:36 0 +34 *1031:47 *4945:14 0 +35 *1031:52 *37969:A 0 +36 *1031:52 *2232:29 0 +37 *1031:52 *2256:22 0 +38 *1031:52 *2274:23 0 +39 *1031:52 *2282:23 0 +40 *1031:52 *2545:16 0 +41 *1031:52 *2677:27 0 +42 *1031:52 *2883:41 0 +43 *1031:52 *3778:20 0 +44 *1031:52 *5038:28 0 +45 *1031:52 *5118:22 0 +46 *1031:76 *2689:12 0 +47 *1031:76 *3584:37 0 +48 *1031:76 *3778:20 0 +49 *1031:76 *3778:23 0 +50 *1031:80 *3303:17 0 +51 *1031:80 *3303:39 0 +52 *1031:80 *3469:27 0 +53 *1031:80 *3584:37 0 +54 *1031:80 *3708:19 0 +55 *1031:86 *3048:13 0 +56 *1031:86 *3492:20 0 +57 *1031:86 *4224:51 0 +58 *1031:86 *5025:14 0 +59 *1031:93 *1336:16 0 +60 *1031:93 *3509:86 0 +61 *1031:93 *3770:34 0 +62 *1031:93 *3776:71 0 +63 *1031:93 *3810:35 0 +64 *266:48 *1031:93 0 +65 *313:33 *1031:7 0 +66 *314:10 *1031:47 0 +67 *315:17 *1031:47 0 +68 *346:36 *1031:47 0 +69 *349:21 *1031:47 0 +70 *378:13 *1031:80 0 +71 *901:8 *1031:10 0 +72 *901:8 *1031:28 0 +73 *1004:23 *1031:93 0 +74 *1005:18 *1031:10 0 +75 *1005:46 *1031:28 0 +76 *1005:76 *1031:28 0 +77 *1005:88 *1031:47 0 +78 *1005:88 *1031:52 0 +79 *1005:88 *1031:76 0 +80 *1005:88 *1031:80 0 +81 *1007:186 *1031:80 0 +82 *1010:19 *1031:10 0 +83 *1011:40 *1031:10 0 +84 *1011:85 *1031:28 0 +85 *1014:10 *1031:47 0 +86 *1015:48 *1031:28 0 +87 *1017:33 *1031:28 0 +88 *1017:64 *1031:28 0 +89 *1017:84 *1031:28 0 +90 *1017:86 *1031:28 0 +91 *1017:86 *1031:47 0 +92 *1021:15 *1031:10 0 +93 *1021:16 *1031:28 0 +94 *1021:16 *1031:47 0 +95 *1024:35 *1031:28 0 +96 *1025:13 *1031:10 0 +97 *1026:88 *1031:76 0 +98 *1030:8 *1031:76 0 +*RES +1 mprj_dat_i_user[9] *1031:7 18.0439 +2 *1031:7 *1031:10 40.5939 +3 *1031:10 *1031:28 48.0105 +4 *1031:28 *1031:47 49.1243 +5 *1031:47 *1031:52 40.4006 +6 *1031:52 *1031:76 31.5777 +7 *1031:76 *1031:80 49.6878 +8 *1031:80 *1031:86 48.0724 +9 *1031:86 *1031:93 49.9286 +10 *1031:93 *1031:101 13.3304 +11 *1031:101 *39553:A 27.5143 +12 *1031:101 *7558:DIODE 13.2643 +*END + +*D_NET *1032 0.00328056 +*CONN +*P mprj_dat_o_core[0] I +*I *38771:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6907:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_dat_o_core[0] 0.000468421 +2 *38771:A 0 +3 *6907:DIODE 0.00117186 +4 *1032:14 0.00164028 +5 *6907:DIODE *1866:22 0 +6 *6907:DIODE *2849:48 0 +7 *6907:DIODE *2971:48 0 +8 *6907:DIODE *3077:20 0 +9 *6907:DIODE *3188:27 0 +10 *6907:DIODE *3194:17 0 +11 *6907:DIODE *4592:50 0 +12 *1032:14 *1866:22 0 +13 *1032:14 *3030:23 0 +14 *1032:14 *4562:26 0 +15 mprj_dat_i_core[1] *6907:DIODE 0 +16 *6881:DIODE *6907:DIODE 0 +*RES +1 mprj_dat_o_core[0] *1032:14 23.5 +2 *1032:14 *6907:DIODE 45.3536 +3 *1032:14 *38771:A 9.3 +*END + +*D_NET *1033 0.0016653 +*CONN +*P mprj_dat_o_core[10] I +*I *6908:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38772:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 mprj_dat_o_core[10] 0.000415601 +2 *6908:DIODE 0.000417051 +3 *38772:A 0 +4 *1033:13 0.000832652 +5 *6908:DIODE *4548:65 0 +6 *6870:DIODE *6908:DIODE 0 +7 *6870:DIODE *1033:13 0 +*RES +1 mprj_dat_o_core[10] *1033:13 10.1429 +2 *1033:13 *38772:A 13.8 +3 *1033:13 *6908:DIODE 23.0857 +*END + +*D_NET *1034 0.00331599 +*CONN +*P mprj_dat_o_core[11] I +*I *6909:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38773:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mprj_dat_o_core[11] 0.000504039 +2 *6909:DIODE 0.00115395 +3 *38773:A 0 +4 *1034:12 0.00165799 +5 *6909:DIODE *7290:DIODE 0 +6 *6909:DIODE *37641:A 0 +7 *6909:DIODE *39538:B 0 +8 *6909:DIODE *1848:28 0 +9 *6909:DIODE *2964:5 0 +10 *6909:DIODE *3030:23 0 +11 *6909:DIODE *3212:26 0 +12 *6909:DIODE *4547:54 0 +13 *6909:DIODE *4568:19 0 +14 *6909:DIODE *5178:56 0 +15 *1034:12 *3030:23 0 +16 mprj_dat_i_core[11] *1034:12 0 +17 *6873:DIODE *6909:DIODE 0 +18 *6874:DIODE *6909:DIODE 0 +19 *6904:DIODE *6909:DIODE 0 +20 *6904:DIODE *1034:12 0 +21 *39538:A *6909:DIODE 0 +*RES +1 mprj_dat_o_core[11] *1034:12 15.3214 +2 *1034:12 *38773:A 9.3 +3 *1034:12 *6909:DIODE 43.2643 +*END + +*D_NET *1035 0.00214583 +*CONN +*P mprj_dat_o_core[12] I +*I *6910:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38774:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mprj_dat_o_core[12] 0.000696775 +2 *6910:DIODE 0.000311111 +3 *38774:A 6.50276e-05 +4 *1035:11 0.00107291 +5 *6910:DIODE *3024:78 0 +6 *6910:DIODE *3089:38 0 +7 *38774:A *4539:48 0 +8 *1035:11 *7290:DIODE 0 +9 *1035:11 *4534:31 0 +10 *1035:11 *4567:21 0 +11 *6872:DIODE *38774:A 0 +12 *906:16 *1035:11 0 +13 *1016:235 *6910:DIODE 0 +*RES +1 mprj_dat_o_core[12] *1035:11 24.4107 +2 *1035:11 *38774:A 15.1571 +3 *1035:11 *6910:DIODE 20.8357 +*END + +*D_NET *1036 0.00182991 +*CONN +*P mprj_dat_o_core[13] I +*I *6911:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38775:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 mprj_dat_o_core[13] 0.000245416 +2 *6911:DIODE 0.000669539 +3 *38775:A 0 +4 *1036:7 0.000914956 +5 *6911:DIODE *1848:28 0 +6 *6911:DIODE *2714:24 0 +7 *6911:DIODE *4534:31 0 +8 *1036:7 *2714:24 0 +9 *907:19 *1036:7 0 +*RES +1 mprj_dat_o_core[13] *1036:7 5.60714 +2 *1036:7 *38775:A 13.8 +3 *1036:7 *6911:DIODE 28.1571 +*END + +*D_NET *1037 0.00218414 +*CONN +*P mprj_dat_o_core[14] I +*I *38776:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6912:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_dat_o_core[14] 0.000405033 +2 *38776:A 0 +3 *6912:DIODE 0.000687038 +4 *1037:12 0.00109207 +5 *6912:DIODE *7525:DIODE 0 +6 *6912:DIODE *40318:A 0 +7 *6912:DIODE *4570:18 0 +8 *6912:DIODE *4573:19 0 +9 *6912:DIODE *5178:33 0 +10 *6912:DIODE *5209:117 0 +11 *1037:12 *1868:11 0 +12 *1037:12 *4535:15 0 +13 *1037:12 *5078:28 0 +14 mprj_dat_i_core[14] *1037:12 0 +15 *907:19 *1037:12 0 +*RES +1 mprj_dat_o_core[14] *1037:12 13.5357 +2 *1037:12 *6912:DIODE 33.3 +3 *1037:12 *38776:A 9.3 +*END + +*D_NET *1038 0.00178679 +*CONN +*P mprj_dat_o_core[15] I +*I *6913:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38777:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 mprj_dat_o_core[15] 0.000430801 +2 *6913:DIODE 0.000417248 +3 *38777:A 4.53482e-05 +4 *1038:13 0.000893397 +5 *6913:DIODE *1874:18 0 +6 *6913:DIODE *3537:16 0 +7 *6913:DIODE *4547:54 0 +8 *6913:DIODE *5178:33 0 +9 *38777:A *37416:A 0 +10 mprj_dat_i_core[15] *1038:13 0 +11 *6876:DIODE *6913:DIODE 0 +12 *908:13 *1038:13 0 +13 *909:11 *1038:13 0 +*RES +1 mprj_dat_o_core[15] *1038:13 10.5536 +2 *1038:13 *38777:A 14.7464 +3 *1038:13 *6913:DIODE 23.0857 +*END + +*D_NET *1039 0.00325178 +*CONN +*P mprj_dat_o_core[16] I +*I *38778:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6914:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_dat_o_core[16] 0.000940545 +2 *38778:A 0 +3 *6914:DIODE 0.000685343 +4 *1039:12 0.00162589 +5 *6914:DIODE *6917:DIODE 0 +6 *6914:DIODE *1041:11 0 +7 *6914:DIODE *1730:15 0 +8 *6914:DIODE *1755:29 0 +9 *6914:DIODE *3164:17 0 +10 *6914:DIODE *3695:23 0 +11 *6914:DIODE *4572:8 0 +12 *1039:12 *39319:A 0 +13 *1039:12 *4541:9 0 +14 *1039:12 *4581:21 0 +15 mprj_dat_i_core[16] *1039:12 0 +16 mprj_dat_i_core[17] *1039:12 0 +17 *910:10 *1039:12 0 +18 *912:10 *6914:DIODE 0 +*RES +1 mprj_dat_o_core[16] *1039:12 24.3571 +2 *1039:12 *6914:DIODE 33.7464 +3 *1039:12 *38778:A 9.3 +*END + +*D_NET *1040 0.00144201 +*CONN +*P mprj_dat_o_core[17] I +*I *6915:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38779:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mprj_dat_o_core[17] 0.000619615 +2 *6915:DIODE 0.000101392 +3 *38779:A 0 +4 *1040:12 0.000721007 +5 *6915:DIODE *1867:17 0 +6 *6915:DIODE *2964:5 0 +7 *1040:12 *1867:17 0 +8 *1040:12 *2964:5 0 +9 *1040:12 *4539:22 0 +10 *1040:12 *4543:32 0 +11 mprj_dat_i_core[17] *1040:12 0 +12 *6878:DIODE *1040:12 0 +13 *6879:DIODE *1040:12 0 +14 *911:5 *1040:12 0 +*RES +1 mprj_dat_o_core[17] *1040:12 18.4643 +2 *1040:12 *38779:A 9.3 +3 *1040:12 *6915:DIODE 11.4786 +*END + +*D_NET *1041 0.00159306 +*CONN +*P mprj_dat_o_core[18] I +*I *6917:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38781:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 mprj_dat_o_core[18] 0.000379382 +2 *6917:DIODE 0.000417149 +3 *38781:A 0 +4 *1041:11 0.000796531 +5 *6917:DIODE *37461:A 0 +6 *6917:DIODE *1869:15 0 +7 *6917:DIODE *3164:17 0 +8 *6917:DIODE *4539:22 0 +9 *1041:11 *40330:A 0 +10 *1041:11 *4569:8 0 +11 mprj_dat_i_core[18] *1041:11 0 +12 *6914:DIODE *6917:DIODE 0 +13 *6914:DIODE *1041:11 0 +14 *912:10 *1041:11 0 +*RES +1 mprj_dat_o_core[18] *1041:11 13.1786 +2 *1041:11 *38781:A 9.3 +3 *1041:11 *6917:DIODE 27.5857 +*END + +*D_NET *1042 0.00210954 +*CONN +*P mprj_dat_o_core[19] I +*I *6918:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38782:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 mprj_dat_o_core[19] 0.000492022 +2 *6918:DIODE 0.00056275 +3 *38782:A 0 +4 *1042:8 0.00105477 +5 *6918:DIODE *39322:A 0 +6 *6918:DIODE *1044:8 0 +7 *6918:DIODE *1598:21 0 +8 *6918:DIODE *1720:34 0 +9 *6918:DIODE *4547:31 0 +10 *6918:DIODE *5087:15 0 +11 *1042:8 *4547:31 0 +12 *1042:8 *4548:15 0 +13 *1042:8 *4552:32 0 +14 mprj_dat_i_core[19] *1042:8 0 +15 *912:10 *1042:8 0 +16 *914:8 *6918:DIODE 0 +17 *914:8 *1042:8 0 +*RES +1 mprj_dat_o_core[19] *1042:8 15.2321 +2 *1042:8 *38782:A 9.3 +3 *1042:8 *6918:DIODE 39.6214 +*END + +*D_NET *1043 0.00350239 +*CONN +*P mprj_dat_o_core[1] I +*I *6919:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38783:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mprj_dat_o_core[1] 0.000797901 +2 *6919:DIODE 0.000953292 +3 *38783:A 0 +4 *1043:26 0.00175119 +5 *6919:DIODE *6931:DIODE 0 +6 *6919:DIODE *7295:DIODE 0 +7 *6919:DIODE *3030:23 0 +8 *6919:DIODE *3194:13 0 +9 *6919:DIODE *3475:17 0 +10 *6919:DIODE *4586:24 0 +11 *6919:DIODE *5165:75 0 +12 *1043:26 *1833:19 0 +13 *1043:26 *3030:23 0 +14 *1043:26 *3392:18 0 +15 *1043:26 *4574:14 0 +16 *1043:26 *5165:75 0 +17 *1043:26 *5177:17 0 +18 mprj_dat_i_core[2] *1043:26 0 +*RES +1 mprj_dat_o_core[1] *1043:26 31.6607 +2 *1043:26 *38783:A 9.3 +3 *1043:26 *6919:DIODE 39.8536 +*END + +*D_NET *1044 0.00131217 +*CONN +*P mprj_dat_o_core[20] I +*I *6920:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38784:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mprj_dat_o_core[20] 0.000554693 +2 *6920:DIODE 0.000101392 +3 *38784:A 0 +4 *1044:8 0.000656085 +5 *6920:DIODE *39502:B 0 +6 *6920:DIODE *41401:A 0 +7 *1044:8 *39502:B 0 +8 *1044:8 *41401:A 0 +9 *1044:8 *4778:32 0 +10 mprj_dat_i_core[20] *1044:8 0 +11 *6918:DIODE *1044:8 0 +12 *915:8 *1044:8 0 +*RES +1 mprj_dat_o_core[20] *1044:8 16.9107 +2 *1044:8 *38784:A 9.3 +3 *1044:8 *6920:DIODE 11.4786 +*END + +*D_NET *1045 0.00174059 +*CONN +*P mprj_dat_o_core[21] I +*I *38785:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6921:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_dat_o_core[21] 0.000502424 +2 *38785:A 8.17123e-05 +3 *6921:DIODE 0.000286159 +4 *1045:10 0.000870295 +5 *6921:DIODE *5282:DIODE 0 +6 *6921:DIODE *1728:17 0 +7 *6921:DIODE *5087:15 0 +8 *38785:A *4542:13 0 +9 *38785:A *4547:9 0 +10 *1045:10 *5282:DIODE 0 +11 *1045:10 *39322:A 0 +12 *1045:10 *1866:26 0 +13 *1045:10 *2983:26 0 +14 *1045:10 *3537:5 0 +15 *1045:10 *4542:13 0 +16 *1045:10 *4543:20 0 +17 *1045:10 *4547:9 0 +18 mprj_dat_i_core[21] *1045:10 0 +*RES +1 mprj_dat_o_core[21] *1045:10 15.4643 +2 *1045:10 *6921:DIODE 24.8 +3 *1045:10 *38785:A 11.0679 +*END + +*D_NET *1046 0.00123943 +*CONN +*P mprj_dat_o_core[22] I +*I *6922:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38786:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mprj_dat_o_core[22] 0.000518323 +2 *6922:DIODE 0.000101392 +3 *38786:A 0 +4 *1046:8 0.000619715 +5 *6922:DIODE *7533:DIODE 0 +6 *6922:DIODE *4781:11 0 +7 *1046:8 *7533:DIODE 0 +8 *1046:8 *37465:A 0 +9 *1046:8 *41401:A 0 +10 *1046:8 *2714:9 0 +11 *1046:8 *3030:42 0 +12 *1046:8 *4543:20 0 +13 *1046:8 *4781:11 0 +14 mprj_dat_i_core[22] *1046:8 0 +15 *917:5 *1046:8 0 +*RES +1 mprj_dat_o_core[22] *1046:8 16.0893 +2 *1046:8 *38786:A 9.3 +3 *1046:8 *6922:DIODE 11.4786 +*END + +*D_NET *1047 0.00129731 +*CONN +*P mprj_dat_o_core[23] I +*I *6923:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38787:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 mprj_dat_o_core[23] 0.000387615 +2 *6923:DIODE 0.000261041 +3 *38787:A 0 +4 *1047:10 0.000648656 +5 *6923:DIODE *3537:5 0 +6 *6923:DIODE *4547:9 0 +7 *6923:DIODE *4551:20 0 +8 *1047:10 *39324:A 0 +9 *1047:10 *3537:5 0 +10 *1047:10 *4547:9 0 +11 mprj_dat_i_core[23] *1047:10 0 +12 *6886:DIODE *1047:10 0 +13 *6888:DIODE *6923:DIODE 0 +14 *916:13 *1047:10 0 +15 *918:5 *1047:10 0 +*RES +1 mprj_dat_o_core[23] *1047:10 13 +2 *1047:10 *38787:A 9.3 +3 *1047:10 *6923:DIODE 24.1393 +*END + +*D_NET *1048 0.00223542 +*CONN +*P mprj_dat_o_core[24] I +*I *38788:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *6924:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_dat_o_core[24] 0.000528451 +2 *38788:A 0 +3 *6924:DIODE 0.00058926 +4 *1048:10 0.00111771 +5 *6924:DIODE *3537:5 0 +6 *1048:10 *3537:5 0 +7 *1048:10 *4551:20 0 +8 *1048:10 *4579:17 0 +9 mprj_dat_i_core[24] *1048:10 0 +10 *919:7 *6924:DIODE 0 +11 *919:7 *1048:10 0 +*RES +1 mprj_dat_o_core[24] *1048:10 15.9821 +2 *1048:10 *6924:DIODE 30.6571 +3 *1048:10 *38788:A 9.3 +*END + +*D_NET *1049 0.00149727 +*CONN +*P mprj_dat_o_core[25] I +*I *6925:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38789:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 mprj_dat_o_core[25] 0.000330342 +2 *6925:DIODE 0.000392626 +3 *38789:A 2.56688e-05 +4 *1049:5 0.000748636 +5 *6925:DIODE *1720:34 0 +6 *6925:DIODE *3189:10 0 +7 *6925:DIODE *5209:55 0 +8 *38789:A *37414:A 0 +9 *38789:A *4580:15 0 +10 mprj_dat_i_core[25] *1049:5 0 +11 *6890:DIODE *6925:DIODE 0 +12 *919:7 *6925:DIODE 0 +13 *920:5 *1049:5 0 +*RES +1 mprj_dat_o_core[25] *1049:5 7.5 +2 *1049:5 *38789:A 14.3357 +3 *1049:5 *6925:DIODE 22.55 +*END + +*D_NET *1050 0.00114286 +*CONN +*P mprj_dat_o_core[26] I +*I *6926:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38790:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 mprj_dat_o_core[26] 0.000330243 +2 *6926:DIODE 0.000215517 +3 *38790:A 2.56688e-05 +4 *1050:5 0.000571429 +5 *6926:DIODE *1720:17 0 +6 *6926:DIODE *4545:16 0 +7 *38790:A *37414:A 0 +8 *1050:5 *37464:A 0 +9 *1050:5 *4545:16 0 +10 mprj_dat_i_core[26] *1050:5 0 +11 *921:12 *1050:5 0 +*RES +1 mprj_dat_o_core[26] *1050:5 7.5 +2 *1050:5 *38790:A 14.3357 +3 *1050:5 *6926:DIODE 18.7107 +*END + +*D_NET *1051 0.00140446 +*CONN +*P mprj_dat_o_core[27] I +*I *6928:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38792:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 mprj_dat_o_core[27] 0.000330322 +2 *6928:DIODE 0.00034624 +3 *38792:A 2.56688e-05 +4 *1051:5 0.000702231 +5 *6928:DIODE *1720:17 0 +6 *6928:DIODE *2964:5 0 +7 *6928:DIODE *4583:12 0 +8 *6928:DIODE *4584:17 0 +9 *6928:DIODE *5178:14 0 +10 *38792:A *37414:A 0 +11 *1051:5 *4546:16 0 +12 mprj_dat_i_core[27] *1051:5 0 +13 *39539:A *6928:DIODE 0 +14 *922:10 *1051:5 0 +15 *1017:93 *6928:DIODE 0 +*RES +1 mprj_dat_o_core[27] *1051:5 7.5 +2 *1051:5 *38792:A 14.3357 +3 *1051:5 *6928:DIODE 21.3714 +*END + +*D_NET *1052 0.00237482 +*CONN +*P mprj_dat_o_core[28] I +*I *38793:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6929:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_dat_o_core[28] 0.000535663 +2 *38793:A 0 +3 *6929:DIODE 0.000651745 +4 *1052:8 0.00118741 +5 *6929:DIODE *6930:DIODE 0 +6 *6929:DIODE *37681:A 0 +7 *6929:DIODE *1053:9 0 +8 *6929:DIODE *1753:11 0 +9 *6929:DIODE *2967:38 0 +10 *6929:DIODE *3695:31 0 +11 *6929:DIODE *4551:13 0 +12 *1052:8 *39329:A 0 +13 *1052:8 *4551:13 0 +14 *1052:8 *4584:17 0 +15 mprj_dat_i_core[28] *1052:8 0 +16 mprj_dat_i_core[29] *1052:8 0 +17 *6896:DIODE *6929:DIODE 0 +18 *923:8 *1052:8 0 +19 *925:5 *6929:DIODE 0 +*RES +1 mprj_dat_o_core[28] *1052:8 15.8929 +2 *1052:8 *6929:DIODE 32.925 +3 *1052:8 *38793:A 9.3 +*END + +*D_NET *1053 0.00167036 +*CONN +*P mprj_dat_o_core[29] I +*I *6930:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38794:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 mprj_dat_o_core[29] 0.00035669 +2 *6930:DIODE 0.00047849 +3 *38794:A 0 +4 *1053:9 0.000835181 +5 *6930:DIODE *37681:A 0 +6 *6930:DIODE *1753:11 0 +7 *6930:DIODE *2964:5 0 +8 *6930:DIODE *5209:30 0 +9 *1053:9 *4551:13 0 +10 mprj_dat_i_core[29] *1053:9 0 +11 *6893:DIODE *6930:DIODE 0 +12 *6893:DIODE *1053:9 0 +13 *6929:DIODE *6930:DIODE 0 +14 *6929:DIODE *1053:9 0 +15 *925:5 *1053:9 0 +*RES +1 mprj_dat_o_core[29] *1053:9 8.16071 +2 *1053:9 *38794:A 13.8 +3 *1053:9 *6930:DIODE 24.2464 +*END + +*D_NET *1054 0.00239226 +*CONN +*P mprj_dat_o_core[2] I +*I *6931:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38795:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 mprj_dat_o_core[2] 0.000530552 +2 *6931:DIODE 0.000600548 +3 *38795:A 6.50276e-05 +4 *1054:5 0.00119613 +5 *6931:DIODE *4529:46 0 +6 *6931:DIODE *4534:82 0 +7 *6931:DIODE *4545:77 0 +8 *6931:DIODE *4755:23 0 +9 *6931:DIODE *5179:29 0 +10 *38795:A *40338:A 0 +11 *38795:A *4586:24 0 +12 *1054:5 *4574:14 0 +13 *6919:DIODE *6931:DIODE 0 +*RES +1 mprj_dat_o_core[2] *1054:5 12.0536 +2 *1054:5 *38795:A 15.1571 +3 *1054:5 *6931:DIODE 30.6906 +*END + +*D_NET *1055 0.00219085 +*CONN +*P mprj_dat_o_core[30] I +*I *38796:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6932:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_dat_o_core[30] 0.000764557 +2 *38796:A 8.17123e-05 +3 *6932:DIODE 0.000249156 +4 *1055:12 0.00109543 +5 *6932:DIODE *37468:A 0 +6 *6932:DIODE *37732:B 0 +7 *6932:DIODE *39330:A 0 +8 *6932:DIODE *4587:8 0 +9 *6932:DIODE *4766:13 0 +10 *6932:DIODE *4768:10 0 +11 *38796:A *1096:10 0 +12 *38796:A *4768:10 0 +13 *1055:12 *1096:10 0 +14 *1055:12 *3537:5 0 +15 *1055:12 *4552:22 0 +16 *1055:12 *4768:10 0 +17 mprj_dat_i_core[30] *1055:12 0 +18 *6897:DIODE *6932:DIODE 0 +19 *38761:A *1055:12 0 +20 *926:12 *1055:12 0 +*RES +1 mprj_dat_o_core[30] *1055:12 20.9286 +2 *1055:12 *6932:DIODE 23.6571 +3 *1055:12 *38796:A 11.0679 +*END + +*D_NET *1056 0.0014125 +*CONN +*P mprj_dat_o_core[31] I +*I *6933:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38797:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mprj_dat_o_core[31] 0.00058518 +2 *6933:DIODE 0.000121071 +3 *38797:A 0 +4 *1056:12 0.000706251 +5 *1056:12 *39330:A 0 +6 *1056:12 *39333:A 0 +7 *1056:12 *1096:10 0 +8 *1056:12 *5180:10 0 +9 mprj_dat_i_core[31] *1056:12 0 +*RES +1 mprj_dat_o_core[31] *1056:12 16.9643 +2 *1056:12 *38797:A 9.3 +3 *1056:12 *6933:DIODE 11.8893 +*END + +*D_NET *1057 0.0018142 +*CONN +*P mprj_dat_o_core[3] I +*I *6934:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38798:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mprj_dat_o_core[3] 0.000472625 +2 *6934:DIODE 0.000408809 +3 *38798:A 2.56688e-05 +4 *1057:7 0.000907102 +5 *6934:DIODE *1248:51 0 +6 *6934:DIODE *2968:53 0 +7 *6934:DIODE *3357:30 0 +8 *6934:DIODE *4554:17 0 +9 *6934:DIODE *4590:14 0 +10 *38798:A *1862:103 0 +11 *38798:A *2713:49 0 +*RES +1 mprj_dat_o_core[3] *1057:7 10.7679 +2 *1057:7 *38798:A 14.3357 +3 *1057:7 *6934:DIODE 22.8893 +*END + +*D_NET *1058 0.0016697 +*CONN +*P mprj_dat_o_core[4] I +*I *6935:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38799:A I *D sky130_fd_sc_hd__buf_4 +*CAP +1 mprj_dat_o_core[4] 0.000456132 +2 *6935:DIODE 0.000378719 +3 *38799:A 0 +4 *1058:12 0.000834851 +5 *6935:DIODE *2714:33 0 +6 *6935:DIODE *3130:20 0 +7 *6935:DIODE *3395:49 0 +8 *6935:DIODE *5107:43 0 +9 *6935:DIODE *5179:29 0 +10 *1058:12 *7293:DIODE 0 +11 *1058:12 *2714:33 0 +12 *1058:12 *4533:97 0 +13 *1058:12 *5107:43 0 +*RES +1 mprj_dat_o_core[4] *1058:12 14.8393 +2 *1058:12 *38799:A 9.3 +3 *1058:12 *6935:DIODE 26.6214 +*END + +*D_NET *1059 0.00261129 +*CONN +*P mprj_dat_o_core[5] I +*I *6936:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38800:A I *D sky130_fd_sc_hd__buf_2 +*CAP +1 mprj_dat_o_core[5] 0.000711471 +2 *6936:DIODE 0.000573637 +3 *38800:A 2.0535e-05 +4 *1059:8 0.00130564 +5 *6936:DIODE *5279:DIODE 0 +6 *6936:DIODE *2373:20 0 +7 *6936:DIODE *4761:9 0 +8 *6936:DIODE *5075:37 0 +9 *6936:DIODE *5107:43 0 +10 *1059:8 *4761:9 0 +11 *1059:8 *5075:37 0 +12 *1059:8 *5102:51 0 +13 *1059:8 *5177:16 0 +14 *6901:DIODE *1059:8 0 +15 *930:20 *1059:8 0 +*RES +1 mprj_dat_o_core[5] *1059:8 19.75 +2 *1059:8 *38800:A 9.72857 +3 *1059:8 *6936:DIODE 39.675 +*END + +*D_NET *1060 0.00225671 +*CONN +*P mprj_dat_o_core[6] I +*I *6937:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38801:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 mprj_dat_o_core[6] 0.000584607 +2 *6937:DIODE 0.000335454 +3 *38801:A 0.000208294 +4 *1060:15 0.00112835 +5 *38801:A *3262:20 0 +6 *38801:A *4761:9 0 +7 *38801:A *5075:37 0 +8 *1060:15 *5103:35 0 +*RES +1 mprj_dat_o_core[6] *1060:15 14.5804 +2 *1060:15 *38801:A 18.9518 +3 *1060:15 *6937:DIODE 21.4875 +*END + +*D_NET *1061 0.00266296 +*CONN +*P mprj_dat_o_core[7] I +*I *6939:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38803:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mprj_dat_o_core[7] 0.000814936 +2 *6939:DIODE 0.000516546 +3 *38803:A 0 +4 *1061:20 0.00133148 +5 *6939:DIODE *6940:DIODE 0 +6 *6939:DIODE *39542:B 0 +7 *6939:DIODE *1874:11 0 +8 *6939:DIODE *3254:16 0 +9 *6939:DIODE *4533:43 0 +10 *6939:DIODE *5107:43 0 +11 *1061:20 *1833:17 0 +12 *1061:20 *4533:63 0 +13 *1061:20 *5107:43 0 +14 *38767:A *1061:20 0 +15 *368:106 *6939:DIODE 0 +*RES +1 mprj_dat_o_core[7] *1061:20 23.125 +2 *1061:20 *38803:A 9.3 +3 *1061:20 *6939:DIODE 29.3714 +*END + +*D_NET *1062 0.00274976 +*CONN +*P mprj_dat_o_core[8] I +*I *6940:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38804:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mprj_dat_o_core[8] 0.000383694 +2 *6940:DIODE 0.00090648 +3 *38804:A 8.4707e-05 +4 *1062:11 0.00137488 +5 *6940:DIODE *7300:DIODE 0 +6 *6940:DIODE *39339:A 0 +7 *6940:DIODE *2373:10 0 +8 *38804:A *3030:23 0 +9 *1062:11 *1828:18 0 +10 *1062:11 *5075:51 0 +11 mprj_dat_i_core[8] *1062:11 0 +12 *6939:DIODE *6940:DIODE 0 +13 *368:106 *1062:11 0 +14 *933:8 *38804:A 0 +15 *933:8 *1062:11 0 +*RES +1 mprj_dat_o_core[8] *1062:11 17.2321 +2 *1062:11 *38804:A 15.5679 +3 *1062:11 *6940:DIODE 33.3536 +*END + +*D_NET *1063 0.00234757 +*CONN +*P mprj_dat_o_core[9] I +*I *6941:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38805:A I *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 mprj_dat_o_core[9] 0.000512937 +2 *6941:DIODE 0.000535929 +3 *38805:A 0.000124921 +4 *1063:11 0.00117379 +5 *6941:DIODE *7969:DIODE 0 +6 *6941:DIODE *37427:A 0 +7 *6941:DIODE *3695:23 0 +8 *38805:A *4527:13 0 +9 *38805:A *4535:15 0 +10 *1063:11 *4527:13 0 +*RES +1 mprj_dat_o_core[9] *1063:11 20.0714 +2 *1063:11 *38805:A 16.4071 +3 *1063:11 *6941:DIODE 25.9964 +*END + +*D_NET *1064 0.000967429 +*CONN +*P mprj_dat_o_user[0] O +*I *39341:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_o_user[0] 0.000483715 +2 *39341:X 0.000483715 +3 mprj_dat_o_user[0] mprj_sel_o_user[0] 0 +4 mprj_dat_o_user[0] *2673:46 0 +5 mprj_dat_o_user[0] *2696:14 0 +*RES +1 *39341:X mprj_dat_o_user[0] 24.7821 +*END + +*D_NET *1065 0.000994171 +*CONN +*P mprj_dat_o_user[10] O +*I *39342:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_o_user[10] 0.000497086 +2 *39342:X 0.000497086 +3 mprj_dat_o_user[10] *2588:14 0 +4 mprj_dat_o_user[10] *5160:22 0 +5 mprj_adr_o_user[11] mprj_dat_o_user[10] 0 +6 *297:13 mprj_dat_o_user[10] 0 +*RES +1 *39342:X mprj_dat_o_user[10] 25.0857 +*END + +*D_NET *1066 0.00047349 +*CONN +*P mprj_dat_o_user[11] O +*I *39343:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_o_user[11] 0.000236745 +2 *39343:X 0.000236745 +3 *1002:7 mprj_dat_o_user[11] 0 +*RES +1 *39343:X mprj_dat_o_user[11] 19.175 +*END + +*D_NET *1067 0.000467198 +*CONN +*P mprj_dat_o_user[12] O +*I *39344:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_o_user[12] 0.000233599 +2 *39344:X 0.000233599 +3 *302:13 mprj_dat_o_user[12] 0 +*RES +1 *39344:X mprj_dat_o_user[12] 19.1036 +*END + +*D_NET *1068 0.000420006 +*CONN +*P mprj_dat_o_user[13] O +*I *39345:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_o_user[13] 0.000210003 +2 *39345:X 0.000210003 +3 mprj_adr_o_user[14] mprj_dat_o_user[13] 0 +4 *304:23 mprj_dat_o_user[13] 0 +*RES +1 *39345:X mprj_dat_o_user[13] 18.5679 +*END + +*D_NET *1069 0.000804077 +*CONN +*P mprj_dat_o_user[14] O +*I *39346:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_o_user[14] 0.000402039 +2 *39346:X 0.000402039 +3 mprj_dat_o_user[14] *2577:77 0 +4 mprj_dat_o_user[14] *2654:51 0 +5 mprj_dat_o_user[14] *2657:65 0 +6 mprj_adr_o_user[15] mprj_dat_o_user[14] 0 +7 *316:11 mprj_dat_o_user[14] 0 +8 *1005:18 mprj_dat_o_user[14] 0 +*RES +1 *39346:X mprj_dat_o_user[14] 22.9071 +*END + +*D_NET *1070 0.000780236 +*CONN +*P mprj_dat_o_user[15] O +*I *39347:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_o_user[15] 0.000390118 +2 *39347:X 0.000390118 +3 mprj_adr_o_user[16] mprj_dat_o_user[15] 0 +4 *1006:9 mprj_dat_o_user[15] 0 +*RES +1 *39347:X mprj_dat_o_user[15] 22.6571 +*END + +*D_NET *1071 0.000806624 +*CONN +*P mprj_dat_o_user[16] O +*I *39348:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_o_user[16] 0.000403312 +2 *39348:X 0.000403312 +3 mprj_dat_o_user[16] *39283:A 0 +4 mprj_dat_o_user[16] *2645:55 0 +5 mprj_dat_o_user[16] *5043:12 0 +6 mprj_adr_o_user[17] mprj_dat_o_user[16] 0 +7 *1007:15 mprj_dat_o_user[16] 0 +*RES +1 *39348:X mprj_dat_o_user[16] 22.9607 +*END + +*D_NET *1072 0.00101853 +*CONN +*P mprj_dat_o_user[17] O +*I *39349:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_o_user[17] 0.000509266 +2 *39349:X 0.000509266 +3 mprj_dat_o_user[17] *39284:A 0 +4 mprj_dat_o_user[17] *39285:A 0 +5 mprj_dat_o_user[17] *39348:A 0 +6 mprj_dat_o_user[17] *2674:15 0 +7 mprj_dat_o_user[17] *2678:7 0 +8 mprj_adr_o_user[18] mprj_dat_o_user[17] 0 +*RES +1 *39349:X mprj_dat_o_user[17] 25.3179 +*END + +*D_NET *1073 0.00047349 +*CONN +*P mprj_dat_o_user[18] O +*I *39350:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_o_user[18] 0.000236745 +2 *39350:X 0.000236745 +3 mprj_adr_o_user[19] mprj_dat_o_user[18] 0 +4 *1009:16 mprj_dat_o_user[18] 0 +*RES +1 *39350:X mprj_dat_o_user[18] 19.175 +*END + +*D_NET *1074 0.000467198 +*CONN +*P mprj_dat_o_user[19] O +*I *39351:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_o_user[19] 0.000233599 +2 *39351:X 0.000233599 +3 *1010:19 mprj_dat_o_user[19] 0 +*RES +1 *39351:X mprj_dat_o_user[19] 19.1036 +*END + +*D_NET *1075 0.000780236 +*CONN +*P mprj_dat_o_user[1] O +*I *39352:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_o_user[1] 0.000390118 +2 *39352:X 0.000390118 +3 mprj_dat_o_user[1] mprj_sel_o_user[1] 0 +*RES +1 *39352:X mprj_dat_o_user[1] 22.6571 +*END + +*D_NET *1076 0.000446748 +*CONN +*P mprj_dat_o_user[20] O +*I *39353:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_o_user[20] 0.000223374 +2 *39353:X 0.000223374 +3 mprj_adr_o_user[21] mprj_dat_o_user[20] 0 +4 *1012:9 mprj_dat_o_user[20] 0 +*RES +1 *39353:X mprj_dat_o_user[20] 18.8714 +*END + +*D_NET *1077 0.000790739 +*CONN +*P mprj_dat_o_user[21] O +*I *39354:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_o_user[21] 0.000395369 +2 *39354:X 0.000395369 +3 mprj_dat_o_user[21] *2646:59 0 +4 mprj_dat_o_user[21] *2657:62 0 +5 mprj_dat_o_user[21] *2665:28 0 +6 mprj_adr_o_user[22] mprj_dat_o_user[21] 0 +7 *1013:25 mprj_dat_o_user[21] 0 +*RES +1 *39354:X mprj_dat_o_user[21] 22.6571 +*END + +*D_NET *1078 0.000832933 +*CONN +*P mprj_dat_o_user[22] O +*I *39355:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_o_user[22] 0.000416467 +2 *39355:X 0.000416467 +3 mprj_dat_o_user[22] *7315:DIODE 0 +4 mprj_adr_o_user[23] mprj_dat_o_user[22] 0 +5 *324:11 mprj_dat_o_user[22] 0 +*RES +1 *39355:X mprj_dat_o_user[22] 23.1929 +*END + +*D_NET *1079 0.000780157 +*CONN +*P mprj_dat_o_user[23] O +*I *39356:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_o_user[23] 0.000390079 +2 *39356:X 0.000390079 +3 mprj_dat_o_user[23] *2546:53 0 +4 mprj_dat_o_user[23] *2645:42 0 +5 mprj_adr_o_user[24] mprj_dat_o_user[23] 0 +*RES +1 *39356:X mprj_dat_o_user[23] 22.6571 +*END + +*D_NET *1080 0.000977579 +*CONN +*P mprj_dat_o_user[24] O +*I *39357:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_o_user[24] 0.000488789 +2 *39357:X 0.000488789 +3 mprj_dat_o_user[24] *2540:12 0 +4 mprj_dat_o_user[24] *2599:18 0 +5 mprj_dat_o_user[24] *2633:67 0 +6 mprj_dat_o_user[24] *2650:25 0 +7 mprj_dat_o_user[24] *2654:20 0 +8 mprj_dat_o_user[24] *2665:16 0 +9 mprj_dat_o_user[24] *2709:21 0 +10 *1016:15 mprj_dat_o_user[24] 0 +*RES +1 *39357:X mprj_dat_o_user[24] 24.7821 +*END + +*D_NET *1081 0.000473411 +*CONN +*P mprj_dat_o_user[25] O +*I *39358:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_o_user[25] 0.000236706 +2 *39358:X 0.000236706 +3 *323:13 mprj_dat_o_user[25] 0 +4 *1017:33 mprj_dat_o_user[25] 0 +*RES +1 *39358:X mprj_dat_o_user[25] 19.175 +*END + +*D_NET *1082 0.000467198 +*CONN +*P mprj_dat_o_user[26] O +*I *39359:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_o_user[26] 0.000233599 +2 *39359:X 0.000233599 +3 mprj_dat_o_user[26] *2662:12 0 +*RES +1 *39359:X mprj_dat_o_user[26] 19.1036 +*END + +*D_NET *1083 0.000419967 +*CONN +*P mprj_dat_o_user[27] O +*I *39360:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_o_user[27] 0.000209983 +2 *39360:X 0.000209983 +3 mprj_adr_o_user[28] mprj_dat_o_user[27] 0 +4 *1019:7 mprj_dat_o_user[27] 0 +*RES +1 *39360:X mprj_dat_o_user[27] 18.5679 +*END + +*D_NET *1084 0.000780157 +*CONN +*P mprj_dat_o_user[28] O +*I *39361:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_o_user[28] 0.000390079 +2 *39361:X 0.000390079 +3 mprj_dat_o_user[28] *7274:DIODE 0 +4 mprj_dat_o_user[28] *2530:77 0 +5 mprj_dat_o_user[28] *2577:20 0 +6 mprj_dat_o_user[28] *2642:46 0 +7 mprj_dat_o_user[28] *2657:15 0 +8 mprj_adr_o_user[29] mprj_dat_o_user[28] 0 +*RES +1 *39361:X mprj_dat_o_user[28] 22.6571 +*END + +*D_NET *1085 0.00080392 +*CONN +*P mprj_dat_o_user[29] O +*I *39362:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_o_user[29] 0.00040196 +2 *39362:X 0.00040196 +3 mprj_dat_o_user[29] *2629:24 0 +4 mprj_dat_o_user[29] *2645:23 0 +5 mprj_dat_o_user[29] *2666:63 0 +6 mprj_adr_o_user[30] mprj_dat_o_user[29] 0 +7 *329:15 mprj_dat_o_user[29] 0 +8 *1021:15 mprj_dat_o_user[29] 0 +*RES +1 *39362:X mprj_dat_o_user[29] 22.9071 +*END + +*D_NET *1086 0.000660487 +*CONN +*P mprj_dat_o_user[2] O +*I *39363:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_o_user[2] 0.000330243 +2 *39363:X 0.000330243 +3 mprj_dat_o_user[2] mprj_sel_o_user[2] 0 +4 mprj_dat_o_user[2] *2685:23 0 +5 *1022:9 mprj_dat_o_user[2] 0 +*RES +1 *39363:X mprj_dat_o_user[2] 21.3 +*END + +*D_NET *1087 0.000780078 +*CONN +*P mprj_dat_o_user[30] O +*I *39364:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_o_user[30] 0.000390039 +2 *39364:X 0.000390039 +3 mprj_dat_o_user[30] *2626:65 0 +4 mprj_adr_o_user[31] mprj_dat_o_user[30] 0 +5 *1023:19 mprj_dat_o_user[30] 0 +*RES +1 *39364:X mprj_dat_o_user[30] 22.6571 +*END + +*D_NET *1088 0.00109155 +*CONN +*P mprj_dat_o_user[31] O +*I *39365:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_o_user[31] 0.000545777 +2 *39365:X 0.000545777 +3 mprj_dat_o_user[31] *39147:A 0 +4 mprj_dat_o_user[31] *2530:73 0 +5 mprj_dat_o_user[31] *2646:14 0 +6 mprj_dat_o_user[31] *2646:24 0 +7 mprj_dat_o_user[31] *2709:7 0 +8 *1024:18 mprj_dat_o_user[31] 0 +*RES +1 *39365:X mprj_dat_o_user[31] 35.05 +*END + +*D_NET *1089 0.00047349 +*CONN +*P mprj_dat_o_user[3] O +*I *39366:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_o_user[3] 0.000236745 +2 *39366:X 0.000236745 +3 *1025:7 mprj_dat_o_user[3] 0 +*RES +1 *39366:X mprj_dat_o_user[3] 19.175 +*END + +*D_NET *1090 0.00047349 +*CONN +*P mprj_dat_o_user[4] O +*I *39367:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_o_user[4] 0.000236745 +2 *39367:X 0.000236745 +3 *1026:7 mprj_dat_o_user[4] 0 +*RES +1 *39367:X mprj_dat_o_user[4] 19.175 +*END + +*D_NET *1091 0.000473333 +*CONN +*P mprj_dat_o_user[5] O +*I *39368:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_o_user[5] 0.000236666 +2 *39368:X 0.000236666 +3 *1027:9 mprj_dat_o_user[5] 0 +*RES +1 *39368:X mprj_dat_o_user[5] 19.175 +*END + +*D_NET *1092 0.000446748 +*CONN +*P mprj_dat_o_user[6] O +*I *39369:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_o_user[6] 0.000223374 +2 *39369:X 0.000223374 +3 mprj_adr_o_user[7] mprj_dat_o_user[6] 0 +4 *306:11 mprj_dat_o_user[6] 0 +5 *1028:9 mprj_dat_o_user[6] 0 +*RES +1 *39369:X mprj_dat_o_user[6] 18.8714 +*END + +*D_NET *1093 0.000827428 +*CONN +*P mprj_dat_o_user[7] O +*I *39370:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_o_user[7] 0.000413714 +2 *39370:X 0.000413714 +3 mprj_adr_o_user[8] mprj_dat_o_user[7] 0 +*RES +1 *39370:X mprj_dat_o_user[7] 23.1929 +*END + +*D_NET *1094 0.000795969 +*CONN +*P mprj_dat_o_user[8] O +*I *39371:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_o_user[8] 0.000397985 +2 *39371:X 0.000397985 +3 mprj_dat_o_user[8] *39306:A 0 +4 mprj_dat_o_user[8] *2673:41 0 +5 mprj_dat_o_user[8] *5068:26 0 +6 mprj_adr_o_user[9] mprj_dat_o_user[8] 0 +7 *303:13 mprj_dat_o_user[8] 0 +8 *1030:7 mprj_dat_o_user[8] 0 +*RES +1 *39371:X mprj_dat_o_user[8] 22.6571 +*END + +*D_NET *1095 0.000798572 +*CONN +*P mprj_dat_o_user[9] O +*I *39372:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_dat_o_user[9] 0.000399286 +2 *39372:X 0.000399286 +3 mprj_dat_o_user[9] *39307:A 0 +4 mprj_dat_o_user[9] *2665:47 0 +5 mprj_dat_o_user[9] *2673:31 0 +6 *1031:7 mprj_dat_o_user[9] 0 +*RES +1 *39372:X mprj_dat_o_user[9] 22.7821 +*END + +*D_NET *1096 0.00128025 +*CONN +*P mprj_iena_wb I +*I *6942:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38806:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mprj_iena_wb 0.000505731 +2 *6942:DIODE 0.000134393 +3 *38806:A 0 +4 *1096:10 0.000640124 +5 *6942:DIODE *1463:30 0 +6 *6942:DIODE *3537:5 0 +7 *6942:DIODE *4588:11 0 +8 *6942:DIODE *4768:10 0 +9 *1096:10 *39330:A 0 +10 *1096:10 *3537:5 0 +11 *1096:10 *4768:10 0 +12 *1096:10 *5180:10 0 +13 *38796:A *1096:10 0 +14 *1055:12 *1096:10 0 +15 *1056:12 *1096:10 0 +*RES +1 mprj_iena_wb *1096:10 15.4643 +2 *1096:10 *38806:A 9.3 +3 *1096:10 *6942:DIODE 21.3 +*END + +*D_NET *1097 0.00258817 +*CONN +*P mprj_sel_o_core[0] I +*I *6943:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38807:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mprj_sel_o_core[0] 0.00039531 +2 *6943:DIODE 0.000898773 +3 *38807:A 0 +4 *1097:8 0.00129408 +5 *6943:DIODE *40206:A 0 +6 *6943:DIODE *40338:A 0 +7 *6943:DIODE *1858:17 0 +8 *6943:DIODE *1862:91 0 +9 *6943:DIODE *2713:69 0 +10 *6943:DIODE *3395:23 0 +11 *6943:DIODE *4416:32 0 +12 *6943:DIODE *4529:67 0 +13 *6943:DIODE *4553:20 0 +14 *6943:DIODE *4595:33 0 +15 *6943:DIODE *4784:45 0 +16 *1097:8 *4416:32 0 +17 *1097:8 *4553:20 0 +18 *1097:8 *4784:45 0 +19 *1097:8 *5190:43 0 +*RES +1 mprj_sel_o_core[0] *1097:8 13.3571 +2 *1097:8 *38807:A 9.3 +3 *1097:8 *6943:DIODE 37.7107 +*END + +*D_NET *1098 0.00417312 +*CONN +*P mprj_sel_o_core[1] I +*I *6944:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38808:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mprj_sel_o_core[1] 0.00102353 +2 *6944:DIODE 0.00101768 +3 *38808:A 4.53482e-05 +4 *1098:15 0.00208656 +5 *6944:DIODE *6946:DIODE 0 +6 *6944:DIODE *37709:A 0 +7 *6944:DIODE *1100:5 0 +8 *6944:DIODE *1618:14 0 +9 *6944:DIODE *1712:27 0 +10 *6944:DIODE *1840:20 0 +11 *6944:DIODE *2994:14 0 +12 *6944:DIODE *4554:17 0 +13 *6944:DIODE *4780:63 0 +14 *6944:DIODE *5175:22 0 +15 *38808:A *1858:17 0 +16 *38808:A *3030:23 0 +17 *1098:15 *1828:23 0 +18 *1098:15 *4592:28 0 +19 *1098:15 *5102:39 0 +20 *7480:DIODE *6944:DIODE 0 +21 *39508:A *6944:DIODE 0 +*RES +1 mprj_sel_o_core[1] *1098:15 30.625 +2 *1098:15 *38808:A 14.7464 +3 *1098:15 *6944:DIODE 45.3893 +*END + +*D_NET *1099 0.00262782 +*CONN +*P mprj_sel_o_core[2] I +*I *6945:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38809:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 mprj_sel_o_core[2] 0.000236686 +2 *6945:DIODE 0.00092364 +3 *38809:A 0.000153585 +4 *1099:5 0.00131391 +5 *6945:DIODE *8999:DIODE 0 +6 *6945:DIODE *40338:A 0 +7 *6945:DIODE *2713:49 0 +8 *6945:DIODE *3403:16 0 +9 *6945:DIODE *3486:5 0 +10 *6945:DIODE *4539:69 0 +11 *6945:DIODE *4600:28 0 +12 *38809:A *40260:A 0 +13 *38809:A *4761:31 0 +14 *1099:5 *4592:28 0 +15 *1099:5 *4595:24 0 +16 *1099:5 *4761:31 0 +17 *1099:5 *5185:14 0 +18 *6898:DIODE *6945:DIODE 0 +*RES +1 mprj_sel_o_core[2] *1099:5 5.375 +2 *1099:5 *38809:A 17.0054 +3 *1099:5 *6945:DIODE 43.2464 +*END + +*D_NET *1100 0.00207419 +*CONN +*P mprj_sel_o_core[3] I +*I *38810:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6946:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 mprj_sel_o_core[3] 0.000451782 +2 *38810:A 5.62574e-05 +3 *6946:DIODE 0.000529054 +4 *1100:5 0.00103709 +5 *6946:DIODE *2994:14 0 +6 *6946:DIODE *2995:54 0 +7 *6946:DIODE *3209:17 0 +8 *6946:DIODE *3403:39 0 +9 *6946:DIODE *4590:14 0 +10 *38810:A *1862:103 0 +11 *38810:A *5175:22 0 +12 *1100:5 *40378:A 0 +13 *1100:5 *4554:17 0 +14 *6944:DIODE *6946:DIODE 0 +15 *6944:DIODE *1100:5 0 +*RES +1 mprj_sel_o_core[3] *1100:5 10.2321 +2 *1100:5 *6946:DIODE 32.7031 +3 *1100:5 *38810:A 15.05 +*END + +*D_NET *1101 0.000471344 +*CONN +*P mprj_sel_o_user[0] O +*I *39373:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_sel_o_user[0] 0.000235672 +2 *39373:X 0.000235672 +3 mprj_sel_o_user[0] *5160:57 0 +4 mprj_adr_o_user[1] mprj_sel_o_user[0] 0 +5 mprj_dat_o_user[0] mprj_sel_o_user[0] 0 +*RES +1 *39373:X mprj_sel_o_user[0] 19.1036 +*END + +*D_NET *1102 0.00047349 +*CONN +*P mprj_sel_o_user[1] O +*I *39374:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_sel_o_user[1] 0.000236745 +2 *39374:X 0.000236745 +3 mprj_dat_o_user[1] mprj_sel_o_user[1] 0 +*RES +1 *39374:X mprj_sel_o_user[1] 19.175 +*END + +*D_NET *1103 0.000473333 +*CONN +*P mprj_sel_o_user[2] O +*I *39375:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_sel_o_user[2] 0.000236666 +2 *39375:X 0.000236666 +3 mprj_dat_o_user[2] mprj_sel_o_user[2] 0 +*RES +1 *39375:X mprj_sel_o_user[2] 19.175 +*END + +*D_NET *1104 0.000967429 +*CONN +*P mprj_sel_o_user[3] O +*I *39376:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_sel_o_user[3] 0.000483715 +2 *39376:X 0.000483715 +3 mprj_sel_o_user[3] *39366:A 0 +4 mprj_sel_o_user[3] *2611:24 0 +5 mprj_sel_o_user[3] *2670:20 0 +6 mprj_adr_o_user[4] mprj_sel_o_user[3] 0 +*RES +1 *39376:X mprj_sel_o_user[3] 24.7821 +*END + +*D_NET *1105 0.00239743 +*CONN +*P mprj_stb_o_core I +*I *6947:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38811:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mprj_stb_o_core 0.000721695 +2 *6947:DIODE 0.000477018 +3 *38811:A 0 +4 *1105:14 0.00119871 +5 *6947:DIODE *39534:B 0 +6 *6947:DIODE *1728:42 0 +7 *6947:DIODE *4553:20 0 +8 *6947:DIODE *4598:20 0 +9 *6947:DIODE *4784:45 0 +10 *1105:14 *39534:B 0 +11 *1105:14 *2713:169 0 +12 *1105:14 *4784:45 0 +13 *39534:A *6947:DIODE 0 +*RES +1 mprj_stb_o_core *1105:14 29.1786 +2 *1105:14 *38811:A 9.3 +3 *1105:14 *6947:DIODE 28.675 +*END + +*D_NET *1106 0.00105665 +*CONN +*P mprj_stb_o_user O +*I *39377:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_stb_o_user 0.000528325 +2 *39377:X 0.000528325 +3 mprj_stb_o_user mprj_we_o_user 0 +4 mprj_stb_o_user *7286:DIODE 0 +5 mprj_stb_o_user *7345:DIODE 0 +6 mprj_stb_o_user *2704:8 0 +7 mprj_stb_o_user *2708:31 0 +8 mprj_cyc_o_user mprj_stb_o_user 0 +*RES +1 *39377:X mprj_stb_o_user 25.6036 +*END + +*D_NET *1107 0.00210233 +*CONN +*P mprj_we_o_core I +*I *6948:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38812:A I *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 mprj_we_o_core 0.000592732 +2 *6948:DIODE 0.000458434 +3 *38812:A 0 +4 *1107:8 0.00105117 +5 *6948:DIODE *37450:A 0 +6 *6948:DIODE *40443:A 0 +7 *6948:DIODE *2713:137 0 +8 *6948:DIODE *5074:44 0 +9 *1107:8 *40443:A 0 +10 *1107:8 *3357:30 0 +11 *1107:8 *4416:20 0 +12 *6906:DIODE *6948:DIODE 0 +*RES +1 mprj_we_o_core *1107:8 17.7321 +2 *1107:8 *38812:A 9.3 +3 *1107:8 *6948:DIODE 28.3714 +*END + +*D_NET *1108 0.000796517 +*CONN +*P mprj_we_o_user O +*I *39378:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 mprj_we_o_user 0.000398258 +2 *39378:X 0.000398258 +3 mprj_we_o_user *7286:DIODE 0 +4 mprj_adr_o_user[0] mprj_we_o_user 0 +5 mprj_stb_o_user mprj_we_o_user 0 +*RES +1 *39378:X mprj_we_o_user 22.9071 +*END + +*D_NET *1109 0.000654784 +*CONN +*P user1_vcc_powergood O +*I *39379:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 user1_vcc_powergood 0.000327392 +2 *39379:X 0.000327392 +*RES +1 *39379:X user1_vcc_powergood 19.3939 +*END + +*D_NET *1110 0.000574637 +*CONN +*P user1_vdd_powergood O +*I *39380:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 user1_vdd_powergood 0.000287318 +2 *39380:X 0.000287318 +*RES +1 *39380:X user1_vdd_powergood 18.4832 +*END + +*D_NET *1111 0.000628042 +*CONN +*P user2_vcc_powergood O +*I *39381:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 user2_vcc_powergood 0.000314021 +2 *39381:X 0.000314021 +*RES +1 *39381:X user2_vcc_powergood 19.0903 +*END + +*D_NET *1112 0.000735089 +*CONN +*P user2_vdd_powergood O +*I *39382:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 user2_vdd_powergood 0.000367544 +2 *39382:X 0.000367544 +*RES +1 *39382:X user2_vdd_powergood 20.3046 +*END + +*D_NET *1113 0.000967429 +*CONN +*P user_clock O +*I *39383:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 user_clock 0.000483715 +2 *39383:X 0.000483715 +3 user_clock user_reset 0 +*RES +1 *39383:X user_clock 24.7821 +*END + +*D_NET *1114 0.00114644 +*CONN +*P user_clock2 O +*I *39384:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 user_clock2 0.000573222 +2 *39384:X 0.000573222 +3 user_clock2 *1118:12 0 +4 user_clock2 *4607:9 0 +5 user_clock2 *4925:8 0 +6 la_oenb_core[127] user_clock2 0 +*RES +1 *39384:X user_clock2 26.4786 +*END + +*D_NET *1115 0.000654784 +*CONN +*P user_irq[0] O +*I *39385:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 user_irq[0] 0.000327392 +2 *39385:X 0.000327392 +*RES +1 *39385:X user_irq[0] 19.3939 +*END + +*D_NET *1116 0.000574637 +*CONN +*P user_irq[1] O +*I *39386:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 user_irq[1] 0.000287318 +2 *39386:X 0.000287318 +*RES +1 *39386:X user_irq[1] 18.4832 +*END + +*D_NET *1117 0.000628042 +*CONN +*P user_irq[2] O +*I *39387:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 user_irq[2] 0.000314021 +2 *39387:X 0.000314021 +*RES +1 *39387:X user_irq[2] 19.0903 +*END + +*D_NET *1118 0.00766712 +*CONN +*P user_irq_core[0] I +*I *7346:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39390:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 user_irq_core[0] 0.000861991 +2 *7346:DIODE 0 +3 *39390:A 0.000124066 +4 *1118:16 0.00164237 +5 *1118:15 0.0028475 +6 *1118:12 0.00219118 +7 *39390:A *5203:5 0 +8 *1118:12 *1119:7 0 +9 *1118:12 *1120:8 0 +10 *1118:12 *4638:8 0 +11 *1118:12 *5155:8 0 +12 *1118:15 *4925:8 0 +13 *1118:16 *5203:5 0 +14 user_clock2 *1118:12 0 +15 *269:19 *1118:15 0 +*RES +1 user_irq_core[0] *1118:12 27.9821 +2 *1118:12 *1118:15 34.6786 +3 *1118:15 *1118:16 31.75 +4 *1118:16 *39390:A 11.8893 +5 *1118:16 *7346:DIODE 9.3 +*END + +*D_NET *1119 0.00797875 +*CONN +*P user_irq_core[1] I +*I *7347:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39391:A I *D sky130_fd_sc_hd__nand2_1 +*CAP +1 user_irq_core[1] 0.000477423 +2 *7347:DIODE 0 +3 *39391:A 0.000156313 +4 *1119:11 0.00128219 +5 *1119:8 0.00335564 +6 *1119:7 0.00270718 +7 *39391:A *5204:5 0 +8 *1119:7 *1120:7 0 +9 *1119:7 *4638:8 0 +10 *1119:8 *7048:DIODE 0 +11 *1119:8 *38919:A 0 +12 *1119:8 *4639:9 0 +13 *278:17 *1119:11 0 +14 *287:7 *1119:11 0 +15 *288:11 *39391:A 0 +16 *288:11 *1119:11 0 +17 *381:17 *39391:A 0 +18 *381:17 *1119:11 0 +19 *1118:12 *1119:7 0 +*RES +1 user_irq_core[1] *1119:7 15.3393 +2 *1119:7 *1119:8 46.5357 +3 *1119:8 *1119:11 30.125 +4 *1119:11 *39391:A 17.1214 +5 *1119:11 *7347:DIODE 13.8 +*END + +*D_NET *1120 0.00970895 +*CONN +*P user_irq_core[2] I +*I *39392:A I *D sky130_fd_sc_hd__nand2_1 +*I *7348:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 user_irq_core[2] 0.000544277 +2 *39392:A 0 +3 *7348:DIODE 0.0001114 +4 *1120:11 0.00107678 +5 *1120:8 0.0041988 +6 *1120:7 0.00377769 +7 *7348:DIODE *1587:8 0 +8 *1120:7 *4638:8 0 +9 *1120:8 *4630:9 0 +10 *1120:11 *1587:8 0 +11 *1120:11 *4627:6 0 +12 *278:14 *1120:8 0 +13 *284:13 *1120:11 0 +14 *285:10 *1120:11 0 +15 *290:8 *1120:8 0 +16 *386:27 *7348:DIODE 0 +17 *1024:137 *7348:DIODE 0 +18 *1024:137 *1120:11 0 +19 *1118:12 *1120:8 0 +20 *1119:7 *1120:7 0 +*RES +1 user_irq_core[2] *1120:7 16.8571 +2 *1120:7 *1120:8 67.4821 +3 *1120:8 *1120:11 26.4821 +4 *1120:11 *7348:DIODE 16.2821 +5 *1120:11 *39392:A 13.8 +*END + +*D_NET *1121 0.000769474 +*CONN +*P user_irq_ena[0] I +*I *38814:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6950:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 user_irq_ena[0] 0.000240992 +2 *38814:A 0 +3 *6950:DIODE 0.000143745 +4 *1121:10 0.000384737 +5 *6950:DIODE *4605:10 0 +*RES +1 user_irq_ena[0] *1121:10 9.27056 +2 *1121:10 *6950:DIODE 12.3 +3 *1121:10 *38814:A 9.3 +*END + +*D_NET *1122 0.000586435 +*CONN +*P user_irq_ena[1] I +*I *38815:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *6951:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 user_irq_ena[1] 0.00021543 +2 *38815:A 6.56358e-05 +3 *6951:DIODE 1.21519e-05 +4 *1122:7 0.000293217 +*RES +1 user_irq_ena[1] *1122:7 4.1902 +2 *1122:7 *6951:DIODE 14.0768 +3 *1122:7 *38815:A 15.2911 +*END + +*D_NET *1123 0.00126043 +*CONN +*P user_irq_ena[2] I +*I *38816:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *6952:DIODE I *D sky130_fd_sc_hd__diode_2 +*CAP +1 user_irq_ena[2] 0.000354173 +2 *38816:A 8.55626e-05 +3 *6952:DIODE 0.000190479 +4 *1123:8 0.000630215 +5 *6952:DIODE *4607:8 0 +6 *6952:DIODE *4607:9 0 +*RES +1 user_irq_ena[2] *1123:8 6.20104 +2 *1123:8 *6952:DIODE 18.0679 +3 *1123:8 *38816:A 15.5857 +*END + +*D_NET *1124 0.000539558 +*CONN +*P user_reset O +*I *39388:X O *D sky130_fd_sc_hd__buf_12 +*CAP +1 user_reset 0.000269779 +2 *39388:X 0.000269779 +3 user_clock user_reset 0 +4 *901:7 user_reset 0 +*RES +1 *39388:X user_reset 19.925 +*END + +*D_NET *1135 0.000327622 +*CONN +*I *37473:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37472:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37473:A 0.000163811 +2 *37472:X 0.000163811 +3 *37473:A *37472:B 0 +4 *37473:A *3928:37 0 +5 *37473:A *4371:18 0 +6 *37473:A *4801:15 0 +7 *37473:A *4815:15 0 +*RES +1 *37472:X *37473:A 31.225 +*END + +*D_NET *1136 0.000274138 +*CONN +*I *37475:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37474:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37475:A 0.000137069 +2 *37474:X 0.000137069 +3 *37475:A *37474:A 0 +4 *37475:A *2572:11 0 +5 *37475:A *3871:14 0 +6 *37475:A *4249:28 0 +7 *37475:A *4371:13 0 +*RES +1 *37474:X *37475:A 30.6179 +*END + +*D_NET *1137 0.00183755 +*CONN +*I *37477:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37476:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37477:A 0.000918773 +2 *37476:X 0.000918773 +3 *37477:A *37476:A 0 +4 *37477:A *1782:14 0 +5 *37477:A *3096:17 0 +6 *37477:A *3376:27 0 +7 *37477:A *3468:21 0 +8 *37477:A *3920:19 0 +9 *37477:A *4821:10 0 +10 *354:17 *37477:A 0 +*RES +1 *37476:X *37477:A 46.9571 +*END + +*D_NET *1138 0.00241665 +*CONN +*I *37479:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37478:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37479:A 0 +2 *37478:X 0.00120833 +3 *1138:11 0.00120833 +4 *1138:11 *37478:B 0 +5 *1138:11 *2449:13 0 +6 *1138:11 *3082:19 0 +7 *1138:11 *3381:39 0 +8 *1138:11 *3390:43 0 +9 *1138:11 *4371:18 0 +*RES +1 *37478:X *1138:11 43.8714 +2 *1138:11 *37479:A 9.3 +*END + +*D_NET *1139 0.00209971 +*CONN +*I *37481:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37480:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37481:A 0.00104985 +2 *37480:X 0.00104985 +3 *37481:A *1819:11 0 +4 *37481:A *4419:20 0 +5 *37481:A *4419:30 0 +6 *37481:A *4493:13 0 +7 *37481:A *4493:15 0 +8 *37481:A *4891:49 0 +9 *6763:DIODE *37481:A 0 +10 *6838:DIODE *37481:A 0 +*RES +1 *37480:X *37481:A 40.5107 +*END + +*D_NET *1140 0.00271271 +*CONN +*I *37483:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37482:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37483:A 0 +2 *37482:X 0.00135636 +3 *1140:15 0.00135636 +4 *1140:15 *5218:DIODE 0 +5 *1140:15 *8620:DIODE 0 +6 *1140:15 *37482:B 0 +7 *1140:15 *1691:5 0 +8 *1140:15 *3068:19 0 +9 *1140:15 *3903:39 0 +10 *1140:15 *3917:13 0 +11 *1140:15 *3917:15 0 +12 *1140:15 *3924:15 0 +13 *1140:15 *4827:11 0 +*RES +1 *37482:X *1140:15 46.7464 +2 *1140:15 *37483:A 9.3 +*END + +*D_NET *1141 0.000485538 +*CONN +*I *37485:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37484:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37485:A 0.000242769 +2 *37484:X 0.000242769 +3 *37485:A *1782:36 0 +4 *37485:A *3069:7 0 +5 *37485:A *3149:12 0 +6 *37485:A *3466:19 0 +7 *37485:A *3871:28 0 +8 *6938:DIODE *37485:A 0 +*RES +1 *37484:X *37485:A 32.85 +*END + +*D_NET *1142 0.000353494 +*CONN +*I *37487:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37486:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37487:A 0.000176747 +2 *37486:X 0.000176747 +3 *37487:A *39509:B 0 +4 *37487:A *2359:19 0 +5 *37487:A *3215:22 0 +6 *37487:A *4820:18 0 +*RES +1 *37486:X *37487:A 31.4214 +*END + +*D_NET *1143 0.0019012 +*CONN +*I *37489:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37488:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37489:A 0.000950601 +2 *37488:X 0.000950601 +3 *37489:A *37488:B 0 +4 *37489:A *1795:21 0 +5 *37489:A *4822:9 0 +6 *37489:A *4828:11 0 +7 *387:51 *37489:A 0 +*RES +1 *37488:X *37489:A 38.4393 +*END + +*D_NET *1144 0.00347911 +*CONN +*I *37491:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37490:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37491:A 0.000360219 +2 *37490:X 0.00137933 +3 *1144:10 0.00173955 +4 *37491:A *4828:17 0 +5 *1144:10 *3901:21 0 +6 *1144:10 *4748:23 0 +7 *1144:10 *4817:37 0 +8 *1144:10 *4904:52 0 +9 *387:51 *37491:A 0 +*RES +1 *37490:X *1144:10 47.4429 +2 *1144:10 *37491:A 16.8179 +*END + +*D_NET *1145 0.00671838 +*CONN +*I *37493:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37492:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37493:A 0 +2 *37492:X 0.00132237 +3 *1145:13 0.00203682 +4 *1145:7 0.00335919 +5 *1145:7 *1691:9 0 +6 *1145:13 *3175:22 0 +7 *1145:13 *3175:28 0 +8 *1145:13 *3380:51 0 +9 *1145:13 *3390:23 0 +10 *1145:13 *3917:15 0 +11 *1145:13 *3917:25 0 +12 *39487:A *1145:7 0 +13 *39487:A *1145:13 0 +*RES +1 *37492:X *1145:7 36.9607 +2 *1145:7 *1145:13 42.5714 +3 *1145:13 *37493:A 9.3 +*END + +*D_NET *1146 0.000867957 +*CONN +*I *37495:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37494:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37495:A 0.000433978 +2 *37494:X 0.000433978 +3 *37495:A *37330:A 0 +4 *37495:A *2694:18 0 +5 *37495:A *3877:63 0 +6 *37495:A *3924:36 0 +7 *310:27 *37495:A 0 +*RES +1 *37494:X *37495:A 36.9214 +*END + +*D_NET *1147 0.000171463 +*CONN +*I *37497:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37496:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37497:A 8.57316e-05 +2 *37496:X 8.57316e-05 +3 *37497:A *3390:47 0 +4 *37497:A *4493:38 0 +*RES +1 *37496:X *37497:A 29.5464 +*END + +*D_NET *1148 0.00424032 +*CONN +*I *37499:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37498:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37499:A 0 +2 *37498:X 0.000112474 +3 *1148:12 0.00200768 +4 *1148:8 0.00212016 +5 *1148:8 *4420:28 0 +6 *1148:8 *4429:36 0 +7 *1148:12 *37339:A 0 +8 *1148:12 *37523:A 0 +9 *1148:12 *39558:A 0 +10 *1148:12 *1777:11 0 +11 *1148:12 *3127:25 0 +12 *1148:12 *3127:32 0 +13 *1148:12 *3874:25 0 +14 *310:27 *1148:12 0 +*RES +1 *37498:X *1148:8 20.8536 +2 *1148:8 *1148:12 46.6071 +3 *1148:12 *37499:A 13.8 +*END + +*D_NET *1149 0.00568019 +*CONN +*I *37501:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37500:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37501:A 0 +2 *37500:X 0.00043322 +3 *1149:9 0.00240688 +4 *1149:8 0.0028401 +5 *1149:8 *40059:A 0 +6 *1149:8 *2789:20 0 +7 *1149:8 *3589:38 0 +8 *1149:8 *3600:53 0 +9 *1149:8 *3891:20 0 +10 *1149:9 *1153:15 0 +11 *1149:9 *2952:21 0 +*RES +1 *37500:X *1149:8 28.1393 +2 *1149:8 *1149:9 50.2321 +3 *1149:9 *37501:A 9.3 +*END + +*D_NET *1150 0.005071 +*CONN +*I *37503:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37502:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37503:A 0 +2 *37502:X 0.000419962 +3 *1150:15 0.00211554 +4 *1150:10 0.0025355 +5 *1150:10 *3887:25 0 +6 *1150:10 *3914:12 0 +7 *1150:10 *4526:18 0 +8 *1150:10 *4538:8 0 +9 *1150:10 *4791:35 0 +10 *1150:15 *39555:A 0 +11 *1150:15 *3204:21 0 +12 *1150:15 *3382:31 0 +13 *1150:15 *4791:25 0 +*RES +1 *37502:X *1150:10 27.2464 +2 *1150:10 *1150:15 44.2143 +3 *1150:15 *37503:A 9.3 +*END + +*D_NET *1151 0.000171463 +*CONN +*I *37505:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37504:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37505:A 8.57316e-05 +2 *37504:X 8.57316e-05 +3 *37505:A *3082:10 0 +4 *354:17 *37505:A 0 +*RES +1 *37504:X *37505:A 29.5464 +*END + +*D_NET *1152 0.00213662 +*CONN +*I *37507:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37506:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37507:A 0 +2 *37506:X 0.00106831 +3 *1152:11 0.00106831 +4 *1152:11 *1779:10 0 +5 *1152:11 *3060:15 0 +6 *1152:11 *4177:19 0 +7 *1152:11 *4811:16 0 +*RES +1 *37506:X *1152:11 40.7286 +2 *1152:11 *37507:A 9.3 +*END + +*D_NET *1153 0.0144846 +*CONN +*I *5315:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37509:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37508:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *5315:DIODE 0 +2 *37509:A 0.000195672 +3 *37508:X 0.000419967 +4 *1153:18 0.000505958 +5 *1153:15 0.00482286 +6 *1153:14 0.0063164 +7 *1153:8 0.0022238 +8 *37509:A *39431:B 0 +9 *37509:A *4203:41 0 +10 *1153:8 *37527:A 0 +11 *1153:8 *2787:6 0 +12 *1153:8 *2797:26 0 +13 *1153:14 *37883:A 0 +14 *1153:14 *37895:A 0 +15 *1153:14 *40057:A 0 +16 *1153:14 *1340:17 0 +17 *1153:14 *2912:14 0 +18 *1153:14 *4700:10 0 +19 *1153:14 *5068:25 0 +20 *1153:15 *6208:DIODE 0 +21 *1153:15 *1471:9 0 +22 *1153:15 *2952:21 0 +23 *1153:18 *39431:B 0 +24 *297:13 *1153:8 0 +25 *299:35 *1153:14 0 +26 *1149:9 *1153:15 0 +*RES +1 *37508:X *1153:8 27.8357 +2 *1153:8 *1153:14 46.8036 +3 *1153:14 *1153:15 94.1786 +4 *1153:15 *1153:18 11.6071 +5 *1153:18 *37509:A 17.9429 +6 *1153:18 *5315:DIODE 13.8 +*END + +*D_NET *1154 0.0070646 +*CONN +*I *37511:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37510:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37511:A 0.00148194 +2 *37510:X 0.00070348 +3 *1154:26 0.00282882 +4 *1154:16 0.00205036 +5 *37511:A *1470:9 0 +6 *1154:16 *2912:10 0 +7 *1154:16 *3609:17 0 +8 *1154:16 *4207:39 0 +9 *1154:16 *4800:28 0 +10 *1154:26 *1346:24 0 +11 *1154:26 *1358:15 0 +12 *1154:26 *1470:21 0 +13 *39438:A *1154:26 0 +14 *293:27 *1154:16 0 +15 *306:11 *1154:16 0 +*RES +1 *37510:X *1154:16 42.4964 +2 *1154:16 *1154:26 37.3214 +3 *1154:26 *37511:A 40.2286 +*END + +*D_NET *1155 0.00139238 +*CONN +*I *37513:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37512:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37513:A 0.000696189 +2 *37512:X 0.000696189 +3 *37513:A *3204:8 0 +4 *37513:A *3215:16 0 +5 *37513:A *3238:12 0 +6 *37513:A *3431:31 0 +7 *343:30 *37513:A 0 +*RES +1 *37512:X *37513:A 42.3857 +*END + +*D_NET *1156 0.0022301 +*CONN +*I *37515:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37514:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37515:A 0 +2 *37514:X 0.00111505 +3 *1156:9 0.00111505 +4 *1156:9 *37514:A 0 +5 *1156:9 *1760:13 0 +6 *1156:9 *3171:48 0 +7 *1156:9 *4499:10 0 +8 *1156:9 *4787:11 0 +9 *1156:9 *4797:9 0 +*RES +1 *37514:X *1156:9 41.7286 +2 *1156:9 *37515:A 9.3 +*END + +*D_NET *1157 0.00245394 +*CONN +*I *37517:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37516:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37517:A 0.00122697 +2 *37516:X 0.00122697 +3 *37517:A *37331:A 0 +4 *37517:A *38409:A 0 +5 *37517:A *3096:17 0 +6 *37517:A *3468:31 0 +*RES +1 *37516:X *37517:A 44.2071 +*END + +*D_NET *1158 0.00573612 +*CONN +*I *37519:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37518:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37519:A 0.000146312 +2 *37518:X 0 +3 *1158:5 0.00286806 +4 *1158:4 0.00272175 +5 *37519:A *2359:15 0 +6 *37519:A *4879:23 0 +7 *1158:5 *38408:A 0 +8 *1158:5 *2359:15 0 +9 *1158:5 *4786:15 0 +10 *1158:5 *4799:14 0 +11 *1158:5 *4799:23 0 +12 *1158:5 *4879:23 0 +13 *6849:DIODE *1158:5 0 +*RES +1 *37518:X *1158:4 9.3 +2 *1158:4 *1158:5 56.8036 +3 *1158:5 *37519:A 12.6393 +*END + +*D_NET *1159 0.0114376 +*CONN +*I *5326:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37521:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37520:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *5326:DIODE 0 +2 *37521:A 0.000124066 +3 *37520:X 0.0018597 +4 *1159:25 0.00132451 +5 *1159:23 0.0027226 +6 *1159:20 0.00253458 +7 *1159:9 0.00287212 +8 *37521:A *1358:15 0 +9 *37521:A *1470:21 0 +10 *37521:A *3570:25 0 +11 *1159:9 *37533:A 0 +12 *1159:9 *39440:B 0 +13 *1159:9 *1167:13 0 +14 *1159:9 *3570:25 0 +15 *1159:20 *37525:A 0 +16 *1159:20 *39440:B 0 +17 *1159:20 *1356:23 0 +18 *1159:20 *1485:34 0 +19 *1159:20 *2791:38 0 +20 *1159:20 *3383:48 0 +21 *1159:20 *3880:34 0 +22 *1159:20 *3891:20 0 +23 *1159:23 *1167:21 0 +24 *1159:23 *3570:25 0 +25 *1159:23 *3880:40 0 +26 *1159:23 *4207:35 0 +27 *1159:23 *4207:39 0 +28 *1159:25 *1470:21 0 +29 *1159:25 *3570:25 0 +30 *1159:25 *4207:39 0 +31 *39440:A *1159:9 0 +*RES +1 *37520:X *1159:9 48.175 +2 *1159:9 *1159:20 19.9518 +3 *1159:20 *1159:23 31.7679 +4 *1159:23 *1159:25 25.1786 +5 *1159:25 *37521:A 11.8893 +6 *1159:25 *5326:DIODE 9.3 +*END + +*D_NET *1160 0.000412664 +*CONN +*I *37523:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37522:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37523:A 0.000206332 +2 *37522:X 0.000206332 +3 *37523:A *3127:25 0 +4 *37523:A *3468:31 0 +5 *1148:12 *37523:A 0 +*RES +1 *37522:X *37523:A 32.0821 +*END + +*D_NET *1161 0.0113566 +*CONN +*I *5331:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37525:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37524:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *5331:DIODE 7.08907e-05 +2 *37525:A 0.000310411 +3 *37524:X 0.00529699 +4 *1161:11 0.0056783 +5 *5331:DIODE *1772:14 0 +6 *5331:DIODE *3634:31 0 +7 *37525:A *39440:B 0 +8 *37525:A *1167:13 0 +9 *37525:A *1167:19 0 +10 *37525:A *1772:14 0 +11 *37525:A *2797:57 0 +12 *37525:A *3383:48 0 +13 *37525:A *3570:25 0 +14 *37525:A *3600:23 0 +15 *37525:A *4207:16 0 +16 *1161:11 *37536:A 0 +17 *1161:11 *37914:C 0 +18 *1161:11 *1165:11 0 +19 *1161:11 *1780:19 0 +20 *1161:11 *1788:19 0 +21 *1161:11 *2797:57 0 +22 *1161:11 *3226:26 0 +23 *1161:11 *3383:48 0 +24 *1161:11 *3600:23 0 +25 *1161:11 *3622:37 0 +26 *1161:11 *3852:71 0 +27 *1161:11 *3883:25 0 +28 *1161:11 *3891:20 0 +29 *1161:11 *4399:40 0 +30 *311:41 *5331:DIODE 0 +31 *1159:20 *37525:A 0 +*RES +1 *37524:X *1161:11 40.3215 +2 *1161:11 *37525:A 21.3061 +3 *1161:11 *5331:DIODE 18.7368 +*END + +*D_NET *1162 0.0059636 +*CONN +*I *37527:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37526:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37527:A 0.00166349 +2 *37526:X 0.00131831 +3 *1162:11 0.0029818 +4 *37527:A *1167:30 0 +5 *37527:A *1340:10 0 +6 *37527:A *2787:6 0 +7 *37527:A *2912:10 0 +8 *37527:A *3570:37 0 +9 *37527:A *3600:53 0 +10 *37527:A *4800:13 0 +11 *1162:11 *1779:10 0 +12 *1162:11 *3570:25 0 +13 *1162:11 *3589:25 0 +14 *1162:11 *3593:43 0 +15 *1162:11 *3613:31 0 +16 *332:37 *1162:11 0 +17 *1153:8 *37527:A 0 +*RES +1 *37526:X *1162:11 46.6571 +2 *1162:11 *37527:A 34.1613 +*END + +*D_NET *1163 0.000601625 +*CONN +*I *37529:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37528:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37529:A 0.000300813 +2 *37528:X 0.000300813 +3 *37529:A *39952:A 0 +4 *37529:A *2792:11 0 +5 *37529:A *4184:57 0 +6 *37529:A *4190:40 0 +7 *37529:A *4201:18 0 +*RES +1 *37528:X *37529:A 34.0107 +*END + +*D_NET *1164 0.0074727 +*CONN +*I *37531:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37530:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37531:A 0.00020607 +2 *37530:X 0.00134138 +3 *1164:19 0.00239498 +4 *1164:17 0.00353028 +5 *37531:A *4821:10 0 +6 *1164:17 *41215:A 0 +7 *1164:17 *3067:24 0 +8 *1164:17 *3367:40 0 +9 *1164:17 *3875:69 0 +10 *1164:17 *4191:28 0 +11 *1164:17 *4211:40 0 +12 *1164:17 *4391:43 0 +13 *1164:17 *4821:11 0 +14 *1164:19 *3149:19 0 +15 *1164:19 *4391:43 0 +16 *1164:19 *4391:47 0 +17 *1164:19 *4821:11 0 +18 *354:17 *37531:A 0 +*RES +1 *37530:X *1164:17 47.9786 +2 *1164:17 *1164:19 45.7143 +3 *1164:19 *37531:A 22.9786 +*END + +*D_NET *1165 0.0148797 +*CONN +*I *37533:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *5339:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37532:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37533:A 0.000186611 +2 *5339:DIODE 2.56688e-05 +3 *37532:X 0.0071619 +4 *1165:14 0.000277935 +5 *1165:11 0.00722756 +6 *5339:DIODE *1167:13 0 +7 *37533:A *1365:10 0 +8 *37533:A *3547:49 0 +9 *37533:A *3570:25 0 +10 *1165:11 *37552:B 0 +11 *1165:11 *37556:A 0 +12 *1165:11 *1363:22 0 +13 *1165:11 *3350:56 0 +14 *1165:11 *3613:16 0 +15 *1165:11 *3622:37 0 +16 *1165:11 *3637:40 0 +17 *1165:11 *3843:15 0 +18 *1165:11 *3843:19 0 +19 *1165:11 *3869:20 0 +20 *1165:11 *3880:13 0 +21 *1165:11 *3881:36 0 +22 *1165:11 *3883:25 0 +23 *1165:11 *3892:56 0 +24 *1165:11 *4153:50 0 +25 *1165:11 *4390:20 0 +26 *1165:11 *4399:40 0 +27 *1165:14 *1365:10 0 +28 *1165:14 *3547:49 0 +29 *1159:9 *37533:A 0 +30 *1161:11 *1165:11 0 +*RES +1 *37532:X *1165:11 46.6321 +2 *1165:11 *1165:14 4.90107 +3 *1165:14 *5339:DIODE 14.3357 +4 *1165:14 *37533:A 17.8357 +*END + +*D_NET *1166 0.00724718 +*CONN +*I *40185:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37534:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *40185:A 0.000246164 +2 *37534:X 4.56186e-05 +3 *1166:9 0.00357797 +4 *1166:8 0.00337743 +5 *40185:A *3872:24 0 +6 *1166:8 *4141:21 0 +7 *1166:9 *1785:15 0 +8 *1166:9 *2351:9 0 +9 *1166:9 *3910:11 0 +10 *1166:9 *3910:17 0 +11 *1166:9 *3910:19 0 +12 *1166:9 *3929:20 0 +13 *1166:9 *3929:23 0 +14 *343:13 *1166:8 0 +*RES +1 *37534:X *1166:8 19.3357 +2 *1166:8 *1166:9 69.5357 +3 *1166:9 *40185:A 23.8893 +*END + +*D_NET *1167 0.0122727 +*CONN +*I *37537:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *5344:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37536:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37537:A 0.000194388 +2 *5344:DIODE 0 +3 *37536:X 0.00050507 +4 *1167:30 0.000589913 +5 *1167:21 0.00272368 +6 *1167:19 0.00240431 +7 *1167:13 0.00271319 +8 *1167:11 0.00314211 +9 *37537:A *1355:25 0 +10 *37537:A *1367:8 0 +11 *37537:A *2952:21 0 +12 *1167:11 *3852:75 0 +13 *1167:11 *3852:77 0 +14 *1167:13 *37520:A 0 +15 *1167:13 *37540:A 0 +16 *1167:13 *1772:14 0 +17 *1167:13 *3570:25 0 +18 *1167:13 *3621:9 0 +19 *1167:13 *3637:46 0 +20 *1167:13 *3852:77 0 +21 *1167:13 *3880:23 0 +22 *1167:19 *3570:25 0 +23 *1167:21 *37921:A 0 +24 *1167:21 *2776:10 0 +25 *1167:21 *3570:25 0 +26 *1167:21 *3880:40 0 +27 *1167:21 *4207:32 0 +28 *1167:21 *4207:35 0 +29 *1167:30 *1367:8 0 +30 *1167:30 *1651:15 0 +31 *5339:DIODE *1167:13 0 +32 *37525:A *1167:13 0 +33 *37525:A *1167:19 0 +34 *37527:A *1167:30 0 +35 *303:13 *37537:A 0 +36 *1159:9 *1167:13 0 +37 *1159:23 *1167:21 0 +*RES +1 *37536:X *1167:11 28.925 +2 *1167:11 *1167:13 55.1607 +3 *1167:13 *1167:19 1.80357 +4 *1167:19 *1167:21 48.5893 +5 *1167:21 *1167:30 13.2178 +6 *1167:30 *5344:DIODE 13.8 +7 *1167:30 *37537:A 18.1214 +*END + +*D_NET *1168 0.0160741 +*CONN +*I *5347:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37539:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37538:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *5347:DIODE 0 +2 *37539:A 0.000163425 +3 *37538:X 0.00164311 +4 *1168:49 0.00127102 +5 *1168:42 0.00299859 +6 *1168:17 0.00512291 +7 *1168:16 0.00487502 +8 *37539:A *3600:7 0 +9 *37539:A *3634:31 0 +10 *1168:16 *3590:20 0 +11 *1168:16 *3618:47 0 +12 *1168:16 *4398:43 0 +13 *1168:17 *6318:DIODE 0 +14 *1168:17 *8362:DIODE 0 +15 *1168:17 *8978:DIODE 0 +16 *1168:17 *37552:A 0 +17 *1168:17 *37990:A_N 0 +18 *1168:17 *37990:B 0 +19 *1168:17 *38194:B 0 +20 *1168:17 *3631:19 0 +21 *1168:17 *3632:69 0 +22 *1168:17 *3845:121 0 +23 *1168:17 *4383:63 0 +24 *1168:17 *4399:13 0 +25 *1168:17 *4400:55 0 +26 *1168:42 *40673:A 0 +27 *1168:42 *3606:83 0 +28 *1168:42 *3609:11 0 +29 *1168:42 *3631:19 0 +30 *1168:42 *3631:37 0 +31 *1168:42 *4207:16 0 +32 *1168:49 *5796:DIODE 0 +33 *1168:49 *2764:8 0 +34 *1168:49 *2952:10 0 +35 *1168:49 *3563:30 0 +36 *1168:49 *3600:7 0 +37 *1168:49 *3634:31 0 +*RES +1 *37538:X *1168:16 46.2455 +2 *1168:16 *1168:17 67.4821 +3 *1168:17 *1168:42 48.9286 +4 *1168:42 *1168:49 32.6071 +5 *1168:49 *37539:A 12.7107 +6 *1168:49 *5347:DIODE 9.3 +*END + +*D_NET *1169 0.00229854 +*CONN +*I *37541:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37540:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37541:A 0 +2 *37540:X 0.00114927 +3 *1169:11 0.00114927 +4 *1169:11 *1480:12 0 +5 *1169:11 *2791:47 0 +6 *1169:11 *2911:17 0 +7 *1169:11 *2952:11 0 +8 *1169:11 *3634:31 0 +9 *1169:11 *4402:37 0 +10 *354:17 *1169:11 0 +*RES +1 *37540:X *1169:11 42.6393 +2 *1169:11 *37541:A 9.3 +*END + +*D_NET *1170 0.0137958 +*CONN +*I *5352:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37543:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37542:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *5352:DIODE 0 +2 *37543:A 0.000163425 +3 *37542:X 0.000258481 +4 *1170:35 0.00175372 +5 *1170:26 0.00310483 +6 *1170:11 0.0048857 +7 *1170:10 0.00362965 +8 *37543:A *39450:B 0 +9 *37543:A *3468:29 0 +10 *1170:10 *2356:16 0 +11 *1170:10 *3917:13 0 +12 *1170:10 *4432:12 0 +13 *1170:11 *1785:15 0 +14 *1170:11 *2351:9 0 +15 *1170:11 *2354:5 0 +16 *1170:11 *3914:12 0 +17 *1170:26 *1786:11 0 +18 *1170:26 *2360:36 0 +19 *1170:26 *2363:21 0 +20 *1170:26 *3867:18 0 +21 *1170:26 *4421:29 0 +22 *1170:26 *4482:22 0 +23 *1170:35 *39450:B 0 +24 *1170:35 *2363:11 0 +25 *1170:35 *2363:21 0 +26 *1170:35 *3096:17 0 +27 *1170:35 *3468:29 0 +28 *1170:35 *4637:47 0 +*RES +1 *37542:X *1170:10 24.1214 +2 *1170:10 *1170:11 70.3571 +3 *1170:11 *1170:26 48.3032 +4 *1170:26 *1170:35 46.9107 +5 *1170:35 *37543:A 12.7107 +6 *1170:35 *5352:DIODE 9.3 +*END + +*D_NET *1171 0.00538708 +*CONN +*I *40184:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37544:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *40184:A 0.000104386 +2 *37544:X 0.00114975 +3 *1171:34 0.00154379 +4 *1171:17 0.00258916 +5 *40184:A *1397:27 0 +6 *40184:A *3635:33 0 +7 *1171:17 *37544:B 0 +8 *1171:17 *2162:58 0 +9 *1171:17 *2202:64 0 +10 *1171:17 *3633:61 0 +11 *1171:17 *4403:19 0 +12 *1171:34 *5607:DIODE 0 +13 *1171:34 *1397:27 0 +14 *1171:34 *1952:59 0 +15 *1171:34 *2170:32 0 +16 *1171:34 *3633:61 0 +17 *1171:34 *3635:33 0 +18 *1171:34 *4403:31 0 +19 *7433:DIODE *1171:17 0 +*RES +1 *37544:X *1171:17 47.5321 +2 *1171:17 *1171:34 48.6429 +3 *1171:34 *40184:A 15.9786 +*END + +*D_NET *1172 0.00122836 +*CONN +*I *37547:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37546:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37547:A 0.000614179 +2 *37546:X 0.000614179 +3 *37547:A *5356:DIODE 0 +4 *37547:A *2790:10 0 +5 *37547:A *2912:21 0 +6 *294:62 *37547:A 0 +7 *318:63 *37547:A 0 +*RES +1 *37546:X *37547:A 40.9929 +*END + +*D_NET *1173 0.00146697 +*CONN +*I *37549:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37548:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37549:A 0.000733484 +2 *37548:X 0.000733484 +3 *37549:A *1300:65 0 +4 *37549:A *1499:26 0 +5 *37549:A *1526:9 0 +6 *37549:A *2750:19 0 +7 *37549:A *3051:36 0 +8 *37549:A *3169:29 0 +9 *37549:A *3614:49 0 +10 *37549:A *3836:39 0 +11 *37549:A *4985:26 0 +12 *322:27 *37549:A 0 +*RES +1 *37548:X *37549:A 43.6536 +*END + +*D_NET *1174 0.00235556 +*CONN +*I *37551:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37550:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37551:A 0 +2 *37550:X 0.00117778 +3 *1174:10 0.00117778 +4 *1174:10 *3347:41 0 +5 *1174:10 *3393:15 0 +6 *1174:10 *3903:14 0 +7 *1174:10 *4446:10 0 +*RES +1 *37550:X *1174:10 43.4071 +2 *1174:10 *37551:A 9.3 +*END + +*D_NET *1175 0.00223696 +*CONN +*I *37553:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37552:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37553:A 0 +2 *37552:X 0.00111848 +3 *1175:11 0.00111848 +4 *1175:11 *1482:30 0 +5 *1175:11 *2911:34 0 +6 *1175:11 *3554:63 0 +7 *1175:11 *3586:52 0 +8 *1175:11 *3637:23 0 +*RES +1 *37552:X *1175:11 42.1929 +2 *1175:11 *37553:A 9.3 +*END + +*D_NET *1176 0.00656764 +*CONN +*I *37555:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37554:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37555:A 0.000569361 +2 *37554:X 0.00111302 +3 *1176:34 0.0021708 +4 *1176:20 0.00271446 +5 *37555:A *3848:31 0 +6 *37555:A *3848:40 0 +7 *37555:A *3852:37 0 +8 *37555:A *3852:55 0 +9 *37555:A *3866:46 0 +10 *1176:20 *37584:A 0 +11 *1176:20 *40681:A 0 +12 *1176:20 *3618:20 0 +13 *1176:20 *3632:49 0 +14 *1176:20 *3843:15 0 +15 *1176:20 *4380:87 0 +16 *1176:20 *4400:29 0 +17 *1176:34 *40629:A 0 +18 *1176:34 *3845:114 0 +19 *1176:34 *3884:108 0 +20 *1176:34 *4381:21 0 +*RES +1 *37554:X *1176:20 39.7014 +2 *1176:20 *1176:34 47.4464 +3 *1176:34 *37555:A 35.2107 +*END + +*D_NET *1177 0.000995213 +*CONN +*I *37557:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37556:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37557:A 0.000497607 +2 *37556:X 0.000497607 +3 *37557:A *1364:16 0 +4 *37557:A *2911:34 0 +5 *37557:A *3848:56 0 +6 *37557:A *4402:27 0 +*RES +1 *37556:X *37557:A 38.1179 +*END + +*D_NET *1178 0.00113969 +*CONN +*I *37559:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37558:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37559:A 0.000569843 +2 *37558:X 0.000569843 +3 *37559:A *1489:15 0 +4 *37559:A *1790:8 0 +5 *37559:A *3637:9 0 +6 *37559:A *3866:33 0 +7 *37559:A *4400:48 0 +*RES +1 *37558:X *37559:A 40.2429 +*END + +*D_NET *1179 0.0144711 +*CONN +*I *5371:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37561:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37560:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *5371:DIODE 0 +2 *37561:A 0.000199163 +3 *37560:X 0.000475849 +4 *1179:38 0.00143457 +5 *1179:21 0.00342581 +6 *1179:20 0.00238694 +7 *1179:9 0.00313475 +8 *1179:8 0.00341407 +9 *37561:A *5759:DIODE 0 +10 *37561:A *1664:18 0 +11 *37561:A *4429:36 0 +12 *1179:8 *1373:13 0 +13 *1179:8 *3462:28 0 +14 *1179:8 *4824:23 0 +15 *1179:9 *3859:41 0 +16 *1179:9 *3862:37 0 +17 *1179:9 *4172:35 0 +18 *1179:20 *3862:51 0 +19 *1179:20 *4199:13 0 +20 *1179:20 *4737:18 0 +21 *1179:21 *2796:13 0 +22 *1179:21 *2796:15 0 +23 *1179:21 *3862:51 0 +24 *1179:38 *38168:A 0 +25 *1179:38 *1483:10 0 +26 *1179:38 *1774:16 0 +27 *1179:38 *2358:16 0 +28 *1179:38 *3885:34 0 +29 *1179:38 *4177:19 0 +30 *1179:38 *4429:36 0 +*RES +1 *37560:X *1179:8 29.05 +2 *1179:8 *1179:9 61.3214 +3 *1179:9 *1179:20 16.9971 +4 *1179:20 *1179:21 45.7143 +5 *1179:21 *1179:38 48.8173 +6 *1179:38 *37561:A 22.6929 +7 *1179:38 *5371:DIODE 9.3 +*END + +*D_NET *1180 0.00123381 +*CONN +*I *37563:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37562:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37563:A 0.000616906 +2 *37562:X 0.000616906 +3 *37563:A *39461:B 0 +4 *37563:A *1793:13 0 +5 *37563:A *3231:23 0 +6 *37563:A *3384:63 0 +7 *37563:A *3642:94 0 +8 *37563:A *3974:25 0 +*RES +1 *37562:X *37563:A 31.475 +*END + +*D_NET *1181 0.0023602 +*CONN +*I *37565:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37564:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37565:A 2.56688e-05 +2 *37564:X 0.00115443 +3 *1181:24 0.0011801 +4 *37565:A *3848:31 0 +5 *37565:A *3852:37 0 +6 *1181:24 *39909:A 0 +7 *1181:24 *1370:20 0 +8 *1181:24 *1383:33 0 +9 *1181:24 *2745:14 0 +10 *1181:24 *3634:16 0 +11 *1181:24 *3645:115 0 +12 *1181:24 *3837:53 0 +13 *1181:24 *3855:48 0 +14 *1181:24 *4383:24 0 +*RES +1 *37564:X *1181:24 47.9964 +2 *1181:24 *37565:A 14.3357 +*END + +*D_NET *1182 0.00275072 +*CONN +*I *37567:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37566:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37567:A 3.2228e-05 +2 *37566:X 0.00134313 +3 *1182:15 0.00137536 +4 *1182:15 *2750:12 0 +5 *1182:15 *3554:54 0 +6 *1182:15 *3629:115 0 +7 *1182:15 *3845:114 0 +8 *1182:15 *3884:132 0 +9 *271:37 *1182:15 0 +*RES +1 *37566:X *1182:15 47.1393 +2 *1182:15 *37567:A 19.0321 +*END + +*D_NET *1183 0.00877877 +*CONN +*I *5379:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37569:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37568:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *5379:DIODE 0 +2 *37569:A 0.000104386 +3 *37568:X 0.00160369 +4 *1183:41 0.0027857 +5 *1183:32 0.004285 +6 *37569:A *3598:31 0 +7 *37569:A *3619:45 0 +8 *1183:32 *5378:DIODE 0 +9 *1183:32 *8407:DIODE 0 +10 *1183:32 *37544:A 0 +11 *1183:32 *1195:28 0 +12 *1183:32 *1494:18 0 +13 *1183:32 *2202:64 0 +14 *1183:32 *3151:24 0 +15 *1183:32 *3555:63 0 +16 *1183:32 *3587:33 0 +17 *1183:32 *3630:37 0 +18 *1183:32 *3829:20 0 +19 *1183:41 *1192:26 0 +20 *1183:41 *1678:18 0 +21 *1183:41 *1912:57 0 +22 *1183:41 *3598:31 0 +23 *1183:41 *3623:20 0 +24 *1183:41 *4386:38 0 +*RES +1 *37568:X *1183:32 49.7645 +2 *1183:32 *1183:41 38.6203 +3 *1183:41 *37569:A 11.4786 +4 *1183:41 *5379:DIODE 9.3 +*END + +*D_NET *1184 0.000767999 +*CONN +*I *37571:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37570:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37571:A 0.000383999 +2 *37570:X 0.000383999 +3 *37571:A *1520:25 0 +4 *37571:A *3614:49 0 +5 *37571:A *3848:19 0 +*RES +1 *37570:X *37571:A 36.1179 +*END + +*D_NET *1185 0.00951701 +*CONN +*I *37573:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *5384:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37572:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37573:A 0 +2 *5384:DIODE 0.000143745 +3 *37572:X 0.0028436 +4 *1185:28 0.00191491 +5 *1185:16 0.00461476 +6 *5384:DIODE *3644:22 0 +7 *5384:DIODE *4398:55 0 +8 *1185:16 *1490:20 0 +9 *1185:16 *1501:18 0 +10 *1185:16 *3590:20 0 +11 *1185:16 *3618:47 0 +12 *1185:16 *3622:37 0 +13 *1185:16 *4153:22 0 +14 *1185:16 *4157:64 0 +15 *1185:16 *4169:27 0 +16 *1185:16 *4180:27 0 +17 *1185:16 *4397:59 0 +18 *1185:28 *1373:13 0 +19 *1185:28 *3590:20 0 +20 *1185:28 *3606:46 0 +21 *1185:28 *3628:11 0 +22 *1185:28 *3645:115 0 +23 *1185:28 *3841:68 0 +24 *1185:28 *3864:14 0 +25 *1185:28 *4388:30 0 +26 *1185:28 *4389:55 0 +27 *334:15 *1185:28 0 +*RES +1 *37572:X *1185:16 46.2454 +2 *1185:16 *1185:28 30.8123 +3 *1185:28 *5384:DIODE 12.3 +4 *1185:28 *37573:A 9.3 +*END + +*D_NET *1186 0.000748551 +*CONN +*I *37575:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37574:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37575:A 0.000374275 +2 *37574:X 0.000374275 +3 *37575:A *3875:32 0 +4 *37575:A *4157:52 0 +*RES +1 *37574:X *37575:A 35.6179 +*END + +*D_NET *1187 0.00176475 +*CONN +*I *37577:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37576:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37577:A 0.000882373 +2 *37576:X 0.000882373 +3 *37577:A *3645:89 0 +4 *37577:A *3848:19 0 +5 *37577:A *4385:47 0 +6 *37577:A *4387:83 0 +*RES +1 *37576:X *37577:A 46.7607 +*END + +*D_NET *1188 0.00189414 +*CONN +*I *37579:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37578:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37579:A 0.000947069 +2 *37578:X 0.000947069 +3 *37579:A *1500:34 0 +4 *37579:A *2750:29 0 +5 *37579:A *2784:23 0 +6 *37579:A *3607:24 0 +7 *37579:A *3632:49 0 +8 *37579:A *3639:67 0 +9 *37579:A *3835:56 0 +10 *37579:A *3844:42 0 +11 *37579:A *3863:74 0 +*RES +1 *37578:X *37579:A 48.9571 +*END + +*D_NET *1189 0.0114376 +*CONN +*I *5393:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37581:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37580:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *5393:DIODE 0 +2 *37581:A 0.00018396 +3 *37580:X 0.000950709 +4 *1189:41 0.000896181 +5 *1189:37 0.00303885 +6 *1189:27 0.00387189 +7 *1189:20 0.00249597 +8 *37581:A *3639:67 0 +9 *37581:A *4385:47 0 +10 *37581:A *4403:51 0 +11 *1189:20 *37592:A 0 +12 *1189:20 *1195:28 0 +13 *1189:20 *1403:29 0 +14 *1189:20 *3051:14 0 +15 *1189:20 *3580:122 0 +16 *1189:20 *3639:34 0 +17 *1189:20 *3845:23 0 +18 *1189:27 *39916:A 0 +19 *1189:27 *40884:A 0 +20 *1189:27 *3856:76 0 +21 *1189:27 *3892:33 0 +22 *1189:27 *4403:35 0 +23 *1189:37 *1918:27 0 +24 *1189:37 *3611:27 0 +25 *1189:37 *3833:24 0 +26 *1189:37 *3870:53 0 +27 *1189:37 *3892:33 0 +28 *1189:37 *4381:11 0 +29 *1189:37 *4381:20 0 +30 *1189:37 *4403:43 0 +31 *1189:41 *2745:26 0 +32 *1189:41 *3602:61 0 +33 *1189:41 *3639:67 0 +34 *1189:41 *4403:51 0 +35 *1189:41 *4644:10 0 +*RES +1 *37580:X *1189:20 47.8179 +2 *1189:20 *1189:27 32.5268 +3 *1189:27 *1189:37 43.3632 +4 *1189:37 *1189:41 20.2589 +5 *1189:41 *37581:A 13.1393 +6 *1189:41 *5393:DIODE 9.3 +*END + +*D_NET *1190 0.00316967 +*CONN +*I *37583:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *37582:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37583:A 0.00158483 +2 *37582:X 0.00158483 +3 *37583:A *1192:26 0 +4 *37583:A *1403:16 0 +5 *37583:A *1513:24 0 +6 *37583:A *1796:20 0 +7 *37583:A *2753:8 0 +8 *37583:A *2876:8 0 +9 *37583:A *3151:50 0 +10 *37583:A *3557:42 0 +11 *37583:A *3573:20 0 +12 *37583:A *3635:40 0 +*RES +1 *37582:X *37583:A 49.5729 +*END + +*D_NET *1191 0.00105366 +*CONN +*I *37585:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37584:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37585:A 0.000526832 +2 *37584:X 0.000526832 +3 *37585:A *3637:7 0 +4 *37585:A *3892:39 0 +*RES +1 *37584:X *37585:A 38.975 +*END + +*D_NET *1192 0.00594232 +*CONN +*I *37587:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37586:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37587:A 0.000104386 +2 *37586:X 0.00111182 +3 *1192:26 0.00185934 +4 *1192:14 0.00286677 +5 *37587:A *40027:A 0 +6 *37587:A *2855:41 0 +7 *37587:A *2855:51 0 +8 *1192:14 *1912:41 0 +9 *1192:14 *3555:75 0 +10 *1192:14 *3884:23 0 +11 *1192:14 *4385:21 0 +12 *1192:26 *1677:33 0 +13 *1192:26 *1912:57 0 +14 *1192:26 *1914:47 0 +15 *1192:26 *1919:47 0 +16 *1192:26 *3598:31 0 +17 *1192:26 *3619:31 0 +18 *1192:26 *3619:45 0 +19 *1192:26 *4361:30 0 +20 *37583:A *1192:26 0 +21 *1183:41 *1192:26 0 +*RES +1 *37586:X *1192:14 46.675 +2 *1192:14 *1192:26 45.5762 +3 *1192:26 *37587:A 15.9786 +*END + +*D_NET *1193 0.00221073 +*CONN +*I *37589:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *37588:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37589:A 2.56688e-05 +2 *37588:X 0.00107969 +3 *1193:12 0.00110536 +4 *37589:A *1279:18 0 +5 *37589:A *1679:5 0 +6 *1193:12 *1279:18 0 +7 *1193:12 *1905:74 0 +8 *1193:12 *2758:12 0 +*RES +1 *37588:X *1193:12 46.6571 +2 *1193:12 *37589:A 14.3357 +*END + +*D_NET *1194 0.00161231 +*CONN +*I *37591:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *37590:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37591:A 0.000806153 +2 *37590:X 0.000806153 +3 *37591:A *2887:33 0 +4 *37591:A *3853:35 0 +5 *37591:A *3866:14 0 +6 *335:21 *37591:A 0 +*RES +1 *37590:X *37591:A 45.1714 +*END + +*D_NET *1195 0.00923849 +*CONN +*I *37593:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *5406:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37592:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37593:A 0.000129093 +2 *5406:DIODE 0 +3 *37592:X 0.00174578 +4 *1195:49 0.000848995 +5 *1195:40 0.00274437 +6 *1195:28 0.00377025 +7 *37593:A *3830:17 0 +8 *37593:A *3840:28 0 +9 *1195:28 *37592:A 0 +10 *1195:28 *1396:43 0 +11 *1195:28 *1897:26 0 +12 *1195:28 *2189:23 0 +13 *1195:28 *3580:81 0 +14 *1195:28 *3639:34 0 +15 *1195:28 *3829:20 0 +16 *1195:28 *3845:23 0 +17 *1195:28 *3856:54 0 +18 *1195:28 *4361:17 0 +19 *1195:28 *4403:31 0 +20 *1195:40 *1279:10 0 +21 *1195:40 *1906:47 0 +22 *1195:40 *1909:70 0 +23 *1195:40 *3611:27 0 +24 *1195:40 *3633:61 0 +25 *1195:40 *3833:19 0 +26 *1195:40 *3863:40 0 +27 *1195:49 *2864:28 0 +28 *1195:49 *3830:17 0 +29 *1195:49 *3832:30 0 +30 *1195:49 *3840:28 0 +31 *301:12 *1195:49 0 +32 *1183:32 *1195:28 0 +33 *1189:20 *1195:28 0 +*RES +1 *37592:X *1195:28 45.292 +2 *1195:28 *1195:40 43.4314 +3 *1195:40 *1195:49 15.3929 +4 *1195:49 *5406:DIODE 9.3 +5 *1195:49 *37593:A 12.2821 +*END + +*D_NET *1196 0.00686674 +*CONN +*I *37595:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37594:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37595:A 0.000272089 +2 *37594:X 0.00117478 +3 *1196:14 0.00225859 +4 *1196:10 0.00316128 +5 *37595:A *38000:B 0 +6 *37595:A *1396:43 0 +7 *37595:A *1889:45 0 +8 *37595:A *3886:12 0 +9 *37595:A *4926:46 0 +10 *1196:10 *5407:DIODE 0 +11 *1196:10 *8088:DIODE 0 +12 *1196:10 *8568:DIODE 0 +13 *1196:10 *8592:DIODE 0 +14 *1196:10 *38190:B 0 +15 *1196:10 *40010:A 0 +16 *1196:10 *1399:25 0 +17 *1196:10 *1893:26 0 +18 *1196:10 *1894:36 0 +19 *1196:10 *1894:39 0 +20 *1196:14 *3596:56 0 +21 *1196:14 *3886:12 0 +22 *1196:14 *3895:18 0 +23 *1196:14 *4133:5 0 +24 *1196:14 *4395:20 0 +*RES +1 *37594:X *1196:10 43.05 +2 *1196:10 *1196:14 46.0179 +3 *1196:14 *37595:A 19.675 +*END + +*D_NET *1197 0.00123082 +*CONN +*I *37597:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37596:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37597:A 0.000615412 +2 *37596:X 0.000615412 +3 *37597:A *1508:18 0 +4 *37597:A *2197:52 0 +5 *37597:A *2598:10 0 +6 *37597:A *4350:23 0 +*RES +1 *37596:X *37597:A 41.1714 +*END + +*D_NET *1198 0.00509262 +*CONN +*I *37599:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37598:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37599:A 0.000714448 +2 *37598:X 0.000933042 +3 *1198:42 0.00161327 +4 *1198:24 0.00183186 +5 *37599:A *1915:18 0 +6 *37599:A *2184:22 0 +7 *37599:A *2199:58 0 +8 *37599:A *2852:21 0 +9 *1198:24 *1912:25 0 +10 *1198:24 *1916:42 0 +11 *1198:24 *1946:15 0 +12 *1198:24 *3565:17 0 +13 *1198:42 *5664:DIODE 0 +14 *1198:42 *40030:A 0 +15 *1198:42 *40893:A 0 +16 *1198:42 *41373:A 0 +17 *1198:42 *1946:38 0 +18 *1198:42 *1947:19 0 +19 *1198:42 *1955:32 0 +20 *1198:42 *2879:14 0 +21 *1198:42 *3598:19 0 +*RES +1 *37598:X *1198:24 48.3179 +2 *1198:24 *1198:42 37.7321 +3 *1198:42 *37599:A 24.2107 +*END + +*D_NET *1199 0.00318282 +*CONN +*I *37601:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37600:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37601:A 0.000222463 +2 *37600:X 0.00136895 +3 *1199:10 0.00159141 +4 *37601:A *2570:27 0 +5 *37601:A *2880:39 0 +6 *1199:10 *37812:A 0 +7 *1199:10 *2199:14 0 +8 *1199:10 *2344:59 0 +9 *1199:10 *2595:49 0 +10 *1199:10 *3608:51 0 +11 *1199:10 *4342:28 0 +12 *1029:83 *1199:10 0 +*RES +1 *37600:X *1199:10 48.8 +2 *1199:10 *37601:A 13.9429 +*END + +*D_NET *1200 0.00111574 +*CONN +*I *37603:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37602:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37603:A 0.000557868 +2 *37602:X 0.000557868 +3 *37603:A *37602:B 0 +4 *37603:A *37810:A 0 +5 *37603:A *3640:19 0 +*RES +1 *37602:X *37603:A 30.2429 +*END + +*D_NET *1201 0.00269986 +*CONN +*I *37605:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37604:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37605:A 0.000218262 +2 *37604:X 0.00113167 +3 *1201:16 0.00134993 +4 *37605:A *41310:A 0 +5 *1201:16 *41346:A 0 +6 *1201:16 *2257:16 0 +7 *1201:16 *2271:26 0 +8 *1201:16 *2275:26 0 +9 *1201:16 *2843:19 0 +10 *1201:16 *3578:22 0 +11 *1201:16 *3599:14 0 +12 *1201:16 *3603:21 0 +*RES +1 *37604:X *1201:16 46.5946 +2 *1201:16 *37605:A 18.7911 +*END + +*D_NET *1202 0.00414147 +*CONN +*I *37607:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37606:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37607:A 0.00113397 +2 *37606:X 0.000936761 +3 *1202:20 0.00207074 +4 *37607:A *2561:12 0 +5 *37607:A *2950:55 0 +6 *37607:A *5117:36 0 +7 *1202:20 *1404:27 0 +8 *1202:20 *2227:41 0 +9 *1202:20 *2255:13 0 +10 *1202:20 *2852:47 0 +11 *1202:20 *3581:19 0 +*RES +1 *37606:X *1202:20 48.4429 +2 *1202:20 *37607:A 42.05 +*END + +*D_NET *1203 0.0117327 +*CONN +*I *37609:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *5417:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37608:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37609:A 8.56332e-05 +2 *5417:DIODE 2.56688e-05 +3 *37608:X 0.000908264 +4 *1203:55 0.000901473 +5 *1203:54 0.00235129 +6 *1203:48 0.00270945 +7 *1203:28 0.00249549 +8 *1203:22 0.00225542 +9 *5417:DIODE *2221:75 0 +10 *1203:22 *40743:A 0 +11 *1203:22 *40862:A 0 +12 *1203:22 *2303:30 0 +13 *1203:22 *2307:20 0 +14 *1203:22 *2307:34 0 +15 *1203:22 *2948:19 0 +16 *1203:22 *3085:55 0 +17 *1203:22 *3085:61 0 +18 *1203:22 *3201:26 0 +19 *1203:22 *3354:12 0 +20 *1203:28 *2307:20 0 +21 *1203:28 *3201:29 0 +22 *1203:28 *3812:21 0 +23 *1203:48 *2299:19 0 +24 *1203:48 *2299:33 0 +25 *1203:48 *2314:10 0 +26 *1203:48 *2325:27 0 +27 *1203:48 *2327:19 0 +28 *1203:48 *3165:21 0 +29 *1203:48 *3196:35 0 +30 *1203:48 *4345:72 0 +31 *1203:48 *4345:75 0 +32 *1203:48 *4359:32 0 +33 *1203:48 *4359:38 0 +34 *1203:54 *40860:A 0 +35 *1203:54 *2294:10 0 +36 *1203:54 *2299:19 0 +37 *1203:54 *2302:19 0 +38 *1203:54 *2322:27 0 +39 *1203:54 *2327:19 0 +40 *1203:54 *3201:42 0 +41 *1203:54 *3786:44 0 +42 *1203:54 *3817:18 0 +43 *1203:54 *4101:36 0 +44 *1203:54 *4345:42 0 +45 *1203:54 *4345:72 0 +46 *1203:55 *8524:DIODE 0 +47 *1203:55 *8757:DIODE 0 +48 *1203:55 *8913:DIODE 0 +49 *1203:55 *8938:DIODE 0 +50 *1203:55 *2221:75 0 +*RES +1 *37608:X *1203:22 37.7821 +2 *1203:22 *1203:28 37.5179 +3 *1203:28 *1203:48 42.4643 +4 *1203:48 *1203:54 43.0714 +5 *1203:54 *1203:55 16.5536 +6 *1203:55 *5417:DIODE 9.83571 +7 *1203:55 *37609:A 20.2464 +*END + +*D_NET *1204 0.00212641 +*CONN +*I *37611:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37610:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37611:A 0 +2 *37610:X 0.00106321 +3 *1204:25 0.00106321 +4 *1204:25 *1237:53 0 +5 *1204:25 *2300:38 0 +6 *1204:25 *2374:107 0 +7 *1204:25 *3685:40 0 +8 *1204:25 *3730:44 0 +9 *1204:25 *3815:47 0 +10 *1204:25 *3824:23 0 +11 *1204:25 *3942:61 0 +12 *1204:25 *4328:24 0 +13 *1204:25 *4341:39 0 +14 *1204:25 *4374:21 0 +*RES +1 *37610:X *1204:25 43.9623 +2 *1204:25 *37611:A 9.3 +*END + +*D_NET *1205 0.00125399 +*CONN +*I *37613:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37612:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37613:A 0.000626995 +2 *37612:X 0.000626995 +3 *37613:A *2928:39 0 +4 *37613:A *2928:55 0 +5 *37613:A *3730:78 0 +6 *37613:A *3824:23 0 +7 *37613:A *4335:11 0 +*RES +1 *37612:X *37613:A 41.3143 +*END + +*D_NET *1206 0.00569282 +*CONN +*I *40183:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37614:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *40183:A 0.000116955 +2 *37614:X 0.00124797 +3 *1206:41 0.00159844 +4 *1206:24 0.00272945 +5 *40183:A *6415:DIODE 0 +6 *40183:A *3650:59 0 +7 *1206:24 *37838:A 0 +8 *1206:24 *1318:13 0 +9 *1206:24 *3183:42 0 +10 *1206:24 *3445:70 0 +11 *1206:24 *3650:23 0 +12 *1206:24 *3772:98 0 +13 *1206:24 *3803:25 0 +14 *1206:24 *3803:52 0 +15 *1206:24 *4124:40 0 +16 *1206:41 *5722:DIODE 0 +17 *1206:41 *6415:DIODE 0 +18 *1206:41 *8417:DIODE 0 +19 *1206:41 *37860:B 0 +20 *1206:41 *40714:A 0 +21 *1206:41 *41121:A 0 +22 *1206:41 *2928:21 0 +23 *1206:41 *3305:168 0 +24 *1206:41 *3650:59 0 +25 *1206:41 *3654:10 0 +26 *1206:41 *3672:63 0 +27 *1206:41 *3803:52 0 +28 *1206:41 *3803:66 0 +29 *1206:41 *4058:38 0 +30 *1206:41 *4124:40 0 +*RES +1 *37614:X *1206:24 49.9786 +2 *1206:24 *1206:41 49.5893 +3 *1206:41 *40183:A 16.3 +*END + +*D_NET *1207 0.0111039 +*CONN +*I *37617:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *5427:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37616:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37617:A 0.000121071 +2 *5427:DIODE 0 +3 *37616:X 0.0013492 +4 *1207:83 0.00115226 +5 *1207:74 0.00224577 +6 *1207:43 0.00305047 +7 *1207:17 0.00318509 +8 *37617:A *1824:54 0 +9 *37617:A *3755:11 0 +10 *1207:17 *41014:A 0 +11 *1207:17 *1208:19 0 +12 *1207:17 *3626:68 0 +13 *1207:17 *3725:22 0 +14 *1207:17 *3740:78 0 +15 *1207:17 *3758:53 0 +16 *1207:17 *3991:108 0 +17 *1207:17 *4007:8 0 +18 *1207:17 *4084:11 0 +19 *1207:43 *8946:DIODE 0 +20 *1207:43 *2818:17 0 +21 *1207:43 *2987:101 0 +22 *1207:43 *3070:68 0 +23 *1207:43 *3750:98 0 +24 *1207:43 *3756:25 0 +25 *1207:43 *3758:53 0 +26 *1207:43 *3765:22 0 +27 *1207:43 *4034:88 0 +28 *1207:43 *4084:31 0 +29 *1207:43 *4084:35 0 +30 *1207:43 *4256:13 0 +31 *1207:74 *8422:DIODE 0 +32 *1207:74 *8491:DIODE 0 +33 *1207:74 *8706:DIODE 0 +34 *1207:74 *39571:A 0 +35 *1207:74 *39630:A 0 +36 *1207:74 *40725:A 0 +37 *1207:74 *2422:89 0 +38 *1207:74 *2975:14 0 +39 *1207:74 *3070:50 0 +40 *1207:74 *3662:52 0 +41 *1207:74 *3666:13 0 +42 *1207:74 *3750:101 0 +43 *1207:74 *3780:61 0 +44 *1207:74 *4084:35 0 +45 *1207:83 *8422:DIODE 0 +46 *1207:83 *1824:54 0 +47 *1207:83 *3755:11 0 +48 *1207:83 *3756:43 0 +*RES +1 *37616:X *1207:17 47.9566 +2 *1207:17 *1207:43 48.2942 +3 *1207:43 *1207:74 49.375 +4 *1207:74 *1207:83 35.0179 +5 *1207:83 *5427:DIODE 9.3 +6 *1207:83 *37617:A 11.8893 +*END + +*D_NET *1208 0.0166083 +*CONN +*I *37619:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *5430:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37618:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37619:A 0 +2 *5430:DIODE 0.00043639 +3 *37618:X 0.00167743 +4 *1208:56 0.000973785 +5 *1208:53 0.00274748 +6 *1208:52 0.00345842 +7 *1208:41 0.00344284 +8 *1208:19 0.00387193 +9 *5430:DIODE *3102:52 0 +10 *5430:DIODE *3776:50 0 +11 *5430:DIODE *4021:26 0 +12 *5430:DIODE *4339:35 0 +13 *1208:19 *7871:DIODE 0 +14 *1208:19 *40148:A 0 +15 *1208:19 *1445:53 0 +16 *1208:19 *3278:7 0 +17 *1208:19 *3987:19 0 +18 *1208:19 *4070:95 0 +19 *1208:19 *4084:11 0 +20 *1208:41 *8415:DIODE 0 +21 *1208:41 *1325:17 0 +22 *1208:41 *2421:18 0 +23 *1208:41 *2822:113 0 +24 *1208:41 *2834:18 0 +25 *1208:41 *2968:34 0 +26 *1208:41 *2977:62 0 +27 *1208:41 *3991:133 0 +28 *1208:41 *4314:28 0 +29 *1208:52 *1418:25 0 +30 *1208:53 *2411:49 0 +31 *1208:53 *2426:25 0 +32 *1208:53 *2440:31 0 +33 *1208:53 *3666:28 0 +34 *1208:53 *3945:25 0 +35 *1208:53 *4048:62 0 +36 *1208:56 *2444:38 0 +37 *1208:56 *2807:26 0 +38 *1207:17 *1208:19 0 +*RES +1 *37618:X *1208:19 47.5606 +2 *1208:19 *1208:41 47.1266 +3 *1208:41 *1208:52 43.4368 +4 *1208:52 *1208:53 46.125 +5 *1208:53 *1208:56 16.7679 +6 *1208:56 *5430:DIODE 27.9565 +7 *1208:56 *37619:A 13.8 +*END + +*D_NET *1209 0.00309385 +*CONN +*I *40182:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37620:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *40182:A 0.00108836 +2 *37620:X 0.000458567 +3 *1209:10 0.00154692 +4 *40182:A *3479:61 0 +5 *40182:A *3732:39 0 +6 *40182:A *3739:21 0 +7 *40182:A *4330:20 0 +8 *1209:10 *4080:15 0 +*RES +1 *37620:X *1209:10 27.9786 +2 *1209:10 *40182:A 32.0143 +*END + +*D_NET *1210 0.01665 +*CONN +*I *8030:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40181:A I *D sky130_fd_sc_hd__buf_4 +*I *37622:X O *D sky130_fd_sc_hd__and2_4 +*CAP +1 *8030:DIODE 0.000769012 +2 *40181:A 0 +3 *37622:X 0.000988792 +4 *1210:89 0.00297781 +5 *1210:69 0.00300983 +6 *1210:54 0.00211602 +7 *1210:38 0.00355736 +8 *1210:16 0.00323116 +9 *8030:DIODE *5426:DIODE 0 +10 *8030:DIODE *5428:DIODE 0 +11 *8030:DIODE *1445:53 0 +12 *8030:DIODE *3047:10 0 +13 *8030:DIODE *3626:68 0 +14 *8030:DIODE *3820:101 0 +15 *8030:DIODE *3822:111 0 +16 *8030:DIODE *3942:151 0 +17 *8030:DIODE *4084:11 0 +18 *1210:16 *1222:32 0 +19 *1210:16 *1446:29 0 +20 *1210:16 *3796:38 0 +21 *1210:16 *3824:23 0 +22 *1210:38 *1413:35 0 +23 *1210:38 *2992:32 0 +24 *1210:38 *3766:48 0 +25 *1210:38 *4328:24 0 +26 *1210:54 *5423:DIODE 0 +27 *1210:54 *37614:A 0 +28 *1210:54 *37836:A 0 +29 *1210:54 *1211:36 0 +30 *1210:54 *1317:19 0 +31 *1210:54 *2723:10 0 +32 *1210:54 *2992:32 0 +33 *1210:54 *2992:44 0 +34 *1210:54 *3733:85 0 +35 *1210:54 *3824:39 0 +36 *1210:54 *3942:107 0 +37 *1210:69 *5580:DIODE 0 +38 *1210:69 *40387:A 0 +39 *1210:69 *1271:25 0 +40 *1210:69 *2824:23 0 +41 *1210:69 *3670:68 0 +42 *1210:69 *3733:85 0 +43 *1210:69 *3733:115 0 +44 *1210:69 *3942:125 0 +45 *1210:69 *4107:102 0 +46 *1210:69 *4352:58 0 +47 *1210:89 *8333:DIODE 0 +48 *1210:89 *8476:DIODE 0 +49 *1210:89 *8502:DIODE 0 +50 *1210:89 *8505:DIODE 0 +51 *1210:89 *8867:DIODE 0 +52 *1210:89 *8943:DIODE 0 +53 *1210:89 *38088:A_N 0 +54 *1210:89 *40811:A 0 +55 *1210:89 *3162:14 0 +56 *1210:89 *3732:10 0 +57 *1210:89 *3733:131 0 +58 *1210:89 *3766:95 0 +59 *1210:89 *3822:111 0 +60 *1210:89 *3942:125 0 +61 *1210:89 *4037:74 0 +62 *1210:89 *4041:86 0 +63 *1210:89 *4084:11 0 +64 *1210:89 *4099:76 0 +65 *1210:89 *4351:18 0 +*RES +1 *37622:X *1210:16 47.6654 +2 *1210:16 *1210:38 44.1264 +3 *1210:38 *1210:54 45.625 +4 *1210:54 *1210:69 34.9286 +5 *1210:69 *1210:89 46.5 +6 *1210:89 *40181:A 9.3 +7 *1210:89 *8030:DIODE 34.5321 +*END + +*D_NET *1211 0.024502 +*CONN +*I *8028:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40179:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *37624:X O *D sky130_fd_sc_hd__and2_4 +*CAP +1 *8028:DIODE 0.000635776 +2 *40179:A 7.89674e-05 +3 *37624:X 0.000938662 +4 *1211:113 0.00228853 +5 *1211:86 0.00347901 +6 *1211:80 0.00206539 +7 *1211:68 0.00215298 +8 *1211:63 0.00410258 +9 *1211:54 0.00336276 +10 *1211:36 0.00285585 +11 *1211:26 0.00254151 +12 *8028:DIODE *3301:68 0 +13 *8028:DIODE *3987:43 0 +14 *40179:A *3409:66 0 +15 *1211:26 *6003:DIODE 0 +16 *1211:26 *8949:DIODE 0 +17 *1211:26 *37822:A 0 +18 *1211:26 *37822:B 0 +19 *1211:26 *38022:B 0 +20 *1211:26 *3092:17 0 +21 *1211:26 *3766:61 0 +22 *1211:26 *3774:13 0 +23 *1211:26 *4374:68 0 +24 *1211:36 *37836:B 0 +25 *1211:36 *1541:10 0 +26 *1211:36 *1541:23 0 +27 *1211:36 *2384:90 0 +28 *1211:36 *3078:14 0 +29 *1211:36 *3166:24 0 +30 *1211:36 *3669:17 0 +31 *1211:36 *3824:39 0 +32 *1211:54 *40078:A 0 +33 *1211:54 *1339:51 0 +34 *1211:54 *2432:33 0 +35 *1211:54 *2937:14 0 +36 *1211:54 *3317:197 0 +37 *1211:54 *3709:38 0 +38 *1211:63 *1328:59 0 +39 *1211:63 *1328:72 0 +40 *1211:63 *1339:36 0 +41 *1211:63 *2432:13 0 +42 *1211:63 *2432:32 0 +43 *1211:63 *2923:26 0 +44 *1211:63 *3023:25 0 +45 *1211:63 *3023:36 0 +46 *1211:68 *6065:DIODE 0 +47 *1211:68 *1272:25 0 +48 *1211:68 *1328:53 0 +49 *1211:68 *1328:59 0 +50 *1211:68 *1337:26 0 +51 *1211:68 *1337:41 0 +52 *1211:68 *1339:16 0 +53 *1211:68 *2432:13 0 +54 *1211:68 *3023:37 0 +55 *1211:68 *3073:49 0 +56 *1211:68 *4241:75 0 +57 *1211:80 *1822:25 0 +58 *1211:80 *2419:45 0 +59 *1211:80 *3183:24 0 +60 *1211:86 *1822:25 0 +61 *1211:86 *2831:55 0 +62 *1211:113 *2986:22 0 +63 *1211:113 *3021:33 0 +64 *1211:113 *3301:68 0 +65 *1211:113 *3428:27 0 +66 *1211:113 *3582:12 0 +67 *1211:113 *3780:65 0 +68 *1211:113 *4033:57 0 +69 *370:24 *1211:86 0 +70 *1010:119 *1211:113 0 +71 *1210:54 *1211:36 0 +*RES +1 *37624:X *1211:26 48.6571 +2 *1211:26 *1211:36 48.1786 +3 *1211:36 *1211:54 47.962 +4 *1211:54 *1211:63 48.625 +5 *1211:63 *1211:68 46.3036 +6 *1211:68 *1211:80 16.9643 +7 *1211:80 *1211:86 49.2143 +8 *1211:86 *1211:113 49.2339 +9 *1211:113 *40179:A 19.0046 +10 *1211:113 *8028:DIODE 21.301 +*END + +*D_NET *1212 0.00475248 +*CONN +*I *40177:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37626:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *40177:A 0.00152879 +2 *37626:X 0.000847448 +3 *1212:16 0.00237624 +4 *40177:A *3105:81 0 +5 *40177:A *3134:45 0 +6 *40177:A *4060:7 0 +7 *40177:A *4513:101 0 +8 *40177:A *4517:43 0 +9 *40177:A *4521:23 0 +10 *40177:A *4878:42 0 +11 *1212:16 *37640:A 0 +12 *1212:16 *2594:21 0 +13 *1212:16 *2738:37 0 +14 *1212:16 *3508:18 0 +15 *1212:16 *3973:49 0 +16 *1212:16 *4508:23 0 +17 *1212:16 *4513:101 0 +18 *1212:16 *4878:51 0 +19 *6721:DIODE *40177:A 0 +20 *6861:DIODE *40177:A 0 +21 *38728:A *40177:A 0 +22 *635:22 *1212:16 0 +23 *638:12 *40177:A 0 +*RES +1 *37626:X *1212:16 38.2646 +2 *1212:16 *40177:A 41.2107 +*END + +*D_NET *1213 0.00437225 +*CONN +*I *40175:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37628:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *40175:A 0 +2 *37628:X 0 +3 *1213:7 0.00218612 +4 *1213:4 0.00218612 +5 *1213:7 *1219:10 0 +6 *1213:7 *2738:37 0 +7 *1213:7 *2760:57 0 +8 *1213:7 *3207:76 0 +9 *1213:7 *3279:12 0 +10 *1213:7 *4038:18 0 +11 *1213:7 *4506:49 0 +12 *1213:7 *4880:27 0 +13 la_data_in_mprj[95] *1213:7 0 +14 *38440:A *1213:7 0 +*RES +1 *37628:X *1213:4 9.3 +2 *1213:4 *1213:7 45.625 +3 *1213:7 *40175:A 9.3 +*END + +*D_NET *1214 0.0114988 +*CONN +*I *8024:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40173:A I *D sky130_fd_sc_hd__buf_2 +*I *37630:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *8024:DIODE 0.000534627 +2 *40173:A 9.80294e-05 +3 *37630:X 0.00103306 +4 *1214:43 0.00281072 +5 *1214:31 0.0040837 +6 *1214:14 0.0029387 +7 *8024:DIODE *6518:DIODE 0 +8 *8024:DIODE *38363:A 0 +9 *8024:DIODE *2388:48 0 +10 *8024:DIODE *3962:7 0 +11 *8024:DIODE *3978:127 0 +12 *8024:DIODE *3986:13 0 +13 *8024:DIODE *4908:11 0 +14 *40173:A *2366:8 0 +15 *40173:A *4216:99 0 +16 *1214:14 *38354:B 0 +17 *1214:14 *38355:A 0 +18 *1214:14 *3417:119 0 +19 *1214:14 *3481:48 0 +20 *1214:14 *3498:43 0 +21 *1214:14 *4018:86 0 +22 *1214:31 *6443:DIODE 0 +23 *1214:31 *39619:A 0 +24 *1214:31 *39959:A 0 +25 *1214:31 *2370:19 0 +26 *1214:31 *2423:31 0 +27 *1214:31 *2806:71 0 +28 *1214:31 *2809:11 0 +29 *1214:31 *2815:43 0 +30 *1214:31 *3286:79 0 +31 *1214:31 *3481:57 0 +32 *1214:31 *3501:87 0 +33 *1214:31 *3990:52 0 +34 *1214:31 *4013:67 0 +35 *1214:31 *4018:95 0 +36 *1214:31 *4069:68 0 +37 *1214:31 *4073:79 0 +38 *1214:31 *4223:35 0 +39 *1214:43 *6055:DIODE 0 +40 *1214:43 *8645:DIODE 0 +41 *1214:43 *1453:30 0 +42 *1214:43 *2388:48 0 +43 *1214:43 *2808:17 0 +44 *1214:43 *2980:49 0 +45 *1214:43 *3024:68 0 +46 *1214:43 *3412:136 0 +47 *1214:43 *3745:49 0 +48 *1214:43 *3948:28 0 +49 *1214:43 *3958:25 0 +50 *1214:43 *4240:28 0 +*RES +1 *37630:X *1214:14 40.0321 +2 *1214:14 *1214:31 49.5714 +3 *1214:31 *1214:43 35.9155 +4 *1214:43 *40173:A 20.4786 +5 *1214:43 *8024:DIODE 29.7286 +*END + +*D_NET *1215 0.0137932 +*CONN +*I *40172:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8023:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37632:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *40172:A 0.000137114 +2 *8023:DIODE 0 +3 *37632:X 0.000498982 +4 *1215:43 0.000353863 +5 *1215:32 0.00233445 +6 *1215:15 0.00468052 +7 *1215:13 0.00392604 +8 *1215:10 0.00186221 +9 *40172:A *3312:45 0 +10 *40172:A *4032:81 0 +11 *1215:10 *4020:17 0 +12 *1215:13 *2424:62 0 +13 *1215:13 *3816:61 0 +14 *1215:15 *40418:A 0 +15 *1215:15 *2424:62 0 +16 *1215:15 *3055:35 0 +17 *1215:15 *3055:55 0 +18 *1215:15 *3321:29 0 +19 *1215:15 *3321:39 0 +20 *1215:15 *3517:21 0 +21 *1215:15 *3814:56 0 +22 *1215:15 *4513:31 0 +23 *1215:32 *2427:26 0 +24 *1215:32 *2749:64 0 +25 *1215:32 *2760:57 0 +26 *1215:32 *3158:16 0 +27 *1215:32 *3520:20 0 +28 *1215:32 *3744:36 0 +29 *1215:32 *3759:45 0 +30 *1215:32 *4284:94 0 +31 *1215:32 *4286:39 0 +32 *1215:32 *4500:13 0 +33 *1215:43 *3312:45 0 +34 *1215:43 *4032:81 0 +35 *1215:43 *4300:56 0 +*RES +1 *37632:X *1215:10 29.5857 +2 *1215:10 *1215:13 28.4821 +3 *1215:13 *1215:15 53.5179 +4 *1215:15 *1215:32 48.4876 +5 *1215:32 *1215:43 9.53571 +6 *1215:43 *8023:DIODE 9.3 +7 *1215:43 *40172:A 12.2241 +*END + +*D_NET *1216 0.0201755 +*CONN +*I *40169:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8020:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37634:X O *D sky130_fd_sc_hd__and2_2 +*CAP +1 *40169:A 0.000291963 +2 *8020:DIODE 2.56688e-05 +3 *37634:X 0.000212638 +4 *1216:18 0.00054366 +5 *1216:17 0.0095575 +6 *1216:8 0.0095441 +7 *8020:DIODE *3134:45 0 +8 *40169:A *4060:7 0 +9 *1216:17 *37674:B 0 +10 *1216:17 *1981:41 0 +11 *1216:17 *1987:36 0 +12 *1216:17 *2008:13 0 +13 *1216:17 *2072:34 0 +14 *1216:17 *2124:23 0 +15 *1216:17 *2401:13 0 +16 *1216:17 *2418:28 0 +17 *1216:17 *2433:22 0 +18 *1216:17 *2516:26 0 +19 *1216:17 *2516:30 0 +20 *1216:17 *2549:16 0 +21 *1216:17 *3207:83 0 +22 *1216:17 *3248:42 0 +23 *1216:17 *3927:66 0 +24 *1216:17 *4253:87 0 +25 *1216:17 *4494:22 0 +26 *1216:17 *5170:45 0 +27 *1216:18 *2008:59 0 +28 la_data_in_mprj[92] *1216:17 0 +29 *6862:DIODE *1216:18 0 +30 *488:5 *1216:8 0 +31 *507:17 *1216:17 0 +32 *616:5 *1216:8 0 +33 *893:5 *1216:18 0 +*RES +1 *37634:X *1216:8 22.0404 +2 *1216:8 *1216:17 45.1743 +3 *1216:17 *1216:18 5.13393 +4 *1216:18 *8020:DIODE 14.3357 +5 *1216:18 *40169:A 29.1929 +*END + +*D_NET *1217 0.00213735 +*CONN +*I *40167:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37636:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *40167:A 0.00106868 +2 *37636:X 0.00106868 +3 *40167:A *7188:DIODE 0 +4 *40167:A *3442:51 0 +5 *40167:A *3927:32 0 +6 *40167:A *4253:72 0 +7 *40167:A *4872:19 0 +8 *6568:DIODE *40167:A 0 +*RES +1 *37636:X *40167:A 40.9036 +*END + +*D_NET *1218 0.00951343 +*CONN +*I *40164:A I *D sky130_fd_sc_hd__buf_2 +*I *8016:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37638:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *40164:A 0.000124066 +2 *8016:DIODE 0 +3 *37638:X 0.00320126 +4 *1218:48 0.00155546 +5 *1218:36 0.00463265 +6 *40164:A *3187:13 0 +7 *40164:A *3202:36 0 +8 *40164:A *3256:23 0 +9 *1218:36 *5263:DIODE 0 +10 *1218:36 *2421:73 0 +11 *1218:36 *2671:44 0 +12 *1218:36 *3084:62 0 +13 *1218:36 *3279:51 0 +14 *1218:36 *3808:60 0 +15 *1218:36 *3956:80 0 +16 *1218:36 *4017:97 0 +17 *1218:36 *4035:49 0 +18 *1218:36 *4135:62 0 +19 *1218:36 *4166:60 0 +20 *1218:36 *4255:13 0 +21 *1218:36 *4272:28 0 +22 *1218:36 *4276:20 0 +23 *1218:36 *4295:53 0 +24 *1218:36 *4295:58 0 +25 *1218:48 *3005:22 0 +26 *1218:48 *3279:51 0 +27 *1218:48 *3959:23 0 +28 *1218:48 *4017:97 0 +29 *1218:48 *4255:20 0 +30 *1218:48 *4276:20 0 +*RES +1 *37638:X *1218:36 47.3165 +2 *1218:36 *1218:48 22.7503 +3 *1218:48 *8016:DIODE 9.3 +4 *1218:48 *40164:A 11.8893 +*END + +*D_NET *1219 0.00790849 +*CONN +*I *40161:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37640:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *40161:A 0.0014187 +2 *37640:X 0.000434763 +3 *1219:13 0.00351948 +4 *1219:10 0.00253554 +5 *40161:A *1220:37 0 +6 *40161:A *2407:13 0 +7 *40161:A *2418:14 0 +8 *40161:A *3141:33 0 +9 *1219:13 *1220:37 0 +10 *1219:13 *1223:15 0 +11 *1219:13 *2407:13 0 +12 la_data_in_mprj[94] *1219:10 0 +13 *509:5 *1219:10 0 +14 *1213:7 *1219:10 0 +*RES +1 *37640:X *1219:10 27.7286 +2 *1219:10 *1219:13 43.875 +3 *1219:13 *40161:A 48.4429 +*END + +*D_NET *1220 0.0208375 +*CONN +*I *8012:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40159:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37642:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *8012:DIODE 0.000573697 +2 *40159:A 0.000123336 +3 *37642:X 0.00107912 +4 *1220:53 0.00206923 +5 *1220:37 0.00599985 +6 *1220:35 0.00539558 +7 *1220:28 0.00264275 +8 *1220:14 0.00295394 +9 *8012:DIODE *7587:DIODE 0 +10 *8012:DIODE *8091:DIODE 0 +11 *8012:DIODE *39548:B 0 +12 *8012:DIODE *1880:22 0 +13 *8012:DIODE *2381:49 0 +14 *8012:DIODE *3435:52 0 +15 *40159:A *7587:DIODE 0 +16 *1220:14 *37652:B 0 +17 *1220:14 *2749:64 0 +18 *1220:14 *3140:36 0 +19 *1220:14 *3327:61 0 +20 *1220:14 *3952:80 0 +21 *1220:14 *4032:81 0 +22 *1220:28 *37628:A 0 +23 *1220:28 *39137:A 0 +24 *1220:28 *2409:22 0 +25 *1220:28 *3154:76 0 +26 *1220:28 *3813:54 0 +27 *1220:28 *4500:13 0 +28 *1220:35 *2390:15 0 +29 *1220:35 *2424:31 0 +30 *1220:35 *2431:36 0 +31 *1220:35 *4883:9 0 +32 *1220:37 *1223:15 0 +33 *1220:37 *2371:30 0 +34 *1220:37 *2390:15 0 +35 *1220:37 *3141:33 0 +36 *1220:37 *3250:49 0 +37 *1220:37 *3291:11 0 +38 *1220:37 *4883:9 0 +39 *1220:37 *4884:14 0 +40 *1220:37 *4885:29 0 +41 *1220:53 *7122:DIODE 0 +42 *1220:53 *1234:10 0 +43 *1220:53 *2381:49 0 +44 *1220:53 *2390:12 0 +45 *1220:53 *2399:8 0 +46 *1220:53 *2460:15 0 +47 *1220:53 *2984:11 0 +48 *1220:53 *3435:52 0 +49 *1220:53 *3500:32 0 +50 *1220:53 *4753:30 0 +51 *1220:53 *4756:49 0 +52 la_data_in_mprj[105] *1220:53 0 +53 la_data_in_mprj[106] *1220:53 0 +54 *6735:DIODE *8012:DIODE 0 +55 *40161:A *1220:37 0 +56 *395:8 *1220:53 0 +57 *523:8 *1220:53 0 +58 *777:14 *1220:53 0 +59 *1219:13 *1220:37 0 +*RES +1 *37642:X *1220:14 46.6304 +2 *1220:14 *1220:28 43.1288 +3 *1220:28 *1220:35 16.3036 +4 *1220:35 *1220:37 96.6429 +5 *1220:37 *1220:53 47.75 +6 *1220:53 *40159:A 21.0857 +7 *1220:53 *8012:DIODE 21.3357 +*END + +*D_NET *1221 0.0154772 +*CONN +*I *37645:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *5464:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37644:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37645:A 0.000255126 +2 *5464:DIODE 0.000112474 +3 *37644:X 0.00104259 +4 *1221:26 0.000798184 +5 *1221:25 0.00259147 +6 *1221:21 0.0042485 +7 *1221:17 0.00373695 +8 *1221:14 0.00269192 +9 *5464:DIODE *3046:32 0 +10 *37645:A *3656:45 0 +11 *37645:A *3754:13 0 +12 *1221:14 *5463:DIODE 0 +13 *1221:14 *1569:12 0 +14 *1221:14 *1697:14 0 +15 *1221:14 *2380:14 0 +16 *1221:14 *3009:30 0 +17 *1221:14 *3428:58 0 +18 *1221:14 *3470:67 0 +19 *1221:14 *3479:105 0 +20 *1221:14 *4725:10 0 +21 *1221:17 *1751:9 0 +22 *1221:17 *2396:13 0 +23 *1221:17 *2411:39 0 +24 *1221:17 *3117:20 0 +25 *1221:17 *5019:23 0 +26 *1221:21 *1751:9 0 +27 *1221:21 *4745:7 0 +28 *1221:25 *1751:9 0 +29 *1221:26 *4616:14 0 +30 *280:11 *1221:26 0 +31 *370:51 *5464:DIODE 0 +32 *370:51 *1221:26 0 +*RES +1 *37644:X *1221:14 41.1929 +2 *1221:14 *1221:17 34.4375 +3 *1221:17 *1221:21 43.6786 +4 *1221:21 *1221:25 49.5982 +5 *1221:25 *1221:26 9.83929 +6 *1221:26 *5464:DIODE 16.3536 +7 *1221:26 *37645:A 28.2821 +*END + +*D_NET *1222 0.00964446 +*CONN +*I *40157:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8010:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37646:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *40157:A 0.000139463 +2 *8010:DIODE 0.000170026 +3 *37646:X 0.000964847 +4 *1222:49 0.00206651 +5 *1222:32 0.0035479 +6 *1222:14 0.00275573 +7 *8010:DIODE *3029:24 0 +8 *8010:DIODE *4085:40 0 +9 *40157:A *3546:97 0 +10 *40157:A *4113:103 0 +11 *1222:14 *5466:DIODE 0 +12 *1222:14 *5992:DIODE 0 +13 *1222:14 *38232:A 0 +14 *1222:14 *38232:B 0 +15 *1222:14 *1224:42 0 +16 *1222:14 *1407:24 0 +17 *1222:14 *3055:104 0 +18 *1222:14 *3358:81 0 +19 *1222:14 *3811:14 0 +20 *1222:14 *3942:28 0 +21 *1222:14 *3942:61 0 +22 *1222:14 *4113:75 0 +23 *1222:32 *5419:DIODE 0 +24 *1222:32 *5435:DIODE 0 +25 *1222:32 *5705:DIODE 0 +26 *1222:32 *37622:B 0 +27 *1222:32 *38040:C 0 +28 *1222:32 *1224:66 0 +29 *1222:32 *3112:83 0 +30 *1222:32 *3438:132 0 +31 *1222:32 *3518:131 0 +32 *1222:32 *3546:67 0 +33 *1222:32 *3811:31 0 +34 *1222:32 *3811:33 0 +35 *1222:32 *3942:61 0 +36 *1222:32 *4113:82 0 +37 *1222:49 *1437:30 0 +38 *1222:49 *1437:59 0 +39 *1222:49 *2367:24 0 +40 *1222:49 *2832:50 0 +41 *1222:49 *4034:48 0 +42 *1222:49 *4041:54 0 +43 *1222:49 *4113:99 0 +44 *1222:49 *4324:100 0 +45 *1222:49 *4374:68 0 +46 *1210:16 *1222:32 0 +*RES +1 *37646:X *1222:14 38.7107 +2 *1222:14 *1222:32 46.8929 +3 *1222:32 *1222:49 25.8309 +4 *1222:49 *8010:DIODE 17.6482 +5 *1222:49 *40157:A 16.8804 +*END + +*D_NET *1223 0.011197 +*CONN +*I *8007:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40154:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37648:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *8007:DIODE 0.000121999 +2 *40154:A 4.92646e-05 +3 *37648:X 0.000645579 +4 *1223:24 0.000591171 +5 *1223:23 0.00196152 +6 *1223:15 0.00436175 +7 *1223:14 0.00346572 +8 *8007:DIODE *3430:21 0 +9 *40154:A *2749:25 0 +10 *40154:A *3105:62 0 +11 *1223:14 *5444:DIODE 0 +12 *1223:14 *37628:A 0 +13 *1223:14 *2040:55 0 +14 *1223:14 *2738:39 0 +15 *1223:14 *3500:20 0 +16 *1223:14 *3523:54 0 +17 *1223:14 *4501:56 0 +18 *1223:15 *1236:19 0 +19 *1223:15 *2051:48 0 +20 *1223:15 *2407:13 0 +21 *1223:15 *2431:36 0 +22 *1223:15 *2431:49 0 +23 *1223:15 *2638:11 0 +24 *1223:15 *4883:9 0 +25 *1223:23 *39145:A 0 +26 *1223:23 *1230:21 0 +27 *1223:23 *2415:13 0 +28 *1223:24 *2409:10 0 +29 *1223:24 *3018:20 0 +30 *6588:DIODE *8007:DIODE 0 +31 *507:17 *1223:14 0 +32 *518:9 *1223:24 0 +33 *635:22 *1223:14 0 +34 *1219:13 *1223:15 0 +35 *1220:37 *1223:15 0 +*RES +1 *37648:X *1223:14 32.5857 +2 *1223:14 *1223:15 58.8571 +3 *1223:15 *1223:23 45.8571 +4 *1223:23 *1223:24 9.53571 +5 *1223:24 *40154:A 14.8714 +6 *1223:24 *8007:DIODE 16.5857 +*END + +*D_NET *1224 0.0121948 +*CONN +*I *8005:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40152:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37650:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *8005:DIODE 0.000258827 +2 *40152:A 0 +3 *37650:X 0.00168926 +4 *1224:71 0.00198077 +5 *1224:66 0.00272227 +6 *1224:42 0.00242736 +7 *1224:20 0.0031163 +8 *8005:DIODE *8923:DIODE 0 +9 *8005:DIODE *3014:19 0 +10 *8005:DIODE *3747:97 0 +11 *8005:DIODE *4022:130 0 +12 *8005:DIODE *4358:120 0 +13 *1224:20 *5961:DIODE 0 +14 *1224:20 *8535:DIODE 0 +15 *1224:20 *37660:A 0 +16 *1224:20 *37660:B 0 +17 *1224:20 *37999:A 0 +18 *1224:20 *40247:A 0 +19 *1224:20 *2273:63 0 +20 *1224:20 *3322:109 0 +21 *1224:20 *3340:76 0 +22 *1224:20 *3795:65 0 +23 *1224:20 *3811:14 0 +24 *1224:20 *3812:77 0 +25 *1224:20 *4113:53 0 +26 *1224:20 *4128:50 0 +27 *1224:20 *4128:57 0 +28 *1224:20 *4358:68 0 +29 *1224:20 *4698:7 0 +30 *1224:42 *5992:DIODE 0 +31 *1224:42 *38040:C 0 +32 *1224:42 *2051:52 0 +33 *1224:42 *3322:146 0 +34 *1224:42 *3438:91 0 +35 *1224:42 *3811:31 0 +36 *1224:42 *3942:61 0 +37 *1224:42 *3968:16 0 +38 *1224:42 *4134:69 0 +39 *1224:66 *5261:DIODE 0 +40 *1224:66 *38028:B 0 +41 *1224:66 *38040:C 0 +42 *1224:66 *2374:107 0 +43 *1224:66 *3438:116 0 +44 *1224:66 *3766:25 0 +45 *1224:66 *3784:59 0 +46 *1224:66 *4041:54 0 +47 *1224:66 *4113:82 0 +48 *1224:66 *4134:69 0 +49 *1224:66 *4367:69 0 +50 *1224:71 *8636:DIODE 0 +51 *1224:71 *8677:DIODE 0 +52 *1224:71 *3747:72 0 +53 *1224:71 *3747:83 0 +54 *1224:71 *4022:130 0 +55 *1224:71 *4061:146 0 +56 *1224:71 *4134:84 0 +57 *1224:71 *4358:94 0 +58 *1224:71 *4358:120 0 +59 *1222:14 *1224:42 0 +60 *1222:32 *1224:66 0 +*RES +1 *37650:X *1224:20 49.4786 +2 *1224:20 *1224:42 48.1429 +3 *1224:42 *1224:66 48.6202 +4 *1224:66 *1224:71 36 +5 *1224:71 *40152:A 9.3 +6 *1224:71 *8005:DIODE 14.7643 +*END + +*D_NET *1225 0.00395614 +*CONN +*I *40149:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37652:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *40149:A 0.000895413 +2 *37652:X 0.00108266 +3 *1225:10 0.00197807 +4 *40149:A *3438:116 0 +5 *40149:A *3747:53 0 +6 *1225:10 *4092:17 0 +7 *1225:10 *4119:21 0 +8 *1225:10 *4119:46 0 +*RES +1 *37652:X *1225:10 41.1036 +2 *1225:10 *40149:A 28.05 +*END + +*D_NET *1226 0.0109111 +*CONN +*I *40146:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8000:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37654:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *40146:A 0.000223605 +2 *8000:DIODE 0.000152919 +3 *37654:X 0.000668673 +4 *1226:80 0.00137997 +5 *1226:53 0.00247439 +6 *1226:21 0.00340688 +7 *1226:10 0.00260461 +8 *8000:DIODE *4092:19 0 +9 *40146:A *3008:20 0 +10 *40146:A *4006:69 0 +11 *40146:A *4267:25 0 +12 *1226:10 *8759:DIODE 0 +13 *1226:10 *8762:DIODE 0 +14 *1226:10 *3759:19 0 +15 *1226:10 *3980:73 0 +16 *1226:10 *4039:83 0 +17 *1226:10 *4107:43 0 +18 *1226:21 *38078:C 0 +19 *1226:21 *41252:A 0 +20 *1226:21 *3518:122 0 +21 *1226:21 *3943:50 0 +22 *1226:21 *4020:86 0 +23 *1226:21 *4119:70 0 +24 *1226:21 *4120:74 0 +25 *1226:21 *4291:37 0 +26 *1226:53 *5621:DIODE 0 +27 *1226:53 *37774:A 0 +28 *1226:53 *2008:67 0 +29 *1226:53 *3977:74 0 +30 *1226:53 *3980:80 0 +31 *1226:53 *3980:89 0 +32 *1226:53 *3998:45 0 +33 *1226:53 *4052:27 0 +34 *1226:53 *4061:119 0 +35 *1226:53 *4248:24 0 +36 *1226:80 *8736:DIODE 0 +37 *1226:80 *1228:19 0 +38 *1226:80 *3008:20 0 +39 *1226:80 *3675:53 0 +40 *1226:80 *3951:7 0 +41 *1226:80 *3951:8 0 +42 *1226:80 *4008:48 0 +43 *1226:80 *4020:113 0 +44 *1226:80 *4049:43 0 +45 *1226:80 *4267:25 0 +*RES +1 *37654:X *1226:10 32.3893 +2 *1226:10 *1226:21 49.8214 +3 *1226:21 *1226:53 49.7132 +4 *1226:53 *1226:80 31.1161 +5 *1226:80 *8000:DIODE 17.2107 +6 *1226:80 *40146:A 18.8 +*END + +*D_NET *1227 0.0146541 +*CONN +*I *7997:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40143:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37656:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *7997:DIODE 0.000187267 +2 *40143:A 4.53482e-05 +3 *37656:X 0.000888501 +4 *1227:70 0.00034499 +5 *1227:67 0.00189369 +6 *1227:56 0.00258401 +7 *1227:45 0.00295374 +8 *1227:42 0.00350953 +9 *1227:26 0.00224699 +10 *7997:DIODE *8271:DIODE 0 +11 *7997:DIODE *3005:22 0 +12 *7997:DIODE *3148:20 0 +13 *7997:DIODE *3272:51 0 +14 *1227:26 *5503:DIODE 0 +15 *1227:26 *1987:61 0 +16 *1227:26 *2427:26 0 +17 *1227:26 *3158:16 0 +18 *1227:26 *3316:29 0 +19 *1227:26 *3436:16 0 +20 *1227:26 *3529:13 0 +21 *1227:26 *3949:44 0 +22 *1227:26 *4286:38 0 +23 *1227:26 *4496:52 0 +24 *1227:42 *40593:A 0 +25 *1227:42 *3144:46 0 +26 *1227:42 *4027:32 0 +27 *1227:42 *4112:60 0 +28 *1227:45 *2727:49 0 +29 *1227:45 *3173:11 0 +30 *1227:45 *3312:78 0 +31 *1227:45 *4297:55 0 +32 *1227:45 *4297:66 0 +33 *1227:45 *4297:91 0 +34 *1227:56 *3154:56 0 +35 *1227:56 *3217:59 0 +36 *1227:56 *3312:78 0 +37 *1227:56 *3517:50 0 +38 *1227:67 *40372:A 0 +39 *1227:67 *40406:A 0 +40 *1227:67 *40589:A 0 +41 *1227:67 *1238:38 0 +42 *1227:67 *2425:42 0 +43 *1227:67 *3265:70 0 +44 *1227:67 *3272:67 0 +45 *1227:67 *3298:24 0 +46 *1227:67 *3511:85 0 +47 *1227:67 *3977:119 0 +48 *1227:67 *4233:71 0 +49 *1227:67 *4297:91 0 +50 *1227:67 *4875:17 0 +51 *1227:70 *3515:28 0 +*RES +1 *37656:X *1227:26 45.9215 +2 *1227:26 *1227:42 48 +3 *1227:42 *1227:45 49.3929 +4 *1227:45 *1227:56 35.9286 +5 *1227:56 *1227:67 46.4464 +6 *1227:67 *1227:70 7.05357 +7 *1227:70 *40143:A 14.7464 +8 *1227:70 *7997:DIODE 17.925 +*END + +*D_NET *1228 0.00462649 +*CONN +*I *40140:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37658:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *40140:A 0 +2 *37658:X 0.000990735 +3 *1228:49 0.00132251 +4 *1228:19 0.00231325 +5 *1228:19 *3951:7 0 +6 *1228:19 *3980:89 0 +7 *1228:19 *4020:113 0 +8 *1228:19 *4039:131 0 +9 *1228:19 *4078:7 0 +10 *1228:19 *4112:134 0 +11 *1228:19 *4166:60 0 +12 *1228:19 *4230:74 0 +13 *1228:19 *4408:78 0 +14 *1228:49 *8467:DIODE 0 +15 *1228:49 *1232:23 0 +16 *1228:49 *2429:34 0 +17 *1228:49 *3446:104 0 +18 *1228:49 *3980:100 0 +19 *1228:49 *4020:113 0 +20 *1228:49 *4020:129 0 +21 *1228:49 *4059:42 0 +22 *1228:49 *4092:38 0 +23 *1228:49 *4095:58 0 +24 *1228:49 *4112:134 0 +25 *1228:49 *4230:74 0 +26 *1226:80 *1228:19 0 +*RES +1 *37658:X *1228:19 48.3179 +2 *1228:19 *1228:49 46.8036 +3 *1228:49 *40140:A 9.3 +*END + +*D_NET *1229 0.00892401 +*CONN +*I *40137:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37660:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *40137:A 0 +2 *37660:X 0.00109288 +3 *1229:65 0.00201193 +4 *1229:51 0.00336912 +5 *1229:29 0.00245008 +6 *1229:29 *40689:A 0 +7 *1229:29 *3766:25 0 +8 *1229:29 *3784:29 0 +9 *1229:29 *3801:69 0 +10 *1229:29 *3943:50 0 +11 *1229:29 *4113:75 0 +12 *1229:29 *4128:57 0 +13 *1229:29 *4320:23 0 +14 *1229:29 *4358:77 0 +15 *1229:51 *1407:24 0 +16 *1229:51 *3011:16 0 +17 *1229:51 *3055:104 0 +18 *1229:51 *3518:131 0 +19 *1229:51 *3530:97 0 +20 *1229:51 *3747:72 0 +21 *1229:51 *3811:14 0 +22 *1229:51 *3947:27 0 +23 *1229:51 *3988:11 0 +24 *1229:51 *4134:69 0 +25 *1229:65 *5435:DIODE 0 +26 *1229:65 *8636:DIODE 0 +27 *1229:65 *3305:127 0 +28 *1229:65 *3546:67 0 +29 *1229:65 *3702:100 0 +30 *1229:65 *3811:33 0 +31 *1229:65 *3947:27 0 +32 *1229:65 *4041:54 0 +33 *1229:65 *4081:24 0 +34 *1229:65 *4095:40 0 +*RES +1 *37660:X *1229:29 47.5434 +2 *1229:29 *1229:51 46.6955 +3 *1229:51 *1229:65 41.2968 +4 *1229:65 *40137:A 9.3 +*END + +*D_NET *1230 0.0216991 +*CONN +*I *7990:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40134:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37662:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *7990:DIODE 0.00230804 +2 *40134:A 6.26746e-05 +3 *37662:X 0.000779245 +4 *1230:39 0.00339428 +5 *1230:27 0.00273439 +6 *1230:21 0.00667602 +7 *1230:20 0.00574444 +8 *7990:DIODE *8486:DIODE 0 +9 *7990:DIODE *40265:A 0 +10 *7990:DIODE *3174:16 0 +11 *7990:DIODE *3257:23 0 +12 *7990:DIODE *3257:35 0 +13 *7990:DIODE *3266:134 0 +14 *7990:DIODE *3276:60 0 +15 *40134:A *2995:19 0 +16 *40134:A *3235:111 0 +17 *1230:20 *2393:62 0 +18 *1230:20 *3296:9 0 +19 *1230:20 *3435:37 0 +20 *1230:20 *3788:46 0 +21 *1230:21 *39145:A 0 +22 *1230:21 *2390:15 0 +23 *1230:21 *2415:13 0 +24 *1230:21 *2727:34 0 +25 *1230:21 *2984:11 0 +26 *1230:21 *3018:21 0 +27 *1230:21 *3291:11 0 +28 *1230:27 *1884:24 0 +29 *1230:27 *2984:11 0 +30 *1230:27 *2984:20 0 +31 *1230:27 *3018:21 0 +32 *1230:27 *3141:30 0 +33 *1230:27 *3250:49 0 +34 *1230:27 *3291:21 0 +35 *1230:27 *3500:55 0 +36 *1230:39 *8486:DIODE 0 +37 *1230:39 *3015:12 0 +38 *1230:39 *3235:111 0 +39 *1230:39 *5189:46 0 +40 *525:17 *1230:27 0 +41 *640:16 *1230:20 0 +42 *784:31 *1230:39 0 +43 *785:7 *1230:39 0 +44 *901:62 *7990:DIODE 0 +45 *1223:23 *1230:21 0 +*RES +1 *37662:X *1230:20 44.7107 +2 *1230:20 *1230:21 103.625 +3 *1230:21 *1230:27 40.2679 +4 *1230:27 *1230:39 27.3214 +5 *1230:39 *40134:A 11.1482 +6 *1230:39 *7990:DIODE 37.0031 +*END + +*D_NET *1231 0.00607232 +*CONN +*I *40132:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37664:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *40132:A 0 +2 *37664:X 0.00143958 +3 *1231:37 0.00159659 +4 *1231:17 0.00303616 +5 *1231:17 *8916:DIODE 0 +6 *1231:17 *37664:B 0 +7 *1231:17 *2315:55 0 +8 *1231:17 *3670:31 0 +9 *1231:17 *3675:24 0 +10 *1231:17 *3720:35 0 +11 *1231:17 *3720:41 0 +12 *1231:17 *3772:32 0 +13 *1231:17 *3804:85 0 +14 *1231:17 *3819:19 0 +15 *1231:17 *4082:27 0 +16 *1231:37 *8441:DIODE 0 +17 *1231:37 *8756:DIODE 0 +18 *1231:37 *8940:DIODE 0 +19 *1231:37 *40103:A 0 +20 *1231:37 *3083:21 0 +21 *1231:37 *3362:26 0 +22 *1231:37 *3526:106 0 +23 *1231:37 *3670:31 0 +24 *1231:37 *3730:67 0 +25 *1231:37 *3772:42 0 +26 *1231:37 *3819:49 0 +27 *1231:37 *3819:71 0 +28 *1231:37 *4089:41 0 +29 *1231:37 *4100:54 0 +30 *1231:37 *4309:14 0 +31 *1231:37 *4332:79 0 +32 *1231:37 *4344:17 0 +33 *1231:37 *4345:117 0 +*RES +1 *37664:X *1231:17 48.925 +2 *1231:17 *1231:37 43.2857 +3 *1231:37 *40132:A 9.3 +*END + +*D_NET *1232 0.0178995 +*CONN +*I *40129:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7986:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37666:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *40129:A 4.53482e-05 +2 *7986:DIODE 0.000228683 +3 *37666:X 0.00209331 +4 *1232:38 0.00189616 +5 *1232:29 0.00607567 +6 *1232:28 0.00496027 +7 *1232:23 0.00260005 +8 *7986:DIODE *4040:11 0 +9 *40129:A *3650:59 0 +10 *40129:A *4099:99 0 +11 *40129:A *4723:13 0 +12 *1232:23 *3283:21 0 +13 *1232:23 *3446:89 0 +14 *1232:23 *3668:34 0 +15 *1232:23 *3742:40 0 +16 *1232:23 *3781:45 0 +17 *1232:23 *4230:74 0 +18 *1232:23 *4245:15 0 +19 *1232:23 *4245:16 0 +20 *1232:28 *3679:64 0 +21 *1232:29 *1270:16 0 +22 *1232:29 *1320:29 0 +23 *1232:29 *2987:33 0 +24 *1232:29 *2987:35 0 +25 *1232:29 *4055:52 0 +26 *1232:38 *1338:14 0 +27 *1232:38 *1446:45 0 +28 *1232:38 *1543:24 0 +29 *1232:38 *2981:79 0 +30 *1232:38 *3076:26 0 +31 *1232:38 *3218:60 0 +32 *1228:49 *1232:23 0 +*RES +1 *37666:X *1232:23 48.7213 +2 *1232:23 *1232:28 19.4189 +3 *1232:28 *1232:29 92.9464 +4 *1232:29 *1232:38 24.0501 +5 *1232:38 *7986:DIODE 18.6571 +6 *1232:38 *40129:A 14.7464 +*END + +*D_NET *1233 0.00321923 +*CONN +*I *40127:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37668:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *40127:A 0.000159146 +2 *37668:X 0.00145047 +3 *1233:18 0.00160961 +4 *40127:A *4085:22 0 +5 *40127:A *4095:58 0 +6 *1233:18 *41092:A 0 +7 *1233:18 *2367:44 0 +8 *1233:18 *3008:20 0 +9 *1233:18 *3084:62 0 +10 *1233:18 *3697:25 0 +11 *1233:18 *3980:89 0 +12 *1233:18 *4008:72 0 +13 *1233:18 *4034:24 0 +14 *1233:18 *4037:24 0 +15 *1233:18 *4039:131 0 +16 *1233:18 *4078:7 0 +17 *1233:18 *4078:26 0 +*RES +1 *37668:X *1233:18 49.4429 +2 *1233:18 *40127:A 12.6214 +*END + +*D_NET *1234 0.00224415 +*CONN +*I *40124:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37670:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *40124:A 0 +2 *37670:X 0.00112207 +3 *1234:10 0.00112207 +4 *1234:10 *39022:A 0 +5 *1234:10 *2460:15 0 +6 *1234:10 *2760:13 0 +7 *1234:10 *2984:11 0 +8 *1234:10 *4204:28 0 +9 *6572:DIODE *1234:10 0 +10 *395:8 *1234:10 0 +11 *1220:53 *1234:10 0 +*RES +1 *37670:X *1234:10 41.925 +2 *1234:10 *40124:A 9.3 +*END + +*D_NET *1235 0.0134882 +*CONN +*I *40122:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7981:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37672:X O *D sky130_fd_sc_hd__and2_4 +*CAP +1 *40122:A 0.00016428 +2 *7981:DIODE 0 +3 *37672:X 0.00119531 +4 *1235:55 0.00181602 +5 *1235:38 0.0033909 +6 *1235:19 0.00373277 +7 *1235:15 0.00318892 +8 *40122:A *4012:105 0 +9 *40122:A *4269:61 0 +10 *40122:A *4279:39 0 +11 *40122:A *4294:77 0 +12 *1235:15 *8522:DIODE 0 +13 *1235:15 *8890:DIODE 0 +14 *1235:15 *37798:B 0 +15 *1235:15 *1982:39 0 +16 *1235:15 *3210:93 0 +17 *1235:15 *3319:32 0 +18 *1235:15 *3446:28 0 +19 *1235:15 *4012:30 0 +20 *1235:15 *4294:47 0 +21 *1235:15 *4294:49 0 +22 *1235:15 *4305:19 0 +23 *1235:19 *3202:60 0 +24 *1235:19 *3319:32 0 +25 *1235:19 *4012:44 0 +26 *1235:19 *4012:68 0 +27 *1235:19 *4277:50 0 +28 *1235:19 *4294:49 0 +29 *1235:19 *4514:15 0 +30 *1235:19 *4514:27 0 +31 *1235:38 *38094:C 0 +32 *1235:38 *40253:A 0 +33 *1235:38 *40352:A 0 +34 *1235:38 *3202:48 0 +35 *1235:38 *3210:70 0 +36 *1235:38 *3256:38 0 +37 *1235:38 *3316:46 0 +38 *1235:38 *3534:58 0 +39 *1235:38 *4301:67 0 +40 *1235:38 *4881:48 0 +41 *1235:55 *40253:A 0 +42 *1235:55 *3129:30 0 +43 *1235:55 *3129:45 0 +44 *1235:55 *3202:42 0 +45 *1235:55 *3256:23 0 +46 *1235:55 *3256:38 0 +47 *1235:55 *3306:20 0 +48 *1235:55 *3319:49 0 +49 *1235:55 *4012:105 0 +50 *1235:55 *4112:134 0 +51 *1235:55 *4246:45 0 +52 *1235:55 *4294:77 0 +53 *1235:55 *4297:108 0 +*RES +1 *37672:X *1235:15 34.3714 +2 *1235:15 *1235:19 46.1071 +3 *1235:19 *1235:38 46.9643 +4 *1235:38 *1235:55 48.5893 +5 *1235:55 *7981:DIODE 9.3 +6 *1235:55 *40122:A 12.8536 +*END + +*D_NET *1236 0.00665014 +*CONN +*I *40119:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37674:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *40119:A 0.000441543 +2 *37674:X 0.000801761 +3 *1236:19 0.00252331 +4 *1236:11 0.00288353 +5 *40119:A *3134:45 0 +6 *40119:A *4878:42 0 +7 *1236:11 *37674:B 0 +8 *1236:11 *2051:33 0 +9 *1236:11 *2051:48 0 +10 *1236:11 *2407:13 0 +11 *1236:11 *2418:28 0 +12 *1236:11 *3444:17 0 +13 *1236:11 *3444:27 0 +14 *1236:11 *3971:12 0 +15 *1236:19 *2008:59 0 +16 *1236:19 *2051:48 0 +17 *1236:19 *2431:49 0 +18 *1236:19 *2538:19 0 +19 *1236:19 *3444:27 0 +20 *1236:19 *3500:20 0 +21 *1236:19 *4038:36 0 +22 *1236:19 *4883:9 0 +23 la_data_in_mprj[90] *1236:11 0 +24 *6862:DIODE *40119:A 0 +25 *638:12 *40119:A 0 +26 *887:7 *1236:11 0 +27 *893:5 *40119:A 0 +28 *893:5 *1236:19 0 +29 *1223:15 *1236:19 0 +*RES +1 *37674:X *1236:11 35.4429 +2 *1236:11 *1236:19 48.8103 +3 *1236:19 *40119:A 26.7546 +*END + +*D_NET *1237 0.0112113 +*CONN +*I *7976:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40116:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37676:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *7976:DIODE 8.28607e-05 +2 *40116:A 0.000151336 +3 *37676:X 0.00151123 +4 *1237:53 0.00218567 +5 *1237:45 0.00386022 +6 *1237:27 0.00341998 +7 *1237:27 *8477:DIODE 0 +8 *1237:27 *8927:DIODE 0 +9 *1237:27 *40820:A 0 +10 *1237:27 *1505:19 0 +11 *1237:27 *3720:23 0 +12 *1237:27 *3736:24 0 +13 *1237:27 *3763:21 0 +14 *1237:27 *3772:20 0 +15 *1237:27 *3819:19 0 +16 *1237:27 *3956:50 0 +17 *1237:45 *5683:DIODE 0 +18 *1237:45 *40092:A 0 +19 *1237:45 *40863:A 0 +20 *1237:45 *2273:63 0 +21 *1237:45 *2848:22 0 +22 *1237:45 *2948:16 0 +23 *1237:45 *3730:23 0 +24 *1237:45 *3733:44 0 +25 *1237:45 *4747:10 0 +26 *1237:53 *6332:DIODE 0 +27 *1237:53 *8428:DIODE 0 +28 *1237:53 *37832:B 0 +29 *1237:53 *40092:A 0 +30 *1237:53 *3669:14 0 +31 *1237:53 *3680:54 0 +32 *1237:53 *3730:67 0 +33 *1237:53 *3733:44 0 +34 *1237:53 *3824:23 0 +35 *1204:25 *1237:53 0 +*RES +1 *37676:X *1237:27 47.8443 +2 *1237:27 *1237:45 49.5536 +3 *1237:45 *1237:53 45.3214 +4 *1237:53 *40116:A 17.1929 +5 *1237:53 *7976:DIODE 15.7464 +*END + +*D_NET *1238 0.0118675 +*CONN +*I *7973:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40113:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37678:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *7973:DIODE 0.000171981 +2 *40113:A 0 +3 *37678:X 0.00336585 +4 *1238:49 0.00126897 +5 *1238:38 0.00239594 +6 *1238:21 0.00466479 +7 *7973:DIODE *3144:21 0 +8 *7973:DIODE *4221:68 0 +9 *1238:21 *37652:B 0 +10 *1238:21 *37678:B 0 +11 *1238:21 *3210:70 0 +12 *1238:21 *3229:26 0 +13 *1238:21 *4016:14 0 +14 *1238:21 *4246:27 0 +15 *1238:21 *4246:45 0 +16 *1238:21 *4288:52 0 +17 *1238:38 *40372:A 0 +18 *1238:38 *3259:13 0 +19 *1238:38 *3272:67 0 +20 *1238:38 *3321:90 0 +21 *1238:38 *3933:49 0 +22 *1238:38 *4252:37 0 +23 *1238:38 *4286:61 0 +24 *1238:38 *4297:91 0 +25 *1238:38 *4875:17 0 +26 *1238:49 *3144:21 0 +27 *1238:49 *3436:41 0 +28 *1238:49 *3517:68 0 +29 *1227:67 *1238:38 0 +*RES +1 *37678:X *1238:21 47.1327 +2 *1238:21 *1238:38 44.8501 +3 *1238:38 *1238:49 32.4107 +4 *1238:49 *40113:A 9.3 +5 *1238:49 *7973:DIODE 13.0143 +*END + +*D_NET *1239 0.00602133 +*CONN +*I *40110:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37680:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *40110:A 0 +2 *37680:X 0.000974192 +3 *1239:34 0.00157396 +4 *1239:28 0.00203647 +5 *1239:16 0.0014367 +6 *1239:16 *3208:37 0 +7 *1239:16 *4274:10 0 +8 *1239:28 *8136:DIODE 0 +9 *1239:28 *39590:A 0 +10 *1239:28 *40233:A 0 +11 *1239:28 *40328:A 0 +12 *1239:28 *2386:25 0 +13 *1239:28 *3208:37 0 +14 *1239:28 *3422:46 0 +15 *1239:34 *8201:DIODE 0 +16 *1239:34 *8213:DIODE 0 +17 *1239:34 *39976:A 0 +18 *1239:34 *40216:A 0 +19 *1239:34 *2968:21 0 +20 *1239:34 *3294:53 0 +21 *1239:34 *3294:59 0 +22 *1239:34 *3300:65 0 +23 *1239:34 *3309:61 0 +24 *1239:34 *3435:76 0 +25 *1239:34 *3783:41 0 +*RES +1 *37680:X *1239:16 48.3179 +2 *1239:16 *1239:28 28 +3 *1239:28 *1239:34 41.9821 +4 *1239:34 *40110:A 9.3 +*END + +*D_NET *1240 0.0154445 +*CONN +*I *7969:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40108:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37682:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *7969:DIODE 0.000189907 +2 *40108:A 4.53482e-05 +3 *37682:X 0.000946824 +4 *1240:44 0.00100265 +5 *1240:37 0.00535868 +6 *1240:36 0.00577279 +7 *1240:24 0.00212832 +8 *7969:DIODE *1248:67 0 +9 *7969:DIODE *1463:22 0 +10 *7969:DIODE *3017:61 0 +11 *40108:A *5178:56 0 +12 *1240:24 *40269:A 0 +13 *1240:24 *40555:A 0 +14 *1240:24 *1862:46 0 +15 *1240:24 *4412:17 0 +16 *1240:24 *4755:41 0 +17 *1240:24 *5100:31 0 +18 *1240:36 *1726:25 0 +19 *1240:36 *1828:23 0 +20 *1240:36 *5103:25 0 +21 *1240:36 *5177:17 0 +22 *1240:37 *1728:28 0 +23 *1240:37 *1731:32 0 +24 *1240:37 *4564:11 0 +25 *1240:37 *4595:24 0 +26 *1240:37 *5073:11 0 +27 *1240:44 *3254:16 0 +28 *6941:DIODE *7969:DIODE 0 +29 *544:12 *1240:24 0 +30 *903:26 *1240:44 0 +*RES +1 *37682:X *1240:24 48.5321 +2 *1240:24 *1240:36 43.0714 +3 *1240:36 *1240:37 95.8214 +4 *1240:37 *1240:44 22 +5 *1240:44 *40108:A 14.7464 +6 *1240:44 *7969:DIODE 18.175 +*END + +*D_NET *1241 0.00224653 +*CONN +*I *37685:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37684:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37685:A 0 +2 *37684:X 0.00112327 +3 *1241:9 0.00112327 +4 *1241:9 *39654:A 0 +5 *1241:9 *1605:11 0 +6 *1241:9 *2922:5 0 +7 *1241:9 *3484:58 0 +8 *1241:9 *4612:14 0 +9 *1241:9 *4744:24 0 +*RES +1 *37684:X *1241:9 42.7107 +2 *1241:9 *37685:A 9.3 +*END + +*D_NET *1242 0.00296132 +*CONN +*I *40107:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37686:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *40107:A 0.00148066 +2 *37686:X 0.00148066 +3 *40107:A *8021:DIODE 0 +4 *40107:A *40170:A 0 +5 *40107:A *3164:45 0 +6 *40107:A *3199:63 0 +7 *40107:A *3724:78 0 +8 *40107:A *5183:23 0 +9 *40107:A *5187:39 0 +*RES +1 *37686:X *40107:A 49.5643 +*END + +*D_NET *1243 0.0138283 +*CONN +*I *5518:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37689:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37688:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *5518:DIODE 0.000104386 +2 *37689:A 0 +3 *37688:X 0.00064523 +4 *1243:40 0.00124542 +5 *1243:32 0.00337036 +6 *1243:20 0.00502352 +7 *1243:10 0.00343943 +8 *5518:DIODE *1247:23 0 +9 *5518:DIODE *1611:13 0 +10 *1243:10 *2396:11 0 +11 *1243:10 *3425:24 0 +12 *1243:10 *3779:82 0 +13 *1243:10 *4908:12 0 +14 *1243:20 *37723:A 0 +15 *1243:20 *1697:7 0 +16 *1243:20 *1733:11 0 +17 *1243:20 *1849:15 0 +18 *1243:20 *2801:49 0 +19 *1243:20 *3046:26 0 +20 *1243:20 *3413:136 0 +21 *1243:20 *3482:36 0 +22 *1243:20 *3540:35 0 +23 *1243:20 *3731:12 0 +24 *1243:20 *4622:6 0 +25 *1243:32 *1247:22 0 +26 *1243:32 *1587:8 0 +27 *1243:32 *1733:11 0 +28 *1243:32 *1849:14 0 +29 *1243:32 *2801:53 0 +30 *1243:40 *1607:14 0 +31 *1243:40 *2801:62 0 +32 *267:15 *1243:40 0 +33 *278:17 *1243:40 0 +34 *1024:137 *1243:32 0 +*RES +1 *37688:X *1243:10 32.8357 +2 *1243:10 *1243:20 47.64 +3 *1243:20 *1243:32 49.8364 +4 *1243:32 *1243:40 37.4464 +5 *1243:40 *37689:A 9.3 +6 *1243:40 *5518:DIODE 11.4786 +*END + +*D_NET *1244 0.0196863 +*CONN +*I *5521:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37691:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37690:X O *D sky130_fd_sc_hd__and2_2 +*CAP +1 *5521:DIODE 0.000143745 +2 *37691:A 0 +3 *37690:X 0.0020561 +4 *1244:30 0.00778706 +5 *1244:24 0.00969941 +6 *5521:DIODE *3480:39 0 +7 *5521:DIODE *3656:45 0 +8 *1244:24 *1250:85 0 +9 *1244:24 *1571:37 0 +10 *1244:24 *3020:37 0 +11 *1244:24 *3275:86 0 +12 *1244:24 *3542:56 0 +13 *1244:24 *4313:24 0 +14 *1244:30 *37716:A 0 +15 *1244:30 *38367:A 0 +16 *1244:30 *1250:85 0 +17 *1244:30 *1427:22 0 +18 *1244:30 *1456:46 0 +19 *1244:30 *1460:30 0 +20 *1244:30 *1849:8 0 +21 *1244:30 *2376:15 0 +22 *1244:30 *2811:81 0 +23 *1244:30 *2830:56 0 +24 *1244:30 *2833:43 0 +25 *1244:30 *3020:37 0 +26 *1244:30 *3286:114 0 +27 *1244:30 *3286:131 0 +28 *1244:30 *3301:127 0 +29 *1244:30 *3480:38 0 +30 *1244:30 *3542:56 0 +31 *1244:30 *3657:58 0 +32 *1244:30 *4579:32 0 +33 *1244:30 *4601:74 0 +34 *1244:30 *4919:11 0 +35 *379:27 *1244:30 0 +*RES +1 *37690:X *1244:24 38.7173 +2 *1244:24 *1244:30 37.8675 +3 *1244:30 *37691:A 9.3 +4 *1244:30 *5521:DIODE 12.3 +*END + +*D_NET *1245 0.00723688 +*CONN +*I *37693:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37692:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37693:A 0.000112515 +2 *37692:X 0 +3 *1245:5 0.00361844 +4 *1245:4 0.00350593 +5 *37693:A *1599:8 0 +6 *37693:A *1610:7 0 +7 *37693:A *3396:49 0 +8 *1245:5 *39417:B 0 +9 *1245:5 *1466:38 0 +10 *1245:5 *1599:18 0 +11 *1245:5 *1617:25 0 +12 *1245:5 *3392:107 0 +13 *1245:5 *3396:31 0 +14 *1245:5 *3396:39 0 +15 *1245:5 *3396:49 0 +*RES +1 *37692:X *1245:4 9.3 +2 *1245:4 *1245:5 73.2321 +3 *1245:5 *37693:A 12.3714 +*END + +*D_NET *1246 0.00525285 +*CONN +*I *37695:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37694:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37695:A 0.000694768 +2 *37694:X 0.00193166 +3 *1246:16 0.00262643 +4 *37695:A *1247:23 0 +5 *37695:A *1256:11 0 +6 *1246:16 *1464:18 0 +7 *1246:16 *1735:13 0 +8 *1246:16 *2376:15 0 +9 *1246:16 *2456:6 0 +10 *1246:16 *2990:33 0 +11 *1246:16 *3476:45 0 +12 *1246:16 *3495:36 0 +13 *290:17 *1246:16 0 +14 *374:25 *1246:16 0 +*RES +1 *37694:X *1246:16 44.7608 +2 *1246:16 *37695:A 23.8 +*END + +*D_NET *1247 0.01398 +*CONN +*I *5528:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37697:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37696:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *5528:DIODE 0.000104386 +2 *37697:A 0 +3 *37696:X 0.00144039 +4 *1247:28 0.000243523 +5 *1247:23 0.00406133 +6 *1247:22 0.00530608 +7 *1247:11 0.00282428 +8 *1247:11 *1426:6 0 +9 *1247:11 *1467:8 0 +10 *1247:11 *1698:32 0 +11 *1247:11 *3541:15 0 +12 *1247:11 *3656:31 0 +13 *1247:11 *4610:20 0 +14 *1247:22 *1733:11 0 +15 *1247:22 *3731:12 0 +16 *1247:22 *4610:10 0 +17 *1247:22 *4743:16 0 +18 *1247:23 *37715:A 0 +19 *1247:23 *39407:B 0 +20 *1247:23 *1256:11 0 +21 *1247:23 *1611:13 0 +22 *1247:28 *1594:8 0 +23 *5518:DIODE *1247:23 0 +24 *37695:A *1247:23 0 +25 *39407:A *1247:23 0 +26 *1243:32 *1247:22 0 +*RES +1 *37696:X *1247:11 48.9607 +2 *1247:11 *1247:22 21.5526 +3 *1247:22 *1247:23 81.8571 +4 *1247:23 *1247:28 12.1607 +5 *1247:28 *37697:A 9.3 +6 *1247:28 *5528:DIODE 11.4786 +*END + +*D_NET *1248 0.0132081 +*CONN +*I *7968:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40106:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37698:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *7968:DIODE 4.23535e-05 +2 *40106:A 0.000139019 +3 *37698:X 0.00118124 +4 *1248:67 0.00186924 +5 *1248:51 0.00341516 +6 *1248:43 0.00355357 +7 *1248:24 0.00300752 +8 *7968:DIODE *3536:33 0 +9 *7968:DIODE *4547:65 0 +10 *1248:24 *40443:A 0 +11 *1248:24 *1862:69 0 +12 *1248:24 *2713:169 0 +13 *1248:24 *3027:43 0 +14 *1248:24 *3188:27 0 +15 *1248:24 *3188:31 0 +16 *1248:24 *4460:27 0 +17 *1248:24 *4554:53 0 +18 *1248:24 *4602:18 0 +19 *1248:24 *4764:48 0 +20 *1248:24 *4764:49 0 +21 *1248:43 *8285:DIODE 0 +22 *1248:43 *40308:A 0 +23 *1248:43 *1840:52 0 +24 *1248:43 *2373:67 0 +25 *1248:43 *2442:16 0 +26 *1248:43 *3188:27 0 +27 *1248:43 *3212:27 0 +28 *1248:43 *3416:73 0 +29 *1248:43 *4758:27 0 +30 *1248:43 *4764:48 0 +31 *1248:43 *5084:82 0 +32 *1248:51 *8285:DIODE 0 +33 *1248:51 *40313:A 0 +34 *1248:51 *40750:A 0 +35 *1248:51 *2713:52 0 +36 *1248:51 *3189:37 0 +37 *1248:51 *3194:13 0 +38 *1248:51 *3212:27 0 +39 *1248:51 *3264:17 0 +40 *1248:51 *3696:139 0 +41 *1248:51 *4413:33 0 +42 *1248:51 *4545:77 0 +43 *1248:51 *4590:14 0 +44 *1248:51 *5074:49 0 +45 *1248:67 *40304:A 0 +46 *1248:67 *41406:A 0 +47 *1248:67 *1463:22 0 +48 *1248:67 *3189:36 0 +49 *1248:67 *3189:37 0 +50 *1248:67 *3212:27 0 +51 *1248:67 *3536:33 0 +52 *1248:67 *3695:18 0 +53 *1248:67 *3695:23 0 +54 *1248:67 *4547:65 0 +55 *1248:67 *4548:65 0 +56 *1248:67 *5074:49 0 +57 *1248:67 *5172:30 0 +58 *6934:DIODE *1248:51 0 +59 *7969:DIODE *1248:67 0 +*RES +1 *37698:X *1248:24 48.4875 +2 *1248:24 *1248:43 45.9018 +3 *1248:43 *1248:51 36.0179 +4 *1248:51 *1248:67 44.5536 +5 *1248:67 *40106:A 21.4607 +6 *1248:67 *7968:DIODE 10.2464 +*END + +*D_NET *1249 0.00132485 +*CONN +*I *37701:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37700:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37701:A 0.000662423 +2 *37700:X 0.000662423 +3 *37701:A *37700:B 0 +4 *37701:A *1464:26 0 +5 *37701:A *3656:51 0 +6 *37701:A *3737:33 0 +7 *37701:A *3737:37 0 +*RES +1 *37700:X *37701:A 41.5821 +*END + +*D_NET *1250 0.0173332 +*CONN +*I *7967:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40105:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37702:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *7967:DIODE 0.000317865 +2 *40105:A 2.0535e-05 +3 *37702:X 0.00030933 +4 *1250:85 0.00328772 +5 *1250:57 0.00423631 +6 *1250:35 0.0029185 +7 *1250:21 0.00378255 +8 *1250:18 0.00246037 +9 *7967:DIODE *3779:75 0 +10 *7967:DIODE *3779:82 0 +11 *1250:18 *3432:67 0 +12 *1250:21 *3487:59 0 +13 *1250:21 *3487:73 0 +14 *1250:21 *4330:36 0 +15 *1250:35 *40813:A 0 +16 *1250:35 *3013:54 0 +17 *1250:35 *3492:26 0 +18 *1250:35 *3773:33 0 +19 *1250:35 *3779:20 0 +20 *1250:35 *5025:12 0 +21 *1250:57 *38087:A 0 +22 *1250:57 *38355:A 0 +23 *1250:57 *1553:13 0 +24 *1250:57 *3102:52 0 +25 *1250:57 *3764:8 0 +26 *1250:57 *3779:31 0 +27 *1250:57 *4330:46 0 +28 *1250:57 *4339:78 0 +29 *1250:57 *4600:57 0 +30 *1250:85 *2382:14 0 +31 *1250:85 *3045:47 0 +32 *1250:85 *3102:52 0 +33 *1250:85 *3542:56 0 +34 *1250:85 *3764:34 0 +35 *1250:85 *3779:75 0 +36 *1250:85 *4069:68 0 +37 *1250:85 *4907:7 0 +38 *1244:24 *1250:85 0 +39 *1244:30 *1250:85 0 +*RES +1 *37702:X *1250:18 25.5679 +2 *1250:18 *1250:21 49.3929 +3 *1250:21 *1250:35 48.0536 +4 *1250:35 *1250:57 49.8351 +5 *1250:57 *1250:85 41.3744 +6 *1250:85 *40105:A 9.72857 +7 *1250:85 *7967:DIODE 15.9964 +*END + +*D_NET *1251 0.00708319 +*CONN +*I *37705:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37704:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37705:A 0 +2 *37704:X 0.000268062 +3 *1251:31 0.00172661 +4 *1251:16 0.00327354 +5 *1251:10 0.00181499 +6 *1251:10 *4312:31 0 +7 *1251:16 *40716:A 0 +8 *1251:16 *3489:26 0 +9 *1251:16 *3656:13 0 +10 *1251:16 *3657:83 0 +11 *1251:16 *3718:60 0 +12 *1251:16 *4908:12 0 +13 *1251:31 *37723:A 0 +14 *1251:31 *39422:B 0 +15 *1251:31 *39655:A 0 +16 *1251:31 *1754:8 0 +17 *1251:31 *2392:15 0 +18 *1251:31 *2423:11 0 +19 *1251:31 *2801:35 0 +20 *1251:31 *4906:8 0 +*RES +1 *37704:X *1251:10 23.9786 +2 *1251:10 *1251:16 41.625 +3 *1251:16 *1251:31 45.6429 +4 *1251:31 *37705:A 9.3 +*END + +*D_NET *1252 0.0109577 +*CONN +*I *37707:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *5541:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37706:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37707:A 0 +2 *5541:DIODE 0.000149985 +3 *37706:X 0.00532889 +4 *1252:12 0.00547887 +5 *5541:DIODE *1617:25 0 +6 *5541:DIODE *3396:39 0 +7 *1252:12 *1466:38 0 +8 *1252:12 *1589:22 0 +9 *1252:12 *1599:18 0 +10 *1252:12 *2999:37 0 +11 *1252:12 *2999:54 0 +12 *1252:12 *3392:93 0 +13 *1252:12 *3395:85 0 +14 *1252:12 *3395:106 0 +15 *1252:12 *3396:24 0 +16 *1252:12 *3398:94 0 +17 *1252:12 *3948:35 0 +18 *1252:12 *3953:62 0 +19 *1252:12 *3957:50 0 +20 *1252:12 *3966:14 0 +21 *1252:12 *3999:56 0 +22 *1252:12 *4223:62 0 +23 *1252:12 *4223:103 0 +24 *1023:101 *5541:DIODE 0 +25 *1023:101 *1252:12 0 +*RES +1 *37706:X *1252:12 43.4566 +2 *1252:12 *5541:DIODE 17.0143 +3 *1252:12 *37707:A 13.8 +*END + +*D_NET *1253 0.00405717 +*CONN +*I *37709:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37708:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37709:A 0.000743463 +2 *37708:X 0.00128512 +3 *1253:17 0.00202859 +4 *37709:A *40338:A 0 +5 *37709:A *4529:67 0 +6 *37709:A *4534:82 0 +7 *37709:A *4539:69 0 +8 *37709:A *4545:74 0 +9 *37709:A *4780:63 0 +10 *1253:17 *5542:DIODE 0 +11 *1253:17 *40206:A 0 +12 *1253:17 *1862:91 0 +13 *1253:17 *1862:103 0 +14 *1253:17 *3403:16 0 +15 *1253:17 *4589:32 0 +16 *6906:DIODE *1253:17 0 +17 *6944:DIODE *37709:A 0 +*RES +1 *37708:X *1253:17 49.8893 +2 *1253:17 *37709:A 47.7286 +*END + +*D_NET *1254 0.00212553 +*CONN +*I *37711:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37710:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37711:A 0 +2 *37710:X 0.00106277 +3 *1254:19 0.00106277 +4 *1254:19 *5741:DIODE 0 +5 *1254:19 *38131:A 0 +6 *1254:19 *1335:16 0 +7 *1254:19 *4583:19 0 +8 *1254:19 *4638:7 0 +*RES +1 *37710:X *1254:19 40.7286 +2 *1254:19 *37711:A 9.3 +*END + +*D_NET *1255 0.00461802 +*CONN +*I *37713:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37712:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37713:A 0.000767174 +2 *37712:X 0.00154184 +3 *1255:7 0.00230901 +4 *37713:A *5276:DIODE 0 +5 *37713:A *1750:18 0 +6 *37713:A *1831:17 0 +7 *37713:A *3006:23 0 +8 *37713:A *3935:29 0 +9 *37713:A *3986:13 0 +10 *1255:7 *1831:17 0 +11 *1255:7 *2825:59 0 +12 *1255:7 *3944:21 0 +13 *1255:7 *3986:13 0 +14 *7484:DIODE *37713:A 0 +15 *262:17 *37713:A 0 +*RES +1 *37712:X *1255:7 41.4786 +2 *1255:7 *37713:A 35.1214 +*END + +*D_NET *1256 0.00413952 +*CONN +*I *37715:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37714:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37715:A 0.000294549 +2 *37714:X 0.00177521 +3 *1256:11 0.00206976 +4 *37715:A *1611:13 0 +5 *37715:A *3541:15 0 +6 *37715:A *3541:21 0 +7 *37715:A *3541:23 0 +8 *1256:11 *39401:B 0 +9 *1256:11 *3541:15 0 +10 *37695:A *1256:11 0 +11 *39401:A *1256:11 0 +12 *1247:23 *37715:A 0 +13 *1247:23 *1256:11 0 +*RES +1 *37714:X *1256:11 46.4429 +2 *1256:11 *37715:A 15.4786 +*END + +*D_NET *1257 0.00689775 +*CONN +*I *37717:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37716:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37717:A 0.00161671 +2 *37716:X 0.00124062 +3 *1257:19 0.00220825 +4 *1257:13 0.00183217 +5 *37717:A *1422:33 0 +6 *37717:A *1826:9 0 +7 *37717:A *2922:5 0 +8 *1257:13 *1849:15 0 +9 *1257:13 *3474:39 0 +10 *1257:13 *3482:36 0 +11 *1257:13 *3541:13 0 +12 *1257:13 *4312:33 0 +13 *1257:19 *2922:5 0 +14 *1257:19 *2955:8 0 +15 *1257:19 *3541:10 0 +16 *369:31 *1257:19 0 +17 *1018:71 *1257:13 0 +*RES +1 *37716:X *1257:13 48.9964 +2 *1257:13 *1257:19 17.0536 +3 *1257:19 *37717:A 43.1036 +*END + +*D_NET *1258 0.000405567 +*CONN +*I *37719:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37718:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37719:A 0.000202783 +2 *37718:X 0.000202783 +3 *37719:A *37718:A 0 +4 *37719:A *3342:32 0 +5 *37719:A *3391:55 0 +6 *37719:A *4773:20 0 +*RES +1 *37718:X *37719:A 22.8321 +*END + +*D_NET *1259 0.0152941 +*CONN +*I *5556:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37721:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37720:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *5556:DIODE 0.000143745 +2 *37721:A 0 +3 *37720:X 0.00128765 +4 *1259:43 0.00016428 +5 *1259:41 0.00264089 +6 *1259:40 0.00268033 +7 *1259:31 0.0028014 +8 *1259:30 0.00351479 +9 *1259:19 0.00206101 +10 *5556:DIODE *1625:10 0 +11 *5556:DIODE *3695:31 0 +12 *5556:DIODE *4583:13 0 +13 *1259:19 *5554:DIODE 0 +14 *1259:19 *37720:B 0 +15 *1259:19 *1463:22 0 +16 *1259:19 *2967:23 0 +17 *1259:19 *2967:25 0 +18 *1259:19 *3189:17 0 +19 *1259:19 *4780:15 0 +20 *1259:19 *5106:28 0 +21 *1259:19 *5178:56 0 +22 *1259:30 *3486:5 0 +23 *1259:31 *40221:A 0 +24 *1259:31 *1755:18 0 +25 *1259:31 *1755:29 0 +26 *1259:31 *3091:27 0 +27 *1259:31 *3189:17 0 +28 *1259:31 *3695:23 0 +29 *1259:31 *3695:29 0 +30 *1259:31 *3695:31 0 +31 *1259:41 *3695:31 0 +32 *1259:41 *4578:12 0 +33 *1259:41 *4583:13 0 +34 *1259:41 *4587:15 0 +*RES +1 *37720:X *1259:19 45.9071 +2 *1259:19 *1259:30 34.3571 +3 *1259:30 *1259:31 57.2143 +4 *1259:31 *1259:40 10.5 +5 *1259:40 *1259:41 54.75 +6 *1259:41 *1259:43 0.428571 +7 *1259:43 *37721:A 9.3 +8 *1259:43 *5556:DIODE 12.3 +*END + +*D_NET *1260 0.0038844 +*CONN +*I *37723:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37722:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37723:A 0.000780382 +2 *37722:X 0.00116182 +3 *1260:14 0.0019422 +4 *37723:A *1849:15 0 +5 *37723:A *4906:8 0 +6 *1260:14 *1460:30 0 +7 *1260:14 *1698:32 0 +8 *1260:14 *1863:48 0 +9 *1260:14 *2813:58 0 +10 *1260:14 *2973:36 0 +11 *1260:14 *3480:38 0 +12 *1260:14 *3737:32 0 +13 *1260:14 *4579:32 0 +14 *1260:14 *4601:74 0 +15 *1260:14 *4628:6 0 +16 *383:17 *1260:14 0 +17 *1243:20 *37723:A 0 +18 *1251:31 *37723:A 0 +*RES +1 *37722:X *1260:14 38.7238 +2 *1260:14 *37723:A 34.7464 +*END + +*D_NET *1261 0.0197041 +*CONN +*I *5560:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37725:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37724:X O *D sky130_fd_sc_hd__and2_2 +*CAP +1 *5560:DIODE 0.00018985 +2 *37725:A 0 +3 *37724:X 0.00123252 +4 *1261:56 0.0011385 +5 *1261:45 0.00288258 +6 *1261:41 0.0031348 +7 *1261:31 0.00506253 +8 *1261:30 0.00434621 +9 *1261:24 0.00171708 +10 *5560:DIODE *3091:27 0 +11 *5560:DIODE *4777:22 0 +12 *1261:24 *37724:A 0 +13 *1261:24 *37724:B 0 +14 *1261:24 *40210:A 0 +15 *1261:24 *2400:11 0 +16 *1261:24 *2982:51 0 +17 *1261:24 *3155:15 0 +18 *1261:24 *3163:19 0 +19 *1261:24 *4586:63 0 +20 *1261:30 *9006:DIODE 0 +21 *1261:30 *40505:A 0 +22 *1261:30 *1836:26 0 +23 *1261:30 *3194:36 0 +24 *1261:30 *3264:66 0 +25 *1261:30 *3398:20 0 +26 *1261:31 *2373:86 0 +27 *1261:31 *3091:54 0 +28 *1261:31 *3101:39 0 +29 *1261:31 *3111:44 0 +30 *1261:31 *3194:17 0 +31 *1261:31 *3264:34 0 +32 *1261:31 *3475:17 0 +33 *1261:31 *3724:62 0 +34 *1261:31 *3776:89 0 +35 *1261:31 *4529:46 0 +36 *1261:31 *4563:74 0 +37 *1261:31 *4591:11 0 +38 *1261:31 *4603:16 0 +39 *1261:41 *39414:B 0 +40 *1261:41 *1746:17 0 +41 *1261:41 *3091:54 0 +42 *1261:41 *3101:25 0 +43 *1261:41 *3102:23 0 +44 *1261:41 *3184:31 0 +45 *1261:41 *3392:63 0 +46 *1261:45 *3101:25 0 +47 *1261:45 *3392:65 0 +48 *1261:56 *3095:27 0 +49 *1261:56 *3164:17 0 +50 *1261:56 *3370:16 0 +51 *1261:56 *3392:65 0 +52 *1261:56 *4764:9 0 +53 *7375:DIODE *1261:41 0 +54 *266:48 *1261:31 0 +*RES +1 *37724:X *1261:24 45.3749 +2 *1261:24 *1261:30 19.1964 +3 *1261:30 *1261:31 80.625 +4 *1261:31 *1261:41 25.5536 +5 *1261:41 *1261:45 40.3929 +6 *1261:45 *1261:56 27.8198 +7 *1261:56 *37725:A 13.8 +8 *1261:56 *5560:DIODE 18.0857 +*END + +*D_NET *1262 0.00127745 +*CONN +*I *37727:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37726:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37727:A 0.000638725 +2 *37726:X 0.000638725 +3 *37727:A *37726:B 0 +4 *37727:A *4605:11 0 +*RES +1 *37726:X *37727:A 31.8679 +*END + +*D_NET *1263 0.000563002 +*CONN +*I *37729:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37728:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37729:A 0.000281501 +2 *37728:X 0.000281501 +3 *37729:A *37728:B 0 +4 *37729:A *4606:11 0 +*RES +1 *37728:X *37729:A 24.475 +*END + +*D_NET *1264 0.00459615 +*CONN +*I *37731:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37730:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37731:A 0 +2 *37730:X 0.00020607 +3 *1264:9 0.00209201 +4 *1264:8 0.00229808 +5 *270:8 *1264:9 0 +6 *283:7 *1264:8 0 +7 *286:8 *1264:9 0 +8 *378:19 *1264:8 0 +*RES +1 *37730:X *1264:8 22.9786 +2 *1264:8 *1264:9 43.6607 +3 *1264:9 *37731:A 9.3 +*END + +*D_NET *1265 0.000301518 +*CONN +*I *37733:A I *D sky130_fd_sc_hd__buf_6 +*I *37732:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37733:A 0.000150759 +2 *37732:X 0.000150759 +3 *37733:A *37469:A 0 +4 *37733:A *5178:10 0 +5 *37733:A *5209:8 0 +*RES +1 *37732:X *37733:A 30.9036 +*END + +*D_NET *1266 0.00329701 +*CONN +*I *37735:A I *D sky130_fd_sc_hd__buf_2 +*I *37734:X O *D sky130_fd_sc_hd__and2b_1 +*CAP +1 *37735:A 0.000399577 +2 *37734:X 0.00124893 +3 *1266:8 0.00164851 +4 *37735:A *4712:9 0 +5 *1266:8 *39690:A 0 +6 *1266:8 *1341:14 0 +7 *1266:8 *1356:23 0 +8 *1266:8 *1482:13 0 +9 *1266:8 *1483:10 0 +10 *1266:8 *2501:8 0 +11 *304:23 *1266:8 0 +12 *332:34 *37735:A 0 +*RES +1 *37734:X *1266:8 46.6571 +2 *1266:8 *37735:A 17.6393 +*END + +*D_NET *1267 0.0168034 +*CONN +*I *5572:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37737:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37736:X O *D sky130_fd_sc_hd__and2_2 +*CAP +1 *5572:DIODE 0 +2 *37737:A 0.000216473 +3 *37736:X 0.00198774 +4 *1267:43 0.00141991 +5 *1267:42 0.00199997 +6 *1267:31 0.00338053 +7 *1267:30 0.00258399 +8 *1267:28 0.00161352 +9 *1267:18 0.00360126 +10 *1267:18 *8722:DIODE 0 +11 *1267:18 *2225:49 0 +12 *1267:18 *3531:17 0 +13 *1267:18 *3753:8 0 +14 *1267:18 *4055:24 0 +15 *1267:18 *4105:45 0 +16 *1267:18 *4108:43 0 +17 *1267:28 *37835:A 0 +18 *1267:28 *37989:A 0 +19 *1267:28 *1515:14 0 +20 *1267:28 *2281:52 0 +21 *1267:28 *2298:28 0 +22 *1267:28 *2309:30 0 +23 *1267:28 *2865:43 0 +24 *1267:28 *2870:44 0 +25 *1267:28 *3679:38 0 +26 *1267:28 *3753:12 0 +27 *1267:28 *4696:9 0 +28 *1267:28 *5130:14 0 +29 *1267:31 *1511:18 0 +30 *1267:31 *3668:28 0 +31 *1267:42 *2851:42 0 +32 *1267:42 *4689:8 0 +33 *333:18 *1267:42 0 +34 *349:10 *1267:31 0 +35 *353:14 *1267:31 0 +36 *1001:30 *1267:42 0 +37 *1010:74 *37737:A 0 +38 *1010:85 *37737:A 0 +39 *1010:86 *37737:A 0 +40 *1010:86 *1267:43 0 +41 *1014:49 *1267:28 0 +42 *1015:98 *1267:42 0 +43 *1016:176 *37737:A 0 +44 *1026:61 *37737:A 0 +*RES +1 *37736:X *1267:18 48.6958 +2 *1267:18 *1267:28 45.5536 +3 *1267:28 *1267:30 4.5 +4 *1267:30 *1267:31 53.9286 +5 *1267:31 *1267:42 26.8249 +6 *1267:42 *1267:43 25.1786 +7 *1267:43 *37737:A 14.2821 +8 *1267:43 *5572:DIODE 9.3 +*END + +*D_NET *1268 0.00709279 +*CONN +*I *37739:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *5574:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37738:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37739:A 0.000139467 +2 *5574:DIODE 0 +3 *37738:X 4.53482e-05 +4 *1268:10 0.000139467 +5 *1268:8 0.00336158 +6 *1268:7 0.00340693 +7 *1268:7 *2979:15 0 +8 *1268:8 *37424:A 0 +9 *1268:8 *1742:6 0 +10 *1268:8 *4640:8 0 +*RES +1 *37738:X *1268:7 14.7464 +2 *1268:7 *1268:8 76.3214 +3 *1268:8 *1268:10 4.5 +4 *1268:10 *5574:DIODE 9.3 +5 *1268:10 *37739:A 12.2107 +*END + +*D_NET *1269 0.00321052 +*CONN +*I *37741:A I *D sky130_fd_sc_hd__buf_6 +*I *37740:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37741:A 0.000694768 +2 *37740:X 0.000910493 +3 *1269:12 0.00160526 +4 *37741:A *2225:18 0 +5 *37741:A *2725:33 0 +6 *37741:A *2740:38 0 +7 *1269:12 *37740:B 0 +8 *1269:12 *37814:A 0 +9 *1269:12 *1306:8 0 +10 *1269:12 *1406:19 0 +11 *1269:12 *2220:18 0 +12 *1269:12 *2863:10 0 +13 *1269:12 *3624:51 0 +14 *1269:12 *3624:58 0 +*RES +1 *37740:X *1269:12 38.3179 +2 *1269:12 *37741:A 23.8 +*END + +*D_NET *1270 0.0110328 +*CONN +*I *7966:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40104:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37742:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *7966:DIODE 0 +2 *40104:A 0.000143745 +3 *37742:X 0.000695422 +4 *1270:27 0.000897118 +5 *1270:19 0.00285928 +6 *1270:16 0.00392384 +7 *1270:10 0.00251336 +8 *40104:A *1439:46 0 +9 *40104:A *3670:31 0 +10 *1270:10 *41010:A 0 +11 *1270:10 *3670:68 0 +12 *1270:10 *4099:60 0 +13 *1270:10 *4171:80 0 +14 *1270:16 *2987:35 0 +15 *1270:16 *3317:176 0 +16 *1270:19 *38091:A 0 +17 *1270:19 *2384:103 0 +18 *1270:19 *2837:24 0 +19 *1270:19 *3665:42 0 +20 *1270:19 *3669:17 0 +21 *1270:19 *3730:78 0 +22 *1270:19 *3775:61 0 +23 *1270:19 *4369:67 0 +24 *1270:27 *1439:46 0 +25 *1270:27 *3775:49 0 +26 *1270:27 *3775:61 0 +27 *1232:29 *1270:16 0 +*RES +1 *37742:X *1270:10 33.6929 +2 *1270:10 *1270:16 47.1964 +3 *1270:16 *1270:19 43.9821 +4 *1270:19 *1270:27 25.3929 +5 *1270:27 *40104:A 12.3 +6 *1270:27 *7966:DIODE 9.3 +*END + +*D_NET *1271 0.011251 +*CONN +*I *7965:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40103:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37744:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *7965:DIODE 0 +2 *40103:A 0.000143745 +3 *37744:X 0.00092944 +4 *1271:46 0.000794819 +5 *1271:37 0.00315485 +6 *1271:36 0.00390123 +7 *1271:25 0.0023269 +8 *40103:A *3670:31 0 +9 *1271:25 *37838:A 0 +10 *1271:25 *2822:46 0 +11 *1271:25 *2841:61 0 +12 *1271:25 *2930:19 0 +13 *1271:25 *3650:23 0 +14 *1271:25 *3690:28 0 +15 *1271:25 *3736:91 0 +16 *1271:25 *3942:125 0 +17 *1271:25 *4021:8 0 +18 *1271:25 *4241:21 0 +19 *1271:36 *2384:103 0 +20 *1271:36 *2841:61 0 +21 *1271:36 *2981:62 0 +22 *1271:36 *3112:59 0 +23 *1271:36 *3670:50 0 +24 *1271:36 *4099:38 0 +25 *1271:37 *2841:53 0 +26 *1271:37 *3323:57 0 +27 *1271:37 *3803:25 0 +28 *1271:46 *3181:29 0 +29 *1271:46 *3672:27 0 +30 *1271:46 *4714:13 0 +31 *1210:69 *1271:25 0 +32 *1231:37 *40103:A 0 +*RES +1 *37744:X *1271:25 47.5857 +2 *1271:25 *1271:36 47.4821 +3 *1271:36 *1271:37 52.2857 +4 *1271:37 *1271:46 22.875 +5 *1271:46 *40103:A 12.3 +6 *1271:46 *7965:DIODE 9.3 +*END + +*D_NET *1272 0.0155328 +*CONN +*I *7964:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40102:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37746:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *7964:DIODE 0 +2 *40102:A 0.000178826 +3 *37746:X 0.000673838 +4 *1272:45 0.000461054 +5 *1272:39 0.00159123 +6 *1272:25 0.00460145 +7 *1272:24 0.00390137 +8 *1272:18 0.00203007 +9 *1272:8 0.00209499 +10 *40102:A *3669:51 0 +11 *1272:18 *1822:16 0 +12 *1272:18 *2382:19 0 +13 *1272:18 *2382:31 0 +14 *1272:18 *2387:11 0 +15 *1272:18 *3000:49 0 +16 *1272:18 *3117:51 0 +17 *1272:24 *2821:36 0 +18 *1272:24 *2974:19 0 +19 *1272:25 *1328:39 0 +20 *1272:25 *1328:53 0 +21 *1272:25 *1337:26 0 +22 *1272:25 *1339:11 0 +23 *1272:25 *1822:17 0 +24 *1272:25 *2958:10 0 +25 *1272:25 *2974:19 0 +26 *1272:39 *38039:A 0 +27 *1272:39 *2921:19 0 +28 *1272:39 *2987:78 0 +29 *1272:39 *4048:43 0 +30 *1272:45 *3108:40 0 +31 *1272:45 *3669:51 0 +32 *1211:68 *1272:25 0 +*RES +1 *37746:X *1272:8 33.6036 +2 *1272:8 *1272:18 38.9286 +3 *1272:18 *1272:24 21.7679 +4 *1272:24 *1272:25 68.7143 +5 *1272:25 *1272:39 45.7679 +6 *1272:39 *1272:45 15.4286 +7 *1272:45 *40102:A 13.0321 +8 *1272:45 *7964:DIODE 9.3 +*END + +*D_NET *1273 0.0160259 +*CONN +*I *7963:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40101:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37748:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *7963:DIODE 0 +2 *40101:A 0.00016428 +3 *37748:X 0.000652558 +4 *1273:65 0.000206634 +5 *1273:62 0.00116998 +6 *1273:41 0.00451847 +7 *1273:40 0.00453778 +8 *1273:30 0.00263527 +9 *1273:21 0.0021409 +10 *40101:A *1328:39 0 +11 *40101:A *2419:42 0 +12 *40101:A *3023:37 0 +13 *1273:21 *1336:5 0 +14 *1273:21 *3308:118 0 +15 *1273:21 *4007:20 0 +16 *1273:21 *4334:58 0 +17 *1273:21 *4343:53 0 +18 *1273:21 *4902:6 0 +19 *1273:30 *1549:44 0 +20 *1273:30 *2828:10 0 +21 *1273:30 *2829:40 0 +22 *1273:30 *2836:33 0 +23 *1273:30 *3482:32 0 +24 *1273:30 *3485:62 0 +25 *1273:40 *2398:35 0 +26 *1273:40 *2834:35 0 +27 *1273:41 *2387:22 0 +28 *1273:41 *2398:35 0 +29 *1273:41 *2413:38 0 +30 *1273:41 *2430:23 0 +31 *1273:41 *2814:37 0 +32 *1273:41 *2817:47 0 +33 *1273:41 *3418:26 0 +34 *1273:41 *3485:41 0 +35 *1273:62 *2370:81 0 +36 *1273:62 *2413:38 0 +37 *1273:62 *2417:52 0 +38 *1273:62 *2682:45 0 +39 *1273:62 *2814:37 0 +40 *1273:62 *2834:25 0 +41 *1273:62 *2972:64 0 +42 *1273:62 *2998:40 0 +43 *1273:62 *3001:58 0 +44 *1273:62 *3005:66 0 +45 *1273:62 *3014:55 0 +46 *1273:62 *3662:49 0 +47 *1273:65 *2419:42 0 +48 *1273:65 *3023:37 0 +49 *357:43 *1273:40 0 +*RES +1 *37748:X *1273:21 37.4964 +2 *1273:21 *1273:30 46.0536 +3 *1273:30 *1273:40 33.1071 +4 *1273:40 *1273:41 70.7679 +5 *1273:41 *1273:62 47.1607 +6 *1273:62 *1273:65 5.44643 +7 *1273:65 *40101:A 12.7286 +8 *1273:65 *7963:DIODE 9.3 +*END + +*D_NET *1274 0.0068291 +*CONN +*I *40100:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37750:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *40100:A 0.000614553 +2 *37750:X 0.000433121 +3 *1274:11 0.00298143 +4 *1274:8 0.0028 +5 *40100:A *1332:27 0 +6 *40100:A *1332:39 0 +7 *40100:A *1826:28 0 +8 *40100:A *1826:40 0 +9 *40100:A *3432:97 0 +10 *40100:A *3994:27 0 +11 *1274:8 *2806:92 0 +12 *1274:8 *2821:45 0 +13 *1274:8 *3028:44 0 +14 *1274:11 *1826:9 0 +15 *1274:11 *1826:28 0 +16 *1274:11 *2423:11 0 +17 *1274:11 *2922:5 0 +18 *1274:11 *3432:97 0 +19 *1274:11 *3657:82 0 +*RES +1 *37750:X *1274:8 28.1393 +2 *1274:8 *1274:11 49.4286 +3 *1274:11 *40100:A 22.1571 +*END + +*D_NET *1275 0.00147627 +*CONN +*I *40098:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37752:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *40098:A 0.000738137 +2 *37752:X 0.000738137 +3 *40098:A *3541:10 0 +4 *40098:A *3728:25 0 +5 *40098:A *3731:12 0 +6 *40098:A *4312:33 0 +7 *369:31 *40098:A 0 +*RES +1 *37752:X *40098:A 41.4823 +*END + +*D_NET *1276 0.00729157 +*CONN +*I *37755:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37754:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37755:A 0.00183617 +2 *37754:X 0.000721293 +3 *1276:14 0.00292449 +4 *1276:11 0.00180961 +5 *37755:A *2898:11 0 +6 *1276:11 *37546:A 0 +7 *1276:11 *3601:37 0 +8 *1276:11 *3848:63 0 +9 *1276:11 *3852:75 0 +10 *1276:14 *39452:B 0 +11 *1276:14 *1352:8 0 +12 *1276:14 *2455:8 0 +13 *1276:14 *3597:60 0 +*RES +1 *37754:X *1276:11 28.8536 +2 *1276:11 *1276:14 29.2143 +3 *1276:14 *37755:A 47.6214 +*END + +*D_NET *1277 0.0203386 +*CONN +*I *5597:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37757:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37756:X O *D sky130_fd_sc_hd__and2_2 +*CAP +1 *5597:DIODE 0 +2 *37757:A 0.000174548 +3 *37756:X 0.000810092 +4 *1277:63 0.00205479 +5 *1277:52 0.00374694 +6 *1277:42 0.00394604 +7 *1277:38 0.00366037 +8 *1277:32 0.00335841 +9 *1277:20 0.00258747 +10 *37757:A *2790:17 0 +11 *1277:20 *1403:29 0 +12 *1277:20 *1902:43 0 +13 *1277:20 *2888:18 0 +14 *1277:20 *2890:20 0 +15 *1277:20 *3845:23 0 +16 *1277:20 *3884:40 0 +17 *1277:32 *1377:14 0 +18 *1277:32 *1507:12 0 +19 *1277:32 *1678:18 0 +20 *1277:32 *3353:26 0 +21 *1277:32 *3619:45 0 +22 *1277:32 *3623:20 0 +23 *1277:32 *4386:38 0 +24 *1277:38 *39469:B 0 +25 *1277:38 *1382:19 0 +26 *1277:38 *1922:47 0 +27 *1277:38 *3169:27 0 +28 *1277:38 *3353:27 0 +29 *1277:38 *3554:45 0 +30 *1277:38 *4381:20 0 +31 *1277:42 *1802:8 0 +32 *1277:42 *2745:26 0 +33 *1277:42 *3548:40 0 +34 *1277:42 *3557:42 0 +35 *1277:42 *3614:49 0 +36 *1277:52 *3554:54 0 +37 *1277:52 *3579:35 0 +38 *1277:52 *3586:40 0 +39 *1277:52 *3855:48 0 +40 *1277:52 *4620:14 0 +41 *1277:63 *39940:A 0 +42 *1277:63 *1662:23 0 +43 *1277:63 *1663:10 0 +44 *1277:63 *2502:16 0 +45 *271:37 *1277:52 0 +46 *294:50 *37757:A 0 +47 *294:50 *1277:63 0 +48 *295:13 *1277:32 0 +*RES +1 *37756:X *1277:20 45.1571 +2 *1277:20 *1277:32 40.7478 +3 *1277:32 *1277:38 42.1786 +4 *1277:38 *1277:42 48.0536 +5 *1277:42 *1277:52 48.1786 +6 *1277:52 *1277:63 43.9286 +7 *1277:63 *37757:A 13.1571 +8 *1277:63 *5597:DIODE 9.3 +*END + +*D_NET *1278 0.00843222 +*CONN +*I *37759:A I *D sky130_fd_sc_hd__buf_2 +*I *5600:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37758:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37759:A 0.000175189 +2 *5600:DIODE 0 +3 *37758:X 0.000222463 +4 *1278:11 0.00234442 +5 *1278:10 0.00381846 +6 *1278:7 0.00187169 +7 *37759:A *4642:17 0 +8 *37759:A *4642:21 0 +9 *1278:7 *3601:37 0 +10 *1278:10 *39457:B 0 +11 *1278:10 *39648:A 0 +12 *1278:10 *2901:20 0 +13 *1278:10 *3573:50 0 +14 *1278:11 *4642:21 0 +15 *1278:11 *4642:25 0 +16 *324:11 *1278:10 0 +*RES +1 *37758:X *1278:7 18.4429 +2 *1278:7 *1278:10 41.9643 +3 *1278:10 *1278:11 45.3036 +4 *1278:11 *5600:DIODE 9.3 +5 *1278:11 *37759:A 13.05 +*END + +*D_NET *1279 0.0164284 +*CONN +*I *5603:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37761:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37760:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *5603:DIODE 0 +2 *37761:A 0.000189364 +3 *37760:X 0.00113363 +4 *1279:41 0.00175088 +5 *1279:37 0.00426059 +6 *1279:36 0.0031804 +7 *1279:18 0.00263062 +8 *1279:10 0.00328292 +9 *37761:A *1499:26 0 +10 *37761:A *3597:21 0 +11 *37761:A *4620:26 0 +12 *1279:10 *37804:A 0 +13 *1279:10 *1906:47 0 +14 *1279:10 *3195:48 0 +15 *1279:10 *3565:41 0 +16 *1279:10 *3853:34 0 +17 *1279:18 *1301:15 0 +18 *1279:18 *1679:5 0 +19 *1279:18 *1911:28 0 +20 *1279:18 *1918:20 0 +21 *1279:18 *1927:48 0 +22 *1279:18 *2766:5 0 +23 *1279:18 *3195:48 0 +24 *1279:36 *1920:61 0 +25 *1279:36 *2763:31 0 +26 *1279:36 *2869:55 0 +27 *1279:36 *2884:11 0 +28 *1279:36 *2888:18 0 +29 *1279:36 *2890:28 0 +30 *1279:37 *1401:21 0 +31 *1279:37 *1498:41 0 +32 *1279:37 *1501:47 0 +33 *1279:37 *2487:23 0 +34 *1279:37 *2487:27 0 +35 *1279:37 *2765:18 0 +36 *1279:41 *2487:23 0 +37 *1279:41 *2770:28 0 +38 *37589:A *1279:18 0 +39 *322:27 *37761:A 0 +40 *1193:12 *1279:18 0 +41 *1195:40 *1279:10 0 +*RES +1 *37760:X *1279:10 43.9607 +2 *1279:10 *1279:18 49.625 +3 *1279:18 *1279:36 32.9643 +4 *1279:36 *1279:37 56.3929 +5 *1279:37 *1279:41 32.5893 +6 *1279:41 *37761:A 22.3357 +7 *1279:41 *5603:DIODE 9.3 +*END + +*D_NET *1280 0.0180667 +*CONN +*I *5606:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37763:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37762:X O *D sky130_fd_sc_hd__and2_2 +*CAP +1 *5606:DIODE 0 +2 *37763:A 0.000287761 +3 *37762:X 0.000845262 +4 *1280:29 0.00211697 +5 *1280:19 0.00663398 +6 *1280:17 0.00607109 +7 *1280:14 0.00211159 +8 *37763:A *2799:11 0 +9 *37763:A *5045:6 0 +10 *1280:14 *1501:33 0 +11 *1280:14 *2499:37 0 +12 *1280:14 *2767:26 0 +13 *1280:14 *2779:16 0 +14 *1280:14 *2887:20 0 +15 *1280:14 *3614:60 0 +16 *1280:17 *2767:15 0 +17 *1280:17 *2892:41 0 +18 *1280:17 *5054:10 0 +19 *1280:19 *7853:DIODE 0 +20 *1280:19 *2455:20 0 +21 *1280:19 *2767:15 0 +22 *1280:19 *2799:15 0 +23 *1280:29 *2799:11 0 +24 *312:38 *37763:A 0 +*RES +1 *37762:X *1280:14 42.1702 +2 *1280:14 *1280:17 26.4286 +3 *1280:17 *1280:19 100.339 +4 *1280:19 *1280:29 47.4821 +5 *1280:29 *37763:A 24.3893 +6 *1280:29 *5606:DIODE 9.3 +*END + +*D_NET *1281 0.00848899 +*CONN +*I *37765:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *5609:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37764:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37765:A 0.000139467 +2 *5609:DIODE 0 +3 *37764:X 0.0023283 +4 *1281:18 0.00191619 +5 *1281:15 0.00410503 +6 *37765:A *2551:49 0 +7 *1281:15 *1389:25 0 +8 *1281:15 *1497:23 0 +9 *1281:15 *1681:14 0 +10 *1281:15 *1905:74 0 +11 *1281:15 *1915:45 0 +12 *1281:15 *2743:14 0 +13 *1281:15 *2876:20 0 +14 *1281:15 *3866:32 0 +15 *1281:18 *1377:14 0 +16 *1281:18 *2158:59 0 +17 *1281:18 *2502:44 0 +18 *1281:18 *2897:20 0 +19 *1281:18 *3552:55 0 +20 *1281:18 *4927:8 0 +21 *300:10 *1281:15 0 +*RES +1 *37764:X *1281:15 44.6195 +2 *1281:15 *1281:18 44.8482 +3 *1281:18 *5609:DIODE 9.3 +4 *1281:18 *37765:A 12.2107 +*END + +*D_NET *1282 0.00816503 +*CONN +*I *5611:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37767:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37766:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *5611:DIODE 1.21519e-05 +2 *37767:A 0.000188947 +3 *37766:X 0.00388142 +4 *1282:17 0.00408252 +5 *5611:DIODE *5066:11 0 +6 *37767:A *2607:19 0 +7 *37767:A *4674:20 0 +8 *1282:17 *37766:B 0 +9 *1282:17 *1817:20 0 +10 *1282:17 *1989:23 0 +11 *1282:17 *2106:15 0 +12 *1282:17 *3258:39 0 +13 *1282:17 *3324:30 0 +14 *333:42 *1282:17 0 +15 *339:8 *1282:17 0 +*RES +1 *37766:X *1282:17 49.2251 +2 *1282:17 *37767:A 17.7911 +3 *1282:17 *5611:DIODE 14.0768 +*END + +*D_NET *1283 0.00219142 +*CONN +*I *40095:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37768:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *40095:A 0 +2 *37768:X 0.00109571 +3 *1283:20 0.00109571 +4 *1283:20 *8829:DIODE 0 +5 *1283:20 *1784:12 0 +6 *1283:20 *2792:11 0 +7 *1283:20 *2795:17 0 +8 *1283:20 *3204:22 0 +9 *1283:20 *3249:50 0 +10 *1283:20 *3383:48 0 +11 *1283:20 *4150:27 0 +12 *1283:20 *4158:45 0 +13 *1283:20 *4163:9 0 +14 *1283:20 *4187:32 0 +15 *1283:20 *4199:13 0 +16 *1283:20 *4538:8 0 +*RES +1 *37768:X *1283:20 41.0576 +2 *1283:20 *40095:A 9.3 +*END + +*D_NET *1284 0.00365476 +*CONN +*I *40094:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37770:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *40094:A 0.00115366 +2 *37770:X 0.000673717 +3 *1284:24 0.00182738 +4 *40094:A *38182:B 0 +5 *40094:A *1490:20 0 +6 *40094:A *4136:49 0 +7 *40094:A *4157:64 0 +8 *40094:A *4168:55 0 +9 *40094:A *4169:38 0 +10 *40094:A *4180:60 0 +11 *40094:A *4183:39 0 +12 *40094:A *4206:27 0 +13 *1284:24 *41205:A 0 +14 *1284:24 *4140:88 0 +15 *1284:24 *4154:50 0 +16 *1284:24 *4214:73 0 +17 *322:35 *1284:24 0 +*RES +1 *37770:X *1284:24 42.0143 +2 *1284:24 *40094:A 42.7464 +*END + +*D_NET *1285 0.0135786 +*CONN +*I *5620:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37773:A I *D sky130_fd_sc_hd__buf_6 +*I *37772:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *5620:DIODE 0.000193248 +2 *37773:A 6.22242e-05 +3 *37772:X 0.00623928 +4 *1285:18 0.000550037 +5 *1285:15 0.00653385 +6 *5620:DIODE *4683:10 0 +7 *5620:DIODE *4687:19 0 +8 *5620:DIODE *4973:26 0 +9 *5620:DIODE *4974:14 0 +10 *1285:15 *2308:27 0 +11 *1285:15 *2420:76 0 +12 *1285:15 *3143:25 0 +13 *1285:15 *3998:19 0 +14 *1285:15 *4046:12 0 +15 *1285:15 *4243:32 0 +16 *1285:15 *4316:16 0 +17 *1285:18 *2851:22 0 +18 *1285:18 *4973:26 0 +19 *325:15 *5620:DIODE 0 +20 *325:15 *1285:18 0 +21 *326:13 *1285:18 0 +22 *1008:67 *1285:18 0 +23 *1008:94 *1285:15 0 +24 *1023:96 *1285:15 0 +25 *1023:98 *1285:15 0 +26 *1028:46 *1285:15 0 +*RES +1 *37772:X *1285:15 48.681 +2 *1285:15 *1285:18 10.2136 +3 *1285:18 *37773:A 15.3268 +4 *1285:18 *5620:DIODE 27.0946 +*END + +*D_NET *1286 0.0115197 +*CONN +*I *37775:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *5623:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37774:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37775:A 7.48233e-05 +2 *5623:DIODE 7.23213e-05 +3 *37774:X 0.000462466 +4 *1286:25 0.00094031 +5 *1286:21 0.00292624 +6 *1286:12 0.00435706 +7 *1286:11 0.00268645 +8 *37775:A *2293:22 0 +9 *37775:A *4701:16 0 +10 *1286:11 *5990:DIODE 0 +11 *1286:11 *3702:76 0 +12 *1286:11 *4092:19 0 +13 *1286:12 *1515:6 0 +14 *1286:12 *2934:6 0 +15 *1286:12 *2940:14 0 +16 *1286:12 *3191:10 0 +17 *1286:12 *3191:28 0 +18 *1286:12 *4082:24 0 +19 *1286:12 *4355:8 0 +20 *1286:12 *4746:27 0 +21 *1286:21 *37753:A 0 +22 *1286:21 *2953:9 0 +23 *1286:21 *4089:37 0 +24 *1286:25 *37753:A 0 +25 *1286:25 *2940:32 0 +26 *1286:25 *4699:8 0 +27 *1286:25 *4701:16 0 +*RES +1 *37774:X *1286:11 23.5143 +2 *1286:11 *1286:12 50.5179 +3 *1286:12 *1286:21 49.1429 +4 *1286:21 *1286:25 21.0536 +5 *1286:25 *5623:DIODE 15.4429 +6 *1286:25 *37775:A 15.4786 +*END + +*D_NET *1287 0.0199291 +*CONN +*I *5626:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37777:A I *D sky130_fd_sc_hd__buf_6 +*I *37776:X O *D sky130_fd_sc_hd__and2_2 +*CAP +1 *5626:DIODE 0 +2 *37777:A 0.000143745 +3 *37776:X 0.00191536 +4 *1287:46 0.00131518 +5 *1287:38 0.00329717 +6 *1287:34 0.00233171 +7 *1287:29 0.00412816 +8 *1287:28 0.00440229 +9 *1287:25 0.00239545 +10 *37777:A *2278:48 0 +11 *37777:A *2293:22 0 +12 *1287:25 *2429:34 0 +13 *1287:25 *3008:20 0 +14 *1287:25 *3177:26 0 +15 *1287:25 *3531:36 0 +16 *1287:25 *3702:125 0 +17 *1287:25 *3790:64 0 +18 *1287:25 *3991:68 0 +19 *1287:25 *4070:63 0 +20 *1287:25 *4112:134 0 +21 *1287:28 *2318:37 0 +22 *1287:28 *2367:24 0 +23 *1287:29 *2719:9 0 +24 *1287:29 *2723:17 0 +25 *1287:29 *2931:9 0 +26 *1287:38 *2242:52 0 +27 *1287:38 *2300:20 0 +28 *1287:38 *3078:21 0 +29 *1287:46 *2277:34 0 +30 *1287:46 *2317:41 0 +31 *1287:46 *2580:16 0 +32 *1287:46 *2752:10 0 +33 *1287:46 *2752:20 0 +34 *1287:46 *3354:12 0 +35 *1287:46 *5130:9 0 +*RES +1 *37776:X *1287:25 45.6168 +2 *1287:25 *1287:28 15.4018 +3 *1287:28 *1287:29 81.8571 +4 *1287:29 *1287:34 13.6786 +5 *1287:34 *1287:38 49.0714 +6 *1287:38 *1287:46 38.5 +7 *1287:46 *37777:A 12.3 +8 *1287:46 *5626:DIODE 9.3 +*END + +*D_NET *1288 0.00671158 +*CONN +*I *37779:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37778:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37779:A 0 +2 *37778:X 0.00170722 +3 *1288:28 0.00164856 +4 *1288:17 0.00335579 +5 *1288:17 *1533:74 0 +6 *1288:17 *1812:23 0 +7 *1288:17 *1986:9 0 +8 *1288:17 *2061:21 0 +9 *1288:17 *2136:17 0 +10 *1288:17 *3368:21 0 +11 *1288:17 *3646:30 0 +12 *1288:28 *6315:DIODE 0 +13 *1288:28 *2044:18 0 +14 *1288:28 *2160:14 0 +15 *1288:28 *2731:12 0 +16 *1288:28 *4350:23 0 +17 *345:36 *1288:28 0 +*RES +1 *37778:X *1288:17 41.0804 +2 *1288:17 *1288:28 46.3304 +3 *1288:28 *37779:A 13.8 +*END + +*D_NET *1289 0.0108558 +*CONN +*I *5629:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37781:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37780:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *5629:DIODE 0 +2 *37781:A 0.000202735 +3 *37780:X 0.00254627 +4 *1289:29 0.000917183 +5 *1289:28 0.0026789 +6 *1289:20 0.00451072 +7 *37781:A *2644:11 0 +8 *37781:A *5066:11 0 +9 *1289:20 *2044:18 0 +10 *1289:20 *2232:15 0 +11 *1289:20 *2233:17 0 +12 *1289:20 *2859:24 0 +13 *1289:20 *3123:70 0 +14 *1289:20 *3269:19 0 +15 *1289:20 *4063:16 0 +16 *1289:20 *4064:24 0 +17 *1289:20 *4090:21 0 +18 *1289:28 *5575:DIODE 0 +19 *1289:28 *5897:DIODE 0 +20 *1289:28 *6313:DIODE 0 +21 *1289:28 *39206:A 0 +22 *1289:28 *40022:A 0 +23 *1289:28 *2232:15 0 +24 *1289:28 *2522:19 0 +25 *1289:28 *2567:20 0 +26 *1289:28 *2693:40 0 +27 *1289:28 *2736:34 0 +28 *1289:28 *3123:70 0 +29 *1289:28 *4370:20 0 +30 *1289:28 *4681:10 0 +31 *1289:28 *4973:10 0 +32 *318:7 *37781:A 0 +33 *342:18 *1289:29 0 +34 *349:10 *37781:A 0 +35 *350:23 *1289:28 0 +*RES +1 *37780:X *1289:20 44.7899 +2 *1289:20 *1289:28 49.1518 +3 *1289:28 *1289:29 14.9107 +4 *1289:29 *37781:A 22.6393 +5 *1289:29 *5629:DIODE 9.3 +*END + +*D_NET *1290 0.0166844 +*CONN +*I *5632:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37783:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37782:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *5632:DIODE 0 +2 *37783:A 0.000124066 +3 *37782:X 0.00514737 +4 *1290:35 0.00237051 +5 *1290:33 0.00307077 +6 *1290:25 0.0059717 +7 *37783:A *2344:38 0 +8 *37783:A *2529:19 0 +9 *1290:25 *1979:42 0 +10 *1290:25 *2052:21 0 +11 *1290:25 *2139:67 0 +12 *1290:25 *2248:29 0 +13 *1290:25 *3255:27 0 +14 *1290:25 *3449:44 0 +15 *1290:25 *3992:38 0 +16 *1290:25 *4072:20 0 +17 *1290:25 *4166:35 0 +18 *1290:25 *4376:49 0 +19 *1290:33 *2344:31 0 +20 *1290:35 *2344:32 0 +21 *1290:35 *2529:19 0 +22 *333:18 *1290:25 0 +23 *339:8 *1290:25 0 +24 *342:8 *1290:35 0 +25 *342:18 *37783:A 0 +26 *342:18 *1290:35 0 +27 *349:10 *1290:33 0 +28 *349:10 *1290:35 0 +29 *353:14 *1290:33 0 +30 *353:14 *1290:35 0 +31 *1023:98 *1290:25 0 +*RES +1 *37782:X *1290:25 48.4431 +2 *1290:25 *1290:33 25.3475 +3 *1290:33 *1290:35 46.9464 +4 *1290:35 *37783:A 11.8893 +5 *1290:35 *5632:DIODE 9.3 +*END + +*D_NET *1291 0.0114756 +*CONN +*I *37785:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *5635:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37784:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37785:A 0 +2 *5635:DIODE 0.000143745 +3 *37784:X 0 +4 *1291:18 0.00103142 +5 *1291:13 0.00311744 +6 *1291:12 0.00301851 +7 *1291:8 0.00247664 +8 *1291:5 0.00168789 +9 *5635:DIODE *2566:13 0 +10 *5635:DIODE *2643:17 0 +11 *1291:8 *5954:DIODE 0 +12 *1291:8 *8383:DIODE 0 +13 *1291:8 *39898:A 0 +14 *1291:8 *40678:A 0 +15 *1291:8 *1375:14 0 +16 *1291:8 *1375:22 0 +17 *1291:8 *1912:57 0 +18 *1291:8 *3339:60 0 +19 *1291:8 *3353:26 0 +20 *1291:8 *3614:18 0 +21 *1291:8 *3832:58 0 +22 *1291:12 *39920:A 0 +23 *1291:12 *1499:30 0 +24 *1291:12 *1501:52 0 +25 *1291:12 *2453:11 0 +26 *1291:12 *2903:21 0 +27 *1291:13 *1388:17 0 +28 *1291:13 *1922:40 0 +29 *1291:13 *2895:19 0 +30 *1291:18 *39790:A 0 +31 *1291:18 *2504:39 0 +32 *1291:18 *2909:31 0 +33 *1291:18 *4620:48 0 +34 *346:78 *1291:8 0 +35 *346:78 *1291:12 0 +36 *387:5 *1291:18 0 +*RES +1 *37784:X *1291:5 13.8 +2 *1291:5 *1291:8 38.3661 +3 *1291:8 *1291:12 22.3839 +4 *1291:12 *1291:13 46.5357 +5 *1291:13 *1291:18 29.1607 +6 *1291:18 *5635:DIODE 12.3 +7 *1291:18 *37785:A 9.3 +*END + +*D_NET *1292 0.00342739 +*CONN +*I *37787:A I *D sky130_fd_sc_hd__buf_2 +*I *37786:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37787:A 0 +2 *37786:X 0.0017137 +3 *1292:14 0.0017137 +4 *1292:14 *5637:DIODE 0 +5 *1292:14 *39458:B 0 +6 *1292:14 *1362:21 0 +7 *1292:14 *1383:33 0 +8 *1292:14 *2869:14 0 +9 *1292:14 *2911:44 0 +10 *1292:14 *3282:15 0 +11 *1292:14 *3554:63 0 +12 *1292:14 *3875:53 0 +13 *1292:14 *3883:8 0 +14 *1292:14 *3897:73 0 +15 *1292:14 *4169:59 0 +16 *1292:14 *4442:20 0 +17 *1292:14 *5043:8 0 +*RES +1 *37786:X *1292:14 46.9553 +2 *1292:14 *37787:A 13.8 +*END + +*D_NET *1293 0.00901249 +*CONN +*I *5639:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37789:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37788:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *5639:DIODE 0 +2 *37789:A 0.000169414 +3 *37788:X 0.00256709 +4 *1293:27 0.00193916 +5 *1293:20 0.00433683 +6 *37789:A *2677:18 0 +7 *37789:A *2736:47 0 +8 *1293:20 *1816:22 0 +9 *1293:20 *2134:21 0 +10 *1293:20 *2137:27 0 +11 *1293:20 *2149:14 0 +12 *1293:20 *2286:36 0 +13 *1293:20 *3345:59 0 +14 *1293:20 *3821:15 0 +15 *1293:20 *4079:19 0 +16 *1293:20 *4222:22 0 +17 *1293:20 *4356:14 0 +18 *1293:27 *1309:32 0 +19 *1293:27 *2280:30 0 +20 *1293:27 *2281:15 0 +21 *1293:27 *2281:23 0 +22 *1293:27 *2542:19 0 +23 *1293:27 *2548:16 0 +24 *1293:27 *2602:34 0 +25 *1293:27 *2677:18 0 +26 *1293:27 *3791:24 0 +27 *1293:27 *3797:24 0 +28 *1293:27 *4979:10 0 +29 *1293:27 *5120:12 0 +*RES +1 *37788:X *1293:20 49.4217 +2 *1293:20 *1293:27 43.5606 +3 *1293:27 *37789:A 13.0321 +4 *1293:27 *5639:DIODE 9.3 +*END + +*D_NET *1294 0.0166619 +*CONN +*I *37791:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *5642:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37790:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37791:A 0 +2 *5642:DIODE 0.000124066 +3 *37790:X 0 +4 *1294:20 0.00095802 +5 *1294:9 0.00413624 +6 *1294:7 0.00332282 +7 *1294:5 0.00407064 +8 *1294:4 0.00405011 +9 *5642:DIODE *3567:56 0 +10 *5642:DIODE *4163:29 0 +11 *1294:5 *2907:11 0 +12 *1294:5 *3136:35 0 +13 *1294:5 *4391:41 0 +14 *1294:5 *4391:43 0 +15 *1294:5 *4443:19 0 +16 *1294:9 *37948:A_N 0 +17 *1294:9 *1297:18 0 +18 *1294:9 *1658:9 0 +19 *1294:9 *1786:11 0 +20 *1294:9 *2360:27 0 +21 *1294:9 *2907:11 0 +22 *1294:9 *4443:19 0 +23 *1294:9 *4443:23 0 +24 *1294:9 *4802:16 0 +25 *1294:20 *1648:11 0 +26 *1294:20 *1774:16 0 +27 *1294:20 *2796:13 0 +28 *1294:20 *3380:52 0 +29 *1294:20 *4424:33 0 +30 *1294:20 *4821:10 0 +31 *39454:A *1294:9 0 +*RES +1 *37790:X *1294:4 9.3 +2 *1294:4 *1294:5 84.5268 +3 *1294:5 *1294:7 0.428571 +4 *1294:7 *1294:9 68.9196 +5 *1294:9 *1294:20 36.1071 +6 *1294:20 *5642:DIODE 11.8893 +7 *1294:20 *37791:A 9.3 +*END + +*D_NET *1295 0.00672689 +*CONN +*I *37793:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37792:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37793:A 0.00111623 +2 *37792:X 0.00224722 +3 *1295:31 0.00336345 +4 *37793:A *2182:47 0 +5 *37793:A *2563:11 0 +6 *37793:A *2878:14 0 +7 *1295:31 *41002:A 0 +8 *1295:31 *41368:A 0 +9 *1295:31 *2878:14 0 +10 *1295:31 *2884:19 0 +11 *1295:31 *4176:32 0 +12 *301:12 *37793:A 0 +13 *319:12 *1295:31 0 +14 *338:76 *37793:A 0 +*RES +1 *37792:X *1295:31 47.2762 +2 *1295:31 *37793:A 39.7479 +*END + +*D_NET *1296 0.0128735 +*CONN +*I *5647:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37795:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37794:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *5647:DIODE 0 +2 *37795:A 0.000143745 +3 *37794:X 0.00628085 +4 *1296:20 0.000155917 +5 *1296:15 0.00629302 +6 *37795:A *2575:15 0 +7 *37795:A *2711:24 0 +8 *1296:15 *2025:17 0 +9 *1296:15 *2123:24 0 +10 *1296:15 *2267:26 0 +11 *1296:15 *2273:26 0 +12 *1296:15 *2278:16 0 +13 *1296:15 *2280:30 0 +14 *1296:15 *2282:23 0 +15 *1296:15 *3340:20 0 +16 *1296:15 *3603:35 0 +17 *1296:15 *3716:17 0 +18 *1296:15 *3943:17 0 +19 *1296:15 *4090:49 0 +20 *1296:15 *4129:24 0 +21 *1001:30 *1296:15 0 +22 *1013:74 *1296:15 0 +*RES +1 *37794:X *1296:15 48.9536 +2 *1296:15 *1296:20 8.18679 +3 *1296:20 *37795:A 12.3 +4 *1296:20 *5647:DIODE 9.3 +*END + +*D_NET *1297 0.0141529 +*CONN +*I *37797:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *5650:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37796:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37797:A 0.000245874 +2 *5650:DIODE 0 +3 *37796:X 0 +4 *1297:18 0.00208542 +5 *1297:14 0.00292121 +6 *1297:5 0.00499103 +7 *1297:4 0.00390936 +8 *37797:A *37906:C 0 +9 *37797:A *1354:49 0 +10 *37797:A *3378:37 0 +11 *37797:A *3589:13 0 +12 *37797:A *4190:28 0 +13 *1297:5 *1373:7 0 +14 *1297:5 *2796:15 0 +15 *1297:5 *2907:11 0 +16 *1297:5 *3241:51 0 +17 *1297:5 *4169:44 0 +18 *1297:14 *1373:7 0 +19 *1297:14 *2907:11 0 +20 *1297:14 *3874:12 0 +21 *1297:14 *3896:21 0 +22 *1297:18 *1354:49 0 +23 *1297:18 *2907:11 0 +24 *1297:18 *3378:24 0 +25 *1297:18 *3383:48 0 +26 *1297:18 *4190:28 0 +27 *1297:18 *4482:22 0 +28 *1294:9 *1297:18 0 +*RES +1 *37796:X *1297:4 9.3 +2 *1297:4 *1297:5 81.6518 +3 *1297:5 *1297:14 31.6339 +4 *1297:14 *1297:18 43.9821 +5 *1297:18 *5650:DIODE 13.8 +6 *1297:18 *37797:A 19.4071 +*END + +*D_NET *1298 0.0112522 +*CONN +*I *5653:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37799:A I *D sky130_fd_sc_hd__buf_6 +*I *37798:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *5653:DIODE 4.55793e-05 +2 *37799:A 0.000100108 +3 *37798:X 0.00157026 +4 *1298:37 0.00201823 +5 *1298:36 0.00391015 +6 *1298:17 0.00360787 +7 *37799:A *2292:50 0 +8 *37799:A *2292:57 0 +9 *37799:A *5125:51 0 +10 *1298:17 *2267:57 0 +11 *1298:17 *3103:22 0 +12 *1298:17 *3386:42 0 +13 *1298:17 *3445:44 0 +14 *1298:17 *3998:45 0 +15 *1298:17 *4234:43 0 +16 *1298:36 *1559:18 0 +17 *1298:36 *2266:65 0 +18 *1298:36 *2281:55 0 +19 *1298:36 *2752:10 0 +20 *1298:36 *3531:17 0 +21 *1298:36 *3531:36 0 +22 *1298:36 *3674:14 0 +23 *1298:36 *3791:45 0 +24 *1298:36 *5124:17 0 +25 *1298:37 *2292:57 0 +26 *1298:37 *2309:30 0 +27 *1029:84 *1298:36 0 +*RES +1 *37798:X *1298:17 35.8825 +2 *1298:17 *1298:36 42.4134 +3 *1298:36 *1298:37 39.1429 +4 *1298:37 *37799:A 11.3893 +5 *1298:37 *5653:DIODE 19.3357 +*END + +*D_NET *1299 0.00968399 +*CONN +*I *37801:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *5655:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37800:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37801:A 0.000323767 +2 *5655:DIODE 4.53482e-05 +3 *37800:X 0.00211997 +4 *1299:25 0.000785377 +5 *1299:24 0.00235291 +6 *1299:21 0.00405662 +7 *37801:A *2644:17 0 +8 *37801:A *2877:41 0 +9 *37801:A *4965:8 0 +10 *1299:21 *40339:A 0 +11 *1299:21 *40952:A 0 +12 *1299:21 *2122:30 0 +13 *1299:21 *2202:23 0 +14 *1299:21 *3269:39 0 +15 *1299:21 *3555:19 0 +16 *1299:21 *3558:50 0 +17 *1299:21 *3694:19 0 +18 *1299:21 *4231:26 0 +19 *1299:21 *4333:26 0 +20 *1299:21 *5115:20 0 +21 *1299:24 *2193:9 0 +22 *1299:24 *2344:59 0 +23 *1299:24 *2524:8 0 +24 *1299:25 *2877:41 0 +25 *1299:25 *2877:45 0 +26 *314:19 *37801:A 0 +27 *315:17 *1299:24 0 +28 *1031:47 *1299:24 0 +*RES +1 *37800:X *1299:21 38.558 +2 *1299:21 *1299:24 48.4911 +3 *1299:24 *1299:25 8.75 +4 *1299:25 *5655:DIODE 10.2464 +5 *1299:25 *37801:A 25.3 +*END + +*D_NET *1300 0.0202346 +*CONN +*I *5658:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37803:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37802:X O *D sky130_fd_sc_hd__and2_2 +*CAP +1 *5658:DIODE 0 +2 *37803:A 0.000167275 +3 *37802:X 0.00138898 +4 *1300:65 0.00222078 +5 *1300:60 0.00468495 +6 *1300:47 0.00447489 +7 *1300:38 0.00387607 +8 *1300:18 0.00342161 +9 *37803:A *39453:B 0 +10 *37803:A *1392:28 0 +11 *37803:A *1657:18 0 +12 *1300:18 *1914:29 0 +13 *1300:18 *2889:14 0 +14 *1300:18 *3555:63 0 +15 *1300:18 *3837:23 0 +16 *1300:18 *3863:40 0 +17 *1300:18 *4361:17 0 +18 *1300:18 *4384:28 0 +19 *1300:38 *1301:9 0 +20 *1300:38 *1401:23 0 +21 *1300:38 *2755:20 0 +22 *1300:38 *2869:55 0 +23 *1300:38 *2888:18 0 +24 *1300:38 *3863:60 0 +25 *1300:47 *1520:37 0 +26 *1300:47 *2750:35 0 +27 *1300:47 *3832:68 0 +28 *1300:60 *1520:37 0 +29 *1300:60 *2506:31 0 +30 *1300:60 *2750:29 0 +31 *1300:60 *2750:35 0 +32 *1300:60 *2768:20 0 +33 *1300:60 *3561:61 0 +34 *1300:60 *3577:83 0 +35 *1300:60 *3602:57 0 +36 *1300:60 *3607:23 0 +37 *1300:60 *3614:49 0 +38 *1300:60 *3863:74 0 +39 *1300:60 *4381:20 0 +40 *1300:60 *4620:26 0 +41 *1300:65 *1392:28 0 +42 *1300:65 *1501:33 0 +43 *1300:65 *1657:18 0 +44 *1300:65 *2750:19 0 +45 *1300:65 *3836:39 0 +46 *37549:A *1300:65 0 +47 *300:10 *1300:60 0 +48 *328:37 *1300:60 0 +*RES +1 *37802:X *1300:18 37.2732 +2 *1300:18 *1300:38 48.2857 +3 *1300:38 *1300:47 43.2321 +4 *1300:47 *1300:60 45.2006 +5 *1300:60 *1300:65 42.9821 +6 *1300:65 *37803:A 12.8536 +7 *1300:65 *5658:DIODE 9.3 +*END + +*D_NET *1301 0.0169782 +*CONN +*I *5661:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37805:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37804:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *5661:DIODE 0 +2 *37805:A 0.000187807 +3 *37804:X 0.00147849 +4 *1301:15 0.00680458 +5 *1301:14 0.0068228 +6 *1301:9 0.00168452 +7 *37805:A *2767:15 0 +8 *37805:A *2892:41 0 +9 *1301:9 *1401:23 0 +10 *1301:9 *1497:23 0 +11 *1301:9 *2889:14 0 +12 *1301:9 *3853:34 0 +13 *1301:14 *2187:19 0 +14 *1301:14 *2755:20 0 +15 *1301:15 *2454:26 0 +16 *1301:15 *2766:5 0 +17 *310:23 *37805:A 0 +18 *310:23 *1301:15 0 +19 *312:38 *37805:A 0 +20 *312:38 *1301:15 0 +21 *1279:18 *1301:15 0 +22 *1300:38 *1301:9 0 +*RES +1 *37804:X *1301:9 49.5857 +2 *1301:9 *1301:14 13.6786 +3 *1301:14 *1301:15 138.125 +4 *1301:15 *37805:A 22.3357 +5 *1301:15 *5661:DIODE 9.3 +*END + +*D_NET *1302 0.00507942 +*CONN +*I *37807:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37806:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37807:A 0 +2 *37806:X 0.000806109 +3 *1302:25 0.0017336 +4 *1302:18 0.00253971 +5 *1302:18 *8240:DIODE 0 +6 *1302:18 *37806:B 0 +7 *1302:18 *1905:34 0 +8 *1302:18 *1910:22 0 +9 *1302:18 *1928:30 0 +10 *1302:18 *1951:52 0 +11 *1302:18 *2747:80 0 +12 *1302:18 *3552:22 0 +13 *1302:18 *3562:49 0 +14 *1302:18 *4350:13 0 +15 *1302:18 *4458:74 0 +16 *1302:25 *1918:20 0 +17 *1302:25 *1930:33 0 +18 *1302:25 *1930:47 0 +19 *1302:25 *2864:53 0 +*RES +1 *37806:X *1302:18 36.425 +2 *1302:18 *1302:25 45.3036 +3 *1302:25 *37807:A 9.3 +*END + +*D_NET *1303 0.00459804 +*CONN +*I *37809:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37808:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37809:A 0.00114739 +2 *37808:X 0.00115163 +3 *1303:14 0.00229902 +4 *37809:A *1902:22 0 +5 *37809:A *1943:26 0 +6 *37809:A *2699:27 0 +7 *1303:14 *5664:DIODE 0 +8 *1303:14 *8357:DIODE 0 +9 *1303:14 *8549:DIODE 0 +10 *1303:14 *40667:A 0 +11 *1303:14 *40909:A 0 +12 *1303:14 *1529:46 0 +13 *1303:14 *1906:21 0 +14 *1303:14 *1917:13 0 +15 *1303:14 *1918:13 0 +16 *1303:14 *1920:23 0 +17 *1303:14 *1951:43 0 +18 *1303:14 *2852:19 0 +19 *1303:14 *2852:21 0 +20 *1303:14 *3611:24 0 +*RES +1 *37808:X *1303:14 48.2107 +2 *1303:14 *37809:A 37.7464 +*END + +*D_NET *1304 0.00338955 +*CONN +*I *37811:A I *D sky130_fd_sc_hd__buf_4 +*I *37810:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37811:A 0.000648259 +2 *37810:X 0.00104652 +3 *1304:10 0.00169478 +4 *37811:A *39770:A 0 +5 *37811:A *2725:25 0 +6 *1304:10 *1305:19 0 +7 *1304:10 *2193:9 0 +8 *1304:10 *2199:14 0 +9 *1304:10 *3176:37 0 +10 *1304:10 *3195:26 0 +11 *1304:10 *3640:19 0 +*RES +1 *37810:X *1304:10 41.3357 +2 *1304:10 *37811:A 31.8893 +*END + +*D_NET *1305 0.00337102 +*CONN +*I *37813:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37812:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37813:A 0 +2 *37812:X 0.00168551 +3 *1305:19 0.00168551 +4 *1305:19 *8370:DIODE 0 +5 *1305:19 *2178:19 0 +6 *1305:19 *2198:22 0 +7 *1305:19 *2886:65 0 +8 *1305:19 *3575:68 0 +9 *1305:19 *3592:9 0 +10 *1305:19 *3620:17 0 +11 *1305:19 *3640:19 0 +12 *1305:19 *4370:20 0 +13 *1304:10 *1305:19 0 +*RES +1 *37812:X *1305:19 49.2423 +2 *1305:19 *37813:A 9.3 +*END + +*D_NET *1306 0.00432481 +*CONN +*I *37815:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37814:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37815:A 0.00138184 +2 *37814:X 0.00078057 +3 *1306:8 0.00216241 +4 *37815:A *1932:30 0 +5 *37815:A *1935:28 0 +6 *37815:A *5132:7 0 +7 *1306:8 *37814:B 0 +8 *1306:8 *1817:11 0 +9 *1306:8 *2225:18 0 +10 *1306:8 *2863:10 0 +11 *1269:12 *1306:8 0 +*RES +1 *37814:X *1306:8 36.0321 +2 *1306:8 *37815:A 38.1393 +*END + +*D_NET *1307 0.00689959 +*CONN +*I *37817:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37816:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37817:A 0.0016197 +2 *37816:X 0.00131001 +3 *1307:22 0.00213979 +4 *1307:10 0.00183009 +5 *37817:A *2545:19 0 +6 *37817:A *2661:41 0 +7 *37817:A *2742:29 0 +8 *1307:10 *37606:A 0 +9 *1307:10 *37816:B 0 +10 *1307:10 *1818:19 0 +11 *1307:10 *2235:25 0 +12 *1307:10 *2254:19 0 +13 *1307:10 *2260:16 0 +14 *1307:10 *3161:70 0 +15 *1307:10 *3578:22 0 +16 *1307:10 *3799:8 0 +17 *1307:10 *4378:50 0 +18 *1307:22 *37819:A 0 +19 *1307:22 *2522:5 0 +20 *1307:22 *2542:36 0 +21 *1307:22 *2637:30 0 +22 *1307:22 *2677:27 0 +23 *1307:22 *3798:22 0 +24 *1307:22 *4961:12 0 +25 *352:36 *37817:A 0 +26 *1030:8 *1307:22 0 +*RES +1 *37816:X *1307:10 47.9071 +2 *1307:10 *1307:22 25.4946 +3 *1307:22 *37817:A 43.1036 +*END + +*D_NET *1308 0.00480087 +*CONN +*I *37819:A I *D sky130_fd_sc_hd__buf_6 +*I *37818:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37819:A 0.00117092 +2 *37818:X 0.00122951 +3 *1308:14 0.00240043 +4 *37819:A *2260:16 0 +5 *37819:A *2522:5 0 +6 *37819:A *2535:23 0 +7 *37819:A *2637:37 0 +8 *1308:14 *2258:13 0 +9 *1308:14 *2264:12 0 +10 *1308:14 *2863:26 0 +11 *1308:14 *3799:8 0 +12 *1308:14 *4350:51 0 +13 *1307:22 *37819:A 0 +*RES +1 *37818:X *1308:14 46.2464 +2 *1308:14 *37819:A 33.8 +*END + +*D_NET *1309 0.00445145 +*CONN +*I *37821:A I *D sky130_fd_sc_hd__buf_6 +*I *37820:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37821:A 0 +2 *37820:X 0.000858693 +3 *1309:32 0.00136703 +4 *1309:21 0.00222572 +5 *1309:21 *5414:DIODE 0 +6 *1309:21 *40002:A 0 +7 *1309:21 *40866:A 0 +8 *1309:21 *1406:35 0 +9 *1309:21 *2221:52 0 +10 *1309:21 *2268:17 0 +11 *1309:21 *3558:25 0 +12 *1309:21 *3795:14 0 +13 *1309:21 *3823:24 0 +14 *1309:21 *4350:80 0 +15 *1309:32 *2729:17 0 +16 *1309:32 *2846:15 0 +17 *1309:32 *2848:10 0 +18 *1309:32 *2851:22 0 +19 *1309:32 *2856:14 0 +20 *1309:32 *2875:39 0 +21 *1309:32 *5120:12 0 +22 *1293:27 *1309:32 0 +*RES +1 *37820:X *1309:21 45.8536 +2 *1309:21 *1309:32 47.7321 +3 *1309:32 *37821:A 9.3 +*END + +*D_NET *1310 0.0197938 +*CONN +*I *7959:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40093:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37822:X O *D sky130_fd_sc_hd__and2_2 +*CAP +1 *7959:DIODE 0 +2 *40093:A 0.000202695 +3 *37822:X 0.00191506 +4 *1310:22 0.00798186 +5 *1310:12 0.00969423 +6 *40093:A *3691:37 0 +7 *40093:A *3790:18 0 +8 *40093:A *4055:30 0 +9 *40093:A *4369:22 0 +10 *40093:A *4377:32 0 +11 *40093:A *4711:16 0 +12 *1310:12 *5672:DIODE 0 +13 *1310:12 *2715:8 0 +14 *1310:12 *2930:19 0 +15 *1310:12 *2959:32 0 +16 *1310:12 *2981:62 0 +17 *1310:12 *3658:64 0 +18 *1310:12 *3709:27 0 +19 *1310:12 *3752:16 0 +20 *1310:12 *4717:6 0 +21 *1310:22 *1419:23 0 +22 *1310:22 *2304:51 0 +23 *1310:22 *2925:37 0 +24 *1310:22 *2939:31 0 +25 *1310:22 *2939:59 0 +26 *1310:22 *2941:33 0 +27 *1310:22 *2941:47 0 +28 *1310:22 *2959:32 0 +29 *1310:22 *3083:60 0 +30 *1310:22 *3181:28 0 +31 *1310:22 *3808:56 0 +32 *1310:22 *3825:25 0 +33 *1310:22 *4070:63 0 +34 *1310:22 *4100:31 0 +35 *1310:22 *4314:20 0 +36 *1310:22 *4323:30 0 +37 *1310:22 *4341:39 0 +38 *1310:22 *4709:10 0 +*RES +1 *37822:X *1310:12 47.5427 +2 *1310:12 *1310:22 38.8355 +3 *1310:22 *40093:A 18.1393 +4 *1310:22 *7959:DIODE 13.8 +*END + +*D_NET *1311 0.00270919 +*CONN +*I *37825:A I *D sky130_fd_sc_hd__buf_6 +*I *37824:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37825:A 0.000281501 +2 *37824:X 0.00107309 +3 *1311:18 0.00135459 +4 *37825:A *2846:15 0 +5 *37825:A *3899:29 0 +6 *1311:18 *37824:B 0 +7 *1311:18 *2278:16 0 +8 *1311:18 *3786:22 0 +9 *1311:18 *3806:15 0 +10 *1311:18 *4685:13 0 +11 *1311:18 *5140:22 0 +12 *353:19 *1311:18 0 +*RES +1 *37824:X *1311:18 46.8893 +2 *1311:18 *37825:A 19.675 +*END + +*D_NET *1312 0.00545952 +*CONN +*I *37827:A I *D sky130_fd_sc_hd__buf_6 +*I *37826:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37827:A 0.00103629 +2 *37826:X 0.000583316 +3 *1312:18 0.00214644 +4 *1312:12 0.00169347 +5 *37827:A *2320:19 0 +6 *37827:A *2340:14 0 +7 *37827:A *3190:18 0 +8 *37827:A *3201:43 0 +9 *1312:12 *37826:A 0 +10 *1312:12 *40635:A 0 +11 *1312:12 *2269:46 0 +12 *1312:12 *2962:87 0 +13 *1312:18 *2246:40 0 +14 *1312:18 *2262:17 0 +15 *1312:18 *2308:27 0 +16 *1312:18 *2327:20 0 +17 *1312:18 *3363:22 0 +18 *1312:18 *3812:11 0 +19 *1312:18 *3812:21 0 +*RES +1 *37826:X *1312:12 31.2821 +2 *1312:12 *1312:18 32.5 +3 *1312:18 *37827:A 40.0857 +*END + +*D_NET *1313 0.00331519 +*CONN +*I *37829:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37828:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37829:A 0.00137569 +2 *37828:X 0.000281901 +3 *1313:14 0.0016576 +4 *37829:A *6353:DIODE 0 +5 *37829:A *2232:57 0 +6 *37829:A *2253:49 0 +7 *37829:A *2274:40 0 +8 *37829:A *2344:7 0 +9 *37829:A *2856:38 0 +10 *37829:A *3789:34 0 +11 *37829:A *5038:11 0 +12 *37829:A *5141:12 0 +13 *1313:14 *6353:DIODE 0 +14 *1313:14 *2289:30 0 +15 *1313:14 *3120:50 0 +16 *1313:14 *3723:24 0 +17 *1313:14 *3786:59 0 +18 *337:29 *37829:A 0 +*RES +1 *37828:X *1313:14 25.2856 +2 *1313:14 *37829:A 45.0232 +*END + +*D_NET *1314 0.00642127 +*CONN +*I *37831:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37830:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *37831:A 0.000519451 +2 *37830:X 0.00102932 +3 *1314:19 0.00218132 +4 *1314:7 0.00269118 +5 *37831:A *39761:A 0 +6 *37831:A *2266:65 0 +7 *37831:A *2292:68 0 +8 *37831:A *3679:38 0 +9 *37831:A *5141:12 0 +10 *1314:7 *4336:9 0 +11 *1314:19 *2253:53 0 +12 *1314:19 *2254:68 0 +13 *1314:19 *2254:87 0 +14 *1314:19 *2266:72 0 +15 *1314:19 *2932:12 0 +16 *1314:19 *5111:15 0 +*RES +1 *37830:X *1314:7 35.2821 +2 *1314:7 *1314:19 48.4537 +3 *1314:19 *37831:A 24.9339 +*END + +*D_NET *1315 0.00382038 +*CONN +*I *40092:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37832:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *40092:A 0.00139082 +2 *37832:X 0.000519371 +3 *1315:26 0.00191019 +4 *40092:A *5683:DIODE 0 +5 *40092:A *38081:A 0 +6 *40092:A *40084:A 0 +7 *40092:A *1439:59 0 +8 *40092:A *2940:14 0 +9 *40092:A *3730:23 0 +10 *40092:A *3733:44 0 +11 *40092:A *3824:23 0 +12 *40092:A *4365:67 0 +13 *1315:26 *3820:34 0 +14 *1315:26 *3824:23 0 +15 *1237:45 *40092:A 0 +16 *1237:53 *40092:A 0 +*RES +1 *37832:X *1315:26 47.6214 +2 *1315:26 *40092:A 38.6036 +*END + +*D_NET *1316 0.0117779 +*CONN +*I *5685:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37835:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37834:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *5685:DIODE 0 +2 *37835:A 0.000326102 +3 *37834:X 0.00134287 +4 *1316:31 0.00160676 +5 *1316:29 0.00266741 +6 *1316:22 0.00293934 +7 *1316:12 0.00289545 +8 *37835:A *39761:A 0 +9 *37835:A *5111:15 0 +10 *1316:12 *2305:47 0 +11 *1316:12 *3011:16 0 +12 *1316:12 *4114:44 0 +13 *1316:12 *4345:111 0 +14 *1316:12 *4355:8 0 +15 *1316:12 *4367:61 0 +16 *1316:22 *40079:A 0 +17 *1316:22 *2315:43 0 +18 *1316:22 *2336:26 0 +19 *1316:22 *2336:32 0 +20 *1316:22 *2945:20 0 +21 *1316:22 *2945:23 0 +22 *1316:29 *1559:36 0 +23 *1316:29 *1560:33 0 +24 *1316:29 *3252:41 0 +25 *1316:29 *3674:33 0 +26 *1316:29 *5127:24 0 +27 *1316:29 *5128:26 0 +28 *1316:31 *3674:33 0 +29 *1316:31 *3808:40 0 +30 *1316:31 *5111:15 0 +31 *1267:28 *37835:A 0 +*RES +1 *37834:X *1316:12 48.5679 +2 *1316:12 *1316:22 41.6964 +3 *1316:22 *1316:29 29.0357 +4 *1316:29 *1316:31 26.8214 +5 *1316:31 *37835:A 25.7464 +6 *1316:31 *5685:DIODE 9.3 +*END + +*D_NET *1317 0.0029428 +*CONN +*I *40091:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37836:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *40091:A 0 +2 *37836:X 0.0014714 +3 *1317:19 0.0014714 +4 *1317:19 *1322:12 0 +5 *1317:19 *2992:32 0 +6 *1317:19 *3670:37 0 +7 *1317:19 *3680:92 0 +8 *1317:19 *3692:34 0 +9 *1317:19 *3736:47 0 +10 *1317:19 *3736:77 0 +11 *1317:19 *3824:39 0 +12 *1210:54 *1317:19 0 +*RES +1 *37836:X *1317:19 49.4786 +2 *1317:19 *40091:A 9.3 +*END + +*D_NET *1318 0.00792241 +*CONN +*I *40090:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37838:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *40090:A 0.00110034 +2 *37838:X 0.000757831 +3 *1318:26 0.00320337 +4 *1318:13 0.00286087 +5 *40090:A *39883:A 0 +6 *40090:A *2937:37 0 +7 *40090:A *3687:42 0 +8 *1318:13 *38270:A 0 +9 *1318:13 *1339:51 0 +10 *1318:13 *1411:24 0 +11 *1318:13 *3648:42 0 +12 *1318:26 *40062:A 0 +13 *1318:26 *1339:51 0 +14 *1318:26 *1411:24 0 +15 *1318:26 *2937:29 0 +16 *1318:26 *3124:17 0 +17 *1318:26 *3647:16 0 +18 *1318:26 *3681:51 0 +19 *1318:26 *3709:27 0 +20 *1318:26 *3820:61 0 +21 *1206:24 *1318:13 0 +*RES +1 *37838:X *1318:13 34.9786 +2 *1318:13 *1318:26 44.4486 +3 *1318:26 *40090:A 32.2643 +*END + +*D_NET *1319 0.0188181 +*CONN +*I *7958:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40089:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37840:X O *D sky130_fd_sc_hd__and2_2 +*CAP +1 *7958:DIODE 2.55425e-05 +2 *40089:A 0.000169573 +3 *37840:X 0.00146052 +4 *1319:27 0.00794852 +5 *1319:16 0.00921393 +6 *40089:A *1446:45 0 +7 *40089:A *3740:17 0 +8 *40089:A *4114:53 0 +9 *1319:16 *6067:DIODE 0 +10 *1319:16 *39993:A 0 +11 *1319:16 *41104:A 0 +12 *1319:16 *3070:68 0 +13 *1319:16 *3073:37 0 +14 *1319:16 *3756:37 0 +15 *1319:16 *4037:95 0 +16 *1319:16 *4087:26 0 +17 *1319:16 *4091:26 0 +18 *1319:16 *4266:18 0 +19 *1319:27 *7572:DIODE 0 +20 *1319:27 *8315:DIODE 0 +21 *1319:27 *8415:DIODE 0 +22 *1319:27 *1325:44 0 +23 *1319:27 *1446:45 0 +24 *1319:27 *2374:76 0 +25 *1319:27 *2440:49 0 +26 *1319:27 *2822:113 0 +27 *1319:27 *2959:19 0 +28 *1319:27 *2968:21 0 +29 *1319:27 *2968:34 0 +30 *1319:27 *2987:78 0 +31 *1319:27 *3076:52 0 +32 *1319:27 *3112:24 0 +33 *1319:27 *3112:37 0 +34 *1319:27 *3112:52 0 +35 *1319:27 *3218:68 0 +36 *1319:27 *3647:34 0 +37 *1319:27 *3730:95 0 +38 *1319:27 *3820:77 0 +39 *1319:27 *4114:53 0 +40 *1319:27 *4171:103 0 +*RES +1 *37840:X *1319:16 48.396 +2 *1319:16 *1319:27 45.217 +3 *1319:27 *40089:A 19.5178 +4 *1319:27 *7958:DIODE 17.7904 +*END + +*D_NET *1320 0.0173582 +*CONN +*I *7957:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40088:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37842:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *7957:DIODE 0 +2 *40088:A 0.000184567 +3 *37842:X 0.00056093 +4 *1320:29 0.00209946 +5 *1320:28 0.00334254 +6 *1320:21 0.00257034 +7 *1320:11 0.00459108 +8 *1320:10 0.00400932 +9 *40088:A *3648:42 0 +10 *1320:10 *3755:11 0 +11 *1320:11 *1449:45 0 +12 *1320:11 *1449:47 0 +13 *1320:11 *2366:49 0 +14 *1320:11 *2417:63 0 +15 *1320:11 *2417:71 0 +16 *1320:11 *2682:45 0 +17 *1320:11 *3024:49 0 +18 *1320:11 *3428:27 0 +19 *1320:21 *7572:DIODE 0 +20 *1320:21 *2417:71 0 +21 *1320:21 *2822:113 0 +22 *1320:21 *3306:47 0 +23 *1320:21 *3306:68 0 +24 *1320:21 *4241:75 0 +25 *1320:28 *2417:71 0 +26 *1320:28 *2417:75 0 +27 *1320:28 *3647:62 0 +28 *1320:28 *4048:40 0 +29 *1320:29 *2959:19 0 +30 *1320:29 *2987:35 0 +31 *1232:29 *1320:29 0 +*RES +1 *37842:X *1320:10 30.8179 +2 *1320:10 *1320:11 72 +3 *1320:11 *1320:21 24.125 +4 *1320:21 *1320:28 38.9107 +5 *1320:28 *1320:29 39.9643 +6 *1320:29 *40088:A 22.3357 +7 *1320:29 *7957:DIODE 9.3 +*END + +*D_NET *1321 0.00251094 +*CONN +*I *40087:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37844:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *40087:A 0 +2 *37844:X 0.00125547 +3 *1321:25 0.00125547 +4 *1321:25 *37844:A 0 +5 *1321:25 *1565:35 0 +6 *1321:25 *3432:74 0 +7 *1321:25 *3544:14 0 +8 *1321:25 *3545:42 0 +9 *1321:25 *3661:25 0 +10 *1321:25 *3748:20 0 +11 *1321:25 *3994:17 0 +12 *1321:25 *4069:21 0 +13 *1321:25 *4266:38 0 +*RES +1 *37844:X *1321:25 41.5988 +2 *1321:25 *40087:A 9.3 +*END + +*D_NET *1322 0.00462227 +*CONN +*I *40085:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37846:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *40085:A 0.00118761 +2 *37846:X 0.00112353 +3 *1322:12 0.00231113 +4 *40085:A *38033:A 0 +5 *40085:A *1324:33 0 +6 *1322:12 *37846:A 0 +7 *1322:12 *2336:47 0 +8 *1322:12 *2981:39 0 +9 *1322:12 *3692:34 0 +10 *1322:12 *3736:47 0 +11 *1322:12 *3736:77 0 +12 *1322:12 *3772:48 0 +13 *1317:19 *1322:12 0 +*RES +1 *37846:X *1322:12 42.1393 +2 *1322:12 *40085:A 34.0857 +*END + +*D_NET *1323 0.00272524 +*CONN +*I *40084:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37848:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *40084:A 0.00040661 +2 *37848:X 0.000956012 +3 *1323:17 0.00136262 +4 *40084:A *3730:23 0 +5 *1323:17 *37610:B 0 +6 *1323:17 *37848:A 0 +7 *1323:17 *2300:38 0 +8 *1323:17 *2374:107 0 +9 *1323:17 *3305:105 0 +10 *1323:17 *3447:103 0 +11 *1323:17 *3815:47 0 +12 *1323:17 *3815:70 0 +13 *1323:17 *4128:80 0 +14 *40092:A *40084:A 0 +*RES +1 *37848:X *1323:17 47.3179 +2 *1323:17 *40084:A 27.2821 +*END + +*D_NET *1324 0.0201239 +*CONN +*I *5709:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37851:A I *D sky130_fd_sc_hd__buf_6 +*I *37850:X O *D sky130_fd_sc_hd__and2_2 +*CAP +1 *5709:DIODE 0 +2 *37851:A 0.000175953 +3 *37850:X 0.00116024 +4 *1324:63 0.00195009 +5 *1324:62 0.00253654 +6 *1324:51 0.0031096 +7 *1324:47 0.0036865 +8 *1324:33 0.00384203 +9 *1324:16 0.00366297 +10 *37851:A *4332:33 0 +11 *1324:16 *5422:DIODE 0 +12 *1324:16 *5708:DIODE 0 +13 *1324:16 *8450:DIODE 0 +14 *1324:16 *38029:A 0 +15 *1324:16 *2992:32 0 +16 *1324:16 *3197:30 0 +17 *1324:16 *3670:37 0 +18 *1324:16 *3670:50 0 +19 *1324:16 *3673:8 0 +20 *1324:16 *3680:107 0 +21 *1324:16 *3686:8 0 +22 *1324:16 *3750:45 0 +23 *1324:16 *4229:32 0 +24 *1324:16 *4241:21 0 +25 *1324:33 *37875:A 0 +26 *1324:33 *38033:A 0 +27 *1324:33 *2336:47 0 +28 *1324:33 *3112:59 0 +29 *1324:33 *3112:82 0 +30 *1324:33 *3323:57 0 +31 *1324:33 *3323:59 0 +32 *1324:33 *3769:51 0 +33 *1324:33 *4109:65 0 +34 *1324:47 *38025:A 0 +35 *1324:47 *1408:58 0 +36 *1324:47 *1439:46 0 +37 *1324:47 *2962:19 0 +38 *1324:47 *3112:82 0 +39 *1324:47 *3181:29 0 +40 *1324:47 *3672:27 0 +41 *1324:47 *3804:79 0 +42 *1324:47 *4714:13 0 +43 *1324:51 *40760:A 0 +44 *1324:51 *3177:46 0 +45 *1324:51 *3177:56 0 +46 *1324:51 *3181:29 0 +47 *1324:51 *3191:40 0 +48 *1324:51 *3672:25 0 +49 *1324:51 *3672:27 0 +50 *1324:51 *3706:7 0 +51 *1324:51 *4332:66 0 +52 *1324:51 *4336:22 0 +53 *1324:51 *4365:67 0 +54 *1324:62 *3181:29 0 +55 *1324:62 *4336:9 0 +56 *1324:62 *4336:22 0 +57 *1324:63 *4332:33 0 +58 *40085:A *1324:33 0 +*RES +1 *37850:X *1324:16 47.7911 +2 *1324:16 *1324:33 45.7663 +3 *1324:33 *1324:47 46.2143 +4 *1324:47 *1324:51 49.0179 +5 *1324:51 *1324:62 25.0893 +6 *1324:62 *1324:63 37.0893 +7 *1324:63 *37851:A 22.0321 +8 *1324:63 *5709:DIODE 9.3 +*END + +*D_NET *1325 0.01798 +*CONN +*I *7955:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40083:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37852:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *7955:DIODE 0 +2 *40083:A 0.000144601 +3 *37852:X 0.00288723 +4 *1325:44 0.00610278 +5 *1325:17 0.00884541 +6 *40083:A *1534:20 0 +7 *1325:17 *2395:52 0 +8 *1325:17 *2968:34 0 +9 *1325:17 *2977:62 0 +10 *1325:17 *3283:110 0 +11 *1325:17 *3725:48 0 +12 *1325:17 *3732:35 0 +13 *1325:44 *6408:DIODE 0 +14 *1325:44 *7572:DIODE 0 +15 *1325:44 *2395:52 0 +16 *1325:44 *2443:36 0 +17 *1325:44 *2925:25 0 +18 *1325:44 *2930:19 0 +19 *1325:44 *2937:14 0 +20 *1325:44 *2968:21 0 +21 *1325:44 *2968:34 0 +22 *1325:44 *2977:62 0 +23 *1325:44 *2987:78 0 +24 *1325:44 *3076:52 0 +25 *1325:44 *3112:37 0 +26 *1325:44 *3277:20 0 +27 *1325:44 *3650:23 0 +28 *1325:44 *3709:38 0 +29 *1325:44 *3740:46 0 +30 *1325:44 *4114:53 0 +31 *1325:44 *4171:103 0 +32 *1208:41 *1325:17 0 +33 *1319:27 *1325:44 0 +*RES +1 *37852:X *1325:17 43.7333 +2 *1325:17 *1325:44 47.1559 +3 *1325:44 *40083:A 12.3179 +4 *1325:44 *7955:DIODE 9.3 +*END + +*D_NET *1326 0.00336856 +*CONN +*I *40082:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37854:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *40082:A 0 +2 *37854:X 0.00168428 +3 *1326:16 0.00168428 +4 *1326:16 *2391:76 0 +5 *1326:16 *3726:114 0 +6 *1326:16 *3810:9 0 +7 *1326:16 *4037:74 0 +8 *1326:16 *4091:26 0 +*RES +1 *37854:X *1326:16 41.47 +2 *1326:16 *40082:A 9.3 +*END + +*D_NET *1327 0.00225911 +*CONN +*I *40080:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37856:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *40080:A 0 +2 *37856:X 0.00112955 +3 *1327:19 0.00112955 +4 *1327:19 *5426:DIODE 0 +5 *1327:19 *5713:DIODE 0 +6 *1327:19 *8189:DIODE 0 +7 *1327:19 *8631:DIODE 0 +8 *1327:19 *39971:A 0 +9 *1327:19 *3676:17 0 +10 *1327:19 *3685:61 0 +11 *1327:19 *3780:26 0 +12 *1327:19 *3941:19 0 +13 *1327:19 *4084:11 0 +*RES +1 *37856:X *1327:19 42.2464 +2 *1327:19 *40080:A 9.3 +*END + +*D_NET *1328 0.020203 +*CONN +*I *7952:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40078:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37858:X O *D sky130_fd_sc_hd__and2_2 +*CAP +1 *7952:DIODE 0 +2 *40078:A 0.000150582 +3 *37858:X 0.00112995 +4 *1328:85 0.000497322 +5 *1328:81 0.00206516 +6 *1328:72 0.00245553 +7 *1328:59 0.00282151 +8 *1328:53 0.00367401 +9 *1328:39 0.00316418 +10 *1328:36 0.00234471 +11 *1328:28 0.0019001 +12 *1328:28 *1824:42 0 +13 *1328:28 *2368:15 0 +14 *1328:28 *3400:57 0 +15 *1328:28 *3540:12 0 +16 *1328:28 *4080:15 0 +17 *1328:36 *8424:DIODE 0 +18 *1328:36 *2368:40 0 +19 *1328:36 *2382:56 0 +20 *1328:36 *3411:42 0 +21 *1328:36 *3664:18 0 +22 *1328:39 *2419:42 0 +23 *1328:39 *2974:15 0 +24 *1328:53 *1337:26 0 +25 *1328:53 *2814:36 0 +26 *1328:53 *2958:10 0 +27 *1328:53 *3023:37 0 +28 *1328:53 *3073:37 0 +29 *1328:53 *3283:57 0 +30 *1328:59 *3023:37 0 +31 *1328:72 *40759:A 0 +32 *1328:72 *2421:42 0 +33 *1328:72 *2443:36 0 +34 *1328:72 *3023:36 0 +35 *1328:72 *3023:37 0 +36 *1328:81 *1337:50 0 +37 *1328:81 *1339:36 0 +38 *1328:81 *3023:25 0 +39 *1328:85 *2419:55 0 +40 *1328:85 *2421:51 0 +41 *40101:A *1328:39 0 +42 *1003:22 *1328:28 0 +43 *1211:54 *40078:A 0 +44 *1211:63 *1328:59 0 +45 *1211:63 *1328:72 0 +46 *1211:68 *1328:53 0 +47 *1211:68 *1328:59 0 +48 *1272:25 *1328:39 0 +49 *1272:25 *1328:53 0 +*RES +1 *37858:X *1328:28 48.3 +2 *1328:28 *1328:36 30 +3 *1328:36 *1328:39 32.8929 +4 *1328:39 *1328:53 47.3929 +5 *1328:53 *1328:59 48.6071 +6 *1328:59 *1328:72 21.8664 +7 *1328:72 *1328:81 49.5714 +8 *1328:81 *1328:85 11.8214 +9 *1328:85 *40078:A 21.6036 +10 *1328:85 *7952:DIODE 9.3 +*END + +*D_NET *1329 0.0125283 +*CONN +*I *7951:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40077:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37860:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *7951:DIODE 0 +2 *40077:A 0.00016428 +3 *37860:X 0.00125319 +4 *1329:36 0.00198779 +5 *1329:30 0.00307937 +6 *1329:20 0.00302315 +7 *1329:14 0.00302048 +8 *40077:A *2939:39 0 +9 *40077:A *4100:31 0 +10 *1329:14 *5576:DIODE 0 +11 *1329:14 *3312:147 0 +12 *1329:14 *3648:42 0 +13 *1329:14 *3781:59 0 +14 *1329:14 *4103:47 0 +15 *1329:20 *2432:33 0 +16 *1329:20 *3197:34 0 +17 *1329:20 *3690:28 0 +18 *1329:20 *4323:30 0 +19 *1329:30 *40063:A 0 +20 *1329:30 *2336:47 0 +21 *1329:30 *2413:69 0 +22 *1329:30 *2436:27 0 +23 *1329:30 *2917:10 0 +24 *1329:30 *2944:11 0 +25 *1329:30 *2944:24 0 +26 *1329:30 *3014:22 0 +27 *1329:30 *3691:49 0 +28 *1329:36 *1692:21 0 +29 *1329:36 *2944:24 0 +30 *1329:36 *3080:60 0 +31 *1329:36 *3825:52 0 +*RES +1 *37860:X *1329:14 37.0159 +2 *1329:14 *1329:20 46.3393 +3 *1329:20 *1329:30 35.3571 +4 *1329:30 *1329:36 47.2143 +5 *1329:36 *40077:A 12.7286 +6 *1329:36 *7951:DIODE 9.3 +*END + +*D_NET *1330 0.0138378 +*CONN +*I *7950:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40076:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37862:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *7950:DIODE 0 +2 *40076:A 0.000143745 +3 *37862:X 0.00238494 +4 *1330:35 0.00166205 +5 *1330:34 0.00247532 +6 *1330:25 0.0028719 +7 *1330:22 0.00429983 +8 *40076:A *2939:35 0 +9 *40076:A *3080:60 0 +10 *1330:22 *38024:A_N 0 +11 *1330:22 *39973:A 0 +12 *1330:22 *1411:15 0 +13 *1330:22 *1419:41 0 +14 *1330:22 *1543:19 0 +15 *1330:22 *2374:76 0 +16 *1330:22 *2395:52 0 +17 *1330:22 *2400:56 0 +18 *1330:22 *2959:32 0 +19 *1330:22 *3312:147 0 +20 *1330:22 *3690:28 0 +21 *1330:22 *3735:22 0 +22 *1330:22 *3769:53 0 +23 *1330:22 *3772:102 0 +24 *1330:22 *4037:74 0 +25 *1330:25 *2419:55 0 +26 *1330:25 *2421:51 0 +27 *1330:25 *2923:43 0 +28 *1330:25 *2939:31 0 +29 *1330:25 *4323:30 0 +30 *1330:34 *2434:47 0 +31 *1330:34 *2935:20 0 +32 *1330:34 *2981:53 0 +33 *1330:34 *3584:24 0 +34 *1330:34 *3674:86 0 +35 *1330:35 *2421:51 0 +36 *1330:35 *2939:35 0 +37 *1330:35 *3080:60 0 +*RES +1 *37862:X *1330:22 43.9598 +2 *1330:22 *1330:25 44.4643 +3 *1330:25 *1330:34 25.9678 +4 *1330:34 *1330:35 31.75 +5 *1330:35 *40076:A 12.3 +6 *1330:35 *7950:DIODE 9.3 +*END + +*D_NET *1331 0.0118338 +*CONN +*I *7949:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40075:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37864:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *7949:DIODE 0 +2 *40075:A 0.000175953 +3 *37864:X 0.0026734 +4 *1331:31 0.00324348 +5 *1331:13 0.00574092 +6 *40075:A *2822:46 0 +7 *40075:A *2841:61 0 +8 *1331:13 *8669:DIODE 0 +9 *1331:13 *8719:DIODE 0 +10 *1331:13 *1419:41 0 +11 *1331:13 *1445:53 0 +12 *1331:13 *3075:73 0 +13 *1331:13 *3810:9 0 +14 *1331:13 *3991:133 0 +15 *1331:13 *4052:53 0 +16 *1331:13 *4070:95 0 +17 *1331:13 *4266:18 0 +18 *1331:31 *2822:46 0 +19 *1331:31 *2841:61 0 +20 *1331:31 *2992:57 0 +21 *1331:31 *3076:52 0 +22 *1331:31 *3305:168 0 +23 *1331:31 *3312:147 0 +24 *1331:31 *3735:22 0 +25 *1331:31 *3769:51 0 +26 *1331:31 *3769:53 0 +27 *1331:31 *3781:59 0 +28 *1331:31 *4052:53 0 +29 *1331:31 *4058:45 0 +30 *1331:31 *4070:95 0 +31 *1331:31 *4218:52 0 +*RES +1 *37864:X *1331:13 46.5904 +2 *1331:13 *1331:31 34.4403 +3 *1331:31 *40075:A 22.0321 +4 *1331:31 *7949:DIODE 9.3 +*END + +*D_NET *1332 0.0108316 +*CONN +*I *7948:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40074:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37866:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *7948:DIODE 0 +2 *40074:A 0.000124066 +3 *37866:X 0.00184033 +4 *1332:41 0.000420754 +5 *1332:39 0.002661 +6 *1332:27 0.00315469 +7 *1332:19 0.00263072 +8 *40074:A *39490:B 0 +9 *40074:A *4069:23 0 +10 *1332:19 *1733:11 0 +11 *1332:19 *2423:11 0 +12 *1332:19 *2922:16 0 +13 *1332:19 *3048:20 0 +14 *1332:19 *3432:97 0 +15 *1332:19 *3473:36 0 +16 *1332:19 *3996:20 0 +17 *1332:19 *4036:18 0 +18 *1332:19 *4312:31 0 +19 *1332:19 *4907:8 0 +20 *1332:27 *1826:40 0 +21 *1332:27 *2430:11 0 +22 *1332:27 *3432:97 0 +23 *1332:39 *39996:A 0 +24 *1332:39 *40072:A 0 +25 *1332:39 *2842:14 0 +26 *1332:39 *3432:75 0 +27 *1332:39 *3432:97 0 +28 *1332:39 *3994:27 0 +29 *1332:39 *4051:68 0 +30 *1332:39 *4069:23 0 +31 *1332:39 *4077:33 0 +32 *1332:41 *39490:B 0 +33 *1332:41 *3308:99 0 +34 *1332:41 *4069:23 0 +35 *40100:A *1332:27 0 +36 *40100:A *1332:39 0 +37 *1019:23 *1332:19 0 +*RES +1 *37866:X *1332:19 43.1881 +2 *1332:19 *1332:27 16.7143 +3 *1332:27 *1332:39 49.7143 +4 *1332:39 *1332:41 6.28571 +5 *1332:41 *40074:A 11.8893 +6 *1332:41 *7948:DIODE 9.3 +*END + +*D_NET *1333 0.015432 +*CONN +*I *7946:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40072:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37868:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *7946:DIODE 0 +2 *40072:A 0.000189344 +3 *37868:X 0.000433102 +4 *1333:24 0.00123778 +5 *1333:20 0.00336816 +6 *1333:9 0.00604512 +7 *1333:8 0.0041585 +8 *40072:A *4069:23 0 +9 *1333:8 *3418:42 0 +10 *1333:9 *1569:15 0 +11 *1333:9 *2392:15 0 +12 *1333:9 *2411:39 0 +13 *1333:9 *2801:33 0 +14 *1333:9 *2801:35 0 +15 *1333:9 *3802:45 0 +16 *1333:9 *3945:38 0 +17 *1333:20 *1549:44 0 +18 *1333:20 *1863:36 0 +19 *1333:20 *2366:40 0 +20 *1333:20 *2398:34 0 +21 *1333:20 *2831:63 0 +22 *1333:20 *2957:10 0 +23 *1333:20 *3042:70 0 +24 *1333:20 *3097:18 0 +25 *1333:20 *3428:49 0 +26 *1333:20 *4909:8 0 +27 *1333:24 *3945:25 0 +28 *1333:24 *3990:21 0 +29 *1333:24 *4051:58 0 +30 *1009:127 *1333:24 0 +31 *1013:77 *1333:8 0 +32 *1332:39 *40072:A 0 +*RES +1 *37868:X *1333:8 28.1393 +2 *1333:8 *1333:9 77.75 +3 *1333:9 *1333:20 42.8026 +4 *1333:20 *1333:24 26.7679 +5 *1333:24 *40072:A 17.8357 +6 *1333:24 *7946:DIODE 13.8 +*END + +*D_NET *1334 0.00686791 +*CONN +*I *40070:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37870:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *40070:A 0.000576692 +2 *37870:X 0.00285726 +3 *1334:14 0.00343396 +4 *40070:A *3308:118 0 +5 *40070:A *3755:13 0 +6 *1334:14 *3013:72 0 +7 *1334:14 *3117:12 0 +8 *1334:14 *3542:56 0 +9 *1334:14 *3663:17 0 +10 *1334:14 *3761:55 0 +11 *1334:14 *4087:78 0 +12 *1334:14 *4912:8 0 +*RES +1 *37870:X *1334:14 39.2519 +2 *1334:14 *40070:A 21.3357 +*END + +*D_NET *1335 0.011685 +*CONN +*I *7943:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40068:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37872:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *7943:DIODE 0 +2 *40068:A 0.00016428 +3 *37872:X 0.00169616 +4 *1335:23 0.000591238 +5 *1335:19 0.00398208 +6 *1335:18 0.00355513 +7 *1335:16 0.00169616 +8 *40068:A *1605:11 0 +9 *1335:16 *37872:A 0 +10 *1335:16 *37872:B 0 +11 *1335:16 *38131:A 0 +12 *1335:16 *1620:9 0 +13 *1335:16 *2990:48 0 +14 *1335:19 *39418:B 0 +15 *1335:19 *1605:11 0 +16 *1335:19 *1737:7 0 +17 *1335:19 *1737:16 0 +18 *1335:19 *1750:14 0 +19 *1335:19 *2423:11 0 +20 *1335:23 *38095:A 0 +21 *1335:23 *1605:11 0 +22 *39418:A *1335:19 0 +23 *269:19 *1335:16 0 +24 *386:33 *1335:16 0 +25 *1254:19 *1335:16 0 +*RES +1 *37872:X *1335:16 49.9607 +2 *1335:16 *1335:18 4.5 +3 *1335:18 *1335:19 74.2589 +4 *1335:19 *1335:23 8.97321 +5 *1335:23 *40068:A 12.7286 +6 *1335:23 *7943:DIODE 9.3 +*END + +*D_NET *1336 0.0166293 +*CONN +*I *7940:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40065:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37874:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *7940:DIODE 0.000109662 +2 *40065:A 0.000143745 +3 *37874:X 0.00193757 +4 *1336:42 0.00148643 +5 *1336:38 0.0027224 +6 *1336:17 0.00450631 +7 *1336:16 0.00340127 +8 *1336:5 0.0023219 +9 *7940:DIODE *2384:46 0 +10 *40065:A *3684:75 0 +11 *40065:A *3684:86 0 +12 *40065:A *3802:21 0 +13 *1336:5 *38036:A_N 0 +14 *1336:5 *2813:28 0 +15 *1336:5 *3308:118 0 +16 *1336:5 *3755:13 0 +17 *1336:5 *3755:31 0 +18 *1336:16 *3046:13 0 +19 *1336:16 *4077:19 0 +20 *1336:17 *5424:DIODE 0 +21 *1336:17 *1549:43 0 +22 *1336:17 *1824:11 0 +23 *1336:17 *3732:49 0 +24 *1336:17 *3755:13 0 +25 *1336:17 *3810:27 0 +26 *1336:38 *1823:18 0 +27 *1336:38 *2430:23 0 +28 *1336:38 *3663:16 0 +29 *1336:38 *4036:11 0 +30 *1336:38 *4091:55 0 +31 *1336:38 *4097:94 0 +32 *1336:38 *4351:33 0 +33 *1336:42 *3810:9 0 +34 *7461:DIODE *1336:5 0 +35 *39492:A *1336:5 0 +36 *358:44 *1336:38 0 +37 *1031:93 *1336:16 0 +38 *1273:21 *1336:5 0 +*RES +1 *37874:X *1336:5 49.675 +2 *1336:5 *1336:16 22.7803 +3 *1336:16 *1336:17 62.9643 +4 *1336:17 *1336:38 34.683 +5 *1336:38 *1336:42 30.3929 +6 *1336:42 *40065:A 16.8 +7 *1336:42 *7940:DIODE 16.3536 +*END + +*D_NET *1337 0.0124168 +*CONN +*I *7939:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40064:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37876:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *7939:DIODE 0 +2 *40064:A 0.000175953 +3 *37876:X 0.000548388 +4 *1337:52 0.000175953 +5 *1337:50 0.00167854 +6 *1337:41 0.00344898 +7 *1337:34 0.0021547 +8 *1337:26 0.00203509 +9 *1337:12 0.00219922 +10 *40064:A *2421:49 0 +11 *1337:12 *2442:31 0 +12 *1337:12 *3951:29 0 +13 *1337:12 *4040:15 0 +14 *1337:12 *4074:61 0 +15 *1337:12 *4245:40 0 +16 *1337:26 *2419:45 0 +17 *1337:26 *2958:10 0 +18 *1337:26 *3073:37 0 +19 *1337:26 *3073:49 0 +20 *1337:26 *3075:73 0 +21 *1337:26 *3485:35 0 +22 *1337:26 *4323:77 0 +23 *1337:34 *3021:19 0 +24 *1337:41 *1339:16 0 +25 *1337:41 *2432:13 0 +26 *1337:41 *2921:19 0 +27 *1337:50 *3023:25 0 +28 *1211:68 *1337:26 0 +29 *1211:68 *1337:41 0 +30 *1272:25 *1337:26 0 +31 *1328:53 *1337:26 0 +32 *1328:81 *1337:50 0 +*RES +1 *37876:X *1337:12 30.6393 +2 *1337:12 *1337:26 48.8571 +3 *1337:26 *1337:34 22.0714 +4 *1337:34 *1337:41 46.1071 +5 *1337:41 *1337:50 48.75 +6 *1337:50 *1337:52 4.5 +7 *1337:52 *40064:A 22.0321 +8 *1337:52 *7939:DIODE 9.3 +*END + +*D_NET *1338 0.0116614 +*CONN +*I *7938:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40063:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37878:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *7938:DIODE 0 +2 *40063:A 0.000189344 +3 *37878:X 0.00156174 +4 *1338:17 0.00426897 +5 *1338:16 0.00407962 +6 *1338:14 0.00156174 +7 *40063:A *2436:27 0 +8 *1338:14 *8476:DIODE 0 +9 *1338:14 *2391:76 0 +10 *1338:14 *2417:78 0 +11 *1338:14 *2826:11 0 +12 *1338:14 *2935:13 0 +13 *1338:14 *2935:20 0 +14 *1338:14 *2959:32 0 +15 *1338:14 *3005:44 0 +16 *1338:14 *3420:40 0 +17 *1338:14 *3424:24 0 +18 *1338:14 *3968:35 0 +19 *1338:14 *4041:95 0 +20 *1338:17 *2944:11 0 +21 *1338:17 *2972:51 0 +22 *1338:17 *3183:25 0 +23 *1232:38 *1338:14 0 +24 *1329:30 *40063:A 0 +*RES +1 *37878:X *1338:14 49.1243 +2 *1338:14 *1338:16 4.5 +3 *1338:16 *1338:17 85.1429 +4 *1338:17 *40063:A 22.3357 +5 *1338:17 *7938:DIODE 9.3 +*END + +*D_NET *1339 0.0173894 +*CONN +*I *7937:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40062:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37880:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *7937:DIODE 0 +2 *40062:A 0.00018396 +3 *37880:X 0.00100403 +4 *1339:51 0.00188323 +5 *1339:46 0.00297098 +6 *1339:36 0.00258635 +7 *1339:24 0.00255409 +8 *1339:16 0.00322108 +9 *1339:11 0.00298568 +10 *40062:A *2432:33 0 +11 *40062:A *2937:29 0 +12 *1339:16 *6065:DIODE 0 +13 *1339:16 *2432:13 0 +14 *1339:16 *3485:23 0 +15 *1339:16 *3625:16 0 +16 *1339:16 *4081:62 0 +17 *1339:16 *4241:75 0 +18 *1339:24 *3183:25 0 +19 *1339:36 *2419:55 0 +20 *1339:36 *2432:32 0 +21 *1339:36 *2923:36 0 +22 *1339:36 *3023:25 0 +23 *1339:36 *4217:14 0 +24 *1339:46 *1540:14 0 +25 *1339:46 *2933:8 0 +26 *1339:46 *3011:43 0 +27 *1339:46 *3545:30 0 +28 *1339:46 *4057:16 0 +29 *1339:46 *4217:18 0 +30 *1339:51 *1411:24 0 +31 *1339:51 *2432:33 0 +32 *1211:54 *1339:51 0 +33 *1211:63 *1339:36 0 +34 *1211:68 *1339:16 0 +35 *1272:25 *1339:11 0 +36 *1318:13 *1339:51 0 +37 *1318:26 *40062:A 0 +38 *1318:26 *1339:51 0 +39 *1328:81 *1339:36 0 +40 *1337:41 *1339:16 0 +*RES +1 *37880:X *1339:11 39.5679 +2 *1339:11 *1339:16 46.2679 +3 *1339:16 *1339:24 39.5 +4 *1339:24 *1339:36 41.375 +5 *1339:36 *1339:46 41.7143 +6 *1339:46 *1339:51 35.5893 +7 *1339:51 *40062:A 13.1393 +8 *1339:51 *7937:DIODE 9.3 +*END + +*D_NET *1340 0.00660042 +*CONN +*I *37883:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37882:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *37883:A 0.0012352 +2 *37882:X 0.000812049 +3 *1340:17 0.00248816 +4 *1340:10 0.00206501 +5 *37883:A *37895:A 0 +6 *37883:A *40057:A 0 +7 *37883:A *1351:13 0 +8 *1340:10 *37508:B 0 +9 *1340:10 *37882:B 0 +10 *1340:10 *39933:A 0 +11 *1340:10 *1350:46 0 +12 *1340:10 *1478:10 0 +13 *1340:10 *2787:6 0 +14 *1340:10 *2797:26 0 +15 *1340:10 *3127:32 0 +16 *1340:10 *4181:46 0 +17 *1340:17 *37895:A 0 +18 *1340:17 *5068:25 0 +19 *37527:A *1340:10 0 +20 *1153:14 *37883:A 0 +21 *1153:14 *1340:17 0 +*RES +1 *37882:X *1340:10 36.5857 +2 *1340:10 *1340:17 26.2589 +3 *1340:17 *37883:A 35.0946 +*END + +*D_NET *1341 0.0194855 +*CONN +*I *5761:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37885:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37884:X O *D sky130_fd_sc_hd__and3b_2 +*CAP +1 *5761:DIODE 0 +2 *37885:A 0.000163425 +3 *37884:X 0.00136617 +4 *1341:19 0.00320389 +5 *1341:17 0.003061 +6 *1341:15 0.00517269 +7 *1341:14 0.00651833 +8 *37885:A *4652:11 0 +9 *1341:14 *1356:23 0 +10 *1341:14 *1361:13 0 +11 *1341:14 *1482:13 0 +12 *1341:14 *2795:5 0 +13 *1341:14 *3559:70 0 +14 *1341:14 *4424:63 0 +15 *1341:14 *4429:36 0 +16 *1341:14 *4593:29 0 +17 *1341:15 *37887:A 0 +18 *1341:15 *1369:9 0 +19 *1341:15 *2772:15 0 +20 *1341:15 *2798:15 0 +21 *1341:15 *4664:7 0 +22 *1341:19 *4652:11 0 +23 *304:23 *1341:14 0 +24 *1266:8 *1341:14 0 +*RES +1 *37884:X *1341:14 49.1929 +2 *1341:14 *1341:15 107.527 +3 *1341:15 *1341:17 0.428571 +4 *1341:17 *1341:19 63.5804 +5 *1341:19 *37885:A 12.7107 +6 *1341:19 *5761:DIODE 9.3 +*END + +*D_NET *1342 0.0079226 +*CONN +*I *37887:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *5765:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37886:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *37887:A 0.000192919 +2 *5765:DIODE 0 +3 *37886:X 0.000593671 +4 *1342:18 0.00187236 +5 *1342:14 0.00317471 +6 *1342:8 0.00208894 +7 *37887:A *4664:7 0 +8 *1342:8 *1365:10 0 +9 *1342:8 *1649:8 0 +10 *1342:8 *4399:40 0 +11 *1342:14 *39447:B 0 +12 *1342:14 *4651:12 0 +13 *1342:18 *1479:11 0 +14 *1342:18 *2914:9 0 +15 *294:62 *1342:14 0 +16 *303:13 *37887:A 0 +17 *303:13 *1342:18 0 +18 *313:33 *37887:A 0 +19 *313:33 *1342:18 0 +20 *1341:15 *37887:A 0 +*RES +1 *37886:X *1342:8 31.7821 +2 *1342:8 *1342:14 40.3393 +3 *1342:14 *1342:18 39.7321 +4 *1342:18 *5765:DIODE 13.8 +5 *1342:18 *37887:A 17.9429 +*END + +*D_NET *1343 0.00478476 +*CONN +*I *37889:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *37888:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *37889:A 0.00160827 +2 *37888:X 0.000784113 +3 *1343:11 0.00239238 +4 *37889:A *1360:19 0 +5 *37889:A *1486:22 0 +6 *37889:A *2906:8 0 +7 *37889:A *4676:9 0 +8 *1343:11 *1651:15 0 +9 *1343:11 *2781:6 0 +10 *1343:11 *2952:11 0 +11 *302:13 *1343:11 0 +12 *332:37 *37889:A 0 +*RES +1 *37888:X *1343:11 39.6929 +2 *1343:11 *37889:A 48.2821 +*END + +*D_NET *1344 0.00473908 +*CONN +*I *37891:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37890:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *37891:A 0.00114739 +2 *37890:X 0.00122215 +3 *1344:8 0.00236954 +4 *1344:8 *2791:14 0 +5 *1344:8 *2914:8 0 +6 *1344:8 *3585:44 0 +7 *1344:8 *4608:8 0 +8 *260:17 *1344:8 0 +*RES +1 *37890:X *1344:8 46.05 +2 *1344:8 *37891:A 33.2464 +*END + +*D_NET *1345 0.00285762 +*CONN +*I *37893:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37892:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *37893:A 0 +2 *37892:X 0.00142881 +3 *1345:11 0.00142881 +4 *1345:11 *37892:A_N 0 +5 *1345:11 *38172:B 0 +6 *1345:11 *40060:A 0 +7 *1345:11 *1470:24 0 +8 *1345:11 *1477:23 0 +9 *1345:11 *1773:8 0 +10 *1345:11 *2952:21 0 +11 *1345:11 *3563:44 0 +12 *1345:11 *4249:53 0 +13 *1345:11 *4249:57 0 +14 *1345:11 *4419:51 0 +15 *1345:11 *4420:83 0 +16 *1345:11 *4475:45 0 +17 *1345:11 *4800:13 0 +18 *1345:11 *4800:28 0 +19 *306:11 *1345:11 0 +*RES +1 *37892:X *1345:11 49.175 +2 *1345:11 *37893:A 9.3 +*END + +*D_NET *1346 0.00767598 +*CONN +*I *37895:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37894:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *37895:A 0.000399577 +2 *37894:X 0.00177885 +3 *1346:24 0.00205914 +4 *1346:9 0.00343841 +5 *1346:9 *5773:DIODE 0 +6 *1346:9 *37894:B 0 +7 *1346:9 *3600:53 0 +8 *1346:9 *3600:57 0 +9 *1346:9 *3621:51 0 +10 *1346:9 *3621:53 0 +11 *1346:24 *1358:14 0 +12 *1346:24 *1470:21 0 +13 *1346:24 *1479:10 0 +14 *1346:24 *1486:10 0 +15 *1346:24 *2912:10 0 +16 *1346:24 *3600:53 0 +17 *1346:24 *3600:57 0 +18 *1346:24 *3621:51 0 +19 *37883:A *37895:A 0 +20 *306:11 *1346:24 0 +21 *1153:14 *37895:A 0 +22 *1154:26 *1346:24 0 +23 *1340:17 *37895:A 0 +*RES +1 *37894:X *1346:9 46.425 +2 *1346:9 *1346:24 49.0536 +3 *1346:24 *37895:A 22.1393 +*END + +*D_NET *1347 0.00825483 +*CONN +*I *40061:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7936:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37896:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *40061:A 0.0001853 +2 *7936:DIODE 3.20593e-05 +3 *37896:X 0.00189393 +4 *1347:30 0.000441638 +5 *1347:23 0.00201613 +6 *1347:9 0.00368578 +7 *7936:DIODE *3570:37 0 +8 *7936:DIODE *3600:53 0 +9 *40061:A *3570:37 0 +10 *40061:A *3600:53 0 +11 *1347:9 *5776:DIODE 0 +12 *1347:9 *37900:B 0 +13 *1347:9 *3617:33 0 +14 *1347:23 *2797:14 0 +15 *1347:23 *3589:45 0 +16 *1347:23 *3604:61 0 +17 *1347:23 *5181:14 0 +18 *1347:30 *2797:14 0 +19 *1347:30 *3570:37 0 +20 *1347:30 *3589:45 0 +21 *260:17 *40061:A 0 +22 *260:17 *1347:30 0 +*RES +1 *37896:X *1347:9 48.8893 +2 *1347:9 *1347:23 46.6786 +3 *1347:23 *1347:30 9.6875 +4 *1347:30 *7936:DIODE 14.6125 +5 *1347:30 *40061:A 17.9161 +*END + +*D_NET *1348 0.00164059 +*CONN +*I *40060:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37898:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *40060:A 0.000820297 +2 *37898:X 0.000820297 +3 *40060:A *5780:DIODE 0 +4 *40060:A *3609:17 0 +5 *40060:A *3621:37 0 +6 *40060:A *4203:30 0 +7 *40060:A *4800:28 0 +8 *1345:11 *40060:A 0 +*RES +1 *37898:X *40060:A 44.9036 +*END + +*D_NET *1349 0.00747266 +*CONN +*I *40059:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37900:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *40059:A 0.00231847 +2 *37900:X 0.00141787 +3 *1349:14 0.00373633 +4 *40059:A *37333:A 0 +5 *40059:A *37898:B 0 +6 *40059:A *37898:C 0 +7 *40059:A *37918:A_N 0 +8 *40059:A *1358:14 0 +9 *40059:A *1773:8 0 +10 *40059:A *2791:21 0 +11 *40059:A *3570:37 0 +12 *40059:A *3600:53 0 +13 *40059:A *3609:34 0 +14 *40059:A *3891:20 0 +15 *40059:A *4419:62 0 +16 *40059:A *4420:83 0 +17 *40059:A *4800:13 0 +18 *1349:14 *37900:A_N 0 +19 *1349:14 *37910:A_N 0 +20 *1349:14 *3589:51 0 +21 *1349:14 *3617:31 0 +22 *1349:14 *3617:33 0 +23 *1349:14 *4523:40 0 +24 *1149:8 *40059:A 0 +*RES +1 *37900:X *1349:14 47.2546 +2 *1349:14 *40059:A 43.236 +*END + +*D_NET *1350 0.015778 +*CONN +*I *40058:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7935:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37902:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *40058:A 0.00014075 +2 *7935:DIODE 0 +3 *37902:X 0.000857385 +4 *1350:55 0.000435883 +5 *1350:46 0.00354005 +6 *1350:36 0.00440748 +7 *1350:17 0.00335082 +8 *1350:14 0.00304565 +9 *40058:A *3609:17 0 +10 *40058:A *3883:49 0 +11 *1350:14 *37902:C 0 +12 *1350:14 *37904:A_N 0 +13 *1350:14 *37904:B 0 +14 *1350:14 *3604:65 0 +15 *1350:14 *4203:39 0 +16 *1350:14 *4203:41 0 +17 *1350:14 *4487:37 0 +18 *1350:17 *3589:45 0 +19 *1350:17 *3604:61 0 +20 *1350:17 *3609:38 0 +21 *1350:36 *5769:DIODE 0 +22 *1350:36 *37500:A 0 +23 *1350:36 *37890:B 0 +24 *1350:36 *37890:C 0 +25 *1350:36 *2797:14 0 +26 *1350:36 *3585:44 0 +27 *1350:36 *3589:38 0 +28 *1350:36 *3589:45 0 +29 *1350:36 *3609:34 0 +30 *1350:36 *3631:63 0 +31 *1350:36 *4420:83 0 +32 *1350:46 *5768:DIODE 0 +33 *1350:46 *37508:B 0 +34 *1350:46 *2572:18 0 +35 *1350:46 *2797:26 0 +36 *1350:46 *3585:44 0 +37 *1350:46 *3600:40 0 +38 *1350:46 *3621:37 0 +39 *1350:46 *3891:20 0 +40 *1350:46 *4371:43 0 +41 *1350:46 *4451:75 0 +42 *1350:55 *37888:A_N 0 +43 *1350:55 *3609:17 0 +44 *1350:55 *3883:49 0 +45 *1350:55 *3891:20 0 +46 *1340:10 *1350:46 0 +*RES +1 *37902:X *1350:14 45.925 +2 *1350:14 *1350:17 45.7321 +3 *1350:17 *1350:36 46.2534 +4 *1350:36 *1350:46 48.3199 +5 *1350:46 *1350:55 15.6113 +6 *1350:55 *7935:DIODE 9.3 +7 *1350:55 *40058:A 12.3 +*END + +*D_NET *1351 0.00795005 +*CONN +*I *40057:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7934:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37904:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *40057:A 0.000191874 +2 *7934:DIODE 0 +3 *37904:X 0.00183703 +4 *1351:13 0.00173136 +5 *1351:12 0.00194612 +6 *1351:7 0.00224366 +7 *1351:7 *37904:A_N 0 +8 *1351:7 *37904:B 0 +9 *1351:7 *4371:46 0 +10 *1351:7 *4371:48 0 +11 *1351:12 *1469:10 0 +12 *1351:12 *4203:36 0 +13 *37883:A *40057:A 0 +14 *37883:A *1351:13 0 +15 *1153:14 *40057:A 0 +*RES +1 *37904:X *1351:7 47.6393 +2 *1351:7 *1351:12 18.2321 +3 *1351:12 *1351:13 32.1607 +4 *1351:13 *7934:DIODE 9.3 +5 *1351:13 *40057:A 13.3357 +*END + +*D_NET *1352 0.00700158 +*CONN +*I *37907:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37906:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *37907:A 0 +2 *37906:X 0.00103487 +3 *1352:9 0.00246591 +4 *1352:8 0.00350079 +5 *1352:8 *8389:DIODE 0 +6 *1352:8 *40684:A 0 +7 *1352:8 *2455:8 0 +8 *1352:8 *5050:18 0 +9 *1352:9 *2513:5 0 +10 *1352:9 *2901:15 0 +11 *1352:9 *4642:10 0 +12 *299:30 *1352:9 0 +13 *319:17 *1352:8 0 +14 *1276:14 *1352:8 0 +*RES +1 *37906:X *1352:8 41.8 +2 *1352:8 *1352:9 51.4643 +3 *1352:9 *37907:A 9.3 +*END + +*D_NET *1353 0.00637121 +*CONN +*I *40055:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37908:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *40055:A 0.000125844 +2 *37908:X 0.00132209 +3 *1353:19 0.00186351 +4 *1353:11 0.00305976 +5 *40055:A *2772:8 0 +6 *40055:A *2908:10 0 +7 *40055:A *4399:48 0 +8 *1353:11 *6235:DIODE 0 +9 *1353:11 *37908:B 0 +10 *1353:11 *3585:44 0 +11 *1353:11 *3631:61 0 +12 *1353:11 *4249:57 0 +13 *1353:11 *4371:18 0 +14 *1353:19 *3563:44 0 +15 *1353:19 *3631:61 0 +16 *1353:19 *3644:72 0 +17 *1353:19 *4181:47 0 +18 *1353:19 *4399:52 0 +19 *306:11 *1353:11 0 +*RES +1 *37908:X *1353:11 46.1393 +2 *1353:11 *1353:19 49.875 +3 *1353:19 *40055:A 16.6571 +*END + +*D_NET *1354 0.0200338 +*CONN +*I *7932:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40054:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37910:X O *D sky130_fd_sc_hd__and3b_2 +*CAP +1 *7932:DIODE 0 +2 *40054:A 0.000190852 +3 *37910:X 0.00143998 +4 *1354:49 0.00283726 +5 *1354:41 0.00468377 +6 *1354:32 0.00232059 +7 *1354:21 0.0026546 +8 *1354:15 0.00341908 +9 *1354:11 0.0024877 +10 *40054:A *37906:C 0 +11 *40054:A *3563:19 0 +12 *40054:A *3610:59 0 +13 *1354:11 *37910:A_N 0 +14 *1354:11 *37910:C 0 +15 *1354:11 *38156:B 0 +16 *1354:11 *39951:A 0 +17 *1354:11 *4187:49 0 +18 *1354:11 *4187:51 0 +19 *1354:11 *4192:38 0 +20 *1354:11 *4198:17 0 +21 *1354:11 *4504:66 0 +22 *1354:15 *38138:A 0 +23 *1354:15 *3390:47 0 +24 *1354:15 *3390:52 0 +25 *1354:15 *4127:23 0 +26 *1354:15 *4187:49 0 +27 *1354:15 *4198:17 0 +28 *1354:21 *37337:A 0 +29 *1354:21 *37940:C 0 +30 *1354:21 *38138:B 0 +31 *1354:21 *1468:15 0 +32 *1354:21 *1774:23 0 +33 *1354:21 *4187:49 0 +34 *1354:21 *4198:15 0 +35 *1354:21 *4198:17 0 +36 *1354:32 *39563:A 0 +37 *1354:32 *1481:19 0 +38 *1354:32 *1779:10 0 +39 *1354:32 *4420:54 0 +40 *1354:41 *1473:9 0 +41 *1354:41 *1774:16 0 +42 *1354:41 *4187:32 0 +43 *1354:41 *4213:23 0 +44 *1354:41 *4693:38 0 +45 *1354:49 *1774:16 0 +46 *1354:49 *2358:16 0 +47 *1354:49 *3589:13 0 +48 *1354:49 *3885:34 0 +49 *1354:49 *4187:32 0 +50 *1354:49 *4199:13 0 +51 *1354:49 *4208:33 0 +52 *1354:49 *4398:70 0 +53 *1354:49 *4482:22 0 +54 *37797:A *1354:49 0 +55 *1297:18 *1354:49 0 +*RES +1 *37910:X *1354:11 48.9429 +2 *1354:11 *1354:15 21.8036 +3 *1354:15 *1354:21 49.5536 +4 *1354:21 *1354:32 18.587 +5 *1354:32 *1354:41 46.0901 +6 *1354:41 *1354:49 29.2447 +7 *1354:49 *40054:A 22.5143 +8 *1354:49 *7932:DIODE 9.3 +*END + +*D_NET *1355 0.0102457 +*CONN +*I *7931:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40053:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37912:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *7931:DIODE 0 +2 *40053:A 0.000189986 +3 *37912:X 0.000802393 +4 *1355:25 0.00220875 +5 *1355:17 0.00413045 +6 *1355:14 0.00291408 +7 *40053:A *1356:23 0 +8 *40053:A *1485:34 0 +9 *40053:A *2908:10 0 +10 *1355:14 *5809:DIODE 0 +11 *1355:14 *37918:C 0 +12 *1355:14 *1360:14 0 +13 *1355:14 *2797:14 0 +14 *1355:14 *3563:56 0 +15 *1355:14 *3589:45 0 +16 *1355:14 *3604:56 0 +17 *1355:14 *3609:38 0 +18 *1355:17 *3563:56 0 +19 *1355:17 *3589:25 0 +20 *1355:17 *3589:38 0 +21 *1355:17 *3593:54 0 +22 *1355:17 *3604:44 0 +23 *1355:17 *3604:56 0 +24 *1355:17 *3617:25 0 +25 *1355:17 *4420:84 0 +26 *1355:25 *5851:DIODE 0 +27 *1355:25 *37915:A 0 +28 *1355:25 *39441:B 0 +29 *1355:25 *1356:23 0 +30 *1355:25 *1367:8 0 +31 *1355:25 *1369:6 0 +32 *1355:25 *1773:8 0 +33 *1355:25 *2952:21 0 +34 *1355:25 *3604:34 0 +35 *7403:DIODE *1355:25 0 +36 *37537:A *1355:25 0 +37 *39441:A *1355:25 0 +*RES +1 *37912:X *1355:14 44.5321 +2 *1355:14 *1355:17 48.5714 +3 *1355:17 *1355:25 47.6429 +4 *1355:25 *40053:A 26.5286 +5 *1355:25 *7931:DIODE 9.3 +*END + +*D_NET *1356 0.012407 +*CONN +*I *5812:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37915:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37914:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *5812:DIODE 0 +2 *37915:A 0.000186954 +3 *37914:X 0.000458154 +4 *1356:23 0.0024529 +5 *1356:11 0.00555839 +6 *1356:10 0.0037506 +7 *37915:A *1471:9 0 +8 *37915:A *2952:11 0 +9 *37915:A *2952:21 0 +10 *37915:A *4646:10 0 +11 *1356:10 *1783:10 0 +12 *1356:10 *2790:10 0 +13 *1356:10 *3637:40 0 +14 *1356:10 *3883:41 0 +15 *1356:11 *37771:A 0 +16 *1356:11 *1486:35 0 +17 *1356:11 *1486:37 0 +18 *1356:11 *2908:11 0 +19 *1356:11 *2908:15 0 +20 *1356:11 *5068:11 0 +21 *1356:23 *1482:13 0 +22 *1356:23 *1485:34 0 +23 *1356:23 *2791:38 0 +24 *1356:23 *2952:11 0 +25 *1356:23 *3880:34 0 +26 *1356:23 *4646:11 0 +27 *40053:A *1356:23 0 +28 *321:13 *1356:10 0 +29 *1159:20 *1356:23 0 +30 *1266:8 *1356:23 0 +31 *1341:14 *1356:23 0 +32 *1355:25 *37915:A 0 +33 *1355:25 *1356:23 0 +*RES +1 *37914:X *1356:10 28.5857 +2 *1356:10 *1356:11 68.7143 +3 *1356:11 *1356:23 42.9404 +4 *1356:23 *37915:A 13.2643 +5 *1356:23 *5812:DIODE 9.3 +*END + +*D_NET *1357 0.0181007 +*CONN +*I *40051:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7929:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37916:X O *D sky130_fd_sc_hd__and3b_2 +*CAP +1 *40051:A 0.00014075 +2 *7929:DIODE 0 +3 *37916:X 0.00115567 +4 *1357:29 0.00253094 +5 *1357:27 0.00262442 +6 *1357:21 0.00328903 +7 *1357:20 0.0030548 +8 *1357:18 0.0020747 +9 *1357:14 0.00323037 +10 *40051:A *2897:7 0 +11 *40051:A *2900:5 0 +12 *1357:14 *5814:DIODE 0 +13 *1357:14 *8379:DIODE 0 +14 *1357:14 *40665:A 0 +15 *1357:14 *1483:36 0 +16 *1357:14 *3589:13 0 +17 *1357:14 *4436:23 0 +18 *1357:14 *5050:18 0 +19 *1357:18 *2455:9 0 +20 *1357:18 *2499:9 0 +21 *1357:18 *2788:8 0 +22 *1357:18 *3597:57 0 +23 *1357:21 *2783:9 0 +24 *1357:21 *2898:17 0 +25 *1357:21 *2901:21 0 +26 *1357:27 *40049:A 0 +27 *1357:27 *2780:9 0 +28 *1357:27 *2898:27 0 +29 *1357:27 *2901:21 0 +30 *1357:29 *40046:A 0 +31 *1357:29 *2780:9 0 +32 *1357:29 *2897:7 0 +33 *1357:29 *2898:27 0 +34 *1357:29 *2900:5 0 +35 *291:33 *1357:14 0 +36 *291:35 *1357:14 0 +37 *324:11 *1357:18 0 +*RES +1 *37916:X *1357:14 44.425 +2 *1357:14 *1357:18 48.8214 +3 *1357:18 *1357:20 4.5 +4 *1357:20 *1357:21 63.7857 +5 *1357:21 *1357:27 4.98214 +6 *1357:27 *1357:29 49.8214 +7 *1357:29 *7929:DIODE 9.3 +8 *1357:29 *40051:A 12.3 +*END + +*D_NET *1358 0.00893197 +*CONN +*I *40050:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7928:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37918:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *40050:A 0.000175831 +2 *7928:DIODE 0 +3 *37918:X 0.000874638 +4 *1358:25 0.00120415 +5 *1358:15 0.00341552 +6 *1358:14 0.00326183 +7 *40050:A *1486:32 0 +8 *40050:A *2908:11 0 +9 *40050:A *5068:22 0 +10 *1358:14 *37333:A 0 +11 *1358:14 *1469:10 0 +12 *1358:14 *2791:14 0 +13 *1358:14 *2914:8 0 +14 *1358:15 *3570:25 0 +15 *1358:25 *39956:A 0 +16 *1358:25 *1478:10 0 +17 *1358:25 *1486:22 0 +18 *1358:25 *2908:11 0 +19 *1358:25 *2912:14 0 +20 *1358:25 *5068:22 0 +21 *1358:25 *5068:25 0 +22 *37521:A *1358:15 0 +23 *40059:A *1358:14 0 +24 *1154:26 *1358:15 0 +25 *1346:24 *1358:14 0 +*RES +1 *37918:X *1358:14 32.5803 +2 *1358:14 *1358:15 49.8214 +3 *1358:15 *1358:25 30.8036 +4 *1358:25 *7928:DIODE 9.3 +5 *1358:25 *40050:A 13.0321 +*END + +*D_NET *1359 0.00303824 +*CONN +*I *37921:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37920:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *37921:A 0.000478295 +2 *37920:X 0.00104082 +3 *1359:14 0.00151912 +4 *37921:A *3570:25 0 +5 *1359:14 *37734:A_N 0 +6 *1359:14 *1482:13 0 +7 *1359:14 *1483:10 0 +8 *1359:14 *4192:11 0 +9 *1359:14 *4210:13 0 +10 *1359:14 *4429:36 0 +11 *1167:21 *37921:A 0 +*RES +1 *37920:X *1359:14 41.3714 +2 *1359:14 *37921:A 19.2821 +*END + +*D_NET *1360 0.0128972 +*CONN +*I *40048:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7926:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37922:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *40048:A 0.00014075 +2 *7926:DIODE 0 +3 *37922:X 0.00126008 +4 *1360:19 0.00499472 +5 *1360:17 0.00504777 +6 *1360:14 0.00145388 +7 *40048:A *4676:11 0 +8 *40048:A *4688:9 0 +9 *1360:14 *37922:B 0 +10 *1360:14 *1472:9 0 +11 *1360:14 *3563:56 0 +12 *1360:14 *3589:51 0 +13 *1360:14 *3604:56 0 +14 *1360:14 *4515:20 0 +15 *1360:19 *4676:9 0 +16 *1360:19 *4676:11 0 +17 *1360:19 *4688:9 0 +18 *37889:A *1360:19 0 +19 *1355:14 *1360:14 0 +*RES +1 *37922:X *1360:14 46.8536 +2 *1360:14 *1360:17 4.04464 +3 *1360:17 *1360:19 101.366 +4 *1360:19 *7926:DIODE 9.3 +5 *1360:19 *40048:A 12.3 +*END + +*D_NET *1361 0.00270453 +*CONN +*I *40047:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37924:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *40047:A 0.000165879 +2 *37924:X 0.00118639 +3 *1361:13 0.00135226 +4 *40047:A *5833:DIODE 0 +5 *40047:A *1362:8 0 +6 *40047:A *3547:49 0 +7 *40047:A *3637:46 0 +8 *1361:13 *1482:13 0 +9 *1361:13 *3585:32 0 +10 *1361:13 *3628:26 0 +11 *1341:14 *1361:13 0 +*RES +1 *37924:X *1361:13 47.6929 +2 *1361:13 *40047:A 17.5679 +*END + +*D_NET *1362 0.0159285 +*CONN +*I *40045:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7924:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37926:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *40045:A 0.000187838 +2 *7924:DIODE 0 +3 *37926:X 0.000633725 +4 *1362:21 0.00189304 +5 *1362:9 0.0071427 +6 *1362:8 0.00607123 +7 *40045:A *1501:18 0 +8 *40045:A *2761:20 0 +9 *40045:A *2784:11 0 +10 *1362:8 *5833:DIODE 0 +11 *1362:8 *2898:10 0 +12 *1362:9 *2790:17 0 +13 *1362:9 *2914:19 0 +14 *1362:9 *4651:12 0 +15 *1362:21 *1373:13 0 +16 *1362:21 *2761:20 0 +17 *1362:21 *2784:11 0 +18 *1362:21 *2911:44 0 +19 *1362:21 *3554:63 0 +20 *40047:A *1362:8 0 +21 *294:61 *1362:21 0 +22 *294:62 *1362:9 0 +23 *317:15 *1362:8 0 +24 *1292:14 *1362:21 0 +*RES +1 *37926:X *1362:8 32.6929 +2 *1362:8 *1362:9 113.482 +3 *1362:9 *1362:21 38.6049 +4 *1362:21 *7924:DIODE 9.3 +5 *1362:21 *40045:A 22.5143 +*END + +*D_NET *1363 0.00571594 +*CONN +*I *40044:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37928:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *40044:A 0 +2 *37928:X 0.00285797 +3 *1363:22 0.00285797 +4 *1363:22 *1372:24 0 +5 *1363:22 *1380:16 0 +6 *1363:22 *3606:46 0 +7 *1363:22 *3622:37 0 +8 *1363:22 *3881:36 0 +9 *1363:22 *3884:132 0 +10 *1363:22 *3892:56 0 +11 *1363:22 *4153:66 0 +12 *1165:11 *1363:22 0 +*RES +1 *37928:X *1363:22 46.4307 +2 *1363:22 *40044:A 13.8 +*END + +*D_NET *1364 0.0146295 +*CONN +*I *40043:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7923:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37930:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *40043:A 0.000186552 +2 *7923:DIODE 0 +3 *37930:X 0.00119508 +4 *1364:34 0.000272244 +5 *1364:31 0.00227609 +6 *1364:30 0.00227609 +7 *1364:27 0.0021777 +8 *1364:24 0.00357132 +9 *1364:16 0.00267439 +10 *40043:A *2489:9 0 +11 *40043:A *2773:21 0 +12 *40043:A *2892:50 0 +13 *1364:16 *5599:DIODE 0 +14 *1364:16 *37930:B 0 +15 *1364:16 *1394:24 0 +16 *1364:16 *2454:10 0 +17 *1364:16 *2764:20 0 +18 *1364:16 *3063:22 0 +19 *1364:16 *3169:54 0 +20 *1364:16 *3344:28 0 +21 *1364:16 *3579:59 0 +22 *1364:16 *4399:26 0 +23 *1364:16 *4667:14 0 +24 *1364:16 *4749:13 0 +25 *1364:24 *2455:14 0 +26 *1364:24 *2774:11 0 +27 *1364:24 *2785:14 0 +28 *1364:27 *39677:A 0 +29 *1364:27 *2767:15 0 +30 *1364:30 *4960:10 0 +31 *1364:31 *2455:23 0 +32 *1364:31 *2779:17 0 +33 *1364:31 *4736:9 0 +34 *1364:34 *2892:50 0 +35 *37557:A *1364:16 0 +36 *312:38 *1364:27 0 +37 *337:41 *40043:A 0 +38 *337:41 *1364:34 0 +39 *1029:31 *1364:31 0 +*RES +1 *37930:X *1364:16 49.8536 +2 *1364:16 *1364:24 44.875 +3 *1364:24 *1364:27 48.1607 +4 *1364:27 *1364:30 6.44643 +5 *1364:30 *1364:31 45.7143 +6 *1364:31 *1364:34 6.44643 +7 *1364:34 *7923:DIODE 13.8 +8 *1364:34 *40043:A 17.8357 +*END + +*D_NET *1365 0.0136119 +*CONN +*I *40042:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7922:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37932:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *40042:A 0.00018766 +2 *7922:DIODE 0 +3 *37932:X 0.000892852 +4 *1365:27 0.00351947 +5 *1365:26 0.00377484 +6 *1365:20 0.00239362 +7 *1365:10 0.00284344 +8 *40042:A *1480:29 0 +9 *40042:A *1483:48 0 +10 *40042:A *1484:23 0 +11 *40042:A *2784:11 0 +12 *40042:A *2892:19 0 +13 *40042:A *3573:41 0 +14 *1365:10 *37932:A_N 0 +15 *1365:10 *37932:B 0 +16 *1365:10 *41209:A 0 +17 *1365:10 *3547:49 0 +18 *1365:10 *3644:52 0 +19 *1365:10 *4693:38 0 +20 *1365:20 *1479:11 0 +21 *1365:20 *1483:36 0 +22 *1365:20 *1784:12 0 +23 *1365:20 *2914:13 0 +24 *1365:26 *1479:22 0 +25 *1365:26 *3556:58 0 +26 *1365:27 *5779:DIODE 0 +27 *1365:27 *39944:A 0 +28 *1365:27 *1483:37 0 +29 *1365:27 *1484:16 0 +30 *1365:27 *2784:11 0 +31 *1365:27 *2790:16 0 +32 *1365:27 *3556:41 0 +33 *1365:27 *3573:41 0 +34 *1365:27 *3573:50 0 +35 *1365:27 *4724:14 0 +36 *37533:A *1365:10 0 +37 *307:13 *1365:10 0 +38 *1165:14 *1365:10 0 +39 *1342:8 *1365:10 0 +*RES +1 *37932:X *1365:10 38.4964 +2 *1365:10 *1365:20 48.7046 +3 *1365:20 *1365:26 9.74719 +4 *1365:26 *1365:27 69.5357 +5 *1365:27 *7922:DIODE 9.3 +6 *1365:27 *40042:A 22.4607 +*END + +*D_NET *1366 0.0164871 +*CONN +*I *37935:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *5848:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37934:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *37935:A 0.000181811 +2 *5848:DIODE 0 +3 *37934:X 0.000462046 +4 *1366:16 0.000668495 +5 *1366:13 0.00590451 +6 *1366:12 0.00711299 +7 *1366:8 0.00215721 +8 *37935:A *2512:9 0 +9 *37935:A *2589:36 0 +10 *37935:A *2697:7 0 +11 *1366:8 *38184:B 0 +12 *1366:8 *4158:54 0 +13 *1366:8 *4417:12 0 +14 *1366:8 *5045:6 0 +15 *1366:12 *38184:A 0 +16 *1366:12 *39448:B 0 +17 *1366:12 *5045:6 0 +18 *1366:13 *39941:A 0 +19 *1366:13 *2781:13 0 +20 *1366:13 *2785:15 0 +21 *1366:16 *7849:DIODE 0 +22 *1366:16 *39941:A 0 +23 *1366:16 *2589:36 0 +24 *1366:16 *2780:8 0 +25 *1366:16 *5043:8 0 +26 *7404:DIODE *1366:8 0 +27 *260:14 *1366:13 0 +28 *309:19 *1366:8 0 +29 *309:19 *1366:12 0 +30 *315:35 *1366:12 0 +31 *334:13 *37935:A 0 +32 *334:13 *1366:16 0 +*RES +1 *37934:X *1366:8 24.3268 +2 *1366:8 *1366:12 43.0268 +3 *1366:12 *1366:13 113.071 +4 *1366:13 *1366:16 15.5536 +5 *1366:16 *5848:DIODE 13.8 +6 *1366:16 *37935:A 17.9071 +*END + +*D_NET *1367 0.0157193 +*CONN +*I *5852:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37937:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37936:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *5852:DIODE 0.00014075 +2 *37937:A 0 +3 *37936:X 4.53482e-05 +4 *1367:19 0.00196409 +5 *1367:11 0.00530959 +6 *1367:10 0.00348625 +7 *1367:8 0.00236397 +8 *1367:7 0.00240932 +9 *5852:DIODE *2512:7 0 +10 *1367:7 *37936:B 0 +11 *1367:7 *3589:25 0 +12 *1367:8 *5851:DIODE 0 +13 *1367:8 *1651:15 0 +14 *1367:8 *4181:46 0 +15 *1367:8 *5068:26 0 +16 *1367:11 *2670:15 0 +17 *1367:19 *2512:7 0 +18 *1367:19 *2670:13 0 +19 *1367:19 *2670:15 0 +20 *7403:DIODE *1367:8 0 +21 *37537:A *1367:8 0 +22 *293:24 *5852:DIODE 0 +23 *293:24 *1367:19 0 +24 *308:11 *1367:8 0 +25 *1030:7 *1367:8 0 +26 *1167:30 *1367:8 0 +27 *1355:25 *1367:8 0 +*RES +1 *37936:X *1367:7 14.7464 +2 *1367:7 *1367:8 53.5536 +3 *1367:8 *1367:10 4.5 +4 *1367:10 *1367:11 72.8214 +5 *1367:11 *1367:19 38.2679 +6 *1367:19 *37937:A 9.3 +7 *1367:19 *5852:DIODE 12.3 +*END + +*D_NET *1368 0.0106299 +*CONN +*I *37939:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *5856:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37938:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *37939:A 0.00014075 +2 *5856:DIODE 0 +3 *37938:X 0.000244335 +4 *1368:13 0.00309865 +5 *1368:12 0.00492984 +6 *1368:8 0.00221628 +7 *37939:A *5053:22 0 +8 *37939:A *5063:17 0 +9 *1368:8 *5855:DIODE 0 +10 *1368:8 *1784:12 0 +11 *1368:8 *4417:34 0 +12 *1368:12 *5325:DIODE 0 +13 *1368:12 *37540:B 0 +14 *1368:12 *2767:14 0 +15 *1368:12 *2797:57 0 +16 *1368:12 *3466:44 0 +17 *1368:12 *3570:24 0 +18 *1368:12 *4676:16 0 +19 *1368:12 *4688:15 0 +20 *1368:12 *4712:15 0 +21 *1368:13 *2609:19 0 +22 *1368:13 *4642:21 0 +23 *1368:13 *4642:25 0 +24 *1368:13 *5053:22 0 +25 *1368:13 *5063:17 0 +26 *1009:16 *1368:12 0 +*RES +1 *37938:X *1368:8 19.3179 +2 *1368:8 *1368:12 49.25 +3 *1368:12 *1368:13 61.7321 +4 *1368:13 *5856:DIODE 9.3 +5 *1368:13 *37939:A 12.3 +*END + +*D_NET *1369 0.0173238 +*CONN +*I *40041:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7921:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37940:X O *D sky130_fd_sc_hd__and3b_2 +*CAP +1 *40041:A 0.000197738 +2 *7921:DIODE 0 +3 *37940:X 0.00142255 +4 *1369:24 0.000617665 +5 *1369:21 0.00284648 +6 *1369:19 0.00380454 +7 *1369:9 0.00419513 +8 *1369:8 0.00281715 +9 *1369:6 0.00142255 +10 *40041:A *2773:12 0 +11 *40041:A *2898:11 0 +12 *1369:6 *6253:DIODE 0 +13 *1369:6 *6262:DIODE 0 +14 *1369:6 *37936:A_N 0 +15 *1369:6 *37940:C 0 +16 *1369:6 *39441:B 0 +17 *1369:6 *1485:12 0 +18 *1369:6 *2913:10 0 +19 *1369:6 *3149:44 0 +20 *1369:6 *3381:40 0 +21 *1369:6 *3381:55 0 +22 *1369:6 *3604:34 0 +23 *1369:6 *4167:40 0 +24 *1369:6 *4475:45 0 +25 *1369:6 *4800:28 0 +26 *1369:9 *2772:15 0 +27 *1369:9 *4664:7 0 +28 *1369:19 *1483:22 0 +29 *1369:19 *2772:15 0 +30 *1369:19 *2772:26 0 +31 *1369:19 *2798:17 0 +32 *1369:19 *3547:35 0 +33 *1369:19 *3547:49 0 +34 *1369:19 *4664:25 0 +35 *1369:21 *2772:27 0 +36 *1369:21 *2798:17 0 +37 *1369:21 *3547:35 0 +38 *1369:24 *2773:12 0 +39 *318:63 *1369:24 0 +40 *1341:15 *1369:9 0 +41 *1355:25 *1369:6 0 +*RES +1 *37940:X *1369:6 46.1036 +2 *1369:6 *1369:8 4.5 +3 *1369:8 *1369:9 58.8571 +4 *1369:9 *1369:19 28.9464 +5 *1369:19 *1369:21 50.6429 +6 *1369:21 *1369:24 14.0357 +7 *1369:24 *7921:DIODE 13.8 +8 *1369:24 *40041:A 18.2107 +*END + +*D_NET *1370 0.0187432 +*CONN +*I *37943:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *5863:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37942:X O *D sky130_fd_sc_hd__and3b_2 +*CAP +1 *37943:A 0.00016428 +2 *5863:DIODE 0 +3 *37942:X 0.000929143 +4 *1370:38 0.00135921 +5 *1370:35 0.0016416 +6 *1370:27 0.00223228 +7 *1370:21 0.00494031 +8 *1370:20 0.00485098 +9 *1370:17 0.00262543 +10 *37943:A *2647:33 0 +11 *37943:A *2663:25 0 +12 *1370:17 *3868:44 0 +13 *1370:17 *4208:21 0 +14 *1370:20 *39938:A 0 +15 *1370:20 *1383:49 0 +16 *1370:20 *1484:46 0 +17 *1370:20 *2499:37 0 +18 *1370:20 *2750:12 0 +19 *1370:20 *2779:16 0 +20 *1370:20 *3614:60 0 +21 *1370:20 *4947:17 0 +22 *1370:21 *2597:13 0 +23 *1370:27 *2597:10 0 +24 *1370:27 *2698:11 0 +25 *1370:27 *2780:27 0 +26 *1370:27 *5009:6 0 +27 *1370:35 *2559:26 0 +28 *1370:35 *2597:10 0 +29 *1370:35 *2770:47 0 +30 *1370:35 *2778:51 0 +31 *1370:35 *4677:11 0 +32 *1370:38 *39776:A 0 +33 *1370:38 *2487:30 0 +34 *1370:38 *2503:16 0 +35 *282:9 *1370:35 0 +36 *291:15 *1370:38 0 +37 *291:28 *1370:21 0 +38 *295:13 *1370:35 0 +39 *299:24 *1370:20 0 +40 *310:23 *1370:20 0 +41 *1181:24 *1370:20 0 +*RES +1 *37942:X *1370:17 35.9165 +2 *1370:17 *1370:20 43.0268 +3 *1370:20 *1370:21 65.8393 +4 *1370:21 *1370:27 46.5714 +5 *1370:27 *1370:35 23.0536 +6 *1370:35 *1370:38 31.6429 +7 *1370:38 *5863:DIODE 9.3 +8 *1370:38 *37943:A 12.7286 +*END + +*D_NET *1371 0.00922106 +*CONN +*I *37945:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *5865:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37944:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *37945:A 0 +2 *5865:DIODE 0.000192651 +3 *37944:X 0.00112772 +4 *1371:23 0.00161945 +5 *1371:10 0.00329016 +6 *1371:7 0.00299108 +7 *5865:DIODE *2454:30 0 +8 *5865:DIODE *2500:17 0 +9 *5865:DIODE *2775:17 0 +10 *1371:7 *4146:23 0 +11 *1371:10 *5397:DIODE 0 +12 *1371:10 *37584:A 0 +13 *1371:10 *2454:26 0 +14 *1371:10 *2855:16 0 +15 *1371:10 *2869:14 0 +16 *1371:10 *3452:24 0 +17 *1371:10 *3629:115 0 +18 *1371:10 *3635:80 0 +19 *1371:10 *3836:40 0 +20 *1371:10 *3855:36 0 +21 *1371:10 *4398:25 0 +22 *1371:23 *39870:A 0 +23 *1371:23 *2500:17 0 +24 *1371:23 *2632:29 0 +25 *1371:23 *2891:12 0 +26 *321:9 *1371:23 0 +27 *322:25 *5865:DIODE 0 +28 *326:19 *1371:10 0 +29 *330:15 *1371:23 0 +30 *330:17 *1371:23 0 +31 *332:20 *1371:23 0 +*RES +1 *37944:X *1371:7 37.3357 +2 *1371:7 *1371:10 46.8214 +3 *1371:10 *1371:23 39.8214 +4 *1371:23 *5865:DIODE 22.5857 +5 *1371:23 *37945:A 9.3 +*END + +*D_NET *1372 0.0066955 +*CONN +*I *37947:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37946:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *37947:A 0.000577547 +2 *37946:X 0.00130761 +3 *1372:44 0.00204014 +4 *1372:24 0.0027702 +5 *37947:A *39451:B 0 +6 *37947:A *1662:16 0 +7 *37947:A *2908:15 0 +8 *37947:A *3579:47 0 +9 *37947:A *3586:52 0 +10 *37947:A *3586:68 0 +11 *37947:A *4667:14 0 +12 *1372:24 *8814:DIODE 0 +13 *1372:24 *37968:C 0 +14 *1372:24 *40918:A 0 +15 *1372:24 *41191:A 0 +16 *1372:24 *1373:13 0 +17 *1372:24 *1383:15 0 +18 *1372:24 *1394:39 0 +19 *1372:24 *3066:18 0 +20 *1372:24 *3601:31 0 +21 *1372:24 *3881:36 0 +22 *1372:24 *3897:92 0 +23 *1372:24 *4169:59 0 +24 *1372:24 *4183:57 0 +25 *1372:24 *4383:63 0 +26 *1372:44 *5330:DIODE 0 +27 *1372:44 *2761:8 0 +28 *1372:44 *2908:23 0 +29 *1372:44 *2911:34 0 +30 *1372:44 *3614:70 0 +31 *334:15 *1372:24 0 +32 *1363:22 *1372:24 0 +*RES +1 *37946:X *1372:24 48.6409 +2 *1372:24 *1372:44 49.2321 +3 *1372:44 *37947:A 21.3536 +*END + +*D_NET *1373 0.0100296 +*CONN +*I *37949:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *5872:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37948:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *37949:A 0 +2 *5872:DIODE 0.000104386 +3 *37948:X 2.0535e-05 +4 *1373:13 0.00185923 +5 *1373:7 0.00488986 +6 *1373:5 0.00315555 +7 *5872:DIODE *2499:9 0 +8 *5872:DIODE *3597:57 0 +9 *1373:5 *3896:21 0 +10 *1373:7 *2796:15 0 +11 *1373:7 *3896:21 0 +12 *1373:13 *6319:DIODE 0 +13 *1373:13 *37560:A 0 +14 *1373:13 *39467:B 0 +15 *1373:13 *1483:48 0 +16 *1373:13 *1509:20 0 +17 *1373:13 *1661:20 0 +18 *1373:13 *1799:10 0 +19 *1373:13 *2499:9 0 +20 *1373:13 *2911:34 0 +21 *1373:13 *3169:53 0 +22 *1373:13 *3597:57 0 +23 *1373:13 *3841:68 0 +24 *1373:13 *4667:24 0 +25 *1373:13 *4824:23 0 +26 *334:15 *1373:13 0 +27 *1179:8 *1373:13 0 +28 *1185:28 *1373:13 0 +29 *1297:5 *1373:7 0 +30 *1297:14 *1373:7 0 +31 *1362:21 *1373:13 0 +32 *1372:24 *1373:13 0 +*RES +1 *37948:X *1373:5 9.72857 +2 *1373:5 *1373:7 65.4286 +3 *1373:7 *1373:13 48.8214 +4 *1373:13 *5872:DIODE 11.4786 +5 *1373:13 *37949:A 9.3 +*END + +*D_NET *1374 0.0120436 +*CONN +*I *5874:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37951:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37950:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *5874:DIODE 0 +2 *37951:A 0.00016428 +3 *37950:X 8.4707e-05 +4 *1374:16 0.0011858 +5 *1374:11 0.00321193 +6 *1374:10 0.0021904 +7 *1374:8 0.0025609 +8 *1374:7 0.0026456 +9 *37951:A *2550:17 0 +10 *37951:A *4669:10 0 +11 *1374:7 *4132:58 0 +12 *1374:8 *38161:A 0 +13 *1374:8 *40926:A 0 +14 *1374:8 *41213:A 0 +15 *1374:8 *1490:33 0 +16 *1374:8 *1675:32 0 +17 *1374:8 *1889:74 0 +18 *1374:8 *2487:23 0 +19 *1374:8 *2506:32 0 +20 *1374:8 *2770:28 0 +21 *1374:8 *2783:20 0 +22 *1374:8 *2909:20 0 +23 *1374:8 *3611:30 0 +24 *1374:8 *3834:36 0 +25 *1374:8 *3848:16 0 +26 *1374:8 *3870:53 0 +27 *1374:8 *3890:50 0 +28 *1374:8 *4202:9 0 +29 *1374:8 *4209:27 0 +30 *1374:8 *4997:8 0 +31 *1374:11 *2504:29 0 +32 *1374:11 *2896:11 0 +33 *1374:16 *2499:40 0 +34 *1374:16 *2511:16 0 +35 *1374:16 *4947:17 0 +36 *310:7 *1374:16 0 +37 *321:10 *1374:11 0 +38 *354:14 *1374:11 0 +*RES +1 *37950:X *1374:7 15.5679 +2 *1374:7 *1374:8 58.1071 +3 *1374:8 *1374:10 4.5 +4 *1374:10 *1374:11 45.7143 +5 *1374:11 *1374:16 32.1964 +6 *1374:16 *37951:A 12.7286 +7 *1374:16 *5874:DIODE 9.3 +*END + +*D_NET *1375 0.0126279 +*CONN +*I *37953:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *5878:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37952:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *37953:A 0.0001672 +2 *5878:DIODE 3.78222e-05 +3 *37952:X 0.000407194 +4 *1375:44 0.000891052 +5 *1375:40 0.00281501 +6 *1375:29 0.0030937 +7 *1375:22 0.00288674 +8 *1375:14 0.00232921 +9 *37953:A *2606:22 0 +10 *37953:A *2634:5 0 +11 *37953:A *4654:20 0 +12 *37953:A *4958:37 0 +13 *1375:14 *37980:C 0 +14 *1375:14 *3339:60 0 +15 *1375:14 *4162:51 0 +16 *1375:14 *4470:59 0 +17 *1375:22 *5954:DIODE 0 +18 *1375:22 *39898:A 0 +19 *1375:22 *1529:28 0 +20 *1375:22 *2158:59 0 +21 *1375:22 *2453:11 0 +22 *1375:22 *2900:32 0 +23 *1375:22 *3353:26 0 +24 *1375:22 *3596:72 0 +25 *1375:22 *3614:18 0 +26 *1375:22 *3639:34 0 +27 *1375:22 *4944:17 0 +28 *1375:29 *38167:A 0 +29 *1375:29 *4929:12 0 +30 *1375:40 *2170:28 0 +31 *1375:40 *2698:5 0 +32 *1375:40 *2748:10 0 +33 *1375:44 *2534:20 0 +34 *1375:44 *2754:37 0 +35 *1375:44 *2888:36 0 +36 *1375:44 *4936:19 0 +37 *294:26 *1375:29 0 +38 *298:11 *1375:40 0 +39 *298:11 *1375:44 0 +40 *298:18 *1375:29 0 +41 *298:18 *1375:40 0 +42 *325:48 *1375:29 0 +43 *325:48 *1375:40 0 +44 *335:20 *1375:22 0 +45 *338:82 *1375:40 0 +46 *1291:8 *1375:14 0 +47 *1291:8 *1375:22 0 +*RES +1 *37952:X *1375:14 23.0946 +2 *1375:14 *1375:22 48.2411 +3 *1375:22 *1375:29 20.4107 +4 *1375:29 *1375:40 49.9196 +5 *1375:40 *1375:44 15.6429 +6 *1375:44 *5878:DIODE 14.9875 +7 *1375:44 *37953:A 17.7018 +*END + +*D_NET *1376 0.00690224 +*CONN +*I *40040:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37954:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *40040:A 0.00106184 +2 *37954:X 0.00238928 +3 *1376:23 0.00345112 +4 *40040:A *2000:32 0 +5 *40040:A *2022:31 0 +6 *40040:A *2733:20 0 +7 *40040:A *3841:9 0 +8 *40040:A *3876:93 0 +9 *40040:A *4380:21 0 +10 *40040:A *4392:26 0 +11 *40040:A *4462:26 0 +12 *1376:23 *38216:A 0 +13 *1376:23 *1379:25 0 +14 *1376:23 *1895:52 0 +15 *1376:23 *1895:54 0 +16 *1376:23 *2004:65 0 +17 *1376:23 *2043:40 0 +18 *1376:23 *3213:18 0 +19 *1376:23 *3213:22 0 +20 *1376:23 *3890:50 0 +21 *1376:23 *3921:41 0 +22 *1376:23 *3921:46 0 +23 *1376:23 *4068:37 0 +24 *1376:23 *4137:44 0 +25 *1376:23 *4760:28 0 +*RES +1 *37954:X *1376:23 46.0675 +2 *1376:23 *40040:A 37.9518 +*END + +*D_NET *1377 0.016175 +*CONN +*I *37957:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *5884:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37956:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *37957:A 0.000163638 +2 *5884:DIODE 0 +3 *37956:X 0.000274071 +4 *1377:28 0.000163638 +5 *1377:26 0.00154008 +6 *1377:17 0.00520644 +7 *1377:16 0.00366636 +8 *1377:14 0.00244337 +9 *1377:13 0.00271744 +10 *37957:A *2623:23 0 +11 *37957:A *2888:37 0 +12 *1377:13 *1502:11 0 +13 *1377:13 *3241:23 0 +14 *1377:13 *3888:51 0 +15 *1377:14 *1507:12 0 +16 *1377:14 *1675:66 0 +17 *1377:14 *1800:18 0 +18 *1377:14 *1811:18 0 +19 *1377:14 *1925:50 0 +20 *1377:14 *2012:37 0 +21 *1377:14 *2897:20 0 +22 *1377:14 *3451:40 0 +23 *1377:14 *3577:65 0 +24 *1377:14 *3633:98 0 +25 *1377:14 *3829:20 0 +26 *1377:14 *3866:14 0 +27 *1377:14 *3884:40 0 +28 *1377:14 *3892:23 0 +29 *1377:17 *1388:17 0 +30 *1377:17 *2868:9 0 +31 *1377:17 *2895:19 0 +32 *1377:17 *5046:9 0 +33 *1377:26 *1497:49 0 +34 *1377:26 *2702:9 0 +35 *1377:26 *2765:47 0 +36 *1377:26 *5071:21 0 +37 *39479:A *1377:14 0 +38 *300:7 *1377:26 0 +39 *301:12 *1377:26 0 +40 *312:35 *1377:26 0 +41 *325:46 *1377:26 0 +42 *1277:32 *1377:14 0 +43 *1281:18 *1377:14 0 +*RES +1 *37956:X *1377:13 28.6036 +2 *1377:13 *1377:14 55.375 +3 *1377:14 *1377:16 4.5 +4 *1377:16 *1377:17 76.5179 +5 *1377:17 *1377:26 47.0893 +6 *1377:26 *1377:28 4.5 +7 *1377:28 *5884:DIODE 9.3 +8 *1377:28 *37957:A 12.7777 +*END + +*D_NET *1378 0.00180755 +*CONN +*I *40039:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37958:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *40039:A 0.000903777 +2 *37958:X 0.000903777 +3 *40039:A *1804:8 0 +4 *40039:A *1893:39 0 +5 *40039:A *2061:49 0 +6 *40039:A *3349:40 0 +*RES +1 *37958:X *40039:A 47.1357 +*END + +*D_NET *1379 0.00740329 +*CONN +*I *40038:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37960:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *40038:A 0.00109179 +2 *37960:X 0.00260985 +3 *1379:25 0.00370165 +4 *40038:A *5925:DIODE 0 +5 *40038:A *1387:58 0 +6 *40038:A *1912:57 0 +7 *40038:A *2166:75 0 +8 *40038:A *3339:60 0 +9 *40038:A *3851:16 0 +10 *1379:25 *37960:B 0 +11 *1379:25 *38216:A 0 +12 *1379:25 *1901:77 0 +13 *1379:25 *2004:65 0 +14 *1379:25 *2067:46 0 +15 *1379:25 *3374:43 0 +16 *1379:25 *3374:60 0 +17 *1379:25 *4068:37 0 +18 *1379:25 *4123:28 0 +19 *1379:25 *4123:32 0 +20 *1379:25 *4152:66 0 +21 *1379:25 *4159:49 0 +22 *1379:25 *4395:53 0 +23 *1376:23 *1379:25 0 +*RES +1 *37960:X *1379:25 46.1118 +2 *1379:25 *40038:A 38.4696 +*END + +*D_NET *1380 0.0117699 +*CONN +*I *37963:A I *D sky130_fd_sc_hd__buf_4 +*I *5893:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37962:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *37963:A 0.000156152 +2 *5893:DIODE 0 +3 *37962:X 0.00117105 +4 *1380:29 0.00152097 +5 *1380:25 0.00278773 +6 *1380:22 0.00319293 +7 *1380:16 0.00294107 +8 *37963:A *2597:13 0 +9 *1380:16 *6318:DIODE 0 +10 *1380:16 *37962:B 0 +11 *1380:16 *1394:39 0 +12 *1380:16 *1483:48 0 +13 *1380:16 *3554:63 0 +14 *1380:16 *3579:47 0 +15 *1380:16 *4146:23 0 +16 *1380:16 *4389:58 0 +17 *1380:22 *39943:A 0 +18 *1380:22 *1480:29 0 +19 *1380:22 *2784:11 0 +20 *1380:22 *3573:31 0 +21 *1380:22 *3573:41 0 +22 *1380:25 *2784:11 0 +23 *1380:29 *2499:40 0 +24 *1380:29 *2510:9 0 +25 *1380:29 *2597:13 0 +26 *1380:29 *2770:17 0 +27 *1380:29 *3836:40 0 +28 *310:7 *1380:29 0 +29 *326:19 *1380:29 0 +30 *1363:22 *1380:16 0 +*RES +1 *37962:X *1380:16 47.6198 +2 *1380:16 *1380:22 46 +3 *1380:22 *1380:25 34.1964 +4 *1380:25 *1380:29 35.1607 +5 *1380:29 *5893:DIODE 9.3 +6 *1380:29 *37963:A 12.6214 +*END + +*D_NET *1381 0.0120728 +*CONN +*I *40037:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7920:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37964:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *40037:A 0.000172959 +2 *7920:DIODE 0 +3 *37964:X 0.00036592 +4 *1381:49 0.00043478 +5 *1381:48 0.00180094 +6 *1381:32 0.0033003 +7 *1381:13 0.0036966 +8 *1381:10 0.00230135 +9 *40037:A *3629:115 0 +10 *1381:10 *41215:A 0 +11 *1381:10 *4191:28 0 +12 *1381:10 *4205:25 0 +13 *1381:10 *4211:40 0 +14 *1381:13 *1799:13 0 +15 *1381:13 *4150:17 0 +16 *1381:13 *4158:20 0 +17 *1381:13 *4163:9 0 +18 *1381:13 *4211:37 0 +19 *1381:13 *4396:11 0 +20 *1381:13 *4824:23 0 +21 *1381:32 *1799:13 0 +22 *1381:32 *3567:32 0 +23 *1381:32 *4150:17 0 +24 *1381:32 *4183:41 0 +25 *1381:48 *37566:A 0 +26 *1381:48 *37944:A_N 0 +27 *1381:48 *38002:C 0 +28 *1381:48 *39913:A 0 +29 *1381:48 *3437:66 0 +30 *1381:48 *3645:115 0 +31 *1381:48 *3865:38 0 +32 *1381:48 *4161:19 0 +33 *1381:48 *4172:34 0 +34 *1381:48 *4388:30 0 +35 *1381:49 *3629:115 0 +*RES +1 *37964:X *1381:10 26.2821 +2 *1381:10 *1381:13 40.3929 +3 *1381:13 *1381:32 46.5 +4 *1381:32 *1381:48 45.3389 +5 *1381:48 *1381:49 5.46429 +6 *1381:49 *7920:DIODE 9.3 +7 *1381:49 *40037:A 22.0321 +*END + +*D_NET *1382 0.0119867 +*CONN +*I *40035:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7918:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37966:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *40035:A 0.000121678 +2 *7918:DIODE 0 +3 *37966:X 0.00130494 +4 *1382:45 0.0023523 +5 *1382:39 0.00284354 +6 *1382:29 0.00233612 +7 *1382:19 0.00302814 +8 *40035:A *1952:49 0 +9 *40035:A *2163:46 0 +10 *40035:A *2864:28 0 +11 *1382:19 *37966:A_N 0 +12 *1382:19 *39469:B 0 +13 *1382:19 *1918:27 0 +14 *1382:19 *3856:94 0 +15 *1382:19 *4385:45 0 +16 *1382:29 *39464:B 0 +17 *1382:29 *39469:B 0 +18 *1382:29 *3548:25 0 +19 *1382:29 *3598:31 0 +20 *1382:39 *1919:47 0 +21 *1382:39 *3619:31 0 +22 *1382:39 *4385:21 0 +23 *1382:45 *5656:DIODE 0 +24 *1382:45 *37802:B 0 +25 *1382:45 *1919:47 0 +26 *1382:45 *1923:25 0 +27 *1382:45 *1952:49 0 +28 *1382:45 *2163:46 0 +29 *1382:45 *3619:31 0 +30 *1382:45 *3828:103 0 +31 *39469:A *1382:29 0 +32 *1277:38 *1382:19 0 +*RES +1 *37966:X *1382:19 46.1036 +2 *1382:19 *1382:29 49.6786 +3 *1382:29 *1382:39 18.0179 +4 *1382:39 *1382:45 46.6786 +5 *1382:45 *7918:DIODE 9.3 +6 *1382:45 *40035:A 21.0857 +*END + +*D_NET *1383 0.00948435 +*CONN +*I *40034:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7917:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37968:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *40034:A 0.000120983 +2 *7917:DIODE 0 +3 *37968:X 0.000960714 +4 *1383:49 0.00162146 +5 *1383:33 0.00366048 +6 *1383:15 0.00312071 +7 *40034:A *3848:19 0 +8 *1383:15 *37968:A_N 0 +9 *1383:15 *37968:C 0 +10 *1383:15 *3364:22 0 +11 *1383:15 *3606:46 0 +12 *1383:15 *4146:23 0 +13 *1383:15 *4383:63 0 +14 *1383:15 *4383:85 0 +15 *1383:15 *4389:55 0 +16 *1383:33 *3601:31 0 +17 *1383:33 *3637:21 0 +18 *1383:33 *3869:20 0 +19 *1383:33 *3883:8 0 +20 *1383:33 *4400:55 0 +21 *1383:33 *4402:17 0 +22 *1383:49 *8248:DIODE 0 +23 *1383:49 *3586:29 0 +24 *1383:49 *3610:22 0 +25 *1383:49 *3843:15 0 +26 *1383:49 *3848:19 0 +27 *1383:49 *3852:37 0 +28 *7424:DIODE *1383:33 0 +29 *334:15 *1383:15 0 +30 *1181:24 *1383:33 0 +31 *1292:14 *1383:33 0 +32 *1370:20 *1383:49 0 +33 *1372:24 *1383:15 0 +*RES +1 *37968:X *1383:15 47.6571 +2 *1383:15 *1383:33 48.6495 +3 *1383:33 *1383:49 46.9123 +4 *1383:49 *7917:DIODE 9.3 +5 *1383:49 *40034:A 20.9964 +*END + +*D_NET *1384 0.00636724 +*CONN +*I *40032:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37970:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *40032:A 0.000982669 +2 *37970:X 0.000842138 +3 *1384:33 0.00234148 +4 *1384:23 0.00220095 +5 *40032:A *1923:8 0 +6 *40032:A *1935:20 0 +7 *40032:A *1940:18 0 +8 *40032:A *2161:35 0 +9 *40032:A *2195:21 0 +10 *40032:A *3565:25 0 +11 *40032:A *3580:43 0 +12 *1384:23 *5407:DIODE 0 +13 *1384:23 *41153:A 0 +14 *1384:23 *1393:86 0 +15 *1384:23 *1524:35 0 +16 *1384:23 *1893:26 0 +17 *1384:23 *2028:27 0 +18 *1384:23 *3857:19 0 +19 *1384:23 *3857:46 0 +20 *1384:23 *4142:13 0 +21 *1384:23 *4882:25 0 +22 *1384:33 *5407:DIODE 0 +23 *1384:33 *37982:B 0 +24 *1384:33 *1893:26 0 +25 *1384:33 *1894:36 0 +26 *1384:33 *1907:23 0 +27 *1384:33 *2028:27 0 +28 *1384:33 *2177:14 0 +29 *1384:33 *2177:17 0 +30 *1384:33 *2210:25 0 +*RES +1 *37970:X *1384:23 45.4071 +2 *1384:23 *1384:33 42.4107 +3 *1384:33 *40032:A 44.7821 +*END + +*D_NET *1385 0.0195282 +*CONN +*I *37973:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *5912:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37972:X O *D sky130_fd_sc_hd__and3b_2 +*CAP +1 *37973:A 0.00014075 +2 *5912:DIODE 0 +3 *37972:X 0.000806215 +4 *1385:63 0.000344468 +5 *1385:53 0.00460121 +6 *1385:52 0.0058289 +7 *1385:46 0.00260077 +8 *1385:22 0.00278453 +9 *1385:13 0.00242139 +10 *37973:A *2854:35 0 +11 *1385:13 *1395:44 0 +12 *1385:13 *1506:22 0 +13 *1385:13 *1907:23 0 +14 *1385:13 *2157:28 0 +15 *1385:13 *3152:43 0 +16 *1385:13 *3565:25 0 +17 *1385:13 *3591:17 0 +18 *1385:22 *7826:DIODE 0 +19 *1385:22 *1506:22 0 +20 *1385:22 *1523:22 0 +21 *1385:22 *1903:32 0 +22 *1385:22 *1909:47 0 +23 *1385:22 *1917:22 0 +24 *1385:22 *2174:92 0 +25 *1385:22 *2763:39 0 +26 *1385:22 *2862:15 0 +27 *1385:22 *3562:61 0 +28 *1385:22 *3832:30 0 +29 *1385:22 *3873:25 0 +30 *1385:46 *1679:15 0 +31 *1385:46 *1917:22 0 +32 *1385:46 *1918:20 0 +33 *1385:46 *1943:14 0 +34 *1385:46 *2168:24 0 +35 *1385:46 *2886:40 0 +36 *1385:52 *1922:26 0 +37 *1385:52 *1932:28 0 +38 *1385:52 *1935:28 0 +39 *1385:52 *2197:71 0 +40 *1385:53 *38221:A 0 +41 *1385:53 *39482:B 0 +42 *1385:53 *1814:19 0 +43 *1385:53 *2590:30 0 +44 *1385:53 *2610:14 0 +45 *1385:53 *2703:17 0 +46 *1385:53 *2703:19 0 +47 *1385:53 *2748:41 0 +48 *1385:53 *2854:21 0 +49 *1385:53 *2854:34 0 +50 *1385:53 *2854:35 0 +51 *1385:53 *2873:24 0 +52 *1385:63 *2854:35 0 +53 *39482:A *1385:53 0 +54 *339:35 *1385:46 0 +*RES +1 *37972:X *1385:13 40.4786 +2 *1385:13 *1385:22 49.5893 +3 *1385:22 *1385:46 43.375 +4 *1385:46 *1385:52 39.1786 +5 *1385:52 *1385:53 91.7143 +6 *1385:53 *1385:63 13.5 +7 *1385:63 *5912:DIODE 9.3 +8 *1385:63 *37973:A 12.3 +*END + +*D_NET *1386 0.00169977 +*CONN +*I *40031:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37974:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *40031:A 0 +2 *37974:X 0.000849886 +3 *1386:16 0.000849886 +4 *1386:16 *8597:DIODE 0 +5 *1386:16 *40880:A 0 +6 *1386:16 *41381:A 0 +7 *1386:16 *1889:25 0 +8 *1386:16 *1894:36 0 +9 *1386:16 *3873:32 0 +10 *1386:16 *4458:48 0 +*RES +1 *37974:X *1386:16 45.7464 +2 *1386:16 *40031:A 9.3 +*END + +*D_NET *1387 0.0229274 +*CONN +*I *40030:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7915:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37976:X O *D sky130_fd_sc_hd__and3b_2 +*CAP +1 *40030:A 0.000442444 +2 *7915:DIODE 0 +3 *37976:X 0.00177457 +4 *1387:93 0.00109154 +5 *1387:84 0.00296247 +6 *1387:70 0.00489821 +7 *1387:58 0.00428975 +8 *1387:37 0.00369938 +9 *1387:29 0.00376903 +10 *40030:A *1934:25 0 +11 *1387:29 *37976:B 0 +12 *1387:29 *37984:A_N 0 +13 *1387:29 *37992:A_N 0 +14 *1387:29 *1395:13 0 +15 *1387:29 *3629:68 0 +16 *1387:29 *3645:89 0 +17 *1387:29 *3839:75 0 +18 *1387:29 *4380:68 0 +19 *1387:29 *4380:87 0 +20 *1387:37 *8595:DIODE 0 +21 *1387:37 *1395:13 0 +22 *1387:37 *3591:17 0 +23 *1387:37 *3629:45 0 +24 *1387:37 *3839:49 0 +25 *1387:37 *3839:75 0 +26 *1387:37 *4387:50 0 +27 *1387:58 *1675:70 0 +28 *1387:58 *3596:72 0 +29 *1387:58 *3868:25 0 +30 *1387:58 *4142:13 0 +31 *1387:58 *4162:35 0 +32 *1387:58 *4380:21 0 +33 *1387:70 *40450:A 0 +34 *1387:70 *40907:A 0 +35 *1387:70 *41368:A 0 +36 *1387:70 *1804:8 0 +37 *1387:70 *1888:17 0 +38 *1387:70 *1890:42 0 +39 *1387:70 *1919:37 0 +40 *1387:70 *2170:32 0 +41 *1387:70 *2189:18 0 +42 *1387:70 *2189:23 0 +43 *1387:70 *2194:26 0 +44 *1387:70 *2889:14 0 +45 *1387:70 *3151:24 0 +46 *1387:70 *3200:16 0 +47 *1387:70 *3555:52 0 +48 *1387:70 *3580:81 0 +49 *1387:70 *4361:17 0 +50 *1387:70 *4380:21 0 +51 *1387:70 *4464:26 0 +52 *1387:84 *1912:26 0 +53 *1387:84 *1921:31 0 +54 *1387:84 *2194:26 0 +55 *1387:84 *2197:71 0 +56 *1387:84 *2202:39 0 +57 *1387:84 *3200:11 0 +58 *1387:84 *3561:28 0 +59 *1387:93 *1949:34 0 +60 *1387:93 *2886:50 0 +61 *1387:93 *3580:28 0 +62 *40038:A *1387:58 0 +63 *1198:42 *40030:A 0 +*RES +1 *37976:X *1387:29 47.1393 +2 *1387:29 *1387:37 46.25 +3 *1387:37 *1387:58 45.7962 +4 *1387:58 *1387:70 49.4155 +5 *1387:70 *1387:84 46.8529 +6 *1387:84 *1387:93 21.3112 +7 *1387:93 *7915:DIODE 9.3 +8 *1387:93 *40030:A 28.3714 +*END + +*D_NET *1388 0.0177497 +*CONN +*I *5923:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37979:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *37978:X O *D sky130_fd_sc_hd__and3b_2 +*CAP +1 *5923:DIODE 0.000165018 +2 *37979:A 2.94555e-05 +3 *37978:X 0.000207427 +4 *1388:28 0.00061444 +5 *1388:25 0.00273165 +6 *1388:17 0.00658661 +7 *1388:16 0.0057413 +8 *1388:12 0.00167381 +9 *5923:DIODE *2587:11 0 +10 *5923:DIODE *2587:20 0 +11 *5923:DIODE *5039:28 0 +12 *1388:16 *1529:28 0 +13 *1388:16 *1904:30 0 +14 *1388:16 *1911:37 0 +15 *1388:16 *2753:8 0 +16 *1388:16 *2770:47 0 +17 *1388:17 *2868:9 0 +18 *1388:17 *2874:19 0 +19 *1388:17 *2895:19 0 +20 *1388:25 *39875:A 0 +21 *1388:25 *2699:44 0 +22 *1388:25 *2703:29 0 +23 *1388:25 *2707:18 0 +24 *1388:25 *2868:9 0 +25 *1388:25 *2868:20 0 +26 *1388:25 *2874:19 0 +27 *1388:25 *2880:34 0 +28 *1388:28 *2693:94 0 +29 *344:50 *1388:28 0 +30 *1291:13 *1388:17 0 +31 *1377:17 *1388:17 0 +*RES +1 *37978:X *1388:12 18.7821 +2 *1388:12 *1388:16 37.8661 +3 *1388:16 *1388:17 89.25 +4 *1388:17 *1388:25 48.3393 +5 *1388:25 *1388:28 14.0357 +6 *1388:28 *37979:A 14.5321 +7 *1388:28 *5923:DIODE 17.3536 +*END + +*D_NET *1389 0.0105393 +*CONN +*I *40029:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7914:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37980:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *40029:A 0.000143745 +2 *7914:DIODE 0 +3 *37980:X 0.000400041 +4 *1389:38 0.000143745 +5 *1389:36 0.00205335 +6 *1389:32 0.00337707 +7 *1389:25 0.00267249 +8 *1389:13 0.00174881 +9 *40029:A *1681:35 0 +10 *40029:A *1915:30 0 +11 *1389:25 *1401:23 0 +12 *1389:25 *1920:44 0 +13 *1389:25 *2158:59 0 +14 *1389:25 *3552:55 0 +15 *1389:32 *39921:A 0 +16 *1389:32 *1401:23 0 +17 *1389:32 *1498:45 0 +18 *1389:32 *1915:30 0 +19 *1389:32 *1920:44 0 +20 *1389:36 *1497:42 0 +21 *1389:36 *2763:33 0 +22 *1389:36 *2765:31 0 +23 *1389:36 *2884:11 0 +24 *1389:36 *2886:15 0 +25 *300:10 *1389:25 0 +26 *301:12 *1389:36 0 +27 *1281:15 *1389:25 0 +*RES +1 *37980:X *1389:13 31.6929 +2 *1389:13 *1389:25 33.2918 +3 *1389:25 *1389:32 36.8393 +4 *1389:32 *1389:36 47.5357 +5 *1389:36 *1389:38 4.5 +6 *1389:38 *7914:DIODE 9.3 +7 *1389:38 *40029:A 12.3 +*END + +*D_NET *1390 0.0115071 +*CONN +*I *40028:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37982:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *40028:A 0.00139743 +2 *37982:X 0.00119878 +3 *1390:29 0.00321808 +4 *1390:22 0.00315732 +5 *1390:10 0.00253545 +6 *40028:A *41334:A 0 +7 *40028:A *1914:29 0 +8 *40028:A *1925:31 0 +9 *40028:A *1937:19 0 +10 *40028:A *1944:35 0 +11 *40028:A *1949:62 0 +12 *40028:A *1951:52 0 +13 *40028:A *2198:44 0 +14 *40028:A *2877:23 0 +15 *40028:A *4350:13 0 +16 *1390:10 *8404:DIODE 0 +17 *1390:10 *37982:B 0 +18 *1390:10 *40630:A 0 +19 *1390:10 *40700:A 0 +20 *1390:10 *1897:26 0 +21 *1390:10 *2202:64 0 +22 *1390:10 *2205:22 0 +23 *1390:10 *3555:52 0 +24 *1390:10 *3562:61 0 +25 *1390:10 *3832:30 0 +26 *1390:10 *3844:14 0 +27 *1390:22 *1401:40 0 +28 *1390:22 *1681:35 0 +29 *1390:22 *1935:26 0 +30 *1390:22 *2174:74 0 +31 *1390:22 *2765:47 0 +32 *1390:22 *4939:14 0 +33 *1390:29 *1922:17 0 +34 *1390:29 *2187:16 0 +35 *1390:29 *2751:22 0 +36 *1390:29 *2864:53 0 +37 *1390:29 *2872:17 0 +38 *301:31 *1390:10 0 +39 *315:24 *40028:A 0 +40 *315:24 *1390:22 0 +41 *318:28 *1390:22 0 +*RES +1 *37982:X *1390:10 45.3 +2 *1390:10 *1390:22 37.6298 +3 *1390:22 *1390:29 47.5714 +4 *1390:29 *40028:A 44.9503 +*END + +*D_NET *1391 0.00452123 +*CONN +*I *40027:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37984:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *40027:A 0.000734127 +2 *37984:X 0.00152649 +3 *1391:28 0.00226061 +4 *40027:A *1677:33 0 +5 *40027:A *1796:20 0 +6 *40027:A *1805:15 0 +7 *40027:A *2855:41 0 +8 *40027:A *2855:51 0 +9 *40027:A *3051:23 0 +10 *40027:A *3169:9 0 +11 *1391:28 *37966:C 0 +12 *1391:28 *3554:29 0 +13 *1391:28 *3587:56 0 +14 *1391:28 *3632:41 0 +15 *1391:28 *3639:52 0 +16 *1391:28 *3839:75 0 +17 *1391:28 *3856:94 0 +18 *37587:A *40027:A 0 +19 *39473:A *40027:A 0 +*RES +1 *37984:X *1391:28 44.4597 +2 *1391:28 *40027:A 24.6214 +*END + +*D_NET *1392 0.0137077 +*CONN +*I *40025:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7912:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37986:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *40025:A 0.000186591 +2 *7912:DIODE 0 +3 *37986:X 0.00108132 +4 *1392:32 0.000339138 +5 *1392:29 0.00248071 +6 *1392:28 0.0039122 +7 *1392:22 0.00310526 +8 *1392:14 0.00260253 +9 *40025:A *2487:23 0 +10 *40025:A *2770:38 0 +11 *40025:A *3597:10 0 +12 *40025:A *3892:38 0 +13 *1392:14 *2783:9 0 +14 *1392:14 *3556:29 0 +15 *1392:14 *3852:71 0 +16 *1392:22 *39453:B 0 +17 *1392:22 *39939:A 0 +18 *1392:22 *1483:49 0 +19 *1392:22 *1484:37 0 +20 *1392:22 *1484:46 0 +21 *1392:22 *1657:18 0 +22 *1392:22 *1785:10 0 +23 *1392:22 *2778:18 0 +24 *1392:22 *3547:18 0 +25 *1392:28 *1484:46 0 +26 *1392:28 *1501:33 0 +27 *1392:28 *1802:8 0 +28 *1392:28 *2750:19 0 +29 *1392:28 *3547:30 0 +30 *1392:29 *1501:37 0 +31 *1392:29 *3573:29 0 +32 *1392:29 *3835:45 0 +33 *37803:A *1392:28 0 +34 *39453:A *1392:22 0 +35 *271:37 *1392:22 0 +36 *334:15 *1392:14 0 +37 *387:20 *40025:A 0 +38 *1300:65 *1392:28 0 +*RES +1 *37986:X *1392:14 46.2464 +2 *1392:14 *1392:22 45.3571 +3 *1392:22 *1392:28 42.1786 +4 *1392:28 *1392:29 48.5893 +5 *1392:29 *1392:32 7.96429 +6 *1392:32 *7912:DIODE 13.8 +7 *1392:32 *40025:A 17.8357 +*END + +*D_NET *1393 0.0167177 +*CONN +*I *7910:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40023:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37988:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *7910:DIODE 6.37088e-05 +2 *40023:A 0.000663921 +3 *37988:X 0.00121306 +4 *1393:90 0.00153641 +5 *1393:86 0.00218724 +6 *1393:64 0.00264768 +7 *1393:45 0.00306175 +8 *1393:29 0.00296169 +9 *1393:14 0.00238222 +10 *7910:DIODE *40016:A 0 +11 *7910:DIODE *40883:A 0 +12 *40023:A *2162:36 0 +13 *40023:A *3587:33 0 +14 *40023:A *3829:20 0 +15 *40023:A *3856:54 0 +16 *1393:14 *37574:B 0 +17 *1393:14 *38206:A 0 +18 *1393:14 *4159:49 0 +19 *1393:14 *4159:51 0 +20 *1393:14 *4168:39 0 +21 *1393:14 *4209:27 0 +22 *1393:14 *4211:23 0 +23 *1393:29 *4188:14 0 +24 *1393:29 *4211:11 0 +25 *1393:29 *4214:63 0 +26 *1393:29 *4392:49 0 +27 *1393:29 *4392:80 0 +28 *1393:45 *6386:DIODE 0 +29 *1393:45 *41173:A 0 +30 *1393:45 *41186:A 0 +31 *1393:45 *1533:22 0 +32 *1393:45 *1895:46 0 +33 *1393:45 *2166:75 0 +34 *1393:45 *4140:40 0 +35 *1393:45 *4140:41 0 +36 *1393:45 *4152:54 0 +37 *1393:45 *4214:24 0 +38 *1393:45 *4392:49 0 +39 *1393:64 *5976:DIODE 0 +40 *1393:64 *1533:43 0 +41 *1393:64 *1893:39 0 +42 *1393:64 *2166:61 0 +43 *1393:64 *2166:75 0 +44 *1393:64 *3895:49 0 +45 *1393:64 *4140:15 0 +46 *1393:64 *4140:40 0 +47 *1393:64 *4392:26 0 +48 *1393:86 *41153:A 0 +49 *1393:86 *1896:37 0 +50 *1393:86 *2061:49 0 +51 *1393:86 *2166:61 0 +52 *1393:86 *4142:13 0 +53 *1393:86 *4162:11 0 +54 *1393:86 *4882:25 0 +55 *1393:90 *40016:A 0 +56 *1393:90 *40883:A 0 +57 *1393:90 *1909:70 0 +58 *1384:23 *1393:86 0 +*RES +1 *37988:X *1393:14 48.4071 +2 *1393:14 *1393:29 38.0536 +3 *1393:29 *1393:45 46.7143 +4 *1393:45 *1393:64 49.3214 +5 *1393:64 *1393:86 47.3036 +6 *1393:86 *1393:90 18.5893 +7 *1393:90 *40023:A 26.0937 +8 *1393:90 *7910:DIODE 15.3714 +*END + +*D_NET *1394 0.00530637 +*CONN +*I *40021:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37990:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *40021:A 0.000353426 +2 *37990:X 0.000810715 +3 *1394:39 0.00184247 +4 *1394:24 0.00229976 +5 *40021:A *3848:40 0 +6 *40021:A *3852:71 0 +7 *1394:24 *3613:7 0 +8 *1394:24 *3883:25 0 +9 *1394:39 *3637:23 0 +10 *1394:39 *3843:19 0 +11 *1394:39 *3852:71 0 +12 *1394:39 *3866:49 0 +13 *1394:39 *4390:20 0 +14 *1364:16 *1394:24 0 +15 *1372:24 *1394:39 0 +16 *1380:16 *1394:39 0 +*RES +1 *37990:X *1394:24 49.7821 +2 *1394:24 *1394:39 49.3288 +3 *1394:39 *40021:A 24.6832 +*END + +*D_NET *1395 0.0199905 +*CONN +*I *7906:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40018:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37992:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *7906:DIODE 0.000509297 +2 *40018:A 0.000106428 +3 *37992:X 0.000420358 +4 *1395:44 0.00202877 +5 *1395:32 0.00303182 +6 *1395:23 0.0058952 +7 *1395:22 0.00427642 +8 *1395:20 0.0016509 +9 *1395:13 0.00207126 +10 *7906:DIODE *2163:46 0 +11 *7906:DIODE *2854:14 0 +12 *7906:DIODE *3548:23 0 +13 *7906:DIODE *3602:45 0 +14 *7906:DIODE *3828:98 0 +15 *40018:A *2206:32 0 +16 *40018:A *2866:14 0 +17 *1395:13 *1887:63 0 +18 *1395:13 *3591:56 0 +19 *1395:13 *3629:45 0 +20 *1395:13 *4380:43 0 +21 *1395:13 *4387:75 0 +22 *1395:20 *1801:22 0 +23 *1395:20 *2004:65 0 +24 *1395:20 *2031:51 0 +25 *1395:20 *2049:23 0 +26 *1395:20 *3137:32 0 +27 *1395:20 *3629:45 0 +28 *1395:20 *4760:28 0 +29 *1395:23 *37362:A 0 +30 *1395:23 *2000:20 0 +31 *1395:23 *2018:30 0 +32 *1395:23 *3336:41 0 +33 *1395:23 *3878:30 0 +34 *1395:23 *3913:15 0 +35 *1395:23 *4831:15 0 +36 *1395:23 *5048:75 0 +37 *1395:23 *5198:27 0 +38 *1395:23 *5200:21 0 +39 *1395:32 *2000:20 0 +40 *1395:32 *2004:29 0 +41 *1395:32 *2042:15 0 +42 *1395:32 *3921:11 0 +43 *1395:32 *4410:22 0 +44 *1395:44 *1506:22 0 +45 *1395:44 *1889:25 0 +46 *1395:44 *1900:28 0 +47 *1395:44 *1919:23 0 +48 *1395:44 *2026:25 0 +49 *1395:44 *2067:26 0 +50 *1395:44 *2157:28 0 +51 *1395:44 *2177:17 0 +52 *1395:44 *2179:36 0 +53 *1395:44 *3152:43 0 +54 *1395:44 *3385:28 0 +55 *1395:44 *3630:37 0 +56 *301:31 *7906:DIODE 0 +57 *301:31 *1395:44 0 +58 *1385:13 *1395:44 0 +59 *1387:29 *1395:13 0 +60 *1387:37 *1395:13 0 +*RES +1 *37992:X *1395:13 31.8536 +2 *1395:13 *1395:20 46.1607 +3 *1395:20 *1395:22 4.5 +4 *1395:22 *1395:23 89.25 +5 *1395:23 *1395:32 39.7232 +6 *1395:32 *1395:44 41.0357 +7 *1395:44 *40018:A 16.6839 +8 *1395:44 *7906:DIODE 34.3446 +*END + +*D_NET *1396 0.0101274 +*CONN +*I *7904:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40016:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37994:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *7904:DIODE 0 +2 *40016:A 0.000407177 +3 *37994:X 0.00132544 +4 *1396:43 0.00373828 +5 *1396:20 0.00465655 +6 *40016:A *40883:A 0 +7 *40016:A *1909:70 0 +8 *40016:A *2162:58 0 +9 *40016:A *4403:19 0 +10 *1396:20 *1672:43 0 +11 *1396:20 *3583:55 0 +12 *1396:20 *3645:52 0 +13 *1396:20 *4175:17 0 +14 *1396:20 *4394:42 0 +15 *1396:20 *4457:48 0 +16 *1396:43 *38000:B 0 +17 *1396:43 *1523:21 0 +18 *1396:43 *1675:66 0 +19 *1396:43 *1889:45 0 +20 *1396:43 *1897:26 0 +21 *1396:43 *2189:23 0 +22 *1396:43 *4175:17 0 +23 *1396:43 *4394:20 0 +24 *1396:43 *4403:19 0 +25 *1396:43 *4926:46 0 +26 *7910:DIODE *40016:A 0 +27 *37595:A *1396:43 0 +28 *1195:28 *1396:43 0 +29 *1393:90 *40016:A 0 +*RES +1 *37994:X *1396:20 45.8137 +2 *1396:20 *1396:43 47.1145 +3 *1396:43 *40016:A 27.8357 +4 *1396:43 *7904:DIODE 9.3 +*END + +*D_NET *1397 0.00880716 +*CONN +*I *7902:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40014:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37996:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *7902:DIODE 4.23535e-05 +2 *40014:A 0.000228664 +3 *37996:X 0.000589599 +4 *1397:55 0.00193274 +5 *1397:27 0.00354296 +6 *1397:16 0.00247084 +7 *40014:A *1952:49 0 +8 *40014:A *2163:46 0 +9 *40014:A *3561:28 0 +10 *40014:A *3598:23 0 +11 *1397:16 *3554:29 0 +12 *1397:16 *3580:138 0 +13 *1397:16 *3635:40 0 +14 *1397:16 *3858:25 0 +15 *1397:27 *37580:B 0 +16 *1397:27 *37764:B 0 +17 *1397:27 *1915:46 0 +18 *1397:27 *2164:69 0 +19 *1397:27 *2171:61 0 +20 *1397:27 *3555:63 0 +21 *1397:27 *3635:33 0 +22 *1397:27 *4385:21 0 +23 *1397:55 *1921:31 0 +24 *1397:55 *1952:49 0 +25 *1397:55 *2171:31 0 +26 *1397:55 *2194:31 0 +27 *1397:55 *3574:20 0 +28 *1397:55 *3630:37 0 +29 *1397:55 *3633:48 0 +30 *1397:55 *3842:19 0 +31 *1397:55 *3858:25 0 +32 *40184:A *1397:27 0 +33 *346:78 *1397:16 0 +34 *1171:34 *1397:27 0 +*RES +1 *37996:X *1397:16 35.9731 +2 *1397:16 *1397:27 48.3214 +3 *1397:27 *1397:55 34.1495 +4 *1397:55 *40014:A 23.1571 +5 *1397:55 *7902:DIODE 10.2464 +*END + +*D_NET *1398 0.0127016 +*CONN +*I *40012:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7900:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37998:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *40012:A 0.000545458 +2 *7900:DIODE 0 +3 *37998:X 0.00136034 +4 *1398:50 0.00096557 +5 *1398:37 0.00235629 +6 *1398:28 0.00402491 +7 *1398:20 0.00344906 +8 *40012:A *41380:A 0 +9 *40012:A *41381:A 0 +10 *40012:A *1894:36 0 +11 *40012:A *2028:27 0 +12 *40012:A *3857:19 0 +13 *1398:20 *37984:A_N 0 +14 *1398:20 *1672:43 0 +15 *1398:20 *1887:63 0 +16 *1398:20 *1894:89 0 +17 *1398:20 *3338:37 0 +18 *1398:20 *3343:17 0 +19 *1398:20 *3343:22 0 +20 *1398:20 *3352:41 0 +21 *1398:20 *3590:10 0 +22 *1398:20 *3629:68 0 +23 *1398:20 *4206:27 0 +24 *1398:20 *4387:79 0 +25 *1398:28 *1507:10 0 +26 *1398:28 *1529:18 0 +27 *1398:28 *1894:66 0 +28 *1398:28 *3170:27 0 +29 *1398:28 *4157:13 0 +30 *1398:28 *4157:31 0 +31 *1398:37 *8776:DIODE 0 +32 *1398:37 *38226:A 0 +33 *1398:37 *38226:B 0 +34 *1398:37 *1524:35 0 +35 *1398:37 *2048:20 0 +36 *1398:37 *2181:33 0 +37 *1398:37 *2741:15 0 +38 *1398:37 *3857:46 0 +39 *1398:37 *3888:40 0 +40 *1398:37 *3898:39 0 +41 *1398:37 *4132:15 0 +42 *1398:37 *4157:13 0 +43 *1398:37 *4206:18 0 +44 *1398:37 *4392:26 0 +45 *1398:37 *4926:26 0 +46 *1398:50 *1898:36 0 +47 *1398:50 *3898:39 0 +*RES +1 *37998:X *1398:20 41.6364 +2 *1398:20 *1398:28 48.2143 +3 *1398:28 *1398:37 47.921 +4 *1398:37 *1398:50 17.0506 +5 *1398:50 *7900:DIODE 9.3 +6 *1398:50 *40012:A 30.0143 +*END + +*D_NET *1399 0.00716456 +*CONN +*I *40010:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38000:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *40010:A 0.00128393 +2 *38000:X 0.000340894 +3 *1399:25 0.00324139 +4 *1399:18 0.00229835 +5 *40010:A *8592:DIODE 0 +6 *40010:A *8597:DIODE 0 +7 *40010:A *8833:DIODE 0 +8 *40010:A *41389:A 0 +9 *40010:A *1894:36 0 +10 *40010:A *2164:50 0 +11 *40010:A *3384:18 0 +12 *40010:A *3633:48 0 +13 *40010:A *3636:39 0 +14 *40010:A *3639:14 0 +15 *40010:A *3646:53 0 +16 *1399:18 *38000:A_N 0 +17 *1399:18 *38000:C 0 +18 *1399:18 *3336:51 0 +19 *1399:25 *38190:B 0 +20 *1399:25 *39911:A 0 +21 *1399:25 *1494:14 0 +22 *1399:25 *1524:24 0 +23 *1399:25 *1894:48 0 +24 *1399:25 *2747:24 0 +25 *1399:25 *2889:14 0 +26 *1399:25 *3336:51 0 +27 *1399:25 *3888:24 0 +28 *1399:25 *4395:37 0 +29 *1399:25 *4456:43 0 +30 *1196:10 *40010:A 0 +31 *1196:10 *1399:25 0 +*RES +1 *38000:X *1399:18 26.0143 +2 *1399:18 *1399:25 40.9464 +3 *1399:25 *40010:A 46.3 +*END + +*D_NET *1400 0.0018402 +*CONN +*I *40008:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38002:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *40008:A 0.000920102 +2 *38002:X 0.000920102 +3 *40008:A *37564:A 0 +4 *40008:A *38002:B 0 +5 *40008:A *3839:81 0 +6 *40008:A *4380:99 0 +7 *40008:A *4387:118 0 +8 *40008:A *4397:59 0 +9 *40008:A *4398:25 0 +10 *40008:A *4398:43 0 +*RES +1 *38002:X *40008:A 47.1536 +*END + +*D_NET *1401 0.0155346 +*CONN +*I *7895:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40005:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38004:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *7895:DIODE 0 +2 *40005:A 0.000235849 +3 *38004:X 0.00131677 +4 *1401:41 0.000418953 +5 *1401:40 0.00152453 +6 *1401:23 0.0046512 +7 *1401:21 0.00469015 +8 *1401:12 0.00269714 +9 *40005:A *8551:DIODE 0 +10 *40005:A *1681:49 0 +11 *40005:A *1915:29 0 +12 *40005:A *2885:19 0 +13 *1401:12 *1925:51 0 +14 *1401:12 *3353:26 0 +15 *1401:12 *3554:29 0 +16 *1401:12 *3573:20 0 +17 *1401:12 *4385:38 0 +18 *1401:21 *1501:47 0 +19 *1401:21 *2453:11 0 +20 *1401:21 *2777:15 0 +21 *1401:21 *2869:55 0 +22 *1401:21 *3353:26 0 +23 *1401:23 *1497:23 0 +24 *1401:23 *1497:42 0 +25 *1401:23 *1498:41 0 +26 *1401:23 *1681:35 0 +27 *1401:23 *1915:30 0 +28 *1401:23 *1920:44 0 +29 *1401:23 *2755:20 0 +30 *1401:23 *2869:55 0 +31 *1401:23 *2887:47 0 +32 *1401:23 *3832:36 0 +33 *1401:40 *1681:35 0 +34 *1401:40 *1682:25 0 +35 *1401:40 *1909:47 0 +36 *1401:40 *1915:30 0 +37 *1401:40 *3832:36 0 +38 *1401:41 *1682:25 0 +39 *1401:41 *2886:50 0 +40 *1279:37 *1401:21 0 +41 *1300:38 *1401:23 0 +42 *1301:9 *1401:23 0 +43 *1389:25 *1401:23 0 +44 *1389:32 *1401:23 0 +45 *1390:22 *1401:40 0 +*RES +1 *38004:X *1401:12 48.2643 +2 *1401:12 *1401:21 37.9732 +3 *1401:21 *1401:23 69.1696 +4 *1401:23 *1401:40 46.2321 +5 *1401:40 *1401:41 3.82143 +6 *1401:41 *40005:A 27.903 +7 *1401:41 *7895:DIODE 9.3 +*END + +*D_NET *1402 0.0118078 +*CONN +*I *40003:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7893:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38006:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *40003:A 0 +2 *7893:DIODE 0.00042906 +3 *38006:X 0.00186435 +4 *1402:32 0.00403955 +5 *1402:20 0.00547485 +6 *7893:DIODE *2094:24 0 +7 *7893:DIODE *2150:27 0 +8 *7893:DIODE *3374:104 0 +9 *1402:20 *38006:B 0 +10 *1402:20 *38006:C 0 +11 *1402:20 *1899:56 0 +12 *1402:20 *2018:35 0 +13 *1402:20 *2031:48 0 +14 *1402:20 *3572:38 0 +15 *1402:20 *3642:48 0 +16 *1402:20 *3895:49 0 +17 *1402:20 *3918:8 0 +18 *1402:20 *4140:40 0 +19 *1402:20 *4152:33 0 +20 *1402:20 *4197:36 0 +21 *1402:32 *40347:A 0 +22 *1402:32 *3268:16 0 +23 *1402:32 *4170:19 0 +24 *1402:32 *4170:30 0 +25 *1402:32 *4197:27 0 +26 *1402:32 *4209:19 0 +*RES +1 *38006:X *1402:20 47.5279 +2 *1402:20 *1402:32 17.2816 +3 *1402:32 *7893:DIODE 32.1929 +4 *1402:32 *40003:A 13.8 +*END + +*D_NET *1403 0.0104991 +*CONN +*I *40001:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7891:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38008:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *40001:A 0.000297455 +2 *7891:DIODE 0.000397906 +3 *38008:X 0.00107864 +4 *1403:43 0.0023373 +5 *1403:29 0.00347554 +6 *1403:16 0.00291225 +7 *7891:DIODE *8568:DIODE 0 +8 *7891:DIODE *8592:DIODE 0 +9 *40001:A *8249:DIODE 0 +10 *40001:A *41389:A 0 +11 *40001:A *2191:30 0 +12 *40001:A *3568:22 0 +13 *1403:16 *37582:A 0 +14 *1403:16 *37996:A_N 0 +15 *1403:16 *37996:C 0 +16 *1403:16 *38008:A_N 0 +17 *1403:16 *3339:67 0 +18 *1403:16 *3632:14 0 +19 *1403:16 *3635:40 0 +20 *1403:16 *3884:40 0 +21 *1403:29 *5595:DIODE 0 +22 *1403:29 *2205:27 0 +23 *1403:29 *3051:14 0 +24 *1403:29 *3587:56 0 +25 *1403:29 *3635:33 0 +26 *1403:43 *8249:DIODE 0 +27 *1403:43 *41389:A 0 +28 *1403:43 *1494:14 0 +29 *1403:43 *2202:64 0 +30 *1403:43 *2733:42 0 +31 *1403:43 *3151:24 0 +32 *1403:43 *3568:22 0 +33 *1403:43 *3850:32 0 +34 *1403:43 *3865:20 0 +35 *37583:A *1403:16 0 +36 *1189:20 *1403:29 0 +37 *1277:20 *1403:29 0 +*RES +1 *38008:X *1403:16 45.5679 +2 *1403:16 *1403:29 47.6071 +3 *1403:29 *1403:43 19.7458 +4 *1403:43 *7891:DIODE 26.1296 +5 *1403:43 *40001:A 19.3093 +*END + +*D_NET *1404 0.0128383 +*CONN +*I *7889:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39999:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38010:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *7889:DIODE 0.000131944 +2 *39999:A 0.000198877 +3 *38010:X 0.00045877 +4 *1404:27 0.00178237 +5 *1404:11 0.00562958 +6 *1404:10 0.00463679 +7 *7889:DIODE *3575:47 0 +8 *39999:A *2217:49 0 +9 *39999:A *2221:75 0 +10 *39999:A *2235:38 0 +11 *39999:A *2281:15 0 +12 *1404:10 *1814:19 0 +13 *1404:10 *3195:26 0 +14 *1404:10 *3640:19 0 +15 *1404:11 *3575:49 0 +16 *1404:27 *39868:A 0 +17 *1404:27 *2217:30 0 +18 *1404:27 *2227:23 0 +19 *1404:27 *2227:41 0 +20 *1404:27 *2852:47 0 +21 *1404:27 *3575:47 0 +22 *1404:27 *3575:49 0 +23 *1202:20 *1404:27 0 +*RES +1 *38010:X *1404:10 28.675 +2 *1404:10 *1404:11 87.1964 +3 *1404:11 *1404:27 48.5 +4 *1404:27 *39999:A 22.7643 +5 *1404:27 *7889:DIODE 21.2286 +*END + +*D_NET *1405 0.00398241 +*CONN +*I *39998:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38012:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *39998:A 0.000957445 +2 *38012:X 0.00103376 +3 *1405:30 0.00199121 +4 *39998:A *41154:A 0 +5 *39998:A *41250:A 0 +6 *39998:A *1961:32 0 +7 *39998:A *3214:11 0 +8 *39998:A *3985:14 0 +9 *39998:A *4222:10 0 +10 *1405:30 *40446:A 0 +11 *1405:30 *41028:A 0 +12 *1405:30 *41156:A 0 +13 *1405:30 *1963:16 0 +14 *1405:30 *2122:38 0 +15 *1405:30 *3132:11 0 +16 *1405:30 *3147:73 0 +17 *1405:30 *3345:30 0 +18 *1405:30 *4002:13 0 +*RES +1 *38012:X *1405:30 40.4964 +2 *1405:30 *39998:A 29.2821 +*END + +*D_NET *1406 0.00963759 +*CONN +*I *39997:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7888:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38014:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *39997:A 0.000512244 +2 *7888:DIODE 0 +3 *38014:X 0.00189585 +4 *1406:49 0.00155651 +5 *1406:35 0.0024107 +6 *1406:19 0.00326228 +7 *39997:A *37824:B 0 +8 *39997:A *2222:58 0 +9 *39997:A *3612:28 0 +10 *39997:A *3806:15 0 +11 *1406:19 *8125:DIODE 0 +12 *1406:19 *37740:B 0 +13 *1406:19 *38014:C 0 +14 *1406:19 *40017:A 0 +15 *1406:19 *41315:A 0 +16 *1406:19 *41343:A 0 +17 *1406:19 *3608:24 0 +18 *1406:19 *3624:45 0 +19 *1406:19 *3624:51 0 +20 *1406:19 *3624:58 0 +21 *1406:19 *4346:84 0 +22 *1406:35 *40701:A 0 +23 *1406:35 *40866:A 0 +24 *1406:35 *3558:25 0 +25 *1406:35 *3608:24 0 +26 *1406:35 *3624:45 0 +27 *1406:35 *3823:24 0 +28 *1406:49 *40701:A 0 +29 *1406:49 *40834:A 0 +30 *1406:49 *2221:52 0 +31 *1406:49 *2222:58 0 +32 *1406:49 *3624:35 0 +33 *1406:49 *3624:45 0 +34 *1406:49 *5136:40 0 +35 *1406:49 *5147:42 0 +36 *1269:12 *1406:19 0 +37 *1309:21 *1406:35 0 +*RES +1 *38014:X *1406:19 49.0857 +2 *1406:19 *1406:35 46.75 +3 *1406:35 *1406:49 31.3393 +4 *1406:49 *7888:DIODE 9.3 +5 *1406:49 *39997:A 29.3 +*END + +*D_NET *1407 0.00994694 +*CONN +*I *5993:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38017:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38016:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *5993:DIODE 0 +2 *38017:A 0.000302502 +3 *38016:X 0.00110126 +4 *1407:51 0.000986826 +5 *1407:44 0.00153674 +6 *1407:27 0.00288538 +7 *1407:24 0.00313423 +8 *38017:A *3797:59 0 +9 *38017:A *3812:38 0 +10 *1407:24 *3358:81 0 +11 *1407:24 *3518:131 0 +12 *1407:24 *3747:72 0 +13 *1407:24 *3766:25 0 +14 *1407:24 *3942:28 0 +15 *1407:24 *4320:23 0 +16 *1407:27 *3526:97 0 +17 *1407:27 *3680:30 0 +18 *1407:27 *3720:35 0 +19 *1407:44 *2242:65 0 +20 *1407:44 *2726:14 0 +21 *1407:44 *2858:15 0 +22 *1407:44 *3692:9 0 +23 *1407:44 *4050:29 0 +24 *1407:44 *4345:84 0 +25 *1407:44 *4353:33 0 +26 *1407:44 *4356:37 0 +27 *1407:51 *3797:59 0 +28 *1407:51 *3812:38 0 +29 *1407:51 *3824:21 0 +30 *1222:14 *1407:24 0 +31 *1229:51 *1407:24 0 +*RES +1 *38016:X *1407:24 46.5898 +2 *1407:24 *1407:27 46.9286 +3 *1407:27 *1407:44 45.2143 +4 *1407:44 *1407:51 23.4286 +5 *1407:51 *38017:A 24.8714 +6 *1407:51 *5993:DIODE 9.3 +*END + +*D_NET *1408 0.0121381 +*CONN +*I *5997:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38019:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38018:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *5997:DIODE 0 +2 *38019:A 0.00018396 +3 *38018:X 0.00207278 +4 *1408:73 0.00208835 +5 *1408:58 0.00381232 +6 *1408:29 0.00398071 +7 *38019:A *2947:59 0 +8 *38019:A *3670:29 0 +9 *38019:A *4746:15 0 +10 *1408:29 *38018:B 0 +11 *1408:29 *38027:A 0 +12 *1408:29 *3323:57 0 +13 *1408:29 *3651:26 0 +14 *1408:29 *3692:9 0 +15 *1408:29 *3733:78 0 +16 *1408:29 *3769:51 0 +17 *1408:29 *3819:71 0 +18 *1408:29 *3822:76 0 +19 *1408:29 *3984:125 0 +20 *1408:29 *4070:95 0 +21 *1408:29 *4109:48 0 +22 *1408:58 *2962:19 0 +23 *1408:58 *3076:70 0 +24 *1408:58 *3688:8 0 +25 *1408:58 *3698:48 0 +26 *1408:58 *3769:51 0 +27 *1408:58 *4100:54 0 +28 *1408:58 *4103:47 0 +29 *1408:58 *4109:48 0 +30 *1408:58 *4218:43 0 +31 *1408:73 *2947:47 0 +32 *1408:73 *3670:29 0 +33 *1408:73 *3692:9 0 +34 *1408:73 *3736:46 0 +35 *1408:73 *3742:19 0 +36 *1408:73 *3781:45 0 +37 *1408:73 *3984:68 0 +38 *1408:73 *4109:48 0 +39 *1408:73 *4218:43 0 +40 *1408:73 *4365:34 0 +41 *1324:47 *1408:58 0 +*RES +1 *38018:X *1408:29 48.3169 +2 *1408:29 *1408:58 49.5024 +3 *1408:58 *1408:73 28.31 +4 *1408:73 *38019:A 13.1393 +5 *1408:73 *5997:DIODE 9.3 +*END + +*D_NET *1409 0.00275285 +*CONN +*I *38021:A I *D sky130_fd_sc_hd__buf_2 +*I *38020:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *38021:A 0.000464856 +2 *38020:X 0.000911571 +3 *1409:14 0.00137643 +4 *38021:A *3826:34 0 +5 *1409:14 *3320:108 0 +6 *1409:14 *3531:17 0 +*RES +1 *38020:X *1409:14 47.925 +2 *1409:14 *38021:A 28.0857 +*END + +*D_NET *1410 0.0033998 +*CONN +*I *38023:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *38022:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *38023:A 0 +2 *38022:X 0.000631042 +3 *1410:40 0.00106886 +4 *1410:18 0.0016999 +5 *1410:18 *6003:DIODE 0 +6 *1410:18 *41353:A 0 +7 *1410:18 *3752:14 0 +8 *1410:18 *3766:61 0 +9 *1410:18 *3774:13 0 +10 *1410:40 *8540:DIODE 0 +11 *1410:40 *38018:C 0 +12 *1410:40 *40822:A 0 +13 *1410:40 *3316:115 0 +14 *1410:40 *3667:19 0 +15 *1410:40 *3667:44 0 +16 *1410:40 *3750:27 0 +17 *1410:40 *3774:13 0 +18 *1410:40 *3819:71 0 +19 *1410:40 *3819:75 0 +*RES +1 *38022:X *1410:18 45.5679 +2 *1410:18 *1410:40 45.125 +3 *1410:40 *38023:A 9.3 +*END + +*D_NET *1411 0.0163072 +*CONN +*I *6007:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38025:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38024:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *6007:DIODE 0 +2 *38025:A 0.000143745 +3 *38024:X 0.00189561 +4 *1411:58 0.000576945 +5 *1411:55 0.00178962 +6 *1411:46 0.00260429 +7 *1411:40 0.00265822 +8 *1411:24 0.00307673 +9 *1411:15 0.003562 +10 *38025:A *3181:29 0 +11 *38025:A *3672:27 0 +12 *38025:A *4714:13 0 +13 *1411:15 *8479:DIODE 0 +14 *1411:15 *8943:DIODE 0 +15 *1411:15 *40828:A 0 +16 *1411:15 *1419:41 0 +17 *1411:15 *2395:52 0 +18 *1411:15 *3670:68 0 +19 *1411:15 *4109:65 0 +20 *1411:24 *2937:29 0 +21 *1411:24 *3317:176 0 +22 *1411:24 *4124:40 0 +23 *1411:40 *1560:60 0 +24 *1411:40 *2421:51 0 +25 *1411:40 *2923:69 0 +26 *1411:40 *3021:14 0 +27 *1411:40 *3822:58 0 +28 *1411:46 *2923:71 0 +29 *1411:55 *3054:51 0 +30 *1411:55 *3124:31 0 +31 *1411:55 *3687:31 0 +32 *1411:58 *1439:58 0 +33 *1411:58 *3720:41 0 +34 *1318:13 *1411:24 0 +35 *1318:26 *1411:24 0 +36 *1324:47 *38025:A 0 +37 *1330:22 *1411:15 0 +38 *1339:51 *1411:24 0 +*RES +1 *38024:X *1411:15 46.7911 +2 *1411:15 *1411:24 47.4904 +3 *1411:24 *1411:40 44.3214 +4 *1411:40 *1411:46 35.375 +5 *1411:46 *1411:55 41.8929 +6 *1411:55 *1411:58 14.3393 +7 *1411:58 *38025:A 12.3 +8 *1411:58 *6007:DIODE 9.3 +*END + +*D_NET *1412 0.0011212 +*CONN +*I *38027:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *38026:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *38027:A 0.0005606 +2 *38026:X 0.0005606 +3 *38027:A *1539:16 0 +4 *38027:A *2992:32 0 +5 *38027:A *3305:142 0 +6 *38027:A *3680:92 0 +7 *1408:29 *38027:A 0 +*RES +1 *38026:X *38027:A 39.9393 +*END + +*D_NET *1413 0.00978587 +*CONN +*I *6014:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38029:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *38028:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *6014:DIODE 0 +2 *38029:A 0.000201853 +3 *38028:X 0.00146499 +4 *1413:49 0.00125887 +5 *1413:35 0.00322609 +6 *1413:15 0.00363406 +7 *38029:A *3670:50 0 +8 *38029:A *3772:91 0 +9 *1413:15 *6395:DIODE 0 +10 *1413:15 *2987:16 0 +11 *1413:15 *3316:77 0 +12 *1413:15 *3702:125 0 +13 *1413:15 *3747:83 0 +14 *1413:15 *3946:31 0 +15 *1413:15 *4070:43 0 +16 *1413:15 *4134:84 0 +17 *1413:35 *1444:32 0 +18 *1413:35 *2998:10 0 +19 *1413:35 *3054:70 0 +20 *1413:35 *3112:59 0 +21 *1413:35 *3252:25 0 +22 *1413:35 *3531:44 0 +23 *1413:35 *3685:40 0 +24 *1413:35 *3775:61 0 +25 *1413:35 *3979:18 0 +26 *1413:35 *4099:38 0 +27 *1413:35 *4328:24 0 +28 *1413:35 *4352:19 0 +29 *1413:49 *37846:B 0 +30 *1413:49 *3114:50 0 +31 *1413:49 *3665:42 0 +32 *1413:49 *3670:37 0 +33 *1413:49 *3736:77 0 +34 *1413:49 *3740:19 0 +35 *1413:49 *3772:91 0 +36 *1413:49 *4098:59 0 +37 *1210:38 *1413:35 0 +38 *1324:16 *38029:A 0 +*RES +1 *38028:X *1413:15 48.9964 +2 *1413:15 *1413:35 49.9447 +3 *1413:35 *1413:49 36.75 +4 *1413:49 *38029:A 31.6571 +5 *1413:49 *6014:DIODE 9.3 +*END + +*D_NET *1414 0.0122981 +*CONN +*I *6018:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38031:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38030:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *6018:DIODE 0 +2 *38031:A 0.000167604 +3 *38030:X 0.00158976 +4 *1414:44 0.00136655 +5 *1414:38 0.00293903 +6 *1414:26 0.00319276 +7 *1414:16 0.00304244 +8 *38031:A *2822:97 0 +9 *38031:A *3803:82 0 +10 *1414:16 *2382:56 0 +11 *1414:16 *2417:43 0 +12 *1414:16 *2986:13 0 +13 *1414:16 *3013:11 0 +14 *1414:16 *3285:139 0 +15 *1414:16 *3427:100 0 +16 *1414:16 *3584:37 0 +17 *1414:16 *4087:40 0 +18 *1414:16 *4247:51 0 +19 *1414:16 *4354:27 0 +20 *1414:26 *7975:DIODE 0 +21 *1414:26 *3658:85 0 +22 *1414:26 *3739:20 0 +23 *1414:26 *3774:25 0 +24 *1414:38 *3090:25 0 +25 *1414:38 *3274:91 0 +26 *1414:38 *3275:39 0 +27 *1414:38 *3725:22 0 +28 *1414:38 *3740:81 0 +29 *1414:38 *4344:25 0 +30 *1414:44 *8631:DIODE 0 +31 *1414:44 *41256:A 0 +32 *1414:44 *2919:43 0 +33 *1414:44 *3274:79 0 +34 *1414:44 *3279:107 0 +35 *1414:44 *3522:35 0 +36 *1414:44 *4257:36 0 +*RES +1 *38030:X *1414:16 46.903 +2 *1414:16 *1414:26 39.4643 +3 *1414:26 *1414:38 48.7484 +4 *1414:38 *1414:44 35.0357 +5 *1414:44 *38031:A 21.9786 +6 *1414:44 *6018:DIODE 9.3 +*END + +*D_NET *1415 0.00256101 +*CONN +*I *38033:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38032:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *38033:A 0.000537333 +2 *38032:X 0.000743171 +3 *1415:20 0.0012805 +4 *1415:20 *3080:30 0 +5 *1415:20 *3252:25 0 +6 *1415:20 *4377:105 0 +7 *40085:A *38033:A 0 +8 *1324:33 *38033:A 0 +*RES +1 *38032:X *1415:20 43.9071 +2 *1415:20 *38033:A 20.5143 +*END + +*D_NET *1416 0.00582327 +*CONN +*I *38035:A I *D sky130_fd_sc_hd__buf_2 +*I *38034:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *38035:A 0.000826902 +2 *38034:X 0.0010428 +3 *1416:47 0.00186883 +4 *1416:17 0.00208473 +5 *38035:A *3684:86 0 +6 *38035:A *3802:21 0 +7 *1416:17 *2414:126 0 +8 *1416:17 *3963:19 0 +9 *1416:17 *4220:73 0 +10 *1416:17 *4235:73 0 +11 *1416:17 *4247:51 0 +12 *1416:17 *4343:15 0 +13 *1416:47 *37620:B 0 +14 *1416:47 *1824:54 0 +15 *1416:47 *2430:23 0 +16 *1416:47 *2821:26 0 +17 *1416:47 *3657:31 0 +18 *1416:47 *3725:48 0 +19 *1416:47 *3755:11 0 +20 *1416:47 *3810:9 0 +21 *1416:47 *4069:13 0 +22 *1416:47 *4241:124 0 +*RES +1 *38034:X *1416:17 47.3456 +2 *1416:17 *1416:47 46.5982 +3 *1416:47 *38035:A 35.7643 +*END + +*D_NET *1417 0.000894681 +*CONN +*I *39996:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38036:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *39996:A 0.00044734 +2 *38036:X 0.00044734 +3 *39996:A *3994:27 0 +4 *1332:39 *39996:A 0 +*RES +1 *38036:X *39996:A 37.2429 +*END + +*D_NET *1418 0.0151043 +*CONN +*I *6032:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38039:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38038:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *6032:DIODE 0 +2 *38039:A 0.000241326 +3 *38038:X 0.000662497 +4 *1418:38 0.000886271 +5 *1418:25 0.00490468 +6 *1418:24 0.0043187 +7 *1418:21 0.00174363 +8 *1418:12 0.00234716 +9 *38039:A *2822:97 0 +10 *38039:A *2926:40 0 +11 *38039:A *2987:78 0 +12 *38039:A *3647:62 0 +13 *1418:12 *3729:30 0 +14 *1418:21 *2366:49 0 +15 *1418:21 *2378:17 0 +16 *1418:21 *2396:30 0 +17 *1418:21 *2839:25 0 +18 *1418:21 *3400:57 0 +19 *1418:25 *6066:DIODE 0 +20 *1418:25 *8315:DIODE 0 +21 *1418:25 *37880:A 0 +22 *1418:25 *37880:B 0 +23 *1418:25 *1449:24 0 +24 *1418:25 *1449:42 0 +25 *1418:25 *2378:17 0 +26 *1418:25 *2458:10 0 +27 *1418:25 *2837:91 0 +28 *1418:25 *2839:23 0 +29 *1418:25 *2839:25 0 +30 *1418:25 *3088:29 0 +31 *1418:25 *3306:68 0 +32 *1418:25 *3400:52 0 +33 *1418:25 *3647:63 0 +34 *1418:25 *3669:51 0 +35 *1418:25 *3979:52 0 +36 *1418:25 *4097:44 0 +37 *1418:25 *4614:9 0 +38 *1418:38 *2987:78 0 +39 *1208:52 *1418:25 0 +40 *1272:39 *38039:A 0 +*RES +1 *38038:X *1418:12 33.2643 +2 *1418:12 *1418:21 48.7679 +3 *1418:21 *1418:24 5.83929 +4 *1418:24 *1418:25 88.8393 +5 *1418:25 *1418:38 23.9773 +6 *1418:38 *38039:A 20.0321 +7 *1418:38 *6032:DIODE 13.8 +*END + +*D_NET *1419 0.0231079 +*CONN +*I *6036:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38041:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38040:X O *D sky130_fd_sc_hd__and3b_2 +*CAP +1 *6036:DIODE 7.62736e-05 +2 *38041:A 0.000196725 +3 *38040:X 0.00532149 +4 *1419:41 0.00623247 +5 *1419:23 0.011281 +6 *6036:DIODE *7882:DIODE 0 +7 *6036:DIODE *8738:DIODE 0 +8 *6036:DIODE *3650:75 0 +9 *38041:A *3780:26 0 +10 *38041:A *4225:69 0 +11 *1419:23 *1436:22 0 +12 *1419:23 *2923:69 0 +13 *1419:23 *2925:25 0 +14 *1419:23 *2925:37 0 +15 *1419:23 *3702:100 0 +16 *1419:23 *3766:25 0 +17 *1419:23 *4085:22 0 +18 *1419:23 *4314:20 0 +19 *1419:23 *4323:30 0 +20 *1419:41 *2369:34 0 +21 *1419:41 *2391:76 0 +22 *1419:41 *2395:52 0 +23 *1419:41 *2682:21 0 +24 *1419:41 *2816:19 0 +25 *1419:41 *2925:25 0 +26 *1419:41 *2959:32 0 +27 *1419:41 *3298:40 0 +28 *1419:41 *3490:14 0 +29 *1419:41 *3690:28 0 +30 *1310:22 *1419:23 0 +31 *1330:22 *1419:41 0 +32 *1331:13 *1419:41 0 +33 *1411:15 *1419:41 0 +*RES +1 *38040:X *1419:23 45.6762 +2 *1419:23 *1419:41 32.1743 +3 *1419:41 *38041:A 18.0768 +4 *1419:41 *6036:DIODE 15.5946 +*END + +*D_NET *1420 0.00230074 +*CONN +*I *38043:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38042:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *38043:A 0 +2 *38042:X 0.00115037 +3 *1420:10 0.00115037 +4 *1420:10 *2811:59 0 +5 *1420:10 *2991:50 0 +6 *1420:10 *3007:34 0 +*RES +1 *38042:X *1420:10 43.4071 +2 *1420:10 *38043:A 9.3 +*END + +*D_NET *1421 0.00201384 +*CONN +*I *38045:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *38044:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *38045:A 0 +2 *38044:X 0.00100692 +3 *1421:17 0.00100692 +4 *1421:17 *3299:75 0 +5 *1421:17 *3761:55 0 +6 *1421:17 *3779:71 0 +7 *1421:17 *4312:19 0 +8 *1421:17 *4566:47 0 +*RES +1 *38044:X *1421:17 49.3893 +2 *1421:17 *38045:A 9.3 +*END + +*D_NET *1422 0.00603505 +*CONN +*I *38047:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38046:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *38047:A 0 +2 *38046:X 0.000767231 +3 *1422:33 0.0022503 +4 *1422:30 0.00301753 +5 *1422:30 *6043:DIODE 0 +6 *1422:30 *8495:DIODE 0 +7 *1422:30 *1864:68 0 +8 *1422:30 *2833:30 0 +9 *1422:30 *2997:30 0 +10 *1422:30 *3470:67 0 +11 *1422:30 *4901:17 0 +12 *1422:33 *1826:9 0 +13 *37717:A *1422:33 0 +*RES +1 *38046:X *1422:30 44.925 +2 *1422:30 *1422:33 46.9643 +3 *1422:33 *38047:A 9.3 +*END + +*D_NET *1423 0.00348786 +*CONN +*I *38049:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *38048:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *38049:A 0 +2 *38048:X 0.00174393 +3 *1423:21 0.00174393 +4 *1423:21 *6046:DIODE 0 +5 *1423:21 *38048:B 0 +6 *1423:21 *1460:14 0 +7 *1423:21 *2802:21 0 +8 *1423:21 *2813:46 0 +9 *1423:21 *3046:26 0 +10 *1423:21 *3290:69 0 +11 *1423:21 *3482:36 0 +12 *1423:21 *3506:41 0 +13 *1423:21 *3666:80 0 +14 *1423:21 *3764:45 0 +15 *1423:21 *3945:38 0 +16 *1423:21 *4566:34 0 +17 *1423:21 *4897:22 0 +*RES +1 *38048:X *1423:21 49.0854 +2 *1423:21 *38049:A 9.3 +*END + +*D_NET *1424 0.00842856 +*CONN +*I *6052:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38051:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *38050:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *6052:DIODE 6.35302e-05 +2 *38051:A 7.89315e-05 +3 *38050:X 0.00130974 +4 *1424:36 0.00207984 +5 *1424:33 0.00276207 +6 *1424:15 0.00213444 +7 *6052:DIODE *1565:47 0 +8 *6052:DIODE *5030:10 0 +9 *38051:A *1565:47 0 +10 *1424:15 *3013:47 0 +11 *1424:15 *3013:54 0 +12 *1424:15 *3482:32 0 +13 *1424:15 *3773:33 0 +14 *1424:15 *4007:20 0 +15 *1424:15 *4343:53 0 +16 *1424:33 *3657:58 0 +17 *1424:33 *3661:32 0 +18 *1424:33 *3696:121 0 +19 *1424:33 *3731:12 0 +20 *1424:33 *4051:68 0 +21 *1424:36 *39666:A 0 +22 *1424:36 *1826:40 0 +23 *1424:36 *2475:8 0 +24 *1424:36 *2818:76 0 +25 *1424:36 *2989:36 0 +26 *1424:36 *4611:6 0 +27 *375:23 *1424:33 0 +28 *375:23 *1424:36 0 +*RES +1 *38050:X *1424:15 45.9786 +2 *1424:15 *1424:33 36.7178 +3 *1424:33 *1424:36 48.4911 +4 *1424:36 *38051:A 10.9786 +5 *1424:36 *6052:DIODE 10.6571 +*END + +*D_NET *1425 0.0124673 +*CONN +*I *38053:A I *D sky130_fd_sc_hd__buf_2 +*I *6056:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38052:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *38053:A 0.000166932 +2 *6056:DIODE 0 +3 *38052:X 0.000149966 +4 *1425:18 0.00021255 +5 *1425:15 0.00381037 +6 *1425:14 0.00376475 +7 *1425:12 0.00210638 +8 *1425:11 0.00225635 +9 *38053:A *1864:59 0 +10 *38053:A *2447:6 0 +11 *38053:A *3117:21 0 +12 *38053:A *4902:6 0 +13 *1425:11 *2815:83 0 +14 *1425:12 *8481:DIODE 0 +15 *1425:12 *2398:22 0 +16 *1425:12 *2468:6 0 +17 *1425:12 *2980:44 0 +18 *1425:12 *3024:68 0 +19 *1425:12 *3406:130 0 +20 *1425:12 *3739:60 0 +21 *1425:12 *4903:6 0 +22 *1425:15 *2991:47 0 +23 *1425:15 *3703:13 0 +24 *1425:18 *2447:6 0 +25 *1425:18 *4902:6 0 +*RES +1 *38052:X *1425:11 26.0143 +2 *1425:11 *1425:12 47.7857 +3 *1425:12 *1425:14 4.5 +4 *1425:14 *1425:15 78.5714 +5 *1425:15 *1425:18 5.53571 +6 *1425:18 *6056:DIODE 13.8 +7 *1425:18 *38053:A 17.425 +*END + +*D_NET *1426 0.00795369 +*CONN +*I *6060:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38055:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *38054:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *6060:DIODE 0 +2 *38055:A 0.000169684 +3 *38054:X 0 +4 *1426:9 0.00113697 +5 *1426:6 0.00380716 +6 *1426:5 0.00283988 +7 *38055:A *4622:6 0 +8 *1426:6 *6057:DIODE 0 +9 *1426:6 *39397:B 0 +10 *1426:6 *1467:8 0 +11 *1426:6 *2800:75 0 +12 *1426:6 *3046:26 0 +13 *264:11 *1426:6 0 +14 *369:31 *38055:A 0 +15 *377:46 *38055:A 0 +16 *377:46 *1426:9 0 +17 *1018:73 *1426:6 0 +18 *1247:11 *1426:6 0 +*RES +1 *38054:X *1426:5 13.8 +2 *1426:5 *1426:6 64.4821 +3 *1426:6 *1426:9 24.75 +4 *1426:9 *38055:A 21.925 +5 *1426:9 *6060:DIODE 9.3 +*END + +*D_NET *1427 0.010769 +*CONN +*I *6064:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38057:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38056:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *6064:DIODE 0 +2 *38057:A 0.000143745 +3 *38056:X 0.000523914 +4 *1427:35 0.000750028 +5 *1427:31 0.00268386 +6 *1427:22 0.00411056 +7 *1427:10 0.0025569 +8 *38057:A *3754:5 0 +9 *38057:A *4312:33 0 +10 *1427:10 *3286:132 0 +11 *1427:22 *38367:A 0 +12 *1427:22 *1461:25 0 +13 *1427:22 *1582:13 0 +14 *1427:22 *1591:24 0 +15 *1427:22 *1849:8 0 +16 *1427:22 *2833:62 0 +17 *1427:22 *4920:11 0 +18 *1427:31 *1460:30 0 +19 *1427:31 *1585:6 0 +20 *1427:31 *2802:49 0 +21 *1427:31 *2813:62 0 +22 *1427:31 *2833:51 0 +23 *1427:31 *2833:62 0 +24 *1427:31 *3473:47 0 +25 *1427:31 *3544:14 0 +26 *1427:31 *4628:6 0 +27 *1427:35 *3737:32 0 +28 *1427:35 *3754:5 0 +29 *1427:35 *4312:33 0 +30 *370:51 *1427:22 0 +31 *1244:30 *1427:22 0 +*RES +1 *38056:X *1427:10 29.3179 +2 *1427:10 *1427:22 46.1572 +3 *1427:22 *1427:31 49.992 +4 *1427:31 *1427:35 17.3125 +5 *1427:35 *38057:A 12.3 +6 *1427:35 *6064:DIODE 9.3 +*END + +*D_NET *1428 0.013869 +*CONN +*I *38059:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *6068:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38058:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *38059:A 0.000143745 +2 *6068:DIODE 0 +3 *38058:X 0.00180764 +4 *1428:28 0.000349816 +5 *1428:23 0.00446281 +6 *1428:22 0.00477703 +7 *1428:19 0.00232793 +8 *38059:A *1547:47 0 +9 *1428:19 *6067:DIODE 0 +10 *1428:19 *2400:39 0 +11 *1428:19 *3040:21 0 +12 *1428:19 *3290:21 0 +13 *1428:19 *3492:17 0 +14 *1428:19 *3521:26 0 +15 *1428:19 *3652:22 0 +16 *1428:19 *4034:95 0 +17 *1428:19 *4266:18 0 +18 *1428:22 *1544:20 0 +19 *1428:22 *2478:26 0 +20 *1428:22 *2479:49 0 +21 *1428:22 *3768:30 0 +22 *1428:23 *2459:16 0 +23 *1428:23 *3654:15 0 +24 *1428:23 *3654:25 0 +25 *1428:23 *3946:47 0 +26 *1428:23 *4021:22 0 +27 *1428:28 *2469:25 0 +28 *357:38 *1428:28 0 +29 *360:14 *38059:A 0 +30 *375:20 *1428:23 0 +31 *379:10 *38059:A 0 +32 *379:12 *38059:A 0 +*RES +1 *38058:X *1428:19 39.4327 +2 *1428:19 *1428:22 16.3125 +3 *1428:22 *1428:23 88.8393 +4 *1428:23 *1428:28 13.6786 +5 *1428:28 *6068:DIODE 9.3 +6 *1428:28 *38059:A 12.3 +*END + +*D_NET *1429 0.00784391 +*CONN +*I *6070:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38061:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *38060:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *6070:DIODE 0.00014075 +2 *38061:A 0 +3 *38060:X 0.00107261 +4 *1429:43 0.00126098 +5 *1429:34 0.00270859 +6 *1429:21 0.00266098 +7 *6070:DIODE *2336:32 0 +8 *6070:DIODE *2945:11 0 +9 *6070:DIODE *4114:44 0 +10 *1429:21 *3518:90 0 +11 *1429:21 *3801:69 0 +12 *1429:21 *3977:21 0 +13 *1429:21 *4060:32 0 +14 *1429:21 *4128:57 0 +15 *1429:21 *4372:22 0 +16 *1429:34 *2851:48 0 +17 *1429:34 *2851:58 0 +18 *1429:34 *3720:35 0 +19 *1429:34 *3808:56 0 +20 *1429:34 *3817:83 0 +21 *1429:34 *4257:26 0 +22 *1429:43 *40079:A 0 +23 *1429:43 *2945:11 0 +24 *1429:43 *2945:20 0 +25 *1429:43 *2945:23 0 +26 *1429:43 *4114:44 0 +27 *1429:43 *4347:38 0 +*RES +1 *38060:X *1429:21 45.8858 +2 *1429:21 *1429:34 49.4821 +3 *1429:34 *1429:43 36.9643 +4 *1429:43 *38061:A 9.3 +5 *1429:43 *6070:DIODE 12.3 +*END + +*D_NET *1430 0.00196564 +*CONN +*I *39995:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38062:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *39995:A 0 +2 *38062:X 0.000982821 +3 *1430:18 0.000982821 +4 *1430:18 *37654:B 0 +5 *1430:18 *3786:82 0 +6 *1430:18 *3961:49 0 +7 *1430:18 *4053:66 0 +8 *1430:18 *4258:34 0 +9 *1430:18 *4510:30 0 +*RES +1 *38062:X *1430:18 43.7065 +2 *1430:18 *39995:A 9.3 +*END + +*D_NET *1431 0.00206409 +*CONN +*I *39994:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38064:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *39994:A 0.00103205 +2 *38064:X 0.00103205 +3 *39994:A *39987:A 0 +4 *39994:A *2832:38 0 +5 *39994:A *3192:66 0 +6 *39994:A *3443:11 0 +7 *39994:A *4017:58 0 +8 *39994:A *4107:63 0 +*RES +1 *38064:X *39994:A 49.2607 +*END + +*D_NET *1432 0.010632 +*CONN +*I *7886:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39992:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38066:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *7886:DIODE 6.18294e-05 +2 *39992:A 0.000522835 +3 *38066:X 0.00141235 +4 *1432:52 0.00178471 +5 *1432:41 0.003319 +6 *1432:30 0.00353131 +7 *7886:DIODE *4236:79 0 +8 *7886:DIODE *4288:103 0 +9 *7886:DIODE *4881:22 0 +10 *39992:A *1444:32 0 +11 *39992:A *3279:81 0 +12 *39992:A *3937:17 0 +13 *39992:A *3959:23 0 +14 *39992:A *4008:99 0 +15 *39992:A *4052:27 0 +16 *39992:A *4059:42 0 +17 *39992:A *4248:45 0 +18 *39992:A *4255:20 0 +19 *39992:A *4267:62 0 +20 *39992:A *4276:20 0 +21 *39992:A *4520:107 0 +22 *1432:30 *38070:A_N 0 +23 *1432:30 *38070:C 0 +24 *1432:30 *3939:99 0 +25 *1432:30 *3939:117 0 +26 *1432:30 *3965:83 0 +27 *1432:30 *4060:32 0 +28 *1432:30 *4061:75 0 +29 *1432:30 *4303:167 0 +30 *1432:30 *4517:15 0 +31 *1432:41 *5488:DIODE 0 +32 *1432:41 *8733:DIODE 0 +33 *1432:41 *38074:A_N 0 +34 *1432:41 *38076:A_N 0 +35 *1432:41 *39617:A 0 +36 *1432:41 *39631:A 0 +37 *1432:41 *1446:20 0 +38 *1432:41 *2420:26 0 +39 *1432:41 *3084:62 0 +40 *1432:41 *3327:92 0 +41 *1432:41 *3675:53 0 +42 *1432:41 *3956:80 0 +43 *1432:41 *3965:83 0 +44 *1432:41 *4072:55 0 +45 *1432:41 *4236:79 0 +46 *1432:41 *4516:83 0 +47 *1432:41 *4520:79 0 +48 *1432:41 *4888:26 0 +49 *1432:52 *3084:62 0 +50 *1432:52 *4001:81 0 +51 *1432:52 *4017:97 0 +52 *1432:52 *4269:61 0 +53 *1432:52 *4269:63 0 +54 *1432:52 *4288:103 0 +55 *1432:52 *4294:77 0 +56 *1432:52 *4520:107 0 +*RES +1 *38066:X *1432:30 48.2107 +2 *1432:30 *1432:41 44.375 +3 *1432:41 *1432:52 38.8482 +4 *1432:52 *39992:A 31.3919 +5 *1432:52 *7886:DIODE 15.2196 +*END + +*D_NET *1433 0.00300204 +*CONN +*I *39990:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38068:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *39990:A 0 +2 *38068:X 0.00150102 +3 *1433:15 0.00150102 +4 *1433:15 *38068:C 0 +5 *1433:15 *40311:A 0 +6 *1433:15 *3744:36 0 +7 *1433:15 *4001:45 0 +8 *1433:15 *4008:18 0 +9 *1433:15 *4053:92 0 +10 *1433:15 *4252:25 0 +11 *1433:15 *4306:66 0 +*RES +1 *38068:X *1433:15 49.7107 +2 *1433:15 *39990:A 9.3 +*END + +*D_NET *1434 0.00209731 +*CONN +*I *39987:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38070:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *39987:A 0.000124066 +2 *38070:X 0.000924591 +3 *1434:26 0.00104866 +4 *39987:A *4017:58 0 +5 *39987:A *4107:63 0 +6 *1434:26 *38064:A_N 0 +7 *1434:26 *38064:B 0 +8 *1434:26 *2594:28 0 +9 *1434:26 *3192:66 0 +10 *1434:26 *3327:92 0 +11 *1434:26 *3744:36 0 +12 *1434:26 *3777:33 0 +13 *1434:26 *3980:80 0 +14 *1434:26 *4017:58 0 +15 *1434:26 *4513:109 0 +16 *39994:A *39987:A 0 +*RES +1 *38070:X *1434:26 48.175 +2 *1434:26 *39987:A 11.8893 +*END + +*D_NET *1435 0.0158993 +*CONN +*I *39984:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7880:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38072:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *39984:A 0 +2 *7880:DIODE 0.000804573 +3 *38072:X 0.00135455 +4 *1435:84 0.00249965 +5 *1435:78 0.00381927 +6 *1435:66 0.00335128 +7 *1435:44 0.00197124 +8 *1435:19 0.0020987 +9 *7880:DIODE *8873:DIODE 0 +10 *7880:DIODE *8886:DIODE 0 +11 *7880:DIODE *39976:A 0 +12 *7880:DIODE *2386:25 0 +13 *7880:DIODE *3302:37 0 +14 *7880:DIODE *4259:24 0 +15 *1435:19 *5264:DIODE 0 +16 *1435:19 *2367:44 0 +17 *1435:19 *3207:35 0 +18 *1435:19 *3446:89 0 +19 *1435:19 *3952:122 0 +20 *1435:19 *4029:81 0 +21 *1435:19 *4112:134 0 +22 *1435:19 *4242:71 0 +23 *1435:19 *4297:91 0 +24 *1435:19 *4888:26 0 +25 *1435:44 *40569:A 0 +26 *1435:44 *2549:45 0 +27 *1435:44 *2972:23 0 +28 *1435:44 *3192:54 0 +29 *1435:44 *3207:35 0 +30 *1435:44 *3210:15 0 +31 *1435:44 *3210:35 0 +32 *1435:44 *3285:14 0 +33 *1435:44 *3433:20 0 +34 *1435:44 *4242:71 0 +35 *1435:66 *40325:A 0 +36 *1435:66 *40581:A 0 +37 *1435:66 *2386:42 0 +38 *1435:66 *3208:47 0 +39 *1435:66 *3261:53 0 +40 *1435:66 *3433:20 0 +41 *1435:66 *3440:59 0 +42 *1435:66 *3497:50 0 +43 *1435:66 *3511:85 0 +44 *1435:78 *3159:40 0 +45 *1435:78 *3208:47 0 +46 *1435:78 *3265:57 0 +47 *1435:78 *3497:59 0 +48 *1435:78 *3513:68 0 +49 *1435:78 *3515:34 0 +50 *1435:78 *4171:10 0 +51 *1435:84 *3122:43 0 +52 *1435:84 *3198:37 0 +53 *1435:84 *3256:14 0 +54 *1435:84 *3285:31 0 +55 *1435:84 *3507:19 0 +56 *1435:84 *4255:21 0 +57 *1435:84 *5193:68 0 +58 *6605:DIODE *7880:DIODE 0 +*RES +1 *38072:X *1435:19 48.1571 +2 *1435:19 *1435:44 47.625 +3 *1435:44 *1435:66 48.5 +4 *1435:66 *1435:78 43.1846 +5 *1435:78 *1435:84 44.8036 +6 *1435:84 *7880:DIODE 36.0321 +7 *1435:84 *39984:A 9.3 +*END + +*D_NET *1436 0.0225297 +*CONN +*I *7878:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39982:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38074:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *7878:DIODE 0.000183104 +2 *39982:A 0.000366559 +3 *38074:X 0.00241504 +4 *1436:34 0.00162186 +5 *1436:33 0.0027052 +6 *1436:25 0.00722794 +7 *1436:24 0.00559494 +8 *1436:22 0.00241504 +9 *7878:DIODE *3684:13 0 +10 *39982:A *5750:DIODE 0 +11 *39982:A *8470:DIODE 0 +12 *39982:A *37878:A 0 +13 *39982:A *3047:10 0 +14 *39982:A *3090:44 0 +15 *39982:A *3780:26 0 +16 *1436:22 *8673:DIODE 0 +17 *1436:22 *37668:B 0 +18 *1436:22 *1692:21 0 +19 *1436:22 *2420:34 0 +20 *1436:22 *2925:37 0 +21 *1436:22 *3804:79 0 +22 *1436:22 *3822:38 0 +23 *1436:22 *4061:119 0 +24 *1436:22 *4075:126 0 +25 *1436:22 *4345:111 0 +26 *1436:25 *2420:40 0 +27 *1436:25 *2723:16 0 +28 *1436:25 *2946:13 0 +29 *1436:25 *2975:9 0 +30 *1436:25 *3078:20 0 +31 *1436:25 *3669:30 0 +32 *1436:25 *3679:41 0 +33 *1436:25 *4309:24 0 +34 *1436:33 *2943:17 0 +35 *1436:33 *3014:33 0 +36 *1436:33 *3387:24 0 +37 *1436:34 *5750:DIODE 0 +38 *1436:34 *8751:DIODE 0 +39 *1436:34 *37878:A 0 +40 *1436:34 *2826:11 0 +41 *1436:34 *3047:10 0 +42 *1436:34 *3218:60 0 +43 *1419:23 *1436:22 0 +*RES +1 *38074:X *1436:22 49.4305 +2 *1436:22 *1436:24 4.5 +3 *1436:24 *1436:25 116.768 +4 *1436:25 *1436:33 47.7143 +5 *1436:33 *1436:34 24.4107 +6 *1436:34 *39982:A 21.8 +7 *1436:34 *7878:DIODE 17.6214 +*END + +*D_NET *1437 0.0127606 +*CONN +*I *39980:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7876:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38076:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *39980:A 6.28885e-05 +2 *7876:DIODE 0.000329142 +3 *38076:X 0.0018547 +4 *1437:65 0.000968658 +5 *1437:59 0.00413358 +6 *1437:30 0.00541165 +7 *7876:DIODE *8188:DIODE 0 +8 *7876:DIODE *3277:20 0 +9 *7876:DIODE *4248:69 0 +10 *7876:DIODE *4520:118 0 +11 *1437:30 *2367:24 0 +12 *1437:30 *2436:44 0 +13 *1437:30 *2835:31 0 +14 *1437:30 *2840:27 0 +15 *1437:30 *3626:29 0 +16 *1437:30 *3726:28 0 +17 *1437:30 *3766:48 0 +18 *1437:30 *4031:17 0 +19 *1437:30 *4034:24 0 +20 *1437:30 *4061:146 0 +21 *1437:30 *4075:126 0 +22 *1437:30 *4088:18 0 +23 *1437:30 *4225:35 0 +24 *1437:30 *4234:45 0 +25 *1437:30 *4267:25 0 +26 *1437:30 *4336:46 0 +27 *1437:59 *8657:DIODE 0 +28 *1437:59 *2429:34 0 +29 *1437:59 *2832:50 0 +30 *1437:59 *3177:26 0 +31 *1437:59 *3317:119 0 +32 *1437:59 *3318:66 0 +33 *1437:59 *3790:64 0 +34 *1437:59 *3955:38 0 +35 *1437:59 *3988:11 0 +36 *1437:59 *3997:34 0 +37 *1437:59 *4034:48 0 +38 *1437:59 *4041:54 0 +39 *1437:59 *4095:82 0 +40 *1437:59 *4107:101 0 +41 *1437:59 *4225:35 0 +42 *1437:59 *4357:20 0 +43 *1437:65 *4248:69 0 +44 *1222:49 *1437:30 0 +45 *1222:49 *1437:59 0 +*RES +1 *38076:X *1437:30 46.8848 +2 *1437:30 *1437:59 49.4826 +3 *1437:59 *1437:65 20.7046 +4 *1437:65 *7876:DIODE 25.7107 +5 *1437:65 *39980:A 10.675 +*END + +*D_NET *1438 0.00590409 +*CONN +*I *38079:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *38078:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *38079:A 0 +2 *38078:X 0.00167481 +3 *1438:55 0.00127723 +4 *1438:30 0.00295205 +5 *1438:30 *3320:156 0 +6 *1438:30 *3320:169 0 +7 *1438:30 *3322:131 0 +8 *1438:30 *3323:30 0 +9 *1438:30 *3518:122 0 +10 *1438:30 *3744:20 0 +11 *1438:30 *3947:27 0 +12 *1438:30 *4095:18 0 +13 *1438:30 *4230:46 0 +14 *1438:30 *4267:25 0 +15 *1438:30 *4298:55 0 +16 *1438:55 *8844:DIODE 0 +17 *1438:55 *40232:A 0 +18 *1438:55 *1557:30 0 +19 *1438:55 *3103:14 0 +20 *1438:55 *3322:109 0 +21 *1438:55 *3438:71 0 +22 *1438:55 *3747:45 0 +23 *1438:55 *3806:53 0 +24 *1438:55 *3815:31 0 +25 *1438:55 *3817:63 0 +26 *1438:55 *4103:25 0 +27 *1438:55 *4230:46 0 +*RES +1 *38078:X *1438:30 49.7808 +2 *1438:30 *1438:55 45.5714 +3 *1438:55 *38079:A 9.3 +*END + +*D_NET *1439 0.0113262 +*CONN +*I *6100:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38081:A I *D sky130_fd_sc_hd__buf_4 +*I *38080:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *6100:DIODE 0 +2 *38081:A 0.000144601 +3 *38080:X 0.000881674 +4 *1439:59 0.000403428 +5 *1439:58 0.00183457 +6 *1439:46 0.00276118 +7 *1439:31 0.00280224 +8 *1439:17 0.00249847 +9 *38081:A *3824:23 0 +10 *1439:17 *8663:DIODE 0 +11 *1439:17 *40302:A 0 +12 *1439:17 *3964:15 0 +13 *1439:17 *4006:69 0 +14 *1439:17 *4119:89 0 +15 *1439:17 *4119:109 0 +16 *1439:17 *4291:75 0 +17 *1439:31 *38274:B 0 +18 *1439:31 *3252:25 0 +19 *1439:31 *3680:54 0 +20 *1439:31 *3730:67 0 +21 *1439:31 *3742:40 0 +22 *1439:31 *4113:99 0 +23 *1439:31 *4245:16 0 +24 *1439:46 *2421:63 0 +25 *1439:46 *2432:54 0 +26 *1439:46 *2436:44 0 +27 *1439:46 *3112:82 0 +28 *1439:46 *3669:14 0 +29 *1439:46 *3670:31 0 +30 *1439:46 *3688:8 0 +31 *1439:46 *4070:43 0 +32 *1439:46 *4099:29 0 +33 *1439:58 *2309:38 0 +34 *1439:58 *2915:16 0 +35 *1439:58 *3713:17 0 +36 *1439:58 *3720:41 0 +37 *1439:58 *3825:52 0 +38 *1439:59 *3824:23 0 +39 *40092:A *38081:A 0 +40 *40092:A *1439:59 0 +41 *40104:A *1439:46 0 +42 *1270:27 *1439:46 0 +43 *1324:47 *1439:46 0 +44 *1411:58 *1439:58 0 +*RES +1 *38080:X *1439:17 41.3714 +2 *1439:17 *1439:31 48.8929 +3 *1439:31 *1439:46 48.8214 +4 *1439:46 *1439:58 48.1071 +5 *1439:58 *1439:59 5.46429 +6 *1439:59 *38081:A 12.3179 +7 *1439:59 *6100:DIODE 9.3 +*END + +*D_NET *1440 0.00264875 +*CONN +*I *39978:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38082:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *39978:A 0 +2 *38082:X 0.00132437 +3 *1440:19 0.00132437 +4 *1440:19 *41050:A 0 +5 *1440:19 *3187:21 0 +6 *1440:19 *3263:30 0 +7 *1440:19 *3534:5 0 +8 *1440:19 *4012:117 0 +9 *1440:19 *4029:89 0 +10 *1440:19 *4294:103 0 +11 *1440:19 *4297:122 0 +*RES +1 *38082:X *1440:19 46.2464 +2 *1440:19 *39978:A 9.3 +*END + +*D_NET *1441 0.0125419 +*CONN +*I *7873:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39976:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38084:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *7873:DIODE 0 +2 *39976:A 0.00058873 +3 *38084:X 0.00253238 +4 *1441:51 0.00159779 +5 *1441:29 0.00373858 +6 *1441:19 0.00408444 +7 *39976:A *3287:91 0 +8 *39976:A *3294:59 0 +9 *39976:A *3743:16 0 +10 *1441:19 *3119:22 0 +11 *1441:19 *3263:11 0 +12 *1441:19 *3439:38 0 +13 *1441:19 *3441:55 0 +14 *1441:19 *3443:88 0 +15 *1441:19 *3532:24 0 +16 *1441:19 *3722:25 0 +17 *1441:19 *4255:20 0 +18 *1441:19 *4268:14 0 +19 *1441:29 *3129:15 0 +20 *1441:29 *3203:73 0 +21 *1441:29 *3439:38 0 +22 *1441:29 *3513:68 0 +23 *1441:51 *8203:DIODE 0 +24 *1441:51 *40409:A 0 +25 *1441:51 *40517:A 0 +26 *1441:51 *3129:15 0 +27 *1441:51 *3203:73 0 +28 *1441:51 *3235:111 0 +29 *1441:51 *3239:8 0 +30 *1441:51 *3272:14 0 +31 *1441:51 *3301:18 0 +32 *1441:51 *3434:19 0 +33 *1441:51 *3435:76 0 +34 *7880:DIODE *39976:A 0 +35 *1239:34 *39976:A 0 +*RES +1 *38084:X *1441:19 49.7069 +2 *1441:19 *1441:29 28.4225 +3 *1441:29 *39976:A 31.5679 +4 *1441:29 *1441:51 43.6429 +5 *1441:51 *7873:DIODE 9.3 +*END + +*D_NET *1442 0.0142604 +*CONN +*I *7871:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39974:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38086:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *7871:DIODE 0.000492159 +2 *39974:A 9.13439e-05 +3 *38086:X 0.00104549 +4 *1442:55 0.000583502 +5 *1442:53 0.00203356 +6 *1442:46 0.00359818 +7 *1442:16 0.00346767 +8 *1442:10 0.00294853 +9 *7871:DIODE *7882:DIODE 0 +10 *7871:DIODE *2822:97 0 +11 *7871:DIODE *2832:50 0 +12 *7871:DIODE *3991:133 0 +13 *39974:A *2374:23 0 +14 *39974:A *2832:50 0 +15 *1442:10 *3279:94 0 +16 *1442:10 *3715:25 0 +17 *1442:10 *3937:24 0 +18 *1442:10 *4028:19 0 +19 *1442:10 *4059:51 0 +20 *1442:10 *4078:58 0 +21 *1442:16 *3667:45 0 +22 *1442:16 *4335:13 0 +23 *1442:46 *40284:A 0 +24 *1442:46 *41320:A 0 +25 *1442:46 *3726:93 0 +26 *1442:46 *3750:70 0 +27 *1442:46 *3756:23 0 +28 *1442:46 *3756:25 0 +29 *1442:46 *4092:83 0 +30 *1442:46 *4267:80 0 +31 *1442:46 *4267:87 0 +32 *1442:53 *3732:13 0 +33 *1442:53 *3756:25 0 +34 *1442:53 *3758:53 0 +35 *1442:53 *3765:22 0 +36 *1442:53 *4078:92 0 +37 *1208:19 *7871:DIODE 0 +*RES +1 *38086:X *1442:10 41.2464 +2 *1442:10 *1442:16 44.6339 +3 *1442:16 *1442:46 47.5982 +4 *1442:46 *1442:53 47.774 +5 *1442:53 *1442:55 3.41 +6 *1442:55 *39974:A 15.8268 +7 *1442:55 *7871:DIODE 24.9339 +*END + +*D_NET *1443 0.00341748 +*CONN +*I *39973:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38088:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *39973:A 0.000756588 +2 *38088:X 0.000952152 +3 *1443:27 0.00170874 +4 *39973:A *5725:DIODE 0 +5 *39973:A *8470:DIODE 0 +6 *39973:A *1543:19 0 +7 *39973:A *3772:102 0 +8 *39973:A *3780:18 0 +9 *1443:27 *8502:DIODE 0 +10 *1443:27 *37742:B 0 +11 *1443:27 *38288:A 0 +12 *1443:27 *40828:A 0 +13 *1443:27 *3736:97 0 +14 *1443:27 *3780:18 0 +15 *1443:27 *4037:74 0 +16 *1443:27 *4099:60 0 +17 *1443:27 *4171:80 0 +18 *1443:27 *4248:109 0 +19 *1330:22 *39973:A 0 +*RES +1 *38088:X *1443:27 47.7107 +2 *1443:27 *39973:A 25.6304 +*END + +*D_NET *1444 0.00569326 +*CONN +*I *38091:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38090:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *38091:A 0.0010644 +2 *38090:X 0.00178223 +3 *1444:32 0.00284663 +4 *38091:A *2384:103 0 +5 *38091:A *3674:93 0 +6 *1444:32 *38090:C 0 +7 *1444:32 *2412:16 0 +8 *1444:32 *2413:98 0 +9 *1444:32 *3029:24 0 +10 *1444:32 *3443:53 0 +11 *1444:32 *3531:44 0 +12 *1444:32 *3702:125 0 +13 *1444:32 *3822:76 0 +14 *1444:32 *4008:99 0 +15 *1444:32 *4078:26 0 +16 *1444:32 *4340:26 0 +17 *1444:32 *4352:19 0 +18 *1444:32 *4358:120 0 +19 *1444:32 *4408:108 0 +20 *39992:A *1444:32 0 +21 *1270:19 *38091:A 0 +22 *1413:35 *1444:32 0 +*RES +1 *38090:X *1444:32 47.8123 +2 *1444:32 *38091:A 36.0143 +*END + +*D_NET *1445 0.0217027 +*CONN +*I *6117:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38093:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *38092:X O *D sky130_fd_sc_hd__and3b_2 +*CAP +1 *6117:DIODE 0.000281356 +2 *38093:A 0 +3 *38092:X 0.00133929 +4 *1445:53 0.00405883 +5 *1445:37 0.00923069 +6 *1445:22 0.00679251 +7 *6117:DIODE *38287:A 0 +8 *6117:DIODE *3183:18 0 +9 *6117:DIODE *3780:61 0 +10 *6117:DIODE *4069:5 0 +11 *6117:DIODE *4614:9 0 +12 *1445:22 *38092:B 0 +13 *1445:22 *3084:34 0 +14 *1445:22 *3439:38 0 +15 *1445:22 *3441:55 0 +16 *1445:22 *3955:26 0 +17 *1445:22 *4011:24 0 +18 *1445:22 *4019:28 0 +19 *1445:22 *4055:82 0 +20 *1445:22 *4278:23 0 +21 *1445:37 *2400:56 0 +22 *1445:37 *3037:20 0 +23 *1445:37 *3100:31 0 +24 *1445:37 *3433:30 0 +25 *1445:37 *3443:88 0 +26 *1445:37 *3675:67 0 +27 *1445:37 *3725:22 0 +28 *1445:37 *3765:22 0 +29 *1445:37 *3811:58 0 +30 *1445:37 *3995:36 0 +31 *1445:37 *3997:60 0 +32 *1445:37 *4052:53 0 +33 *1445:37 *4149:31 0 +34 *1445:37 *4309:63 0 +35 *1445:53 *5426:DIODE 0 +36 *1445:53 *5729:DIODE 0 +37 *1445:53 *8706:DIODE 0 +38 *1445:53 *2977:31 0 +39 *1445:53 *2987:78 0 +40 *1445:53 *2991:30 0 +41 *1445:53 *3008:89 0 +42 *1445:53 *3625:16 0 +43 *1445:53 *3780:61 0 +44 *1445:53 *3802:20 0 +45 *1445:53 *3991:133 0 +46 *1445:53 *3994:17 0 +47 *1445:53 *4069:5 0 +48 *1445:53 *4070:95 0 +49 *1445:53 *4323:77 0 +50 *1445:53 *4351:33 0 +51 *8030:DIODE *1445:53 0 +52 *1208:19 *1445:53 0 +53 *1331:13 *1445:53 0 +*RES +1 *38092:X *1445:22 46.2368 +2 *1445:22 *1445:37 46.0395 +3 *1445:37 *1445:53 35.2653 +4 *1445:53 *38093:A 9.3 +5 *1445:53 *6117:DIODE 24.6393 +*END + +*D_NET *1446 0.0214341 +*CONN +*I *7869:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39971:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38094:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *7869:DIODE 2.56688e-05 +2 *39971:A 0.000223405 +3 *38094:X 0.000801484 +4 *1446:52 0.000455901 +5 *1446:45 0.00678857 +6 *1446:29 0.00945968 +7 *1446:20 0.00367942 +8 *7869:DIODE *3650:59 0 +9 *7869:DIODE *4723:13 0 +10 *39971:A *2814:11 0 +11 *39971:A *4007:12 0 +12 *1446:20 *5478:DIODE 0 +13 *1446:20 *3317:81 0 +14 *1446:20 *3796:38 0 +15 *1446:20 *4034:24 0 +16 *1446:20 *4070:20 0 +17 *1446:20 *4279:39 0 +18 *1446:29 *8636:DIODE 0 +19 *1446:29 *2931:8 0 +20 *1446:29 *2935:20 0 +21 *1446:29 *3083:21 0 +22 *1446:29 *3334:39 0 +23 *1446:29 *3733:72 0 +24 *1446:29 *3942:64 0 +25 *1446:29 *3946:31 0 +26 *1446:29 *4089:41 0 +27 *1446:45 *2374:76 0 +28 *1446:45 *2440:49 0 +29 *1446:45 *2981:79 0 +30 *1446:45 *2998:10 0 +31 *1446:45 *3076:52 0 +32 *1446:45 *3112:52 0 +33 *1446:45 *3218:60 0 +34 *1446:45 *3252:28 0 +35 *1446:45 *3318:75 0 +36 *1446:45 *3648:58 0 +37 *1446:45 *3740:46 0 +38 *1446:45 *4097:17 0 +39 *1446:45 *4114:53 0 +40 *1446:52 *3076:26 0 +41 *1446:52 *3625:16 0 +42 *1446:52 *4007:12 0 +43 *40089:A *1446:45 0 +44 *1029:84 *1446:29 0 +45 *1210:16 *1446:29 0 +46 *1232:38 *1446:45 0 +47 *1319:27 *1446:45 0 +48 *1327:19 *39971:A 0 +49 *1432:41 *1446:20 0 +*RES +1 *38094:X *1446:20 40.7464 +2 *1446:20 *1446:29 47.2874 +3 *1446:29 *1446:45 48.9455 +4 *1446:45 *1446:52 8.40107 +5 *1446:52 *39971:A 18.8536 +6 *1446:52 *7869:DIODE 14.3357 +*END + +*D_NET *1447 0.00281231 +*CONN +*I *39969:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38096:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *39969:A 0 +2 *38096:X 0.00140615 +3 *1447:9 0.00140615 +4 *1447:9 *5269:DIODE 0 +5 *1447:9 *8058:DIODE 0 +6 *1447:9 *8207:DIODE 0 +7 *1447:9 *8213:DIODE 0 +8 *1447:9 *8300:DIODE 0 +9 *1447:9 *37411:A 0 +10 *1447:9 *40350:A 0 +11 *1447:9 *40574:A 0 +12 *1447:9 *2381:35 0 +13 *1447:9 *3106:96 0 +14 *1447:9 *3235:119 0 +15 *1447:9 *3302:28 0 +16 *1447:9 *3499:27 0 +17 *1447:9 *3500:55 0 +*RES +1 *38096:X *1447:9 47.6929 +2 *1447:9 *39969:A 9.3 +*END + +*D_NET *1448 0.014187 +*CONN +*I *39967:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7866:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38098:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *39967:A 0.00011337 +2 *7866:DIODE 0 +3 *38098:X 0.00102645 +4 *1448:71 0.00126636 +5 *1448:64 0.00258161 +6 *1448:38 0.00284975 +7 *1448:22 0.00337208 +8 *1448:13 0.00297741 +9 *39967:A *1568:32 0 +10 *39967:A *2830:15 0 +11 *1448:13 *3107:83 0 +12 *1448:13 *3410:55 0 +13 *1448:13 *3414:6 0 +14 *1448:22 *40786:A 0 +15 *1448:22 *1561:45 0 +16 *1448:22 *1564:35 0 +17 *1448:22 *2440:16 0 +18 *1448:22 *3107:83 0 +19 *1448:22 *3308:39 0 +20 *1448:22 *3432:67 0 +21 *1448:22 *3509:68 0 +22 *1448:22 *3700:18 0 +23 *1448:22 *3700:36 0 +24 *1448:22 *3978:50 0 +25 *1448:22 *4319:41 0 +26 *1448:22 *4319:47 0 +27 *1448:38 *38300:A 0 +28 *1448:38 *38300:B 0 +29 *1448:38 *39972:A 0 +30 *1448:38 *40786:A 0 +31 *1448:38 *1549:25 0 +32 *1448:38 *2815:14 0 +33 *1448:38 *3931:9 0 +34 *1448:38 *4065:18 0 +35 *1448:38 *4065:19 0 +36 *1448:38 *4091:71 0 +37 *1448:38 *4318:21 0 +38 *1448:64 *7613:DIODE 0 +39 *1448:64 *39623:A 0 +40 *1448:64 *1564:16 0 +41 *1448:64 *2370:19 0 +42 *1448:64 *2375:27 0 +43 *1448:64 *2426:12 0 +44 *1448:64 *3297:81 0 +45 *1448:64 *3509:86 0 +46 *1448:64 *3509:98 0 +47 *1448:64 *3963:56 0 +48 *1448:64 *3987:43 0 +49 *1448:71 *2370:19 0 +50 *1448:71 *2833:20 0 +51 *1448:71 *3401:27 0 +52 *1448:71 *3745:27 0 +53 *1448:71 *3940:19 0 +54 *1448:71 *3987:48 0 +*RES +1 *38098:X *1448:13 40.6929 +2 *1448:13 *1448:22 45.5179 +3 *1448:22 *1448:38 47.7411 +4 *1448:38 *1448:64 49.6552 +5 *1448:64 *1448:71 16.3346 +6 *1448:71 *7866:DIODE 9.3 +7 *1448:71 *39967:A 11.7286 +*END + +*D_NET *1449 0.0260081 +*CONN +*I *39966:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7865:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38100:X O *D sky130_fd_sc_hd__and3b_2 +*CAP +1 *39966:A 0.000192339 +2 *7865:DIODE 0 +3 *38100:X 0.00170894 +4 *1449:59 0.00183089 +5 *1449:55 0.00510604 +6 *1449:47 0.00604998 +7 *1449:45 0.00341438 +8 *1449:42 0.00160046 +9 *1449:24 0.00258237 +10 *1449:17 0.00352273 +11 *39966:A *3950:51 0 +12 *39966:A *4018:95 0 +13 *39966:A *4026:90 0 +14 *1449:17 *39586:A 0 +15 *1449:17 *40751:A 0 +16 *1449:17 *2905:28 0 +17 *1449:17 *3221:36 0 +18 *1449:17 *3261:15 0 +19 *1449:17 *3290:21 0 +20 *1449:17 *3487:20 0 +21 *1449:17 *3515:34 0 +22 *1449:24 *8939:DIODE 0 +23 *1449:24 *37880:A 0 +24 *1449:24 *2442:38 0 +25 *1449:24 *2839:20 0 +26 *1449:24 *2919:24 0 +27 *1449:24 *3036:46 0 +28 *1449:24 *3647:63 0 +29 *1449:24 *3740:78 0 +30 *1449:42 *2370:81 0 +31 *1449:42 *2926:21 0 +32 *1449:42 *4048:52 0 +33 *1449:45 *3428:27 0 +34 *1449:45 *3428:29 0 +35 *1449:47 *2366:49 0 +36 *1449:47 *2682:45 0 +37 *1449:47 *3024:49 0 +38 *1449:47 *3428:29 0 +39 *1449:55 *2823:19 0 +40 *1449:55 *2823:26 0 +41 *1449:55 *2839:52 0 +42 *1449:55 *2968:34 0 +43 *1449:55 *3024:61 0 +44 *1449:55 *3278:47 0 +45 *1449:59 *2387:10 0 +46 *1449:59 *2977:89 0 +47 *1449:59 *3010:38 0 +48 *1449:59 *3013:54 0 +49 *1449:59 *3950:51 0 +50 *1449:59 *4077:33 0 +51 *1320:11 *1449:45 0 +52 *1320:11 *1449:47 0 +53 *1418:25 *1449:24 0 +54 *1418:25 *1449:42 0 +*RES +1 *38100:X *1449:17 36.9189 +2 *1449:17 *1449:24 49.0625 +3 *1449:24 *1449:42 39.5 +4 *1449:42 *1449:45 17.3929 +5 *1449:45 *1449:47 53.9286 +6 *1449:47 *1449:55 24.1113 +7 *1449:55 *1449:59 41.3839 +8 *1449:59 *7865:DIODE 9.3 +9 *1449:59 *39966:A 22.4607 +*END + +*D_NET *1450 0.00879988 +*CONN +*I *39965:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38102:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *39965:A 0.000242142 +2 *38102:X 0.000921365 +3 *1450:80 0.0012557 +4 *1450:63 0.00192543 +5 *1450:45 0.00222287 +6 *1450:25 0.00223237 +7 *39965:A *2414:55 0 +8 *39965:A *3981:39 0 +9 *39965:A *4013:33 0 +10 *1450:25 *3099:25 0 +11 *1450:25 *3107:53 0 +12 *1450:25 *3116:63 0 +13 *1450:25 *3297:51 0 +14 *1450:25 *3348:112 0 +15 *1450:25 *3388:24 0 +16 *1450:25 *3412:71 0 +17 *1450:25 *3724:29 0 +18 *1450:25 *3975:29 0 +19 *1450:25 *4411:65 0 +20 *1450:45 *38116:C 0 +21 *1450:45 *40559:A 0 +22 *1450:45 *1840:52 0 +23 *1450:45 *2871:69 0 +24 *1450:45 *3091:90 0 +25 *1450:45 *3402:102 0 +26 *1450:45 *3478:48 0 +27 *1450:45 *3954:53 0 +28 *1450:45 *3975:29 0 +29 *1450:45 *4270:79 0 +30 *1450:45 *4563:89 0 +31 *1450:45 *5084:82 0 +32 *1450:63 *1457:42 0 +33 *1450:63 *3402:102 0 +34 *1450:63 *3478:61 0 +35 *1450:63 *3482:15 0 +36 *1450:63 *4563:86 0 +37 *1450:63 *4758:27 0 +38 *1450:80 *1459:28 0 +39 *1450:80 *3490:25 0 +40 *1450:80 *3950:51 0 +41 *1450:80 *3967:40 0 +42 *1450:80 *3981:39 0 +43 *1450:80 *4013:33 0 +*RES +1 *38102:X *1450:25 47.3179 +2 *1450:25 *1450:45 45.9107 +3 *1450:45 *1450:63 46.5536 +4 *1450:63 *1450:80 48.9464 +5 *1450:80 *39965:A 14.3536 +*END + +*D_NET *1451 0.0205249 +*CONN +*I *38105:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6139:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38104:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *38105:A 0.000140702 +2 *6139:DIODE 0 +3 *38104:X 0.00228885 +4 *1451:69 0.000925969 +5 *1451:63 0.00346766 +6 *1451:62 0.004852 +7 *1451:50 0.00327117 +8 *1451:39 0.00219563 +9 *1451:24 0.00338292 +10 *38105:A *1863:48 0 +11 *38105:A *3413:136 0 +12 *38105:A *3470:93 0 +13 *1451:24 *1863:28 0 +14 *1451:24 *2995:42 0 +15 *1451:24 *3299:75 0 +16 *1451:24 *3469:49 0 +17 *1451:24 *3770:34 0 +18 *1451:24 *3958:25 0 +19 *1451:24 *3975:77 0 +20 *1451:24 *4251:92 0 +21 *1451:24 *4411:89 0 +22 *1451:39 *1553:39 0 +23 *1451:39 *2366:40 0 +24 *1451:39 *2378:17 0 +25 *1451:39 *2396:13 0 +26 *1451:39 *2441:29 0 +27 *1451:39 *2839:40 0 +28 *1451:39 *3428:43 0 +29 *1451:39 *3967:40 0 +30 *1451:39 *4007:20 0 +31 *1451:50 *1553:23 0 +32 *1451:50 *2396:13 0 +33 *1451:50 *2957:10 0 +34 *1451:50 *3428:49 0 +35 *1451:62 *39663:A 0 +36 *1451:62 *2378:11 0 +37 *1451:62 *2380:14 0 +38 *1451:62 *3024:61 0 +39 *1451:62 *3582:30 0 +40 *1451:63 *1623:11 0 +41 *1451:63 *1844:9 0 +42 *1451:63 *1863:47 0 +43 *1451:63 *2366:28 0 +44 *1451:63 *4740:11 0 +45 *1451:69 *3470:93 0 +46 *1451:69 *4623:6 0 +47 *380:26 *1451:39 0 +48 *383:17 *1451:69 0 +*RES +1 *38104:X *1451:24 46.0666 +2 *1451:24 *1451:39 36.1049 +3 *1451:39 *1451:50 32.75 +4 *1451:50 *1451:62 49.6202 +5 *1451:62 *1451:63 55.9821 +6 *1451:63 *1451:69 26.6786 +7 *1451:69 *6139:DIODE 9.3 +8 *1451:69 *38105:A 21.4071 +*END + +*D_NET *1452 0.00205558 +*CONN +*I *39964:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38106:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *39964:A 0 +2 *38106:X 0.00102779 +3 *1452:17 0.00102779 +4 *1452:17 *3406:49 0 +5 *1452:17 *3410:78 0 +6 *1452:17 *4013:11 0 +7 *1452:17 *4220:88 0 +8 *1452:17 *4244:11 0 +9 *1452:17 *4270:66 0 +*RES +1 *38106:X *1452:17 48.9429 +2 *1452:17 *39964:A 9.3 +*END + +*D_NET *1453 0.0108911 +*CONN +*I *38109:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6147:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38108:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *38109:A 0.000168341 +2 *6147:DIODE 2.56688e-05 +3 *38108:X 0.000711958 +4 *1453:30 0.00473361 +5 *1453:16 0.00525156 +6 *38109:A *2388:48 0 +7 *38109:A *3290:75 0 +8 *38109:A *3501:95 0 +9 *1453:16 *1863:28 0 +10 *1453:16 *3981:21 0 +11 *1453:16 *3981:39 0 +12 *1453:16 *4013:24 0 +13 *1453:16 *4219:42 0 +14 *1453:30 *2808:17 0 +15 *1453:30 *3117:12 0 +16 *1453:30 *3477:53 0 +17 *1453:30 *3745:49 0 +18 *1453:30 *3936:50 0 +19 *1453:30 *3958:25 0 +20 *1453:30 *4251:92 0 +21 *1453:30 *4427:131 0 +22 *1453:30 *4596:48 0 +23 *1453:30 *4600:57 0 +24 *266:48 *1453:16 0 +25 *364:19 *38109:A 0 +26 *364:19 *1453:30 0 +27 *1019:42 *1453:30 0 +28 *1214:43 *1453:30 0 +*RES +1 *38108:X *1453:16 41.4154 +2 *1453:16 *1453:30 35.1981 +3 *1453:30 *6147:DIODE 14.3357 +4 *1453:30 *38109:A 17.6036 +*END + +*D_NET *1454 0.00926396 +*CONN +*I *7864:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39963:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38110:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *7864:DIODE 0.000121757 +2 *39963:A 0 +3 *38110:X 0.00100346 +4 *1454:69 0.00125796 +5 *1454:58 0.00175245 +6 *1454:41 0.00237056 +7 *1454:24 0.00275777 +8 *1454:24 *8262:DIODE 0 +9 *1454:24 *3409:120 0 +10 *1454:24 *3432:49 0 +11 *1454:24 *3701:50 0 +12 *1454:24 *3950:41 0 +13 *1454:24 *4003:26 0 +14 *1454:24 *4220:88 0 +15 *1454:24 *5151:31 0 +16 *1454:41 *8262:DIODE 0 +17 *1454:41 *8852:DIODE 0 +18 *1454:41 *38120:B 0 +19 *1454:41 *3434:56 0 +20 *1454:41 *3501:64 0 +21 *1454:41 *3936:23 0 +22 *1454:41 *3970:19 0 +23 *1454:41 *5182:66 0 +24 *1454:58 *3292:48 0 +25 *1454:58 *3434:56 0 +26 *1454:58 *3743:32 0 +27 *1454:58 *3970:19 0 +28 *1454:58 *4216:24 0 +29 *1454:69 *1459:21 0 +30 *1454:69 *1459:28 0 +31 *1454:69 *1462:54 0 +32 *1454:69 *2819:17 0 +33 *1454:69 *3936:40 0 +34 *1454:69 *3950:51 0 +35 *1454:69 *4216:24 0 +*RES +1 *38110:X *1454:24 49.4429 +2 *1454:24 *1454:41 46.8534 +3 *1454:41 *1454:58 32.8581 +4 *1454:58 *1454:69 33.1786 +5 *1454:69 *39963:A 9.3 +6 *1454:69 *7864:DIODE 21.0857 +*END + +*D_NET *1455 0.0064054 +*CONN +*I *39962:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38112:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *39962:A 0.00120144 +2 *38112:X 0.000333021 +3 *1455:22 0.00286968 +4 *1455:16 0.00200126 +5 *39962:A *3975:77 0 +6 *39962:A *4219:58 0 +7 *39962:A *4404:113 0 +8 *39962:A *4572:47 0 +9 *1455:16 *3022:11 0 +10 *1455:16 *3416:57 0 +11 *1455:16 *5084:43 0 +12 *1455:22 *3022:11 0 +13 *1455:22 *3022:13 0 +14 *1455:22 *3113:27 0 +15 *1455:22 *3168:49 0 +16 *1455:22 *3168:61 0 +*RES +1 *38112:X *1455:16 34.4429 +2 *1455:16 *1455:22 44.1964 +3 *1455:22 *39962:A 43.8357 +*END + +*D_NET *1456 0.0150631 +*CONN +*I *38115:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *6159:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38114:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *38115:A 8.29197e-05 +2 *6159:DIODE 2.35958e-05 +3 *38114:X 0.00199522 +4 *1456:46 0.00440329 +5 *1456:36 0.0054298 +6 *1456:22 0.00312824 +7 *38115:A *2973:36 0 +8 *1456:22 *1571:37 0 +9 *1456:22 *2375:27 0 +10 *1456:22 *2376:24 0 +11 *1456:22 *2800:17 0 +12 *1456:22 *2806:22 0 +13 *1456:22 *2825:43 0 +14 *1456:22 *3408:18 0 +15 *1456:22 *3409:138 0 +16 *1456:22 *3734:21 0 +17 *1456:22 *3764:34 0 +18 *1456:22 *3970:34 0 +19 *1456:22 *4073:45 0 +20 *1456:22 *4406:28 0 +21 *1456:36 *6037:DIODE 0 +22 *1456:36 *38313:A 0 +23 *1456:36 *1550:17 0 +24 *1456:36 *1849:21 0 +25 *1456:36 *2721:10 0 +26 *1456:36 *2811:59 0 +27 *1456:36 *3013:72 0 +28 *1456:36 *3779:71 0 +29 *1456:36 *3945:52 0 +30 *1456:36 *4010:120 0 +31 *1456:46 *2376:15 0 +32 *1456:46 *2973:23 0 +33 *1456:46 *2973:36 0 +34 *1456:46 *3012:14 0 +35 *1456:46 *3286:114 0 +36 *1456:46 *3764:69 0 +37 *1456:46 *3940:26 0 +38 *1456:46 *4906:8 0 +39 *380:29 *1456:46 0 +40 *385:11 *38115:A 0 +41 *1244:30 *1456:46 0 +*RES +1 *38114:X *1456:22 45.2721 +2 *1456:22 *1456:36 41.0261 +3 *1456:36 *1456:46 30.2125 +4 *1456:46 *6159:DIODE 14.3357 +5 *1456:46 *38115:A 15.7464 +*END + +*D_NET *1457 0.00624242 +*CONN +*I *39961:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38116:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *39961:A 0.000928971 +2 *38116:X 0.000716797 +3 *1457:42 0.00240441 +4 *1457:17 0.00219224 +5 *39961:A *3491:13 0 +6 *39961:A *4758:5 0 +7 *1457:17 *3107:53 0 +8 *1457:17 *3402:102 0 +9 *1457:17 *4427:93 0 +10 *1457:17 *4563:86 0 +11 *1457:17 *4563:89 0 +12 *1457:17 *4758:27 0 +13 *1457:42 *8290:DIODE 0 +14 *1457:42 *2968:53 0 +15 *1457:42 *3022:13 0 +16 *1457:42 *3107:28 0 +17 *1457:42 *3286:34 0 +18 *1457:42 *3288:24 0 +19 *1457:42 *3389:28 0 +20 *1457:42 *3389:36 0 +21 *1457:42 *3398:40 0 +22 *1457:42 *3416:73 0 +23 *1457:42 *3484:14 0 +24 *1457:42 *3490:25 0 +25 *1457:42 *3491:11 0 +26 *1457:42 *3776:84 0 +27 *1457:42 *4404:113 0 +28 *1457:42 *4427:113 0 +29 *1457:42 *4563:86 0 +30 *1457:42 *4599:18 0 +31 *1457:42 *4758:5 0 +32 *1450:63 *1457:42 0 +*RES +1 *38116:X *1457:17 34.1304 +2 *1457:17 *1457:42 48.8632 +3 *1457:42 *39961:A 42.7643 +*END + +*D_NET *1458 0.00602839 +*CONN +*I *39960:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38118:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *39960:A 0.000163356 +2 *38118:X 0.00138969 +3 *1458:37 0.00162451 +4 *1458:18 0.00285084 +5 *39960:A *4018:109 0 +6 *39960:A *4073:79 0 +7 *1458:18 *6473:DIODE 0 +8 *1458:18 *3410:128 0 +9 *1458:18 *3954:65 0 +10 *1458:18 *3966:14 0 +11 *1458:18 *4228:22 0 +12 *1458:18 *4322:93 0 +13 *1458:18 *4600:57 0 +14 *1458:37 *38338:B 0 +15 *1458:37 *38364:A 0 +16 *1458:37 *1569:12 0 +17 *1458:37 *1581:29 0 +18 *1458:37 *2414:41 0 +19 *1458:37 *2414:53 0 +20 *1458:37 *3286:72 0 +21 *1458:37 *3286:79 0 +22 *1458:37 *3288:36 0 +23 *1458:37 *3411:172 0 +24 *1458:37 *4013:67 0 +25 *1458:37 *4026:90 0 +26 *1458:37 *4223:35 0 +*RES +1 *38118:X *1458:18 41.1912 +2 *1458:18 *1458:37 48.9643 +3 *1458:37 *39960:A 21.8179 +*END + +*D_NET *1459 0.00975595 +*CONN +*I *39959:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7863:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38120:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *39959:A 0.000287853 +2 *7863:DIODE 0.000104386 +3 *38120:X 0.00138609 +4 *1459:40 0.00191642 +5 *1459:28 0.00309964 +6 *1459:21 0.00296155 +7 *7863:DIODE *3986:7 0 +8 *7863:DIODE *4073:79 0 +9 *39959:A *3481:57 0 +10 *1459:21 *6170:DIODE 0 +11 *1459:21 *39635:A 0 +12 *1459:21 *2441:37 0 +13 *1459:21 *3297:51 0 +14 *1459:21 *3936:40 0 +15 *1459:21 *3936:50 0 +16 *1459:21 *4026:62 0 +17 *1459:21 *4216:24 0 +18 *1459:21 *4360:104 0 +19 *1459:28 *6473:DIODE 0 +20 *1459:28 *39958:A 0 +21 *1459:28 *39975:A 0 +22 *1459:28 *1462:63 0 +23 *1459:28 *2800:17 0 +24 *1459:28 *3402:157 0 +25 *1459:28 *3434:56 0 +26 *1459:28 *3470:40 0 +27 *1459:28 *3936:50 0 +28 *1459:28 *3950:51 0 +29 *1459:28 *4018:71 0 +30 *1459:28 *4018:95 0 +31 *1459:40 *2414:55 0 +32 *1459:40 *3950:75 0 +33 *1459:40 *4018:95 0 +34 *368:79 *1459:40 0 +35 *1214:31 *39959:A 0 +36 *1450:80 *1459:28 0 +37 *1454:69 *1459:21 0 +38 *1454:69 *1459:28 0 +*RES +1 *38120:X *1459:21 47.4071 +2 *1459:21 *1459:28 41.9821 +3 *1459:28 *1459:40 45.6964 +4 *1459:40 *7863:DIODE 15.9786 +5 *1459:40 *39959:A 20.0679 +*END + +*D_NET *1460 0.0191677 +*CONN +*I *38123:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6175:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38122:X O *D sky130_fd_sc_hd__and3b_2 +*CAP +1 *38123:A 8.17123e-05 +2 *6175:DIODE 7.23606e-05 +3 *38122:X 0.00116529 +4 *1460:33 0.00370235 +5 *1460:32 0.00354828 +6 *1460:30 0.00471622 +7 *1460:14 0.00588151 +8 *6175:DIODE *4633:8 0 +9 *38123:A *39405:B 0 +10 *38123:A *1609:11 0 +11 *38123:A *3754:13 0 +12 *1460:14 *7983:DIODE 0 +13 *1460:14 *2985:8 0 +14 *1460:14 *3095:27 0 +15 *1460:14 *3290:69 0 +16 *1460:14 *3397:17 0 +17 *1460:14 *4566:34 0 +18 *1460:30 *37716:A 0 +19 *1460:30 *37716:B 0 +20 *1460:30 *1698:32 0 +21 *1460:30 *2807:40 0 +22 *1460:30 *2813:58 0 +23 *1460:30 *3046:26 0 +24 *1460:30 *3480:38 0 +25 *1460:30 *3544:14 0 +26 *1460:30 *3663:17 0 +27 *1460:30 *3728:25 0 +28 *1460:30 *3739:57 0 +29 *1460:30 *3754:5 0 +30 *1460:30 *4312:33 0 +31 *1460:30 *4566:34 0 +32 *1460:30 *4579:32 0 +33 *1460:30 *4612:14 0 +34 *1460:30 *4733:10 0 +35 *1460:30 *4914:22 0 +36 *1460:33 *1609:11 0 +37 *1460:33 *3754:11 0 +38 *1460:33 *3754:13 0 +39 *1460:33 *4312:33 0 +40 *362:17 *6175:DIODE 0 +41 *371:15 *1460:14 0 +42 *1019:42 *1460:14 0 +43 *1244:30 *1460:30 0 +44 *1260:14 *1460:30 0 +45 *1423:21 *1460:14 0 +46 *1427:31 *1460:30 0 +*RES +1 *38122:X *1460:14 43.5761 +2 *1460:14 *1460:30 49.5843 +3 *1460:30 *1460:32 4.5 +4 *1460:32 *1460:33 74.0536 +5 *1460:33 *6175:DIODE 19.9429 +6 *1460:33 *38123:A 11.0679 +*END + +*D_NET *1461 0.012257 +*CONN +*I *38125:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6179:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38124:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *38125:A 0.000180965 +2 *6179:DIODE 0 +3 *38124:X 0.00215829 +4 *1461:25 0.00202084 +5 *1461:15 0.00378924 +6 *1461:11 0.00410765 +7 *38125:A *1591:24 0 +8 *38125:A *2802:61 0 +9 *1461:11 *38130:B 0 +10 *1461:11 *38130:C 0 +11 *1461:11 *1464:11 0 +12 *1461:11 *1574:17 0 +13 *1461:11 *1754:8 0 +14 *1461:11 *2388:20 0 +15 *1461:11 *2809:24 0 +16 *1461:11 *3495:19 0 +17 *1461:11 *3495:36 0 +18 *1461:11 *4223:62 0 +19 *1461:11 *4914:19 0 +20 *1461:15 *5527:DIODE 0 +21 *1461:15 *2802:49 0 +22 *1461:15 *2815:101 0 +23 *1461:15 *3495:36 0 +24 *1461:15 *4318:104 0 +25 *1461:25 *37623:A 0 +26 *1461:25 *1582:13 0 +27 *1461:25 *1591:24 0 +28 *1461:25 *2802:49 0 +29 *1461:25 *2802:61 0 +30 *1461:25 *2833:62 0 +31 *1461:25 *3046:32 0 +32 *1461:25 *4619:11 0 +33 *1461:25 *4629:15 0 +34 *263:15 *1461:15 0 +35 *380:31 *1461:11 0 +36 *1018:71 *1461:15 0 +37 *1018:73 *1461:15 0 +38 *1427:22 *1461:25 0 +*RES +1 *38124:X *1461:11 38.6205 +2 *1461:11 *1461:15 46.1161 +3 *1461:15 *1461:25 47.5714 +4 *1461:25 *6179:DIODE 9.3 +5 *1461:25 *38125:A 13.1393 +*END + +*D_NET *1462 0.00961467 +*CONN +*I *39958:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7862:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38126:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *39958:A 0.00014075 +2 *7862:DIODE 0 +3 *38126:X 0.00132722 +4 *1462:63 0.00061235 +5 *1462:54 0.00244208 +6 *1462:33 0.00286777 +7 *1462:15 0.00222451 +8 *39958:A *3936:50 0 +9 *1462:15 *3015:79 0 +10 *1462:15 *3107:53 0 +11 *1462:15 *3412:53 0 +12 *1462:15 *3412:71 0 +13 *1462:15 *3413:103 0 +14 *1462:15 *3793:93 0 +15 *1462:15 *3793:95 0 +16 *1462:15 *4411:42 0 +17 *1462:33 *1565:35 0 +18 *1462:33 *2391:30 0 +19 *1462:33 *3107:53 0 +20 *1462:33 *3297:51 0 +21 *1462:33 *3411:106 0 +22 *1462:33 *3481:43 0 +23 *1462:33 *3481:48 0 +24 *1462:33 *4018:57 0 +25 *1462:54 *3481:48 0 +26 *1462:54 *3498:40 0 +27 *1462:54 *3509:86 0 +28 *1462:54 *3542:41 0 +29 *1462:54 *4018:57 0 +30 *1462:54 *4360:117 0 +31 *1462:63 *2414:55 0 +32 *1462:63 *3936:50 0 +33 *1462:63 *4013:33 0 +34 *1454:69 *1462:54 0 +35 *1459:28 *39958:A 0 +36 *1459:28 *1462:63 0 +*RES +1 *38126:X *1462:15 46.1214 +2 *1462:15 *1462:33 29.3571 +3 *1462:33 *1462:54 46.9286 +4 *1462:54 *1462:63 23.5 +5 *1462:63 *7862:DIODE 9.3 +6 *1462:63 *39958:A 12.3 +*END + +*D_NET *1463 0.0259801 +*CONN +*I *38129:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6186:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38128:X O *D sky130_fd_sc_hd__and3b_2 +*CAP +1 *38129:A 0.000234541 +2 *6186:DIODE 0 +3 *38128:X 0.000908525 +4 *1463:30 0.00249956 +5 *1463:29 0.00226502 +6 *1463:27 0.00666447 +7 *1463:25 0.00843262 +8 *1463:22 0.0029175 +9 *1463:18 0.00205788 +10 *38129:A *6061:DIODE 0 +11 *38129:A *39620:A 0 +12 *38129:A *1590:30 0 +13 *38129:A *2996:29 0 +14 *38129:A *3012:44 0 +15 *1463:18 *3099:15 0 +16 *1463:18 *3288:24 0 +17 *1463:18 *3412:136 0 +18 *1463:18 *3999:52 0 +19 *1463:18 *4026:124 0 +20 *1463:18 *4244:43 0 +21 *1463:22 *3254:16 0 +22 *1463:22 *4595:11 0 +23 *1463:22 *4773:49 0 +24 *1463:25 *1829:21 0 +25 *1463:25 *1866:23 0 +26 *1463:25 *1873:9 0 +27 *1463:25 *5074:60 0 +28 *1463:27 *1866:23 0 +29 *1463:27 *1873:9 0 +30 *1463:27 *5180:11 0 +31 *1463:30 *38132:B 0 +32 *1463:30 *41412:A 0 +33 *1463:30 *1590:30 0 +34 *1463:30 *1604:10 0 +35 *1463:30 *1606:9 0 +36 *1463:30 *1716:10 0 +37 *1463:30 *1732:8 0 +38 *1463:30 *1748:8 0 +39 *1463:30 *3012:44 0 +40 *1463:30 *3019:42 0 +41 *1463:30 *4776:8 0 +42 *6942:DIODE *1463:30 0 +43 *7969:DIODE *1463:22 0 +44 *1248:67 *1463:22 0 +45 *1259:19 *1463:22 0 +*RES +1 *38128:X *1463:18 43.0411 +2 *1463:18 *1463:22 30.5804 +3 *1463:22 *1463:25 36.9018 +4 *1463:25 *1463:27 139.152 +5 *1463:27 *1463:29 4.5 +6 *1463:29 *1463:30 51.4286 +7 *1463:30 *6186:DIODE 13.8 +8 *1463:30 *38129:A 19.0321 +*END + +*D_NET *1464 0.0176246 +*CONN +*I *38131:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6190:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38130:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *38131:A 0.000163425 +2 *6190:DIODE 0 +3 *38130:X 0.00288494 +4 *1464:26 0.000356124 +5 *1464:21 0.00393777 +6 *1464:20 0.00374508 +7 *1464:18 0.00182616 +8 *1464:11 0.0047111 +9 *1464:11 *38136:B 0 +10 *1464:11 *39651:A 0 +11 *1464:11 *1754:8 0 +12 *1464:11 *2985:14 0 +13 *1464:11 *3391:85 0 +14 *1464:11 *3408:24 0 +15 *1464:11 *3734:40 0 +16 *1464:11 *4743:13 0 +17 *1464:18 *4312:33 0 +18 *1464:21 *3754:13 0 +19 *1464:21 *4920:11 0 +20 *1464:26 *37700:B 0 +21 *1464:26 *1739:8 0 +22 *37701:A *1464:26 0 +23 *283:15 *1464:21 0 +24 *290:17 *1464:18 0 +25 *379:27 *1464:18 0 +26 *1021:19 *1464:18 0 +27 *1246:16 *1464:18 0 +28 *1254:19 *38131:A 0 +29 *1335:16 *38131:A 0 +30 *1461:11 *1464:11 0 +*RES +1 *38130:X *1464:11 33.8526 +2 *1464:11 *1464:18 48.9375 +3 *1464:18 *1464:20 4.5 +4 *1464:20 *1464:21 78.1607 +5 *1464:21 *1464:26 13.375 +6 *1464:26 *6190:DIODE 9.3 +7 *1464:26 *38131:A 12.7107 +*END + +*D_NET *1465 0.00570229 +*CONN +*I *38133:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38132:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *38133:A 0.00085668 +2 *38132:X 2.0535e-05 +3 *1465:9 0.00283061 +4 *1465:5 0.00199446 +5 *38133:A *38392:A 0 +6 *38133:A *1740:8 0 +7 *38133:A *4583:18 0 +8 *1465:9 *38384:A 0 +9 *1465:9 *1590:16 0 +10 *1465:9 *1591:10 0 +11 *1465:9 *1718:29 0 +12 *1465:9 *3935:47 0 +*RES +1 *38132:X *1465:5 9.72857 +2 *1465:5 *1465:9 45.6964 +3 *1465:9 *38133:A 32.2286 +*END + +*D_NET *1466 0.0144772 +*CONN +*I *38135:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6197:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38134:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *38135:A 0.000200644 +2 *6197:DIODE 0 +3 *38134:X 0.00150773 +4 *1466:57 0.00154419 +5 *1466:50 0.00307237 +6 *1466:38 0.00418667 +7 *1466:19 0.00396558 +8 *38135:A *4405:35 0 +9 *1466:19 *37692:A 0 +10 *1466:19 *38372:B 0 +11 *1466:19 *1850:11 0 +12 *1466:19 *3392:93 0 +13 *1466:19 *3396:31 0 +14 *1466:19 *3699:16 0 +15 *1466:19 *3767:55 0 +16 *1466:19 *3767:57 0 +17 *1466:38 *6515:DIODE 0 +18 *1466:38 *38388:A 0 +19 *1466:38 *38388:B 0 +20 *1466:38 *1582:13 0 +21 *1466:38 *1589:22 0 +22 *1466:38 *1722:10 0 +23 *1466:38 *1737:27 0 +24 *1466:38 *3392:93 0 +25 *1466:38 *3394:73 0 +26 *1466:38 *3394:76 0 +27 *1466:38 *3394:108 0 +28 *1466:38 *3396:31 0 +29 *1466:38 *3401:36 0 +30 *1466:38 *3408:24 0 +31 *1466:38 *3966:14 0 +32 *1466:38 *4223:103 0 +33 *1466:50 *1593:10 0 +34 *1466:50 *1716:13 0 +35 *1466:50 *2809:24 0 +36 *1466:50 *2985:14 0 +37 *1466:57 *1588:6 0 +38 *1466:57 *1615:11 0 +39 *1466:57 *1743:11 0 +40 *1466:57 *2963:39 0 +41 *1466:57 *2990:48 0 +42 *1466:57 *3962:21 0 +43 *1466:57 *4405:35 0 +44 *370:55 *1466:38 0 +45 *382:21 *1466:57 0 +46 *1017:93 *1466:38 0 +47 *1245:5 *1466:38 0 +48 *1252:12 *1466:38 0 +*RES +1 *38134:X *1466:19 49.9429 +2 *1466:19 *1466:38 49.94 +3 *1466:38 *1466:50 31.8147 +4 *1466:50 *1466:57 37.7857 +5 *1466:57 *6197:DIODE 9.3 +6 *1466:57 *38135:A 13.55 +*END + +*D_NET *1467 0.0119806 +*CONN +*I *38137:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *6201:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38136:X O *D sky130_fd_sc_hd__and3b_1 +*CAP +1 *38137:A 6.28885e-05 +2 *6201:DIODE 5.89896e-05 +3 *38136:X 4.53482e-05 +4 *1467:17 0.00211848 +5 *1467:16 0.0026063 +6 *1467:8 0.00382647 +7 *1467:7 0.00326213 +8 *6201:DIODE *4618:8 0 +9 *6201:DIODE *4920:12 0 +10 *1467:7 *3394:73 0 +11 *1467:7 *3399:27 0 +12 *1467:8 *37716:B 0 +13 *1467:8 *39397:B 0 +14 *1467:8 *1831:28 0 +15 *1467:8 *1849:14 0 +16 *1467:8 *2800:75 0 +17 *1467:8 *2803:61 0 +18 *1467:8 *2806:116 0 +19 *1467:8 *2825:59 0 +20 *1467:8 *2973:36 0 +21 *1467:8 *3484:58 0 +22 *1467:8 *4612:14 0 +23 *1467:16 *38377:A 0 +24 *1467:16 *4910:8 0 +25 *1467:17 *38377:A 0 +26 *1467:17 *1587:17 0 +27 *264:11 *1467:8 0 +28 *267:8 *1467:16 0 +29 *281:8 *1467:16 0 +30 *362:14 *38137:A 0 +31 *362:14 *1467:17 0 +32 *366:22 *38137:A 0 +33 *366:22 *1467:17 0 +34 *1018:73 *1467:8 0 +35 *1247:11 *1467:8 0 +36 *1426:6 *1467:8 0 +*RES +1 *38136:X *1467:7 14.7464 +2 *1467:7 *1467:8 72.9821 +3 *1467:8 *1467:16 26.3571 +4 *1467:16 *1467:17 41.6071 +5 *1467:17 *6201:DIODE 19.6393 +6 *1467:17 *38137:A 10.675 +*END + +*D_NET *1468 0.0114448 +*CONN +*I *6204:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38139:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *38138:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6204:DIODE 0.000214258 +2 *38139:A 0.000114966 +3 *38138:X 0.00127793 +4 *1468:24 0.00116868 +5 *1468:15 0.00411523 +6 *1468:14 0.00455369 +7 *6204:DIODE *4210:7 0 +8 *38139:A *39442:B 0 +9 *38139:A *3383:48 0 +10 *38139:A *4167:19 0 +11 *38139:A *4417:12 0 +12 *1468:14 *37940:A_N 0 +13 *1468:14 *3381:55 0 +14 *1468:14 *4249:53 0 +15 *1468:14 *4371:18 0 +16 *1468:14 *4800:28 0 +17 *1468:15 *38176:B 0 +18 *1468:15 *1774:23 0 +19 *1468:15 *4161:27 0 +20 *1468:15 *4198:11 0 +21 *1468:15 *4198:15 0 +22 *1468:15 *4396:32 0 +23 *1468:24 *1664:18 0 +24 *1468:24 *4187:32 0 +25 *1468:24 *4417:12 0 +26 *299:40 *1468:14 0 +27 *327:25 *1468:24 0 +28 *1354:21 *1468:15 0 +*RES +1 *38138:X *1468:14 30.7238 +2 *1468:14 *1468:15 68.3036 +3 *1468:15 *1468:24 17.2548 +4 *1468:24 *38139:A 16.5411 +5 *1468:24 *6204:DIODE 18.6304 +*END + +*D_NET *1469 0.0196285 +*CONN +*I *38141:A I *D sky130_fd_sc_hd__buf_2 +*I *6207:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38140:X O *D sky130_fd_sc_hd__and2_2 +*CAP +1 *38141:A 0.000159146 +2 *6207:DIODE 0 +3 *38140:X 6.50276e-05 +4 *1469:20 0.000204765 +5 *1469:15 0.00707715 +6 *1469:14 0.00738056 +7 *1469:10 0.00251292 +8 *1469:7 0.00222892 +9 *38141:A *2899:9 0 +10 *1469:7 *2795:5 0 +11 *1469:10 *37918:C 0 +12 *1469:10 *37922:C 0 +13 *1469:10 *3096:20 0 +14 *1469:10 *3175:38 0 +15 *1469:10 *3563:56 0 +16 *1469:15 *5060:7 0 +17 *1009:16 *1469:20 0 +18 *1351:12 *1469:10 0 +19 *1358:14 *1469:10 0 +*RES +1 *38140:X *1469:7 15.1571 +2 *1469:7 *1469:10 49.0982 +3 *1469:10 *1469:14 12.4554 +4 *1469:14 *1469:15 146.75 +5 *1469:15 *1469:20 10.0357 +6 *1469:20 *6207:DIODE 9.3 +7 *1469:20 *38141:A 12.6214 +*END + +*D_NET *1470 0.00804715 +*CONN +*I *39957:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7861:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38142:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *39957:A 0.00016428 +2 *7861:DIODE 0 +3 *38142:X 0.00194142 +4 *1470:24 0.000463947 +5 *1470:21 0.00191788 +6 *1470:9 0.00355963 +7 *39957:A *1486:14 0 +8 *1470:9 *38142:B 0 +9 *1470:9 *1637:9 0 +10 *1470:9 *1642:13 0 +11 *1470:9 *4207:41 0 +12 *1470:21 *37333:A 0 +13 *1470:21 *1642:13 0 +14 *1470:21 *3570:37 0 +15 *1470:21 *4207:39 0 +16 *1470:21 *4207:41 0 +17 *37511:A *1470:9 0 +18 *37521:A *1470:21 0 +19 *39438:A *1470:21 0 +20 *293:27 *1470:24 0 +21 *306:11 *1470:24 0 +22 *1154:26 *1470:21 0 +23 *1159:25 *1470:21 0 +24 *1345:11 *1470:24 0 +25 *1346:24 *1470:21 0 +*RES +1 *38142:X *1470:9 49.8179 +2 *1470:9 *1470:21 47.75 +3 *1470:21 *1470:24 11.3036 +4 *1470:24 *7861:DIODE 9.3 +5 *1470:24 *39957:A 12.7286 +*END + +*D_NET *1471 0.015141 +*CONN +*I *39956:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7860:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38144:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *39956:A 0.000186611 +2 *7860:DIODE 0 +3 *38144:X 0.000326409 +4 *1471:12 0.00023223 +5 *1471:9 0.00705747 +6 *1471:8 0.00733826 +7 *39956:A *1486:22 0 +8 *39956:A *2772:8 0 +9 *39956:A *5068:22 0 +10 *1471:9 *1651:15 0 +11 *1471:9 *2952:11 0 +12 *1471:9 *2952:21 0 +13 *1471:9 *4646:10 0 +14 *1471:12 *2772:8 0 +15 *1471:12 *5068:22 0 +16 *37915:A *1471:9 0 +17 *1153:15 *1471:9 0 +18 *1358:25 *39956:A 0 +*RES +1 *38144:X *1471:8 25.7107 +2 *1471:8 *1471:9 146.339 +3 *1471:9 *1471:12 5.53571 +4 *1471:12 *7860:DIODE 13.8 +5 *1471:12 *39956:A 17.8357 +*END + +*D_NET *1472 0.00382564 +*CONN +*I *39955:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38146:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *39955:A 0.000125844 +2 *38146:X 0.00178697 +3 *1472:9 0.00191282 +4 *39955:A *2797:14 0 +5 *39955:A *3175:38 0 +6 *39955:A *4425:44 0 +7 *1472:9 *37910:B 0 +8 *1472:9 *37922:A_N 0 +9 *1472:9 *37922:B 0 +10 *1472:9 *38146:B 0 +11 *1472:9 *3381:66 0 +12 *1472:9 *3589:51 0 +13 *1472:9 *4201:33 0 +14 *1472:9 *4249:57 0 +15 *1472:9 *4463:51 0 +16 *1360:14 *1472:9 0 +*RES +1 *38146:X *1472:9 46.5321 +2 *1472:9 *39955:A 21.1571 +*END + +*D_NET *1473 0.0192138 +*CONN +*I *39954:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7859:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38148:X O *D sky130_fd_sc_hd__and2_2 +*CAP +1 *39954:A 0.000186591 +2 *7859:DIODE 0 +3 *38148:X 5.89896e-05 +4 *1473:24 0.00198203 +5 *1473:9 0.00936131 +6 *1473:8 0.00762486 +7 *39954:A *4158:58 0 +8 *39954:A *4210:13 0 +9 *39954:A *4637:47 0 +10 *39954:A *4693:38 0 +11 *1473:8 *38148:A 0 +12 *1473:8 *4451:20 0 +13 *1473:9 *6229:DIODE 0 +14 *1473:9 *38148:A 0 +15 *1473:9 *1477:13 0 +16 *1473:9 *1481:9 0 +17 *1473:9 *4213:23 0 +18 *1473:9 *4475:19 0 +19 *1473:9 *4475:23 0 +20 *1473:24 *37920:B 0 +21 *1473:24 *38176:A 0 +22 *1473:24 *41199:A 0 +23 *1473:24 *1664:18 0 +24 *1473:24 *1774:16 0 +25 *1473:24 *3467:25 0 +26 *1473:24 *3567:56 0 +27 *1473:24 *3641:39 0 +28 *1473:24 *4187:41 0 +29 *1473:24 *4396:32 0 +30 *1473:24 *4420:38 0 +31 *1473:24 *4420:54 0 +32 *1473:24 *4451:75 0 +33 *1473:24 *4637:47 0 +34 *1473:24 *4693:38 0 +35 *1354:41 *1473:9 0 +*RES +1 *38148:X *1473:8 19.6393 +2 *1473:8 *1473:9 157.839 +3 *1473:9 *1473:24 42.1642 +4 *1473:24 *7859:DIODE 13.8 +5 *1473:24 *39954:A 17.8357 +*END + +*D_NET *1474 0.00107467 +*CONN +*I *39953:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38150:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *39953:A 0.000537333 +2 *38150:X 0.000537333 +3 *39953:A *38150:B 0 +4 *39953:A *4195:5 0 +5 *39953:A *4788:12 0 +*RES +1 *38150:X *39953:A 29.8143 +*END + +*D_NET *1475 0.00637969 +*CONN +*I *39951:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38152:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *39951:A 9.57386e-05 +2 *38152:X 5.89896e-05 +3 *1475:9 0.00313086 +4 *1475:8 0.00309411 +5 *39951:A *4192:38 0 +6 *39951:A *4795:27 0 +7 *1475:8 *4192:44 0 +8 *1475:9 *5790:DIODE 0 +9 *1475:9 *4192:38 0 +10 *1475:9 *4192:39 0 +11 *1354:11 *39951:A 0 +*RES +1 *38152:X *1475:8 19.6393 +2 *1475:8 *1475:9 63.375 +3 *1475:9 *39951:A 20.3893 +*END + +*D_NET *1476 0.00132485 +*CONN +*I *39949:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38154:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *39949:A 0.000662423 +2 *38154:X 0.000662423 +3 *39949:A *4192:27 0 +4 *39949:A *4201:23 0 +5 *39949:A *4463:32 0 +*RES +1 *38154:X *39949:A 41.5821 +*END + +*D_NET *1477 0.0097915 +*CONN +*I *39947:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7855:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38156:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *39947:A 0.00016043 +2 *7855:DIODE 0 +3 *38156:X 0.00124394 +4 *1477:23 0.00218655 +5 *1477:13 0.00349138 +6 *1477:10 0.0027092 +7 *39947:A *3621:37 0 +8 *1477:10 *6206:DIODE 0 +9 *1477:10 *38154:A 0 +10 *1477:10 *38156:B 0 +11 *1477:10 *4187:51 0 +12 *1477:10 *4425:10 0 +13 *1477:10 *4511:32 0 +14 *1477:13 *38164:B 0 +15 *1477:13 *1629:13 0 +16 *1477:13 *4177:19 0 +17 *1477:13 *4213:23 0 +18 *1477:13 *4475:19 0 +19 *1477:13 *4511:32 0 +20 *1477:23 *2797:26 0 +21 *1477:23 *3381:64 0 +22 *1477:23 *3600:53 0 +23 *1477:23 *4800:28 0 +24 *293:27 *1477:23 0 +25 *306:11 *1477:23 0 +26 *1345:11 *1477:23 0 +27 *1473:9 *1477:13 0 +*RES +1 *38156:X *1477:10 44.4786 +2 *1477:10 *1477:13 35.0179 +3 *1477:13 *1477:23 35.3855 +4 *1477:23 *7855:DIODE 9.3 +5 *1477:23 *39947:A 12.7107 +*END + +*D_NET *1478 0.0187823 +*CONN +*I *39945:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7853:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38158:X O *D sky130_fd_sc_hd__and2_2 +*CAP +1 *39945:A 0.000147252 +2 *7853:DIODE 4.53482e-05 +3 *38158:X 0.00137357 +4 *1478:14 0.000238219 +5 *1478:11 0.00782497 +6 *1478:10 0.00915292 +7 *39945:A *2767:15 0 +8 *1478:10 *39933:A 0 +9 *1478:10 *1485:34 0 +10 *1478:10 *1486:14 0 +11 *1478:10 *3880:40 0 +12 *1478:10 *4181:46 0 +13 *1478:10 *4420:54 0 +14 *1478:10 *4646:10 0 +15 *39445:A *1478:10 0 +16 *299:30 *1478:11 0 +17 *310:24 *1478:11 0 +18 *312:38 *39945:A 0 +19 *312:41 *1478:10 0 +20 *313:33 *1478:10 0 +21 *313:39 *1478:10 0 +22 *323:13 *39945:A 0 +23 *1280:19 *7853:DIODE 0 +24 *1340:10 *1478:10 0 +25 *1358:25 *1478:10 0 +*RES +1 *38158:X *1478:10 49.3357 +2 *1478:10 *1478:11 162.357 +3 *1478:11 *1478:14 5.53571 +4 *1478:14 *7853:DIODE 14.7464 +5 *1478:14 *39945:A 17.0143 +*END + +*D_NET *1479 0.0151367 +*CONN +*I *39944:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7852:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38160:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *39944:A 0.000143745 +2 *7852:DIODE 0 +3 *38160:X 0.000809385 +4 *1479:22 0.000672036 +5 *1479:11 0.00661522 +6 *1479:10 0.00689631 +7 *39944:A *1483:37 0 +8 *1479:10 *37898:C 0 +9 *1479:10 *2572:18 0 +10 *1479:10 *2910:8 0 +11 *1479:10 *2912:10 0 +12 *1479:10 *3631:61 0 +13 *1479:10 *4371:22 0 +14 *1479:10 *4700:10 0 +15 *1479:10 *5067:8 0 +16 *1479:11 *1483:36 0 +17 *1479:11 *1653:11 0 +18 *1479:11 *1779:7 0 +19 *1479:11 *2789:21 0 +20 *1479:11 *2914:9 0 +21 *1479:11 *2914:13 0 +22 *1479:11 *3556:58 0 +23 *1479:11 *4664:25 0 +24 *1479:11 *4664:33 0 +25 *1479:22 *2767:14 0 +26 *39447:A *1479:11 0 +27 *39449:A *1479:11 0 +28 *291:33 *1479:22 0 +29 *299:35 *1479:10 0 +30 *306:11 *1479:10 0 +31 *1342:18 *1479:11 0 +32 *1346:24 *1479:10 0 +33 *1365:20 *1479:11 0 +34 *1365:26 *1479:22 0 +35 *1365:27 *39944:A 0 +*RES +1 *38160:X *1479:10 36.5679 +2 *1479:10 *1479:11 127.036 +3 *1479:11 *1479:22 18.4537 +4 *1479:22 *7852:DIODE 9.3 +5 *1479:22 *39944:A 12.3 +*END + +*D_NET *1480 0.0122461 +*CONN +*I *39943:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7851:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38162:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *39943:A 0.00014075 +2 *7851:DIODE 0 +3 *38162:X 0.0010077 +4 *1480:29 0.00161302 +5 *1480:21 0.00295507 +6 *1480:16 0.00350235 +7 *1480:12 0.00302725 +8 *39943:A *3573:41 0 +9 *1480:12 *38162:B 0 +10 *1480:12 *2499:8 0 +11 *1480:12 *4651:12 0 +12 *1480:16 *2772:27 0 +13 *1480:16 *3547:35 0 +14 *1480:21 *39948:A 0 +15 *1480:21 *1484:23 0 +16 *1480:21 *2772:27 0 +17 *1480:21 *2772:36 0 +18 *1480:21 *2798:31 0 +19 *1480:29 *1484:23 0 +20 *1480:29 *2784:11 0 +21 *1480:29 *3573:41 0 +22 *40042:A *1480:29 0 +23 *327:25 *1480:12 0 +24 *354:17 *1480:12 0 +25 *1169:11 *1480:12 0 +26 *1380:22 *39943:A 0 +27 *1380:22 *1480:29 0 +*RES +1 *38162:X *1480:12 41.2464 +2 *1480:12 *1480:16 46.7321 +3 *1480:16 *1480:21 35.4464 +4 *1480:21 *1480:29 39.9464 +5 *1480:29 *7851:DIODE 9.3 +6 *1480:29 *39943:A 12.3 +*END + +*D_NET *1481 0.00804772 +*CONN +*I *39942:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7850:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38164:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *39942:A 0.000160644 +2 *7850:DIODE 0 +3 *38164:X 4.56186e-05 +4 *1481:19 0.00117778 +5 *1481:9 0.0038176 +6 *1481:8 0.00284608 +7 *39942:A *3609:17 0 +8 *39942:A *3883:49 0 +9 *1481:8 *3082:30 0 +10 *1481:8 *4937:8 0 +11 *1481:19 *37526:B 0 +12 *1481:19 *1779:10 0 +13 *1481:19 *3609:17 0 +14 *1481:19 *3883:49 0 +15 *1481:19 *4203:16 0 +16 *332:37 *1481:19 0 +17 *1354:32 *1481:19 0 +18 *1473:9 *1481:9 0 +*RES +1 *38164:X *1481:8 19.3357 +2 *1481:8 *1481:9 58.4464 +3 *1481:9 *1481:19 31.75 +4 *1481:19 *7850:DIODE 9.3 +5 *1481:19 *39942:A 12.7777 +*END + +*D_NET *1482 0.016863 +*CONN +*I *39940:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7848:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38166:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *39940:A 0.000134537 +2 *7848:DIODE 0 +3 *38166:X 0.00120879 +4 *1482:30 0.00158967 +5 *1482:26 0.00271338 +6 *1482:15 0.00563306 +7 *1482:13 0.00558361 +8 *39940:A *1662:23 0 +9 *1482:13 *37734:A_N 0 +10 *1482:13 *37734:B 0 +11 *1482:13 *38166:B 0 +12 *1482:13 *1483:10 0 +13 *1482:13 *2952:11 0 +14 *1482:13 *4184:70 0 +15 *1482:13 *4190:41 0 +16 *1482:13 *4429:36 0 +17 *1482:15 *1653:11 0 +18 *1482:15 *1789:16 0 +19 *1482:15 *2764:9 0 +20 *1482:15 *2952:11 0 +21 *1482:15 *4402:27 0 +22 *1482:15 *4402:31 0 +23 *1482:26 *39457:B 0 +24 *1482:26 *2951:28 0 +25 *1482:26 *3267:48 0 +26 *1482:30 *2911:44 0 +27 *1482:30 *3579:35 0 +28 *1482:30 *3586:47 0 +29 *1482:30 *3586:52 0 +30 *1482:30 *3614:70 0 +31 *315:35 *1482:15 0 +32 *1175:11 *1482:30 0 +33 *1266:8 *1482:13 0 +34 *1277:63 *39940:A 0 +35 *1341:14 *1482:13 0 +36 *1356:23 *1482:13 0 +37 *1359:14 *1482:13 0 +38 *1361:13 *1482:13 0 +*RES +1 *38166:X *1482:13 44.8536 +2 *1482:13 *1482:15 91.3036 +3 *1482:15 *1482:26 22.1183 +4 *1482:26 *1482:30 34.9286 +5 *1482:30 *7848:DIODE 13.8 +6 *1482:30 *39940:A 16.8 +*END + +*D_NET *1483 0.0192064 +*CONN +*I *39939:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7847:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38168:X O *D sky130_fd_sc_hd__and2_2 +*CAP +1 *39939:A 0.00014075 +2 *7847:DIODE 0 +3 *38168:X 0.00136808 +4 *1483:49 0.00144558 +5 *1483:48 0.00280198 +6 *1483:37 0.00390403 +7 *1483:36 0.00402505 +8 *1483:22 0.00288549 +9 *1483:10 0.00263539 +10 *39939:A *1484:37 0 +11 *1483:10 *37734:A_N 0 +12 *1483:10 *37734:B 0 +13 *1483:10 *39690:A 0 +14 *1483:10 *1772:14 0 +15 *1483:10 *4207:16 0 +16 *1483:10 *4213:23 0 +17 *1483:10 *4429:36 0 +18 *1483:22 *39690:A 0 +19 *1483:22 *2501:8 0 +20 *1483:22 *2772:26 0 +21 *1483:22 *2914:13 0 +22 *1483:22 *3556:62 0 +23 *1483:36 *2789:21 0 +24 *1483:36 *3556:58 0 +25 *1483:36 *4664:33 0 +26 *1483:37 *5779:DIODE 0 +27 *1483:37 *39452:B 0 +28 *1483:37 *1484:16 0 +29 *1483:37 *2784:8 0 +30 *1483:37 *3556:38 0 +31 *1483:37 *3556:41 0 +32 *1483:37 *3573:50 0 +33 *1483:48 *2798:43 0 +34 *1483:48 *3169:53 0 +35 *1483:48 *3554:63 0 +36 *1483:49 *38145:A 0 +37 *1483:49 *39679:A 0 +38 *1483:49 *1484:37 0 +39 *1483:49 *4960:10 0 +40 *39452:A *1483:36 0 +41 *39452:A *1483:37 0 +42 *39944:A *1483:37 0 +43 *40042:A *1483:48 0 +44 *291:33 *1483:36 0 +45 *311:41 *1483:22 0 +46 *317:15 *1483:22 0 +47 *1179:38 *1483:10 0 +48 *1266:8 *1483:10 0 +49 *1357:14 *1483:36 0 +50 *1359:14 *1483:10 0 +51 *1365:20 *1483:36 0 +52 *1365:27 *1483:37 0 +53 *1369:19 *1483:22 0 +54 *1373:13 *1483:48 0 +55 *1380:16 *1483:48 0 +56 *1392:22 *39939:A 0 +57 *1392:22 *1483:49 0 +58 *1479:11 *1483:36 0 +59 *1482:13 *1483:10 0 +*RES +1 *38168:X *1483:10 49.3179 +2 *1483:10 *1483:22 44.9107 +3 *1483:22 *1483:36 43.1071 +4 *1483:36 *1483:37 50.2321 +5 *1483:37 *1483:48 22.9831 +6 *1483:48 *1483:49 27.2321 +7 *1483:49 *7847:DIODE 9.3 +8 *1483:49 *39939:A 12.3 +*END + +*D_NET *1484 0.0139599 +*CONN +*I *7846:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39938:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38170:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *7846:DIODE 4.38784e-05 +2 *39938:A 0.000200346 +3 *38170:X 0.00108713 +4 *1484:46 0.00119287 +5 *1484:37 0.00274863 +6 *1484:23 0.00408322 +7 *1484:16 0.00289999 +8 *1484:10 0.00170388 +9 *7846:DIODE *2778:18 0 +10 *39938:A *2454:11 0 +11 *39938:A *2499:37 0 +12 *1484:10 *5594:DIODE 0 +13 *1484:10 *1487:26 0 +14 *1484:10 *1789:10 0 +15 *1484:10 *2773:12 0 +16 *1484:10 *3267:48 0 +17 *1484:10 *3579:62 0 +18 *1484:10 *4167:19 0 +19 *1484:10 *4180:99 0 +20 *1484:10 *4431:40 0 +21 *1484:23 *2772:36 0 +22 *1484:23 *2772:37 0 +23 *1484:23 *2798:31 0 +24 *1484:37 *38145:A 0 +25 *1484:37 *2772:37 0 +26 *1484:37 *2778:18 0 +27 *1484:37 *2798:43 0 +28 *1484:37 *2892:19 0 +29 *1484:37 *4960:10 0 +30 *1484:46 *39453:B 0 +31 *1484:46 *1785:10 0 +32 *1484:46 *2896:10 0 +33 *1484:46 *3547:30 0 +34 *1484:46 *4960:10 0 +35 *1484:46 *5053:10 0 +36 *39453:A *1484:46 0 +37 *39939:A *1484:37 0 +38 *40042:A *1484:23 0 +39 *299:24 *39938:A 0 +40 *1365:27 *1484:16 0 +41 *1370:20 *39938:A 0 +42 *1370:20 *1484:46 0 +43 *1392:22 *1484:37 0 +44 *1392:22 *1484:46 0 +45 *1392:28 *1484:46 0 +46 *1480:21 *1484:23 0 +47 *1480:29 *1484:23 0 +48 *1483:37 *1484:16 0 +49 *1483:49 *1484:37 0 +*RES +1 *38170:X *1484:10 42.9429 +2 *1484:10 *1484:16 22.0536 +3 *1484:16 *1484:23 47.9286 +4 *1484:23 *1484:37 46.8036 +5 *1484:37 *1484:46 24.5714 +6 *1484:46 *39938:A 27.4429 +7 *1484:46 *7846:DIODE 15.1393 +*END + +*D_NET *1485 0.00643923 +*CONN +*I *39937:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38172:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *39937:A 0 +2 *38172:X 0.00126015 +3 *1485:34 0.00195946 +4 *1485:12 0.00321961 +5 *1485:12 *37510:B 0 +6 *1485:12 *3149:44 0 +7 *1485:12 *3621:37 0 +8 *1485:34 *37508:A 0 +9 *1485:34 *37888:B 0 +10 *1485:34 *1777:10 0 +11 *1485:34 *2772:8 0 +12 *1485:34 *2781:6 0 +13 *1485:34 *2791:38 0 +14 *1485:34 *2908:10 0 +15 *1485:34 *3613:31 0 +16 *1485:34 *3613:37 0 +17 *1485:34 *3621:32 0 +18 *1485:34 *3883:49 0 +19 *1485:34 *3891:20 0 +20 *40053:A *1485:34 0 +21 *313:39 *1485:34 0 +22 *1159:20 *1485:34 0 +23 *1356:23 *1485:34 0 +24 *1369:6 *1485:12 0 +25 *1478:10 *1485:34 0 +*RES +1 *38172:X *1485:12 49.9696 +2 *1485:12 *1485:34 46.7611 +3 *1485:34 *39937:A 9.3 +*END + +*D_NET *1486 0.0197146 +*CONN +*I *39935:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7844:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38174:X O *D sky130_fd_sc_hd__and2_2 +*CAP +1 *39935:A 0.000156152 +2 *7844:DIODE 0 +3 *38174:X 0.00110281 +4 *1486:37 0.00322913 +5 *1486:35 0.00429995 +6 *1486:32 0.00238447 +7 *1486:22 0.00225212 +8 *1486:14 0.00314091 +9 *1486:10 0.0031491 +10 *39935:A *39451:B 0 +11 *39935:A *3586:68 0 +12 *1486:10 *5801:DIODE 0 +13 *1486:10 *37333:A 0 +14 *1486:10 *37890:C 0 +15 *1486:10 *2789:16 0 +16 *1486:10 *2789:20 0 +17 *1486:10 *2795:5 0 +18 *1486:10 *3390:62 0 +19 *1486:10 *4419:62 0 +20 *1486:22 *2908:11 0 +21 *1486:22 *5068:25 0 +22 *1486:32 *2908:11 0 +23 *1486:32 *5068:11 0 +24 *1486:32 *5068:22 0 +25 *1486:35 *5068:11 0 +26 *1486:37 *39451:B 0 +27 *1486:37 *1783:7 0 +28 *1486:37 *1789:19 0 +29 *1486:37 *2908:15 0 +30 *37889:A *1486:22 0 +31 *39451:A *1486:37 0 +32 *39956:A *1486:22 0 +33 *39957:A *1486:14 0 +34 *40050:A *1486:32 0 +35 *294:68 *1486:14 0 +36 *294:70 *1486:14 0 +37 *313:33 *1486:14 0 +38 *1346:24 *1486:10 0 +39 *1356:11 *1486:35 0 +40 *1356:11 *1486:37 0 +41 *1358:25 *1486:22 0 +42 *1478:10 *1486:14 0 +*RES +1 *38174:X *1486:10 43.1571 +2 *1486:10 *1486:14 47.3393 +3 *1486:14 *1486:22 36.4286 +4 *1486:22 *1486:32 33.3036 +5 *1486:32 *1486:35 25.6071 +6 *1486:35 *1486:37 64.1964 +7 *1486:37 *7844:DIODE 9.3 +8 *1486:37 *39935:A 12.6214 +*END + +*D_NET *1487 0.00921909 +*CONN +*I *39934:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7843:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38176:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *39934:A 0.00012192 +2 *7843:DIODE 0 +3 *38176:X 0.00173008 +4 *1487:26 0.000775604 +5 *1487:25 0.00275755 +6 *1487:15 0.00383394 +7 *39934:A *3570:18 0 +8 *1487:15 *41204:A 0 +9 *1487:15 *4161:27 0 +10 *1487:15 *4187:35 0 +11 *1487:15 *4194:19 0 +12 *1487:15 *4194:23 0 +13 *1487:15 *4198:11 0 +14 *1487:15 *4396:19 0 +15 *1487:15 *4396:32 0 +16 *1487:25 *41201:A 0 +17 *1487:25 *1792:11 0 +18 *1487:25 *3136:48 0 +19 *1487:25 *4194:19 0 +20 *1487:25 *4196:53 0 +21 *1487:25 *4199:13 0 +22 *1487:25 *4396:19 0 +23 *1487:26 *3465:32 0 +24 *7422:DIODE *1487:25 0 +25 *1484:10 *1487:26 0 +*RES +1 *38176:X *1487:15 45.8714 +2 *1487:15 *1487:25 45.073 +3 *1487:25 *1487:26 14.8482 +4 *1487:26 *7843:DIODE 13.8 +5 *1487:26 *39934:A 16.5857 +*END + +*D_NET *1488 0.00343766 +*CONN +*I *39933:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38178:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *39933:A 0.000767564 +2 *38178:X 0.000951267 +3 *1488:24 0.00171883 +4 *39933:A *6232:DIODE 0 +5 *39933:A *3127:32 0 +6 *39933:A *3589:25 0 +7 *39933:A *3593:43 0 +8 *39933:A *4190:41 0 +9 *39933:A *4249:28 0 +10 *39933:A *4419:51 0 +11 *1488:24 *38158:B 0 +12 *1488:24 *4201:23 0 +13 *1340:10 *39933:A 0 +14 *1478:10 *39933:A 0 +*RES +1 *38178:X *1488:24 48.0679 +2 *1488:24 *39933:A 34.55 +*END + +*D_NET *1489 0.00786963 +*CONN +*I *39931:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38180:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *39931:A 0.00104447 +2 *38180:X 0.00289034 +3 *1489:15 0.00393481 +4 *39931:A *40699:A 0 +5 *39931:A *1798:11 0 +6 *39931:A *2745:20 0 +7 *39931:A *3556:14 0 +8 *39931:A *3639:69 0 +9 *39931:A *3845:103 0 +10 *39931:A *3852:15 0 +11 *1489:15 *38180:B 0 +12 *1489:15 *1499:12 0 +13 *1489:15 *1501:33 0 +14 *1489:15 *1785:10 0 +15 *1489:15 *1790:8 0 +16 *1489:15 *2502:16 0 +17 *1489:15 *2779:16 0 +18 *1489:15 *2869:14 0 +19 *1489:15 *2887:20 0 +20 *1489:15 *2911:44 0 +21 *1489:15 *3437:66 0 +22 *1489:15 *3547:18 0 +23 *1489:15 *3556:22 0 +24 *1489:15 *3618:20 0 +25 *1489:15 *3841:47 0 +26 *1489:15 *3845:114 0 +27 *1489:15 *3884:132 0 +28 *1489:15 *4157:81 0 +29 *37559:A *1489:15 0 +*RES +1 *38180:X *1489:15 46.5938 +2 *1489:15 *39931:A 40.0761 +*END + +*D_NET *1490 0.00559058 +*CONN +*I *39930:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38182:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *39930:A 0 +2 *38182:X 0.00105164 +3 *1490:33 0.00174365 +4 *1490:20 0.00279529 +5 *1490:20 *38182:B 0 +6 *1490:20 *41160:A 0 +7 *1490:20 *1802:8 0 +8 *1490:20 *4157:64 0 +9 *1490:20 *4169:27 0 +10 *1490:33 *1889:74 0 +11 *1490:33 *3632:49 0 +12 *1490:33 *3834:36 0 +13 *1490:33 *3875:32 0 +14 *1490:33 *3884:71 0 +15 *1490:33 *4157:52 0 +16 *40094:A *1490:20 0 +17 *1185:16 *1490:20 0 +18 *1374:8 *1490:33 0 +*RES +1 *38182:X *1490:20 49.4786 +2 *1490:20 *1490:33 46.3571 +3 *1490:33 *39930:A 9.3 +*END + +*D_NET *1491 0.00174183 +*CONN +*I *39929:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38184:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *39929:A 0.000870915 +2 *38184:X 0.000870915 +3 *39929:A *38184:B 0 +4 *39929:A *2952:10 0 +5 *39929:A *3631:37 0 +6 *39929:A *3883:41 0 +7 *39929:A *4153:97 0 +*RES +1 *38184:X *39929:A 45.8143 +*END + +*D_NET *1492 0.0108668 +*CONN +*I *39927:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7840:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38186:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *39927:A 0.00016428 +2 *7840:DIODE 0 +3 *38186:X 5.89503e-05 +4 *1492:42 0.00197417 +5 *1492:27 0.00328639 +6 *1492:17 0.00340029 +7 *1492:8 0.00198274 +8 *39927:A *2855:41 0 +9 *39927:A *3548:40 0 +10 *1492:17 *4123:32 0 +11 *1492:17 *4156:52 0 +12 *1492:17 *4159:51 0 +13 *1492:17 *4162:77 0 +14 *1492:17 *4395:71 0 +15 *1492:27 *40926:A 0 +16 *1492:27 *3064:25 0 +17 *1492:27 *3384:51 0 +18 *1492:27 *3384:63 0 +19 *1492:27 *3890:50 0 +20 *1492:27 *3929:8 0 +21 *1492:27 *4209:27 0 +22 *1492:27 *5152:23 0 +23 *1492:42 *1798:40 0 +24 *1492:42 *2855:28 0 +25 *1492:42 *3151:50 0 +26 *1492:42 *3268:30 0 +27 *1492:42 *3557:42 0 +28 *1492:42 *3866:32 0 +29 *1492:42 *4164:15 0 +30 *1492:42 *4178:25 0 +31 *1492:42 *4848:18 0 +32 *296:29 *1492:17 0 +*RES +1 *38186:X *1492:8 19.6393 +2 *1492:8 *1492:17 48.3281 +3 *1492:17 *1492:27 40.3661 +4 *1492:27 *1492:42 34.8517 +5 *1492:42 *7840:DIODE 9.3 +6 *1492:42 *39927:A 12.7286 +*END + +*D_NET *1493 0.00195054 +*CONN +*I *39926:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38188:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *39926:A 0.000975271 +2 *38188:X 0.000975271 +3 *39926:A *5832:DIODE 0 +4 *39926:A *40680:A 0 +5 *39926:A *1789:22 0 +6 *39926:A *3563:30 0 +7 *39926:A *3628:17 0 +8 *39926:A *4181:31 0 +*RES +1 *38188:X *39926:A 48.2964 +*END + +*D_NET *1494 0.01391 +*CONN +*I *38191:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6280:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38190:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *38191:A 0.000166631 +2 *6280:DIODE 0 +3 *38190:X 0.000633727 +4 *1494:37 0.00144517 +5 *1494:33 0.00312288 +6 *1494:24 0.00356867 +7 *1494:18 0.00303174 +8 *1494:14 0.00194113 +9 *38191:A *2567:31 0 +10 *1494:14 *40706:A 0 +11 *1494:14 *1803:8 0 +12 *1494:14 *3596:56 0 +13 *1494:14 *3888:24 0 +14 *1494:18 *1497:23 0 +15 *1494:18 *1803:8 0 +16 *1494:18 *1905:74 0 +17 *1494:18 *2170:32 0 +18 *1494:18 *2890:42 0 +19 *1494:18 *3353:13 0 +20 *1494:18 *3555:63 0 +21 *1494:18 *3834:35 0 +22 *1494:18 *3870:46 0 +23 *1494:24 *1922:30 0 +24 *1494:24 *1925:38 0 +25 *1494:24 *2743:26 0 +26 *1494:33 *2744:9 0 +27 *1494:33 *4939:14 0 +28 *1494:37 *2567:31 0 +29 *1494:37 *2610:30 0 +30 *1494:37 *2751:22 0 +31 *1494:37 *2881:31 0 +32 *1494:37 *5039:28 0 +33 *311:33 *1494:37 0 +34 *325:34 *1494:37 0 +35 *327:22 *1494:33 0 +36 *1027:39 *1494:33 0 +37 *1183:32 *1494:18 0 +38 *1399:25 *1494:14 0 +39 *1403:43 *1494:14 0 +*RES +1 *38190:X *1494:14 28.1661 +2 *1494:14 *1494:18 34.2232 +3 *1494:18 *1494:24 45.0714 +4 *1494:24 *1494:33 38.1119 +5 *1494:33 *1494:37 32.5804 +6 *1494:37 *6280:DIODE 9.3 +7 *1494:37 *38191:A 21.925 +*END + +*D_NET *1495 0.00251117 +*CONN +*I *39924:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38192:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *39924:A 0 +2 *38192:X 0.00125558 +3 *1495:15 0.00125558 +4 *1495:15 *5896:DIODE 0 +5 *1495:15 *38192:B 0 +6 *1495:15 *3378:37 0 +7 *1495:15 *4167:19 0 +8 *1495:15 *4174:50 0 +9 *1495:15 *4205:40 0 +*RES +1 *38192:X *1495:15 44.675 +2 *1495:15 *39924:A 9.3 +*END + +*D_NET *1496 0.00249576 +*CONN +*I *39923:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38194:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *39923:A 6.50276e-05 +2 *38194:X 0.00118285 +3 *1496:16 0.00124788 +4 *39923:A *3601:31 0 +5 *39923:A *4381:39 0 +6 *1496:16 *37556:A 0 +7 *1496:16 *40639:A 0 +8 *1496:16 *1788:19 0 +9 *1496:16 *2762:10 0 +10 *1496:16 *3637:23 0 +11 *1496:16 *3855:71 0 +*RES +1 *38194:X *1496:16 48.0857 +2 *1496:16 *39923:A 15.1571 +*END + +*D_NET *1497 0.0142159 +*CONN +*I *38197:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *6289:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38196:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *38197:A 0.000178826 +2 *6289:DIODE 0 +3 *38196:X 0.000694627 +4 *1497:54 0.000217759 +5 *1497:49 0.00270465 +6 *1497:42 0.00377729 +7 *1497:23 0.00352986 +8 *1497:10 0.00311292 +9 *38197:A *2528:41 0 +10 *38197:A *2531:28 0 +11 *38197:A *2531:31 0 +12 *38197:A *5057:17 0 +13 *1497:10 *1901:35 0 +14 *1497:10 *3630:46 0 +15 *1497:10 *3642:32 0 +16 *1497:23 *1905:74 0 +17 *1497:23 *2743:14 0 +18 *1497:23 *2876:20 0 +19 *1497:42 *2872:16 0 +20 *1497:42 *2885:19 0 +21 *1497:42 *3832:36 0 +22 *1497:49 *1940:18 0 +23 *1497:49 *2584:24 0 +24 *1497:49 *2620:9 0 +25 *1497:49 *2763:39 0 +26 *1497:49 *2765:43 0 +27 *1497:49 *2765:47 0 +28 *1497:49 *2886:15 0 +29 *1497:49 *2886:40 0 +30 *1497:49 *3195:39 0 +31 *312:35 *1497:49 0 +32 *1281:15 *1497:23 0 +33 *1301:9 *1497:23 0 +34 *1377:26 *1497:49 0 +35 *1389:36 *1497:42 0 +36 *1401:23 *1497:23 0 +37 *1401:23 *1497:42 0 +38 *1494:18 *1497:23 0 +*RES +1 *38196:X *1497:10 29.5232 +2 *1497:10 *1497:23 48.6623 +3 *1497:23 *1497:42 37.9142 +4 *1497:42 *1497:49 49.9411 +5 *1497:49 *1497:54 8.79393 +6 *1497:54 *6289:DIODE 9.3 +7 *1497:54 *38197:A 13.0321 +*END + +*D_NET *1498 0.0137476 +*CONN +*I *39921:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7837:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38198:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *39921:A 0.00014075 +2 *7837:DIODE 0 +3 *38198:X 0.00125497 +4 *1498:45 0.00109128 +5 *1498:41 0.0028851 +6 *1498:36 0.00452753 +7 *1498:16 0.00384793 +8 *39921:A *1920:44 0 +9 *1498:16 *6351:DIODE 0 +10 *1498:16 *1502:10 0 +11 *1498:16 *1521:23 0 +12 *1498:16 *3859:29 0 +13 *1498:16 *3888:53 0 +14 *1498:16 *4131:28 0 +15 *1498:16 *4391:18 0 +16 *1498:36 *1513:24 0 +17 *1498:36 *1526:14 0 +18 *1498:36 *1925:51 0 +19 *1498:36 *2745:32 0 +20 *1498:36 *3573:20 0 +21 *1498:36 *3586:20 0 +22 *1498:36 *3897:21 0 +23 *1498:36 *4156:52 0 +24 *1498:36 *4188:17 0 +25 *1498:36 *4191:19 0 +26 *1498:41 *2869:55 0 +27 *1498:45 *1920:44 0 +28 *1279:37 *1498:41 0 +29 *1389:32 *39921:A 0 +30 *1389:32 *1498:45 0 +31 *1401:23 *1498:41 0 +*RES +1 *38198:X *1498:16 49.5054 +2 *1498:16 *1498:36 48.5325 +3 *1498:36 *1498:41 49.375 +4 *1498:41 *1498:45 24.4464 +5 *1498:45 *7837:DIODE 9.3 +6 *1498:45 *39921:A 12.3 +*END + +*D_NET *1499 0.0178525 +*CONN +*I *7836:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39920:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38200:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *7836:DIODE 0 +2 *39920:A 0.000209024 +3 *38200:X 0.00145795 +4 *1499:30 0.000251889 +5 *1499:27 0.00284333 +6 *1499:26 0.00500634 +7 *1499:15 0.00441596 +8 *1499:14 0.00221008 +9 *1499:12 0.00145795 +10 *39920:A *1925:38 0 +11 *39920:A *2453:11 0 +12 *39920:A *2902:21 0 +13 *39920:A *2902:30 0 +14 *1499:12 *1501:18 0 +15 *1499:12 *1501:33 0 +16 *1499:12 *1785:10 0 +17 *1499:12 *2895:10 0 +18 *1499:12 *2911:44 0 +19 *1499:12 *3559:26 0 +20 *1499:12 *3618:47 0 +21 *1499:12 *4132:80 0 +22 *1499:12 *4400:48 0 +23 *1499:15 *1520:25 0 +24 *1499:15 *2784:11 0 +25 *1499:15 *3556:14 0 +26 *1499:15 *3573:29 0 +27 *1499:15 *3573:31 0 +28 *1499:26 *1922:47 0 +29 *1499:26 *2487:23 0 +30 *1499:26 *2774:29 0 +31 *1499:26 *2779:22 0 +32 *1499:26 *2900:20 0 +33 *1499:26 *3834:35 0 +34 *1499:26 *4985:26 0 +35 *1499:26 *5009:6 0 +36 *1499:30 *2453:11 0 +37 *37549:A *1499:26 0 +38 *37761:A *1499:26 0 +39 *39459:A *1499:15 0 +40 *298:18 *1499:27 0 +41 *300:10 *1499:26 0 +42 *319:12 *1499:26 0 +43 *322:27 *1499:26 0 +44 *1029:49 *1499:26 0 +45 *1291:12 *39920:A 0 +46 *1291:12 *1499:30 0 +47 *1489:15 *1499:12 0 +*RES +1 *38200:X *1499:12 46.2684 +2 *1499:12 *1499:14 4.5 +3 *1499:14 *1499:15 46.125 +4 *1499:15 *1499:26 39.839 +5 *1499:26 *1499:27 58.4464 +6 *1499:27 *1499:30 5.53571 +7 *1499:30 *39920:A 18.2464 +8 *1499:30 *7836:DIODE 13.8 +*END + +*D_NET *1500 0.00886245 +*CONN +*I *39919:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7835:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38202:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *39919:A 0.00014075 +2 *7835:DIODE 0 +3 *38202:X 0.00088895 +4 *1500:37 0.00122911 +5 *1500:34 0.00340153 +6 *1500:20 0.00320212 +7 *39919:A *1526:20 0 +8 *39919:A *3598:31 0 +9 *1500:20 *40901:A 0 +10 *1500:20 *3338:55 0 +11 *1500:20 *3835:61 0 +12 *1500:20 *3863:74 0 +13 *1500:20 *3897:49 0 +14 *1500:20 *4146:23 0 +15 *1500:34 *37976:A_N 0 +16 *1500:34 *2855:28 0 +17 *1500:34 *3051:36 0 +18 *1500:34 *3353:27 0 +19 *1500:34 *3577:83 0 +20 *1500:34 *3611:27 0 +21 *1500:34 *3614:49 0 +22 *1500:34 *3623:20 0 +23 *1500:34 *3832:83 0 +24 *1500:34 *3835:61 0 +25 *1500:34 *3870:53 0 +26 *1500:34 *4386:38 0 +27 *1500:34 *4997:8 0 +28 *1500:37 *39469:B 0 +29 *1500:37 *1526:20 0 +30 *1500:37 *1673:11 0 +31 *1500:37 *2745:32 0 +32 *1500:37 *3598:31 0 +33 *37579:A *1500:34 0 +34 *328:39 *1500:20 0 +*RES +1 *38202:X *1500:20 42.2018 +2 *1500:20 *1500:34 46.0909 +3 *1500:34 *1500:37 27.2143 +4 *1500:37 *7835:DIODE 9.3 +5 *1500:37 *39919:A 12.3 +*END + +*D_NET *1501 0.0179448 +*CONN +*I *39918:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7834:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38204:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *39918:A 0.00018396 +2 *7834:DIODE 0 +3 *38204:X 0.00138788 +4 *1501:52 0.000269632 +5 *1501:47 0.00235479 +6 *1501:46 0.003113 +7 *1501:37 0.00295556 +8 *1501:33 0.00420191 +9 *1501:18 0.0034781 +10 *39918:A *2763:31 0 +11 *39918:A *2777:15 0 +12 *1501:18 *1520:16 0 +13 *1501:18 *2761:20 0 +14 *1501:18 *2895:10 0 +15 *1501:18 *3618:47 0 +16 *1501:18 *4140:115 0 +17 *1501:18 *4169:59 0 +18 *1501:18 *4205:8 0 +19 *1501:33 *2502:25 0 +20 *1501:33 *3547:18 0 +21 *1501:33 *3547:30 0 +22 *1501:33 *3573:29 0 +23 *1501:33 *3573:31 0 +24 *1501:33 *4620:14 0 +25 *1501:37 *3573:29 0 +26 *1501:46 *1904:35 0 +27 *1501:46 *1922:47 0 +28 *1501:46 *2763:15 0 +29 *1501:46 *2768:20 0 +30 *1501:46 *2777:5 0 +31 *1501:46 *2874:8 0 +32 *1501:46 *5009:6 0 +33 *1501:47 *1529:28 0 +34 *1501:47 *2487:27 0 +35 *1501:47 *2765:24 0 +36 *1501:47 *2869:55 0 +37 *1501:52 *2453:11 0 +38 *40045:A *1501:18 0 +39 *1185:16 *1501:18 0 +40 *1279:37 *1501:47 0 +41 *1280:14 *1501:33 0 +42 *1291:12 *1501:52 0 +43 *1300:65 *1501:33 0 +44 *1392:28 *1501:33 0 +45 *1392:29 *1501:37 0 +46 *1401:21 *1501:47 0 +47 *1489:15 *1501:33 0 +48 *1499:12 *1501:18 0 +49 *1499:12 *1501:33 0 +*RES +1 *38204:X *1501:18 48.6296 +2 *1501:18 *1501:33 48.221 +3 *1501:33 *1501:37 48.5714 +4 *1501:37 *1501:46 31.8214 +5 *1501:46 *1501:47 47.3571 +6 *1501:47 *1501:52 10.9464 +7 *1501:52 *7834:DIODE 9.3 +8 *1501:52 *39918:A 13.1393 +*END + +*D_NET *1502 0.00912944 +*CONN +*I *7833:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39917:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38206:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *7833:DIODE 0.000114936 +2 *39917:A 0 +3 *38206:X 0.000157068 +4 *1502:28 0.00168591 +5 *1502:11 0.00429272 +6 *1502:10 0.00287881 +7 *7833:DIODE *2754:9 0 +8 *7833:DIODE *2855:59 0 +9 *7833:DIODE *3633:85 0 +10 *7833:DIODE *3856:54 0 +11 *7833:DIODE *4385:21 0 +12 *1502:10 *3895:79 0 +13 *1502:10 *4137:60 0 +14 *1502:11 *37956:C 0 +15 *1502:11 *3241:23 0 +16 *1502:11 *3859:29 0 +17 *1502:11 *4132:47 0 +18 *1502:11 *4132:58 0 +19 *1502:11 *4782:21 0 +20 *1502:28 *8586:DIODE 0 +21 *1502:28 *40919:A 0 +22 *1502:28 *41169:A 0 +23 *1502:28 *1901:69 0 +24 *1502:28 *2022:31 0 +25 *1502:28 *2171:61 0 +26 *1502:28 *3450:49 0 +27 *1502:28 *3642:48 0 +28 *1502:28 *3839:35 0 +29 *1502:28 *4159:29 0 +30 *1502:28 *4385:21 0 +31 *1502:28 *4457:28 0 +32 *1377:13 *1502:11 0 +33 *1498:16 *1502:10 0 +*RES +1 *38206:X *1502:10 21.7107 +2 *1502:10 *1502:11 56.8036 +3 *1502:11 *1502:28 48.3214 +4 *1502:28 *39917:A 13.8 +5 *1502:28 *7833:DIODE 16.3893 +*END + +*D_NET *1503 0.0140629 +*CONN +*I *38209:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *6305:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38208:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *38209:A 0.000156313 +2 *6305:DIODE 0 +3 *38208:X 0.00659567 +4 *1503:22 0.000435767 +5 *1503:19 0.00687513 +6 *38209:A *4954:14 0 +7 *1503:19 *41357:A 0 +8 *1503:19 *1809:19 0 +9 *1503:19 *1926:33 0 +10 *1503:19 *1952:33 0 +11 *1503:19 *2006:25 0 +12 *1503:19 *2038:13 0 +13 *1503:19 *2165:22 0 +14 *1503:19 *2625:32 0 +15 *1503:19 *2648:15 0 +16 *1503:19 *2687:11 0 +17 *1503:19 *3611:24 0 +18 *1503:19 *3850:20 0 +19 *1503:22 *4954:14 0 +20 *333:42 *1503:19 0 +21 *345:31 *1503:19 0 +22 *1016:156 *38209:A 0 +23 *1023:92 *1503:19 0 +24 *1024:87 *1503:22 0 +25 *1026:50 *38209:A 0 +*RES +1 *38208:X *1503:19 49.9343 +2 *1503:19 *1503:22 9.75821 +3 *1503:22 *6305:DIODE 13.8 +4 *1503:22 *38209:A 17.1214 +*END + +*D_NET *1504 0.0061422 +*CONN +*I *39916:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38210:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *39916:A 0.00184072 +2 *38210:X 0.00123039 +3 *1504:27 0.0030711 +4 *39916:A *5951:DIODE 0 +5 *39916:A *3583:55 0 +6 *39916:A *3892:23 0 +7 *39916:A *3892:33 0 +8 *39916:A *3898:44 0 +9 *39916:A *4178:25 0 +10 *39916:A *4384:56 0 +11 *39916:A *4403:35 0 +12 *1504:27 *38210:B 0 +13 *1504:27 *3268:30 0 +14 *1504:27 *4140:41 0 +15 *1504:27 *4169:22 0 +16 *1504:27 *4183:21 0 +17 *1504:27 *4211:11 0 +18 *1504:27 *4214:63 0 +19 *1504:27 *4392:80 0 +20 *1189:27 *39916:A 0 +*RES +1 *38210:X *1504:27 49.0679 +2 *1504:27 *39916:A 48.6759 +*END + +*D_NET *1505 0.0112401 +*CONN +*I *7832:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39915:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38212:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *7832:DIODE 0 +2 *39915:A 0.000175973 +3 *38212:X 0.00136358 +4 *1505:49 0.00197279 +5 *1505:48 0.00242876 +6 *1505:34 0.00228368 +7 *1505:19 0.00301532 +8 *39915:A *2962:56 0 +9 *39915:A *3741:22 0 +10 *39915:A *4332:25 0 +11 *1505:19 *38212:A 0 +12 *1505:19 *2749:64 0 +13 *1505:19 *3105:123 0 +14 *1505:19 *3819:19 0 +15 *1505:19 *3939:93 0 +16 *1505:19 *4017:53 0 +17 *1505:19 *4230:46 0 +18 *1505:34 *2268:43 0 +19 *1505:34 *3085:33 0 +20 *1505:34 *3191:40 0 +21 *1505:34 *3674:44 0 +22 *1505:34 *3714:23 0 +23 *1505:34 *3723:42 0 +24 *1505:34 *4369:25 0 +25 *1505:34 *4369:45 0 +26 *1505:48 *3181:50 0 +27 *1505:48 *3191:57 0 +28 *1505:48 *3655:18 0 +29 *1505:48 *3741:23 0 +30 *1505:48 *4218:24 0 +31 *1505:48 *4324:20 0 +32 *1505:49 *2962:46 0 +33 *1505:49 *3741:23 0 +34 *1505:49 *4332:25 0 +35 *1505:49 *4332:33 0 +36 *1237:27 *1505:19 0 +*RES +1 *38212:X *1505:19 48.7643 +2 *1505:19 *1505:34 49.4286 +3 *1505:34 *1505:48 36.25 +4 *1505:48 *1505:49 37.5 +5 *1505:49 *39915:A 22.0321 +6 *1505:49 *7832:DIODE 9.3 +*END + +*D_NET *1506 0.00712732 +*CONN +*I *39914:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38214:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *39914:A 0.000934316 +2 *38214:X 0.00041177 +3 *1506:22 0.00315189 +4 *1506:13 0.00262935 +5 *39914:A *8342:DIODE 0 +6 *39914:A *8551:DIODE 0 +7 *39914:A *1681:35 0 +8 *39914:A *1681:49 0 +9 *39914:A *1920:43 0 +10 *39914:A *3607:20 0 +11 *1506:13 *38214:B 0 +12 *1506:13 *1530:15 0 +13 *1506:13 *2166:17 0 +14 *1506:13 *2741:48 0 +15 *1506:22 *40700:A 0 +16 *1506:22 *1919:23 0 +17 *1506:22 *2074:30 0 +18 *1506:22 *2177:14 0 +19 *1506:22 *2210:25 0 +20 *1506:22 *3152:43 0 +21 *1506:22 *3385:28 0 +22 *1506:22 *3611:27 0 +23 *1506:22 *3660:43 0 +24 *1506:22 *3833:19 0 +25 *1506:22 *3835:26 0 +26 *1506:22 *3873:25 0 +27 *1385:13 *1506:22 0 +28 *1385:22 *1506:22 0 +29 *1395:44 *1506:22 0 +*RES +1 *38214:X *1506:13 22.6036 +2 *1506:13 *1506:22 49.6725 +3 *1506:22 *39914:A 47.1036 +*END + +*D_NET *1507 0.00577688 +*CONN +*I *38217:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *38216:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *38217:A 0.000143745 +2 *38216:X 0.000306908 +3 *1507:12 0.00258153 +4 *1507:10 0.00274469 +5 *38217:A *2582:24 0 +6 *38217:A *2707:41 0 +7 *1507:10 *1528:42 0 +8 *1507:10 *1796:20 0 +9 *1507:12 *5394:DIODE 0 +10 *1507:12 *7725:DIODE 0 +11 *1507:12 *37933:A 0 +12 *1507:12 *40884:A 0 +13 *1507:12 *1675:66 0 +14 *1507:12 *1796:20 0 +15 *1507:12 *1903:40 0 +16 *1507:12 *1919:56 0 +17 *1507:12 *1925:50 0 +18 *1507:12 *2189:23 0 +19 *1507:12 *2753:25 0 +20 *1507:12 *2775:30 0 +21 *1507:12 *2897:20 0 +22 *1507:12 *3633:98 0 +23 *39473:A *1507:12 0 +24 *291:15 *1507:12 0 +25 *340:91 *1507:12 0 +26 *1277:32 *1507:12 0 +27 *1377:14 *1507:12 0 +28 *1398:28 *1507:10 0 +*RES +1 *38216:X *1507:10 20.7196 +2 *1507:10 *1507:12 55.3304 +3 *1507:12 *38217:A 16.8 +*END + +*D_NET *1508 0.0131982 +*CONN +*I *38219:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *6317:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38218:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *38219:A 0.000181693 +2 *6317:DIODE 0 +3 *38218:X 0.000836697 +4 *1508:28 0.00168276 +5 *1508:19 0.0055807 +6 *1508:18 0.00491632 +7 *38219:A *2580:33 0 +8 *38219:A *2602:39 0 +9 *38219:A *3798:14 0 +10 *38219:A *3798:22 0 +11 *38219:A *4678:10 0 +12 *38219:A *4678:15 0 +13 *1508:18 *6316:DIODE 0 +14 *1508:18 *37806:A 0 +15 *1508:18 *2174:25 0 +16 *1508:18 *3828:52 0 +17 *1508:19 *1943:26 0 +18 *1508:19 *1943:28 0 +19 *1508:19 *2561:15 0 +20 *1508:19 *2699:27 0 +21 *1508:19 *2729:11 0 +22 *1508:28 *37823:A 0 +23 *1508:28 *39753:A 0 +24 *1508:28 *1689:11 0 +25 *1508:28 *1932:30 0 +26 *1508:28 *2242:23 0 +27 *1508:28 *2561:12 0 +28 *1508:28 *2570:13 0 +29 *1508:28 *2856:8 0 +30 *1508:28 *3798:14 0 +31 *1508:28 *4678:10 0 +32 *1508:28 *5113:19 0 +33 *37597:A *1508:18 0 +*RES +1 *38218:X *1508:18 37.3893 +2 *1508:18 *1508:19 85.1429 +3 *1508:19 *1508:28 45.3036 +4 *1508:28 *6317:DIODE 13.8 +5 *1508:28 *38219:A 17.9071 +*END + +*D_NET *1509 0.00598743 +*CONN +*I *39913:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38220:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *39913:A 0.000394347 +2 *38220:X 0.000506531 +3 *1509:30 0.00248718 +4 *1509:20 0.00259937 +5 *39913:A *5345:DIODE 0 +6 *39913:A *3457:36 0 +7 *39913:A *3606:32 0 +8 *39913:A *3841:47 0 +9 *39913:A *4383:41 0 +10 *1509:20 *37968:C 0 +11 *1509:20 *3875:65 0 +12 *1509:20 *3897:92 0 +13 *1509:20 *4205:15 0 +14 *1509:30 *3885:13 0 +15 *1509:30 *3886:76 0 +16 *1509:30 *4146:23 0 +17 *1509:30 *4174:27 0 +18 *1509:30 *4205:8 0 +19 *1509:30 *4205:13 0 +20 *1509:30 *4205:15 0 +21 *1509:30 *4394:45 0 +22 *39467:A *1509:20 0 +23 *1373:13 *1509:20 0 +24 *1381:48 *39913:A 0 +*RES +1 *38220:X *1509:20 38.7821 +2 *1509:20 *1509:30 48.3839 +3 *1509:30 *39913:A 22.8982 +*END + +*D_NET *1510 0.00421225 +*CONN +*I *38223:A I *D sky130_fd_sc_hd__buf_2 +*I *38222:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *38223:A 0.00135959 +2 *38222:X 0.000746537 +3 *1510:20 0.00210613 +4 *38223:A *39475:B 0 +5 *38223:A *1948:29 0 +6 *38223:A *2168:24 0 +7 *1510:20 *1944:19 0 +8 *1510:20 *1949:34 0 +9 *1510:20 *3552:51 0 +10 *1510:20 *3577:34 0 +11 *39475:A *38223:A 0 +*RES +1 *38222:X *1510:20 35.1393 +2 *1510:20 *38223:A 37.675 +*END + +*D_NET *1511 0.0145241 +*CONN +*I *38225:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *6325:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38224:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *38225:A 0.000153561 +2 *6325:DIODE 0 +3 *38224:X 0.000714448 +4 *1511:30 0.000239292 +5 *1511:27 0.00281928 +6 *1511:18 0.00445056 +7 *1511:10 0.00357477 +8 *1511:7 0.00257221 +9 *38225:A *38960:A 0 +10 *38225:A *2752:55 0 +11 *38225:A *4974:32 0 +12 *1511:7 *2270:60 0 +13 *1511:7 *3817:52 0 +14 *1511:7 *4359:39 0 +15 *1511:10 *38246:B 0 +16 *1511:10 *2227:83 0 +17 *1511:10 *2270:55 0 +18 *1511:10 *2270:60 0 +19 *1511:10 *2950:35 0 +20 *1511:10 *3786:59 0 +21 *1511:18 *2281:39 0 +22 *1511:18 *2752:20 0 +23 *1511:18 *2917:22 0 +24 *1511:18 *4691:20 0 +25 *1511:18 *5038:10 0 +26 *1511:27 *2482:35 0 +27 *1511:27 *2529:16 0 +28 *1511:27 *2737:31 0 +29 *1511:27 *3161:54 0 +30 *1511:30 *38960:A 0 +31 *1511:30 *2730:16 0 +32 *337:29 *1511:18 0 +33 *338:21 *1511:18 0 +34 *340:31 *1511:18 0 +35 *344:12 *1511:27 0 +36 *346:18 *38225:A 0 +37 *349:10 *1511:18 0 +38 *1008:94 *1511:27 0 +39 *1023:98 *1511:27 0 +40 *1267:31 *1511:18 0 +*RES +1 *38224:X *1511:7 28.7107 +2 *1511:7 *1511:10 42.2232 +3 *1511:10 *1511:18 46.7143 +4 *1511:18 *1511:27 49.7318 +5 *1511:27 *1511:30 6.44643 +6 *1511:30 *6325:DIODE 13.8 +7 *1511:30 *38225:A 17.1214 +*END + +*D_NET *1512 0.00141535 +*CONN +*I *39911:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38226:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *39911:A 0.000707673 +2 *38226:X 0.000707673 +3 *39911:A *1524:24 0 +4 *39911:A *4157:13 0 +5 *1399:25 *39911:A 0 +*RES +1 *38226:X *39911:A 42.5286 +*END + +*D_NET *1513 0.0156694 +*CONN +*I *38229:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6330:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38228:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *38229:A 0 +2 *6330:DIODE 0.000104386 +3 *38228:X 0.00120901 +4 *1513:31 0.00162268 +5 *1513:25 0.00546016 +6 *1513:24 0.00500301 +7 *1513:15 0.00227016 +8 *6330:DIODE *2710:25 0 +9 *6330:DIODE *4665:30 0 +10 *1513:15 *1887:63 0 +11 *1513:15 *2031:51 0 +12 *1513:15 *2745:32 0 +13 *1513:15 *3564:16 0 +14 *1513:15 *3629:45 0 +15 *1513:15 *3632:14 0 +16 *1513:15 *3897:21 0 +17 *1513:15 *4183:21 0 +18 *1513:15 *4380:68 0 +19 *1513:15 *4944:10 0 +20 *1513:24 *1676:8 0 +21 *1513:24 *2745:32 0 +22 *1513:24 *2770:38 0 +23 *1513:24 *2778:39 0 +24 *1513:24 *2894:34 0 +25 *1513:24 *3561:48 0 +26 *1513:24 *3573:20 0 +27 *1513:24 *3602:57 0 +28 *1513:24 *4917:17 0 +29 *1513:24 *4944:10 0 +30 *1513:31 *2490:36 0 +31 *1513:31 *2547:38 0 +32 *1513:31 *2593:34 0 +33 *1513:31 *2710:25 0 +34 *1513:31 *2755:32 0 +35 *1513:31 *4665:30 0 +36 *37583:A *1513:24 0 +37 *325:48 *1513:25 0 +38 *1498:36 *1513:24 0 +*RES +1 *38228:X *1513:15 45.5857 +2 *1513:15 *1513:24 33.1607 +3 *1513:24 *1513:25 82.2679 +4 *1513:25 *1513:31 43.4643 +5 *1513:31 *6330:DIODE 11.4786 +6 *1513:31 *38229:A 9.3 +*END + +*D_NET *1514 0.00306517 +*CONN +*I *39910:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38230:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *39910:A 0.000841309 +2 *38230:X 0.000691277 +3 *1514:21 0.00153259 +4 *39910:A *2928:76 0 +5 *39910:A *3710:36 0 +6 *39910:A *3804:85 0 +7 *39910:A *4369:47 0 +8 *39910:A *4377:93 0 +9 *39910:A *4747:10 0 +10 *1514:21 *38230:B 0 +11 *1514:21 *3680:48 0 +12 *1514:21 *3730:44 0 +13 *1514:21 *3820:11 0 +14 *1514:21 *4022:68 0 +15 *1514:21 *4365:67 0 +16 *1514:21 *4365:82 0 +17 *1514:21 *4367:69 0 +*RES +1 *38230:X *1514:21 46.3893 +2 *1514:21 *39910:A 32.3536 +*END + +*D_NET *1515 0.0180289 +*CONN +*I *6336:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38233:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *38232:X O *D sky130_fd_sc_hd__and2_2 +*CAP +1 *6336:DIODE 0 +2 *38233:A 0.000124066 +3 *38232:X 0 +4 *1515:20 0.000124066 +5 *1515:18 0.0019817 +6 *1515:14 0.00234816 +7 *1515:9 0.00419026 +8 *1515:8 0.00382379 +9 *1515:6 0.00271842 +10 *1515:5 0.00271842 +11 *38233:A *3161:43 0 +12 *38233:A *3362:23 0 +13 *1515:6 *38979:A 0 +14 *1515:6 *2934:6 0 +15 *1515:6 *3676:8 0 +16 *1515:6 *3822:27 0 +17 *1515:6 *3968:22 0 +18 *1515:6 *4367:61 0 +19 *1515:14 *3693:32 0 +20 *1515:14 *4709:10 0 +21 *1515:18 *38253:A 0 +22 *1515:18 *2752:27 0 +23 *1515:18 *3693:32 0 +24 *336:23 *1515:18 0 +25 *342:8 *1515:9 0 +26 *345:19 *1515:14 0 +27 *346:10 *38233:A 0 +28 *351:11 *1515:6 0 +29 *353:14 *1515:9 0 +30 *1010:86 *1515:18 0 +31 *1016:176 *1515:18 0 +32 *1025:93 *1515:14 0 +33 *1267:28 *1515:14 0 +34 *1286:12 *1515:6 0 +*RES +1 *38232:X *1515:5 13.8 +2 *1515:5 *1515:6 61.75 +3 *1515:6 *1515:8 4.5 +4 *1515:8 *1515:9 79.8036 +5 *1515:9 *1515:14 17.3214 +6 *1515:14 *1515:18 46.0893 +7 *1515:18 *1515:20 4.5 +8 *1515:20 *38233:A 11.8893 +9 *1515:20 *6336:DIODE 9.3 +*END + +*D_NET *1516 0.0189205 +*CONN +*I *6339:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38235:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38234:X O *D sky130_fd_sc_hd__and2_2 +*CAP +1 *6339:DIODE 0 +2 *38235:A 0.00016428 +3 *38234:X 0.000740811 +4 *1516:30 0.000450498 +5 *1516:25 0.00623539 +6 *1516:24 0.00594917 +7 *1516:22 0.00231977 +8 *1516:20 0.00306058 +9 *1516:20 *5438:DIODE 0 +10 *1516:20 *2384:118 0 +11 *1516:20 *3680:92 0 +12 *1516:20 *3753:22 0 +13 *1516:20 *4022:130 0 +14 *1516:22 *2365:71 0 +15 *1516:22 *2384:118 0 +16 *1516:22 *2413:69 0 +17 *1516:22 *3664:6 0 +18 *1516:22 *3680:92 0 +19 *1516:22 *3736:77 0 +20 *1516:22 *3753:22 0 +21 *1516:22 *3772:66 0 +22 *1516:22 *4716:6 0 +23 *1516:25 *3946:35 0 +24 *1516:30 *4698:8 0 +25 *1516:30 *4701:26 0 +26 *349:10 *1516:25 0 +27 *353:14 *1516:25 0 +28 *1024:112 *38235:A 0 +*RES +1 *38234:X *1516:20 31.2375 +2 *1516:20 *1516:22 52.7232 +3 *1516:22 *1516:24 4.5 +4 *1516:24 *1516:25 124.161 +5 *1516:25 *1516:30 15.5 +6 *1516:30 *38235:A 12.7286 +7 *1516:30 *6339:DIODE 9.3 +*END + +*D_NET *1517 0.0148203 +*CONN +*I *38237:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6341:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38236:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *38237:A 0.000136045 +2 *6341:DIODE 0 +3 *38236:X 0.00497708 +4 *1517:22 0.00243305 +5 *1517:14 0.00727409 +6 *38237:A *2595:40 0 +7 *1517:14 *37602:B 0 +8 *1517:14 *38014:C 0 +9 *1517:14 *38236:B 0 +10 *1517:14 *41136:A 0 +11 *1517:14 *2036:17 0 +12 *1517:14 *2037:13 0 +13 *1517:14 *2046:25 0 +14 *1517:14 *2107:19 0 +15 *1517:14 *2128:12 0 +16 *1517:14 *2150:20 0 +17 *1517:14 *2181:16 0 +18 *1517:14 *2210:21 0 +19 *1517:14 *2850:39 0 +20 *1517:14 *3596:20 0 +21 *1517:14 *4056:17 0 +22 *1517:14 *4186:12 0 +23 *1517:14 *4292:16 0 +24 *1517:14 *4376:19 0 +25 *1517:22 *5135:38 0 +26 *337:36 *38237:A 0 +27 *1000:14 *1517:22 0 +28 *1019:8 *1517:22 0 +*RES +1 *38236:X *1517:14 49.1737 +2 *1517:14 *1517:22 20.1269 +3 *1517:22 *6341:DIODE 9.3 +4 *1517:22 *38237:A 12.1393 +*END + +*D_NET *1518 0.00301949 +*CONN +*I *39909:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38238:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *39909:A 0.000499431 +2 *38238:X 0.00101031 +3 *1518:17 0.00150974 +4 *39909:A *3610:22 0 +5 *39909:A *3634:16 0 +6 *39909:A *3637:9 0 +7 *39909:A *3866:33 0 +8 *1518:17 *38238:B 0 +9 *1518:17 *1520:16 0 +10 *1518:17 *3629:131 0 +11 *1518:17 *3634:16 0 +12 *1518:17 *3855:59 0 +13 *1518:17 *4133:79 0 +14 *1518:17 *4153:50 0 +15 *1181:24 *39909:A 0 +*RES +1 *38238:X *1518:17 48.8 +2 *1518:17 *39909:A 37.9429 +*END + +*D_NET *1519 0.00984151 +*CONN +*I *6346:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38241:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38240:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6346:DIODE 3.89331e-05 +2 *38241:A 0.000289703 +3 *38240:X 0.000722905 +4 *1519:23 0.00419785 +5 *1519:19 0.00459212 +6 *6346:DIODE *38956:A 0 +7 *6346:DIODE *4681:21 0 +8 *38241:A *3799:12 0 +9 *1519:19 *1960:13 0 +10 *1519:19 *1999:29 0 +11 *1519:19 *2155:14 0 +12 *1519:19 *3255:49 0 +13 *1519:19 *3969:18 0 +14 *1519:19 *4222:22 0 +15 *1519:19 *4273:22 0 +16 *1519:23 *2273:26 0 +17 *1519:23 *2850:39 0 +18 *1519:23 *3716:17 0 +19 *1519:23 *3727:23 0 +20 *1519:23 *5149:28 0 +21 *1008:67 *38241:A 0 +22 *1011:207 *38241:A 0 +23 *1016:171 *6346:DIODE 0 +24 *1017:86 *38241:A 0 +25 *1017:86 *1519:23 0 +26 *1021:16 *38241:A 0 +27 *1021:16 *1519:23 0 +*RES +1 *38240:X *1519:19 44.0181 +2 *1519:19 *1519:23 19.1096 +3 *1519:23 *38241:A 20.0395 +4 *1519:23 *6346:DIODE 18.0939 +*END + +*D_NET *1520 0.016719 +*CONN +*I *7829:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39907:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38242:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *7829:DIODE 0 +2 *39907:A 0.000177328 +3 *38242:X 0.0011884 +4 *1520:37 0.00437353 +5 *1520:36 0.00578614 +6 *1520:25 0.00279755 +7 *1520:16 0.00239602 +8 *39907:A *2750:35 0 +9 *39907:A *2750:37 0 +10 *39907:A *3832:41 0 +11 *39907:A *3836:25 0 +12 *39907:A *3863:60 0 +13 *1520:16 *2761:26 0 +14 *1520:16 *2869:14 0 +15 *1520:16 *2951:21 0 +16 *1520:16 *4153:50 0 +17 *1520:16 *4620:14 0 +18 *1520:25 *39466:B 0 +19 *1520:25 *2784:11 0 +20 *1520:25 *2790:17 0 +21 *1520:25 *3561:61 0 +22 *1520:25 *3573:31 0 +23 *1520:25 *3614:49 0 +24 *1520:36 *39466:B 0 +25 *1520:36 *2790:17 0 +26 *1520:36 *3561:61 0 +27 *1520:36 *3614:49 0 +28 *1520:37 *39470:B 0 +29 *1520:37 *2750:29 0 +30 *1520:37 *2750:35 0 +31 *1520:37 *3602:57 0 +32 *1520:37 *3832:68 0 +33 *1520:37 *3836:25 0 +34 *7415:DIODE *1520:16 0 +35 *37571:A *1520:25 0 +36 *39466:A *1520:36 0 +37 *271:37 *1520:16 0 +38 *333:58 *1520:36 0 +39 *343:7 *1520:36 0 +40 *1300:47 *1520:37 0 +41 *1300:60 *1520:37 0 +42 *1499:15 *1520:25 0 +43 *1501:18 *1520:16 0 +44 *1518:17 *1520:16 0 +*RES +1 *38242:X *1520:16 44.1083 +2 *1520:16 *1520:25 34.4107 +3 *1520:25 *1520:36 42.6964 +4 *1520:36 *1520:37 87.6071 +5 *1520:37 *39907:A 13.0321 +6 *1520:37 *7829:DIODE 9.3 +*END + +*D_NET *1521 0.00205569 +*CONN +*I *39905:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38244:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *39905:A 0 +2 *38244:X 0.00102784 +3 *1521:23 0.00102784 +4 *1521:23 *6351:DIODE 0 +5 *1521:23 *38244:B 0 +6 *1521:23 *1672:21 0 +7 *1521:23 *1889:70 0 +8 *1521:23 *3338:37 0 +9 *1521:23 *3629:45 0 +10 *1521:23 *3897:48 0 +11 *1521:23 *4136:48 0 +12 *1521:23 *4157:46 0 +13 *1521:23 *4168:39 0 +14 *1521:23 *4183:21 0 +15 *1521:23 *4391:18 0 +16 *1521:23 *4959:58 0 +17 *1498:16 *1521:23 0 +*RES +1 *38244:X *1521:23 41.1214 +2 *1521:23 *39905:A 9.3 +*END + +*D_NET *1522 0.00916647 +*CONN +*I *38247:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6355:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38246:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *38247:A 0.000372411 +2 *6355:DIODE 0 +3 *38246:X 0.000543393 +4 *1522:27 0.00205726 +5 *1522:16 0.00366743 +6 *1522:12 0.00252597 +7 *38247:A *38969:A 0 +8 *38247:A *39225:A 0 +9 *38247:A *39226:A 0 +10 *38247:A *39227:A 0 +11 *38247:A *3798:42 0 +12 *38247:A *4979:19 0 +13 *38247:A *4980:12 0 +14 *1522:12 *40724:A 0 +15 *1522:12 *2222:83 0 +16 *1522:12 *2950:35 0 +17 *1522:16 *1525:32 0 +18 *1522:16 *2232:57 0 +19 *1522:16 *2253:49 0 +20 *1522:16 *2260:40 0 +21 *1522:16 *2950:35 0 +22 *1522:16 *5121:22 0 +23 *1522:16 *5133:8 0 +24 *1522:27 *38969:A 0 +25 *1522:27 *4347:19 0 +26 *1522:27 *4969:16 0 +27 *1522:27 *4979:19 0 +28 *1522:27 *4994:15 0 +29 la_data_in_core[55] *1522:27 0 +30 la_oenb_core[56] *38247:A 0 +31 *336:10 *1522:16 0 +32 *338:21 *1522:16 0 +33 *1013:74 *1522:27 0 +*RES +1 *38246:X *1522:12 26.5054 +2 *1522:12 *1522:16 48.4636 +3 *1522:16 *1522:27 28.2255 +4 *1522:27 *6355:DIODE 9.3 +5 *1522:27 *38247:A 26.5143 +*END + +*D_NET *1523 0.015679 +*CONN +*I *7826:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39903:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38248:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *7826:DIODE 9.62907e-05 +2 *39903:A 0.000222463 +3 *38248:X 0.00669326 +4 *1523:22 0.00114624 +5 *1523:21 0.00752074 +6 *7826:DIODE *2862:15 0 +7 *7826:DIODE *3832:30 0 +8 *39903:A *3548:23 0 +9 *39903:A *3619:26 0 +10 *1523:21 *5959:DIODE 0 +11 *1523:21 *41381:A 0 +12 *1523:21 *2161:63 0 +13 *1523:21 *2747:24 0 +14 *1523:21 *2859:18 0 +15 *1523:21 *3646:53 0 +16 *1523:21 *3873:25 0 +17 *1523:21 *3888:24 0 +18 *1523:21 *4142:13 0 +19 *1523:21 *4142:15 0 +20 *1523:21 *4185:21 0 +21 *1523:21 *4394:20 0 +22 *1523:21 *4394:42 0 +23 *1523:21 *4397:36 0 +24 *1523:22 *3385:17 0 +25 *1523:22 *3565:25 0 +26 *1523:22 *3580:43 0 +27 *1523:22 *3643:29 0 +28 *1523:22 *3873:25 0 +29 *1385:22 *7826:DIODE 0 +30 *1385:22 *1523:22 0 +31 *1396:43 *1523:21 0 +*RES +1 *38248:X *1523:21 46.3571 +2 *1523:21 *1523:22 18.7946 +3 *1523:22 *39903:A 18.4429 +4 *1523:22 *7826:DIODE 16.05 +*END + +*D_NET *1524 0.0183584 +*CONN +*I *39901:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7824:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38250:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *39901:A 0 +2 *7824:DIODE 0.000264554 +3 *38250:X 9.49745e-05 +4 *1524:47 0.00196016 +5 *1524:35 0.00689926 +6 *1524:24 0.00712406 +7 *1524:17 0.00201538 +8 *7824:DIODE *1682:43 0 +9 *1524:17 *39898:A 0 +10 *1524:17 *1894:66 0 +11 *1524:17 *4470:59 0 +12 *1524:24 *5964:DIODE 0 +13 *1524:24 *38000:A_N 0 +14 *1524:24 *38000:C 0 +15 *1524:24 *38190:A 0 +16 *1524:24 *39898:A 0 +17 *1524:24 *1894:48 0 +18 *1524:24 *1894:66 0 +19 *1524:24 *2055:17 0 +20 *1524:24 *2747:24 0 +21 *1524:24 *3336:51 0 +22 *1524:24 *4157:10 0 +23 *1524:24 *4394:20 0 +24 *1524:24 *4395:37 0 +25 *1524:24 *4457:28 0 +26 *1524:24 *4457:48 0 +27 *1524:35 *37970:B 0 +28 *1524:35 *1533:71 0 +29 *1524:35 *1900:28 0 +30 *1524:35 *1907:16 0 +31 *1524:35 *2036:17 0 +32 *1524:35 *2165:22 0 +33 *1524:35 *2741:69 0 +34 *1524:35 *2850:21 0 +35 *1524:35 *2850:39 0 +36 *1524:35 *3156:76 0 +37 *1524:35 *3242:36 0 +38 *1524:35 *3857:19 0 +39 *1524:35 *3857:46 0 +40 *1524:35 *3919:30 0 +41 *1524:35 *4132:15 0 +42 *1524:35 *4156:37 0 +43 *1524:35 *4176:32 0 +44 *1524:35 *4395:20 0 +45 *1524:35 *4893:24 0 +46 *1524:47 *1903:25 0 +47 *1524:47 *2164:22 0 +48 *1524:47 *2741:69 0 +49 *1524:47 *3623:16 0 +50 *1524:47 *3636:38 0 +51 *1524:47 *4317:13 0 +52 *1524:47 *4342:28 0 +53 *39911:A *1524:24 0 +54 *1384:23 *1524:35 0 +55 *1398:37 *1524:35 0 +56 *1399:25 *1524:24 0 +*RES +1 *38250:X *1524:17 11.7643 +2 *1524:17 *1524:24 49.2679 +3 *1524:24 *1524:35 47.6688 +4 *1524:35 *1524:47 23.1927 +5 *1524:47 *7824:DIODE 24.2286 +6 *1524:47 *39901:A 9.3 +*END + +*D_NET *1525 0.0147755 +*CONN +*I *6364:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38253:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *38252:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6364:DIODE 0 +2 *38253:A 0.000124066 +3 *38252:X 0.000895232 +4 *1525:32 0.00186565 +5 *1525:23 0.00436493 +6 *1525:22 0.00262335 +7 *1525:20 0.0020035 +8 *1525:19 0.00289874 +9 *1525:19 *2264:69 0 +10 *1525:19 *3742:19 0 +11 *1525:19 *3817:70 0 +12 *1525:20 *2264:57 0 +13 *1525:20 *2317:55 0 +14 *1525:20 *2940:20 0 +15 *1525:20 *3795:65 0 +16 *1525:20 *4705:24 0 +17 *1525:20 *4710:8 0 +18 *1525:23 *6358:DIODE 0 +19 *1525:23 *2684:5 0 +20 *1525:23 *2684:13 0 +21 *1525:23 *2737:37 0 +22 *1525:23 *2934:9 0 +23 *1525:32 *39222:A 0 +24 *1525:32 *2684:13 0 +25 *344:10 *1525:23 0 +26 *344:10 *1525:32 0 +27 *344:12 *1525:32 0 +28 *345:7 *1525:20 0 +29 *1014:49 *1525:20 0 +30 *1016:176 *38253:A 0 +31 *1515:18 *38253:A 0 +32 *1522:16 *1525:32 0 +*RES +1 *38252:X *1525:19 39.1886 +2 *1525:19 *1525:20 45.5089 +3 *1525:20 *1525:22 4.5 +4 *1525:22 *1525:23 54.75 +5 *1525:23 *1525:32 45.75 +6 *1525:32 *38253:A 11.8893 +7 *1525:32 *6364:DIODE 9.3 +*END + +*D_NET *1526 0.016014 +*CONN +*I *39900:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7823:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38254:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *39900:A 0.000121071 +2 *7823:DIODE 0 +3 *38254:X 0.000673819 +4 *1526:27 0.00231233 +5 *1526:24 0.0022288 +6 *1526:20 0.00218663 +7 *1526:14 0.00226156 +8 *1526:9 0.00283422 +9 *1526:8 0.00339556 +10 *39900:A *3548:23 0 +11 *1526:8 *3619:60 0 +12 *1526:8 *4153:22 0 +13 *1526:9 *2855:28 0 +14 *1526:9 *2855:41 0 +15 *1526:9 *3051:23 0 +16 *1526:9 *3051:37 0 +17 *1526:9 *3169:29 0 +18 *1526:20 *3598:31 0 +19 *1526:27 *3548:23 0 +20 *1526:27 *3548:25 0 +21 *37549:A *1526:9 0 +22 *39919:A *1526:20 0 +23 *322:27 *1526:8 0 +24 *376:21 *1526:8 0 +25 *1498:36 *1526:14 0 +26 *1500:37 *1526:20 0 +*RES +1 *38254:X *1526:8 33.6036 +2 *1526:8 *1526:9 56.8036 +3 *1526:9 *1526:14 11.5536 +4 *1526:14 *1526:20 49.3839 +5 *1526:20 *1526:24 5.38393 +6 *1526:24 *1526:27 45.7321 +7 *1526:27 *7823:DIODE 9.3 +8 *1526:27 *39900:A 11.8893 +*END + +*D_NET *1527 0.00927839 +*CONN +*I *6370:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38257:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *38256:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6370:DIODE 0 +2 *38257:A 0.000159146 +3 *38256:X 2.56688e-05 +4 *1527:19 0.00150224 +5 *1527:8 0.00445438 +6 *1527:7 0.00313696 +7 *38257:A *3804:71 0 +8 *38257:A *4711:25 0 +9 *38257:A *4711:33 0 +10 *1527:8 *2367:24 0 +11 *1527:8 *3334:53 0 +12 *1527:8 *3717:31 0 +13 *1527:8 *3822:58 0 +14 *1527:8 *4074:24 0 +15 *1527:8 *4719:8 0 +16 *1527:19 *6400:DIODE 0 +17 *1527:19 *38984:A 0 +18 *1527:19 *1537:43 0 +19 *1527:19 *4711:25 0 +20 *1527:19 *4711:33 0 +21 *1527:19 *4996:10 0 +22 la_data_in_core[69] *1527:8 0 +*RES +1 *38256:X *1527:7 14.3357 +2 *1527:7 *1527:8 70.7054 +3 *1527:8 *1527:19 32.6875 +4 *1527:19 *38257:A 12.6214 +5 *1527:19 *6370:DIODE 9.3 +*END + +*D_NET *1528 0.00673582 +*CONN +*I *39898:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38258:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *39898:A 0.000693827 +2 *38258:X 0.00133002 +3 *1528:42 0.00203789 +4 *1528:17 0.00267408 +5 *39898:A *1672:43 0 +6 *39898:A *1894:66 0 +7 *39898:A *4457:48 0 +8 *39898:A *4470:59 0 +9 *39898:A *4470:77 0 +10 *1528:17 *5959:DIODE 0 +11 *1528:17 *41157:A 0 +12 *1528:17 *1889:70 0 +13 *1528:17 *3343:22 0 +14 *1528:17 *3875:25 0 +15 *1528:17 *3886:19 0 +16 *1528:17 *4146:21 0 +17 *1528:17 *4157:46 0 +18 *1528:17 *4157:52 0 +19 *1528:17 *4168:26 0 +20 *1528:17 *4175:17 0 +21 *1528:42 *3868:25 0 +22 *1528:42 *3886:19 0 +23 *1528:42 *4133:5 0 +24 *1528:42 *4142:15 0 +25 *1528:42 *4457:48 0 +26 *39468:A *39898:A 0 +27 *1291:8 *39898:A 0 +28 *1375:22 *39898:A 0 +29 *1507:10 *1528:42 0 +30 *1524:17 *39898:A 0 +31 *1524:24 *39898:A 0 +*RES +1 *38258:X *1528:17 48.4253 +2 *1528:17 *1528:42 47.0296 +3 *1528:42 *39898:A 37.6214 +*END + +*D_NET *1529 0.0207504 +*CONN +*I *39896:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7820:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38260:X O *D sky130_fd_sc_hd__and2_2 +*CAP +1 *39896:A 0.000163425 +2 *7820:DIODE 0 +3 *38260:X 0.000866801 +4 *1529:52 0.000953151 +5 *1529:46 0.00217722 +6 *1529:29 0.00700211 +7 *1529:28 0.00716776 +8 *1529:18 0.00241995 +9 *39896:A *2184:22 0 +10 *39896:A *2852:21 0 +11 *1529:18 *3614:18 0 +12 *1529:18 *3856:76 0 +13 *1529:28 *2453:11 0 +14 *1529:28 *2753:8 0 +15 *1529:28 *2765:24 0 +16 *1529:28 *2869:33 0 +17 *1529:28 *2869:55 0 +18 *1529:28 *3353:26 0 +19 *1529:29 *2765:30 0 +20 *1529:29 *2765:43 0 +21 *1529:29 *2766:11 0 +22 *1529:29 *2884:11 0 +23 *1529:29 *3195:39 0 +24 *1529:46 *1682:43 0 +25 *1529:46 *2198:44 0 +26 *1529:46 *3195:39 0 +27 *1529:52 *1930:33 0 +28 *1529:52 *1934:45 0 +29 *1529:52 *2158:17 0 +30 *1529:52 *2736:18 0 +31 *1529:52 *2740:27 0 +32 *315:24 *1529:46 0 +33 *1303:14 *1529:46 0 +34 *1375:22 *1529:28 0 +35 *1388:16 *1529:28 0 +36 *1398:28 *1529:18 0 +37 *1501:47 *1529:28 0 +*RES +1 *38260:X *1529:18 33.9161 +2 *1529:18 *1529:28 47.5268 +3 *1529:28 *1529:29 117.179 +4 *1529:29 *1529:46 49.1974 +5 *1529:46 *1529:52 25.9107 +6 *1529:52 *7820:DIODE 9.3 +7 *1529:52 *39896:A 12.7107 +*END + +*D_NET *1530 0.0127807 +*CONN +*I *39894:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7818:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38262:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *39894:A 0.000697141 +2 *7818:DIODE 0 +3 *38262:X 0.000481504 +4 *1530:32 0.00214559 +5 *1530:15 0.0052117 +6 *1530:13 0.00424476 +7 *39894:A *38218:B 0 +8 *39894:A *1951:52 0 +9 *39894:A *3558:50 0 +10 *39894:A *3587:22 0 +11 *39894:A *3620:33 0 +12 *39894:A *3828:52 0 +13 *1530:13 *2166:17 0 +14 *1530:13 *2741:33 0 +15 *1530:13 *3331:82 0 +16 *1530:15 *1907:20 0 +17 *1530:15 *2112:10 0 +18 *1530:15 *2151:19 0 +19 *1530:15 *2741:48 0 +20 *1530:15 *3596:21 0 +21 *1530:15 *3596:34 0 +22 *1530:15 *3876:23 0 +23 *1530:15 *3876:25 0 +24 *1530:15 *4212:16 0 +25 *1530:15 *4212:43 0 +26 *1530:32 *41143:A 0 +27 *1530:32 *1810:21 0 +28 *1530:32 *2158:17 0 +29 *1530:32 *2159:23 0 +30 *1530:32 *3565:13 0 +31 *1530:32 *3829:20 0 +32 *1530:32 *3876:23 0 +33 *1506:13 *1530:15 0 +*RES +1 *38262:X *1530:13 19.6571 +2 *1530:13 *1530:15 78.5714 +3 *1530:15 *1530:32 36.1582 +4 *1530:32 *7818:DIODE 9.3 +5 *1530:32 *39894:A 33.7314 +*END + +*D_NET *1531 0.00390064 +*CONN +*I *39892:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38264:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *39892:A 0 +2 *38264:X 0.000591135 +3 *1531:43 0.00135919 +4 *1531:16 0.00195032 +5 *1531:16 *8490:DIODE 0 +6 *1531:16 *8769:DIODE 0 +7 *1531:16 *3340:61 0 +8 *1531:16 *3815:14 0 +9 *1531:16 *4128:39 0 +10 *1531:16 *4230:25 0 +11 *1531:43 *2242:65 0 +12 *1531:43 *2276:51 0 +13 *1531:43 *2279:75 0 +14 *1531:43 *3655:18 0 +15 *1531:43 *3815:14 0 +16 *1531:43 *3815:31 0 +17 *1531:43 *3817:63 0 +18 *1531:43 *3956:50 0 +19 *1531:43 *4128:39 0 +20 *1531:43 *4321:26 0 +21 *1531:43 *4358:51 0 +22 *1531:43 *4374:21 0 +*RES +1 *38264:X *1531:16 40.05 +2 *1531:16 *1531:43 46.1371 +3 *1531:43 *39892:A 9.3 +*END + +*D_NET *1532 0.00976652 +*CONN +*I *6385:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38267:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38266:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6385:DIODE 0 +2 *38267:A 0.00018396 +3 *38266:X 0.000977997 +4 *1532:43 0.000403428 +5 *1532:42 0.00205559 +6 *1532:36 0.00350183 +7 *1532:17 0.00264371 +8 *38267:A *2936:15 0 +9 *38267:A *4990:17 0 +10 *1532:17 *37403:A 0 +11 *1532:17 *3747:72 0 +12 *1532:17 *4006:45 0 +13 *1532:17 *4083:131 0 +14 *1532:17 *4095:24 0 +15 *1532:17 *4119:70 0 +16 *1532:17 *4119:89 0 +17 *1532:17 *4886:21 0 +18 *1532:36 *38040:C 0 +19 *1532:36 *2412:31 0 +20 *1532:36 *2928:76 0 +21 *1532:36 *3675:24 0 +22 *1532:36 *3790:42 0 +23 *1532:36 *3811:14 0 +24 *1532:36 *4347:38 0 +25 *1532:42 *2846:22 0 +26 *1532:42 *2937:39 0 +27 *1532:42 *2939:59 0 +28 *1532:42 *3687:21 0 +29 *1532:43 *2936:15 0 +30 *1532:43 *4024:37 0 +*RES +1 *38266:X *1532:17 48.175 +2 *1532:17 *1532:36 47.0028 +3 *1532:36 *1532:42 47.4286 +4 *1532:42 *1532:43 4.64286 +5 *1532:43 *38267:A 13.1393 +6 *1532:43 *6385:DIODE 9.3 +*END + +*D_NET *1533 0.0223425 +*CONN +*I *39891:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7816:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38268:X O *D sky130_fd_sc_hd__and2_2 +*CAP +1 *39891:A 4.19613e-05 +2 *7816:DIODE 0.000467742 +3 *38268:X 0.00125315 +4 *1533:74 0.00184975 +5 *1533:71 0.0061202 +6 *1533:57 0.00661552 +7 *1533:43 0.00328819 +8 *1533:22 0.00270598 +9 *7816:DIODE *2160:14 0 +10 *7816:DIODE *3190:35 0 +11 *7816:DIODE *3558:50 0 +12 *7816:DIODE *3565:13 0 +13 *1533:22 *38006:B 0 +14 *1533:22 *41173:A 0 +15 *1533:22 *41186:A 0 +16 *1533:22 *1895:46 0 +17 *1533:22 *3876:62 0 +18 *1533:22 *4140:40 0 +19 *1533:22 *4152:54 0 +20 *1533:22 *4214:40 0 +21 *1533:43 *1896:55 0 +22 *1533:43 *2166:61 0 +23 *1533:43 *3374:60 0 +24 *1533:43 *3642:48 0 +25 *1533:43 *3876:62 0 +26 *1533:43 *3919:30 0 +27 *1533:43 *4140:15 0 +28 *1533:43 *4156:37 0 +29 *1533:43 *4162:11 0 +30 *1533:43 *4162:35 0 +31 *1533:57 *8782:DIODE 0 +32 *1533:57 *8833:DIODE 0 +33 *1533:57 *37972:C 0 +34 *1533:57 *41151:A 0 +35 *1533:57 *41183:A 0 +36 *1533:57 *1896:37 0 +37 *1533:57 *2074:30 0 +38 *1533:57 *2741:33 0 +39 *1533:57 *3895:49 0 +40 *1533:57 *4140:15 0 +41 *1533:57 *4162:11 0 +42 *1533:71 *37972:A_N 0 +43 *1533:71 *37972:C 0 +44 *1533:71 *41143:A 0 +45 *1533:71 *41171:A 0 +46 *1533:71 *1812:23 0 +47 *1533:71 *1907:16 0 +48 *1533:71 *2028:27 0 +49 *1533:71 *2036:17 0 +50 *1533:71 *2177:14 0 +51 *1533:71 *2850:39 0 +52 *1533:71 *3152:30 0 +53 *1533:71 *3152:43 0 +54 *1533:71 *4948:22 0 +55 *1533:74 *1812:23 0 +56 *1533:74 *2046:25 0 +57 *1533:74 *2164:22 0 +58 *1533:74 *3368:21 0 +59 *1533:74 *3646:30 0 +60 *1288:17 *1533:74 0 +61 *1393:45 *1533:22 0 +62 *1393:64 *1533:43 0 +63 *1524:35 *1533:71 0 +*RES +1 *38268:X *1533:22 49.7554 +2 *1533:22 *1533:43 33.8912 +3 *1533:43 *1533:57 47.6071 +4 *1533:57 *1533:71 48.6537 +5 *1533:71 *1533:74 8.8902 +6 *1533:74 *7816:DIODE 23.2197 +7 *1533:74 *39891:A 17.8734 +*END + +*D_NET *1534 0.00172497 +*CONN +*I *39889:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38270:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *39889:A 0 +2 *38270:X 0.000862484 +3 *1534:20 0.000862484 +4 *1534:20 *8188:DIODE 0 +5 *1534:20 *37850:A 0 +6 *1534:20 *3670:68 0 +7 *1534:20 *3730:101 0 +8 *1534:20 *3736:91 0 +9 *1534:20 *4099:49 0 +10 *40083:A *1534:20 0 +*RES +1 *38270:X *1534:20 45.6571 +2 *1534:20 *39889:A 9.3 +*END + +*D_NET *1535 0.0163628 +*CONN +*I *6394:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38273:A I *D sky130_fd_sc_hd__buf_2 +*I *38272:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6394:DIODE 0 +2 *38273:A 0.000144601 +3 *38272:X 0.0015826 +4 *1535:46 0.000645287 +5 *1535:36 0.00234312 +6 *1535:30 0.00392594 +7 *1535:16 0.0041111 +8 *1535:14 0.00361019 +9 *38273:A *2851:42 0 +10 *38273:A *4971:35 0 +11 *38273:A *4979:15 0 +12 *1535:14 *6462:DIODE 0 +13 *1535:14 *40429:A 0 +14 *1535:14 *1560:26 0 +15 *1535:14 *2279:49 0 +16 *1535:14 *2292:71 0 +17 *1535:14 *3690:16 0 +18 *1535:14 *4512:44 0 +19 *1535:16 *2258:31 0 +20 *1535:16 *2292:71 0 +21 *1535:16 *3324:58 0 +22 *1535:16 *3690:16 0 +23 *1535:16 *4697:12 0 +24 *1535:16 *4707:8 0 +25 *1535:16 *4969:10 0 +26 *1535:30 *7229:DIODE 0 +27 *1535:30 *3804:40 0 +28 *1535:30 *3804:47 0 +29 *1535:30 *4709:25 0 +30 *1535:30 *4986:31 0 +31 *1535:36 *39218:A 0 +32 *1535:36 *4979:15 0 +33 *1535:46 *2752:48 0 +34 *1535:46 *3804:27 0 +35 *1535:46 *4971:35 0 +36 la_oenb_core[56] *1535:30 0 +37 *336:10 *1535:30 0 +38 *1010:95 *1535:16 0 +39 *1013:74 *1535:30 0 +*RES +1 *38272:X *1535:14 49.7554 +2 *1535:14 *1535:16 46.0804 +3 *1535:16 *1535:30 35.6515 +4 *1535:30 *1535:36 47.5357 +5 *1535:36 *1535:46 20.2321 +6 *1535:46 *38273:A 12.3179 +7 *1535:46 *6394:DIODE 9.3 +*END + +*D_NET *1536 0.0092981 +*CONN +*I *6397:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38275:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *38274:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6397:DIODE 0 +2 *38275:A 0.000173692 +3 *38274:X 0.00120167 +4 *1536:23 0.00218653 +5 *1536:19 0.00327369 +6 *1536:13 0.00246252 +7 *1536:13 *2312:38 0 +8 *1536:13 *3283:27 0 +9 *1536:13 *3305:127 0 +10 *1536:13 *3546:67 0 +11 *1536:19 *2945:11 0 +12 *1536:23 *3166:36 0 +13 *1536:23 *3822:38 0 +14 *1536:23 *3951:8 0 +15 *1536:23 *4089:41 0 +16 *352:10 *38275:A 0 +17 *352:10 *1536:23 0 +18 *352:17 *38275:A 0 +19 *1016:184 *38275:A 0 +20 *1016:184 *1536:23 0 +*RES +1 *38274:X *1536:13 49.5679 +2 *1536:13 *1536:19 36.2857 +3 *1536:19 *1536:23 49.5893 +4 *1536:23 *38275:A 13.2464 +5 *1536:23 *6397:DIODE 9.3 +*END + +*D_NET *1537 0.01317 +*CONN +*I *6400:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38277:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *38276:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6400:DIODE 0.00016043 +2 *38277:A 0 +3 *38276:X 0.000860922 +4 *1537:43 0.00155214 +5 *1537:36 0.00256609 +6 *1537:24 0.00417192 +7 *1537:22 0.00385846 +8 *6400:DIODE *4711:33 0 +9 *1537:22 *3086:56 0 +10 *1537:22 *3447:102 0 +11 *1537:22 *3518:122 0 +12 *1537:22 *3626:29 0 +13 *1537:22 *3971:33 0 +14 *1537:22 *4006:44 0 +15 *1537:22 *4128:57 0 +16 *1537:24 *37845:A 0 +17 *1537:24 *38977:A 0 +18 *1537:24 *2851:48 0 +19 *1537:24 *4702:12 0 +20 *1537:24 *4702:21 0 +21 *1537:24 *4703:26 0 +22 *1537:36 *38978:A 0 +23 *1537:36 *38980:A 0 +24 *1537:36 *39234:A 0 +25 *1537:36 *39235:A 0 +26 *1537:36 *3804:63 0 +27 *1537:36 *4707:31 0 +28 *1537:36 *4989:21 0 +29 *1537:36 *4990:21 0 +30 *1537:36 *4990:24 0 +31 *1537:36 *4994:21 0 +32 *1537:43 *4710:15 0 +33 *1537:43 *4711:33 0 +34 *1537:43 *4714:14 0 +35 la_data_in_core[65] *1537:36 0 +36 *349:9 *1537:36 0 +37 *351:7 *1537:43 0 +38 *1527:19 *6400:DIODE 0 +39 *1527:19 *1537:43 0 +*RES +1 *38276:X *1537:22 33.9964 +2 *1537:22 *1537:24 68.125 +3 *1537:24 *1537:36 38.1964 +4 *1537:36 *1537:43 38.375 +5 *1537:43 *38277:A 9.3 +6 *1537:43 *6400:DIODE 12.7107 +*END + +*D_NET *1538 0.00774183 +*CONN +*I *38279:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *6403:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38278:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *38279:A 0.000141606 +2 *6403:DIODE 0 +3 *38278:X 0.000752568 +4 *1538:23 0.000954451 +5 *1538:20 0.00297674 +6 *1538:19 0.00291646 +7 *38279:A *5003:16 0 +8 *1538:19 *8188:DIODE 0 +9 *1538:19 *2419:68 0 +10 *1538:19 *4109:65 0 +11 *1538:20 *3159:40 0 +12 *1538:20 *3277:24 0 +13 *1538:20 *3669:22 0 +14 *1538:23 *7094:DIODE 0 +15 *1538:23 *38992:A 0 +16 *1538:23 *2450:29 0 +17 *1538:23 *5003:16 0 +18 *360:7 *1538:20 0 +*RES +1 *38278:X *1538:19 37.1362 +2 *1538:19 *1538:20 49.1518 +3 *1538:20 *1538:23 21.4643 +4 *1538:23 *6403:DIODE 9.3 +5 *1538:23 *38279:A 12.3179 +*END + +*D_NET *1539 0.00938986 +*CONN +*I *6406:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38281:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *38280:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6406:DIODE 0.000101392 +2 *38281:A 3.50807e-05 +3 *38280:X 0.000174486 +4 *1539:19 0.00118547 +5 *1539:16 0.00438397 +6 *1539:14 0.00350946 +7 *6406:DIODE *4998:15 0 +8 *1539:14 *3747:97 0 +9 *1539:14 *4358:120 0 +10 *1539:14 *4358:135 0 +11 *1539:16 *5586:DIODE 0 +12 *1539:16 *38283:A 0 +13 *1539:16 *2981:53 0 +14 *1539:16 *3021:14 0 +15 *1539:16 *3531:44 0 +16 *1539:16 *3584:24 0 +17 *1539:16 *3688:16 0 +18 *1539:16 *3691:49 0 +19 *1539:16 *3720:50 0 +20 *1539:16 *3733:78 0 +21 *1539:16 *3822:76 0 +22 *1539:19 *38989:A 0 +23 *1539:19 *39242:A 0 +24 *1539:19 *4998:15 0 +25 *38027:A *1539:16 0 +*RES +1 *38280:X *1539:14 17.6929 +2 *1539:14 *1539:16 75.7143 +3 *1539:16 *1539:19 26.3929 +4 *1539:19 *38281:A 10.0321 +5 *1539:19 *6406:DIODE 11.4786 +*END + +*D_NET *1540 0.00915177 +*CONN +*I *6409:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38283:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *38282:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6409:DIODE 0 +2 *38283:A 0.000183184 +3 *38282:X 0.000244932 +4 *1540:15 0.00282322 +5 *1540:14 0.00414777 +6 *1540:10 0.00175267 +7 *38283:A *2367:17 0 +8 *38283:A *5000:17 0 +9 *1540:10 *6073:DIODE 0 +10 *1540:10 *38282:B 0 +11 *1540:10 *4097:17 0 +12 *1540:10 *4217:14 0 +13 *1540:14 *4217:18 0 +14 *1540:14 *4738:6 0 +15 *1540:15 *2367:17 0 +16 *1339:46 *1540:14 0 +17 *1539:16 *38283:A 0 +*RES +1 *38282:X *1540:10 19.1304 +2 *1540:10 *1540:14 38.7768 +3 *1540:14 *1540:15 55.1607 +4 *1540:15 *38283:A 22.4786 +5 *1540:15 *6409:DIODE 9.3 +*END + +*D_NET *1541 0.00588905 +*CONN +*I *39888:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38284:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *39888:A 0 +2 *38284:X 0.000971451 +3 *1541:23 0.00197307 +4 *1541:10 0.00294452 +5 *1541:10 *3073:74 0 +6 *1541:10 *3811:42 0 +7 *1541:23 *8717:DIODE 0 +8 *1541:23 *8843:DIODE 0 +9 *1541:23 *2384:90 0 +10 *1541:23 *2384:103 0 +11 *1541:23 *3648:42 0 +12 *1541:23 *3669:17 0 +13 *1541:23 *4048:25 0 +14 *1541:23 *4241:21 0 +15 *1211:36 *1541:10 0 +16 *1211:36 *1541:23 0 +*RES +1 *38284:X *1541:10 40.1393 +2 *1541:10 *1541:23 41.5179 +3 *1541:23 *39888:A 9.3 +*END + +*D_NET *1542 0.00490434 +*CONN +*I *38287:A I *D sky130_fd_sc_hd__buf_2 +*I *38286:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *38287:A 0.00149561 +2 *38286:X 0.000956561 +3 *1542:17 0.00245217 +4 *38287:A *1545:39 0 +5 *38287:A *2446:17 0 +6 *38287:A *3118:36 0 +7 *1542:17 *3951:39 0 +8 *1542:17 *4031:50 0 +9 *1542:17 *4331:46 0 +10 *6117:DIODE *38287:A 0 +11 *1009:120 *38287:A 0 +*RES +1 *38286:X *1542:17 32.5532 +2 *1542:17 *38287:A 47.4339 +*END + +*D_NET *1543 0.00639351 +*CONN +*I *38289:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *38288:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *38289:A 0.00141128 +2 *38288:X 0.00087574 +3 *1543:24 0.00232102 +4 *1543:19 0.00178548 +5 *38289:A *2826:11 0 +6 *38289:A *3011:58 0 +7 *38289:A *3519:10 0 +8 *38289:A *3545:31 0 +9 *38289:A *3654:15 0 +10 *38289:A *3768:18 0 +11 *1543:19 *6005:DIODE 0 +12 *1543:19 *3772:102 0 +13 *1543:19 *3780:18 0 +14 *1543:19 *3803:66 0 +15 *1543:19 *4267:87 0 +16 *1543:24 *2817:16 0 +17 *1543:24 *2959:32 0 +18 *39973:A *1543:19 0 +19 *1232:38 *1543:24 0 +20 *1330:22 *1543:19 0 +*RES +1 *38288:X *1543:19 45.9607 +2 *1543:19 *1543:24 25.1964 +3 *1543:24 *38289:A 45.6571 +*END + +*D_NET *1544 0.0161809 +*CONN +*I *6419:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38291:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38290:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6419:DIODE 0.000126002 +2 *38291:A 0 +3 *38290:X 2.56688e-05 +4 *1544:20 0.00289084 +5 *1544:11 0.00511267 +6 *1544:10 0.00234784 +7 *1544:8 0.00282609 +8 *1544:7 0.00285176 +9 *6419:DIODE *6460:DIODE 0 +10 *6419:DIODE *2479:49 0 +11 *6419:DIODE *3768:30 0 +12 *1544:7 *3963:34 0 +13 *1544:8 *8463:DIODE 0 +14 *1544:8 *38309:A 0 +15 *1544:8 *2396:30 0 +16 *1544:8 *2839:40 0 +17 *1544:8 *2943:10 0 +18 *1544:8 *3098:12 0 +19 *1544:8 *3218:24 0 +20 *1544:8 *3700:36 0 +21 *1544:8 *3732:49 0 +22 *1544:8 *4048:74 0 +23 *1544:8 *4057:24 0 +24 *1544:8 *4102:71 0 +25 *1544:8 *4895:20 0 +26 *1544:11 *2477:5 0 +27 *1544:20 *1545:49 0 +28 *1544:20 *2478:26 0 +29 *1544:20 *3625:28 0 +30 *1544:20 *5013:17 0 +31 *358:24 *1544:20 0 +32 *378:13 *1544:20 0 +33 *383:12 *1544:8 0 +34 *1007:181 *1544:8 0 +35 *1010:119 *1544:20 0 +36 *1023:98 *1544:20 0 +37 *1428:22 *1544:20 0 +*RES +1 *38290:X *1544:7 14.3357 +2 *1544:7 *1544:8 64.1786 +3 *1544:8 *1544:10 4.5 +4 *1544:10 *1544:11 49 +5 *1544:11 *1544:20 28.6929 +6 *1544:20 *38291:A 13.8 +7 *1544:20 *6419:DIODE 16.6929 +*END + +*D_NET *1545 0.022412 +*CONN +*I *6422:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38293:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38292:X O *D sky130_fd_sc_hd__and2_2 +*CAP +1 *6422:DIODE 7.23213e-05 +2 *38293:A 8.55626e-05 +3 *38292:X 0 +4 *1545:53 0.00210178 +5 *1545:49 0.00419345 +6 *1545:39 0.00407718 +7 *1545:32 0.0021205 +8 *1545:27 0.00259825 +9 *1545:20 0.00291104 +10 *1545:8 0.00242879 +11 *1545:5 0.00182311 +12 *38293:A *3047:24 0 +13 *38293:A *4102:34 0 +14 *1545:8 *1562:29 0 +15 *1545:8 *2441:37 0 +16 *1545:8 *3299:20 0 +17 *1545:8 *3299:22 0 +18 *1545:8 *4102:72 0 +19 *1545:20 *1553:46 0 +20 *1545:20 *2991:47 0 +21 *1545:20 *3047:63 0 +22 *1545:20 *3303:47 0 +23 *1545:20 *3654:29 0 +24 *1545:27 *1551:33 0 +25 *1545:27 *2479:11 0 +26 *1545:27 *3079:33 0 +27 *1545:27 *3280:57 0 +28 *1545:27 *3543:35 0 +29 *1545:32 *3414:6 0 +30 *1545:32 *3512:28 0 +31 *1545:32 *4102:62 0 +32 *1545:39 *2479:26 0 +33 *1545:39 *3280:34 0 +34 *1545:39 *4102:45 0 +35 *1545:39 *4102:53 0 +36 *1545:49 *2459:10 0 +37 *1545:49 *4031:37 0 +38 *1545:49 *4102:45 0 +39 *1545:49 *4721:9 0 +40 *1545:53 *1546:14 0 +41 *1545:53 *3014:41 0 +42 *1545:53 *4102:34 0 +43 *38287:A *1545:39 0 +44 *1008:100 *1545:27 0 +45 *1009:118 *1545:39 0 +46 *1009:118 *1545:49 0 +47 *1009:120 *1545:27 0 +48 *1009:120 *1545:39 0 +49 *1010:96 *1545:49 0 +50 *1010:119 *1545:49 0 +51 *1012:34 *1545:20 0 +52 *1018:62 *1545:27 0 +53 *1023:98 *1545:49 0 +54 *1544:20 *1545:49 0 +*RES +1 *38292:X *1545:5 13.8 +2 *1545:5 *1545:8 45.9107 +3 *1545:8 *1545:20 25.462 +4 *1545:20 *1545:27 47.0724 +5 *1545:27 *1545:32 14.5618 +6 *1545:32 *1545:39 38.4821 +7 *1545:39 *1545:49 46.6495 +8 *1545:49 *1545:53 46.4554 +9 *1545:53 *38293:A 11.0857 +10 *1545:53 *6422:DIODE 19.9429 +*END + +*D_NET *1546 0.00588703 +*CONN +*I *38295:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *38294:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *38295:A 0.000340539 +2 *38294:X 0.000459804 +3 *1546:14 0.00248371 +4 *1546:12 0.00260297 +5 *38295:A *4738:29 0 +6 *38295:A *5010:41 0 +7 *1546:12 *6066:DIODE 0 +8 *1546:12 *3669:69 0 +9 *1546:12 *4241:75 0 +10 *1546:14 *2477:33 0 +11 *1546:14 *2478:18 0 +12 *1546:14 *2478:26 0 +13 *1546:14 *2818:38 0 +14 *1546:14 *3485:35 0 +15 *1546:14 *4074:47 0 +16 *372:13 *1546:14 0 +17 *1545:53 *1546:14 0 +*RES +1 *38294:X *1546:12 24.3357 +2 *1546:12 *1546:14 48.6964 +3 *1546:14 *38295:A 20.9071 +*END + +*D_NET *1547 0.0167729 +*CONN +*I *38297:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6427:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38296:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *38297:A 0.000423869 +2 *6427:DIODE 0 +3 *38296:X 0.00150239 +4 *1547:76 0.00167033 +5 *1547:64 0.00299249 +6 *1547:47 0.00337194 +7 *1547:30 0.00346769 +8 *1547:22 0.00334417 +9 *38297:A *2450:14 0 +10 *38297:A *2475:61 0 +11 *38297:A *2720:23 0 +12 *38297:A *5010:40 0 +13 *38297:A *5012:16 0 +14 *38297:A *5014:20 0 +15 *1547:22 *1565:35 0 +16 *1547:22 *3545:42 0 +17 *1547:22 *3963:34 0 +18 *1547:22 *4217:26 0 +19 *1547:30 *3545:42 0 +20 *1547:30 *3654:29 0 +21 *1547:30 *3729:30 0 +22 *1547:30 *3762:42 0 +23 *1547:30 *4217:26 0 +24 *1547:30 *4727:20 0 +25 *1547:47 *2476:11 0 +26 *1547:64 *2458:20 0 +27 *1547:64 *2465:28 0 +28 *1547:64 *2471:21 0 +29 *1547:64 *2481:16 0 +30 *1547:64 *3729:27 0 +31 *1547:64 *5010:31 0 +32 *1547:64 *5016:25 0 +33 *1547:76 *2448:36 0 +34 *1547:76 *2481:19 0 +35 *1547:76 *2481:21 0 +36 *38059:A *1547:47 0 +37 *359:26 *1547:47 0 +38 *360:14 *1547:47 0 +39 *369:28 *1547:76 0 +40 *374:5 *38297:A 0 +41 *377:17 *1547:64 0 +42 *379:10 *1547:47 0 +43 *379:12 *1547:47 0 +44 *380:5 *1547:64 0 +45 *1001:37 *1547:64 0 +46 *1003:13 *1547:64 0 +47 *1013:74 *1547:64 0 +48 *1017:86 *1547:76 0 +49 *1021:16 *1547:76 0 +50 *1025:126 *1547:64 0 +*RES +1 *38296:X *1547:22 48.0946 +2 *1547:22 *1547:30 46.4196 +3 *1547:30 *1547:47 47.7321 +4 *1547:47 *1547:64 45.9161 +5 *1547:64 *1547:76 38.6406 +6 *1547:76 *6427:DIODE 13.8 +7 *1547:76 *38297:A 23.4964 +*END + +*D_NET *1548 0.00923465 +*CONN +*I *6430:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38299:A I *D sky130_fd_sc_hd__buf_2 +*I *38298:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6430:DIODE 0 +2 *38299:A 0.00019765 +3 *38298:X 4.9245e-05 +4 *1548:13 0.00175532 +5 *1548:10 0.00437043 +6 *1548:8 0.00286201 +7 *38299:A *2721:15 0 +8 *1548:8 *3301:81 0 +9 *1548:8 *4065:19 0 +10 *1548:10 *2819:17 0 +11 *1548:10 *3024:61 0 +12 *1548:10 *3292:84 0 +13 *1548:10 *3770:34 0 +14 *1548:10 *5025:12 0 +15 *1548:10 *5025:14 0 +16 *1548:13 *2721:15 0 +17 *1548:13 *3708:41 0 +18 *1007:187 *1548:10 0 +19 *1007:199 *1548:8 0 +*RES +1 *38298:X *1548:8 14.8714 +2 *1548:8 *1548:10 63.875 +3 *1548:10 *1548:13 37.0714 +4 *1548:13 *38299:A 13.8179 +5 *1548:13 *6430:DIODE 9.3 +*END + +*D_NET *1549 0.00857163 +*CONN +*I *38301:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6433:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38300:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *38301:A 0.000195182 +2 *6433:DIODE 2.56688e-05 +3 *38300:X 0.0012242 +4 *1549:44 0.00209794 +5 *1549:43 0.00284077 +6 *1549:25 0.00218788 +7 *6433:DIODE *1565:47 0 +8 *38301:A *2721:15 0 +9 *38301:A *3708:41 0 +10 *38301:A *4731:8 0 +11 *1549:25 *38050:A_N 0 +12 *1549:25 *3013:47 0 +13 *1549:25 *3045:47 0 +14 *1549:25 *3542:56 0 +15 *1549:25 *3663:17 0 +16 *1549:25 *3696:121 0 +17 *1549:25 *4327:29 0 +18 *1549:25 *4334:42 0 +19 *1549:25 *4343:32 0 +20 *1549:43 *2430:11 0 +21 *1549:43 *3432:75 0 +22 *1549:43 *3755:13 0 +23 *1549:43 *3810:27 0 +24 *1549:44 *3482:32 0 +25 *1549:44 *3485:62 0 +26 *1549:44 *3703:8 0 +27 *1549:44 *4731:8 0 +28 *1549:44 *4909:8 0 +29 *262:7 *38301:A 0 +30 *262:7 *1549:44 0 +31 *359:29 *1549:44 0 +32 *1273:30 *1549:44 0 +33 *1333:20 *1549:44 0 +34 *1336:17 *1549:43 0 +35 *1448:38 *1549:25 0 +*RES +1 *38300:X *1549:25 48.5242 +2 *1549:25 *1549:43 42.1332 +3 *1549:43 *1549:44 42.625 +4 *1549:44 *6433:DIODE 14.3357 +5 *1549:44 *38301:A 18.2107 +*END + +*D_NET *1550 0.00157089 +*CONN +*I *39887:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38302:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *39887:A 0 +2 *38302:X 0.000785444 +3 *1550:17 0.000785444 +4 *1550:17 *38313:A 0 +5 *1550:17 *1733:18 0 +6 *1550:17 *2811:59 0 +7 *1550:17 *2830:33 0 +8 *1550:17 *3978:99 0 +9 *1550:17 *4010:139 0 +10 *1020:15 *1550:17 0 +11 *1456:36 *1550:17 0 +*RES +1 *38302:X *1550:17 44.0321 +2 *1550:17 *39887:A 9.3 +*END + +*D_NET *1551 0.00916168 +*CONN +*I *6439:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38305:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38304:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6439:DIODE 0 +2 *38305:A 0.00016428 +3 *38304:X 0.000555064 +4 *1551:33 0.00209155 +5 *1551:23 0.0038615 +6 *1551:19 0.0024893 +7 *38305:A *2479:26 0 +8 *1551:19 *3479:73 0 +9 *1551:19 *3755:13 0 +10 *1551:19 *4023:54 0 +11 *1551:19 *4087:49 0 +12 *1551:23 *2817:54 0 +13 *1551:23 *2818:51 0 +14 *1551:23 *2957:15 0 +15 *1551:23 *3088:19 0 +16 *1551:23 *3299:20 0 +17 *1551:23 *3299:22 0 +18 *1551:23 *3499:70 0 +19 *1551:33 *2479:11 0 +20 *1551:33 *2479:26 0 +21 *1551:33 *3303:47 0 +22 *1551:33 *3654:29 0 +23 *1551:33 *4102:71 0 +24 *1551:33 *4217:26 0 +25 *1009:120 *38305:A 0 +26 *1009:120 *1551:33 0 +27 *1545:27 *1551:33 0 +*RES +1 *38304:X *1551:19 34.9071 +2 *1551:19 *1551:23 47.2321 +3 *1551:23 *1551:33 49.9464 +4 *1551:33 *38305:A 12.7286 +5 *1551:33 *6439:DIODE 9.3 +*END + +*D_NET *1552 0.0184974 +*CONN +*I *6442:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38307:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *38306:X O *D sky130_fd_sc_hd__and2_2 +*CAP +1 *6442:DIODE 1.21519e-05 +2 *38307:A 0.000149589 +3 *38306:X 9.6398e-05 +4 *1552:61 0.00171632 +5 *1552:52 0.00286196 +6 *1552:37 0.00262502 +7 *1552:19 0.00335061 +8 *1552:16 0.00481095 +9 *1552:14 0.00287439 +10 *38307:A *2459:19 0 +11 *38307:A *5010:28 0 +12 *38307:A *5010:31 0 +13 *1552:16 *1566:20 0 +14 *1552:16 *1826:28 0 +15 *1552:16 *2471:6 0 +16 *1552:16 *3479:98 0 +17 *1552:16 *3512:50 0 +18 *1552:16 *3657:82 0 +19 *1552:16 *3802:53 0 +20 *1552:16 *4069:68 0 +21 *1552:19 *2447:14 0 +22 *1552:19 *2463:9 0 +23 *1552:19 *3967:39 0 +24 *1552:37 *2447:14 0 +25 *1552:37 *2458:36 0 +26 *1552:37 *2459:33 0 +27 *1552:37 *2468:27 0 +28 *1552:37 *4895:29 0 +29 *1552:37 *5025:31 0 +30 *1552:52 *7118:DIODE 0 +31 *1552:52 *39016:A 0 +32 *1552:52 *2458:30 0 +33 *1552:52 *2468:27 0 +34 *1552:52 *5025:31 0 +35 *1552:52 *5029:8 0 +36 *1552:61 *39268:A 0 +37 *1552:61 *2447:30 0 +38 *1552:61 *2459:30 0 +39 *1552:61 *3762:41 0 +40 la_data_in_core[97] *1552:52 0 +41 la_data_in_core[98] *1552:52 0 +42 la_oenb_core[98] *1552:52 0 +43 *261:7 *1552:37 0 +44 *261:13 *1552:16 0 +45 *262:7 *1552:37 0 +46 *266:7 *1552:16 0 +47 *281:15 *1552:16 0 +48 *1013:74 *1552:61 0 +49 *1017:86 *1552:61 0 +50 *1025:126 *1552:61 0 +*RES +1 *38306:X *1552:14 16.0232 +2 *1552:14 *1552:16 63.1161 +3 *1552:16 *1552:19 46.9286 +4 *1552:19 *1552:37 46.1786 +5 *1552:37 *1552:52 36.7143 +6 *1552:52 *1552:61 30.8638 +7 *1552:61 *38307:A 16.9696 +8 *1552:61 *6442:DIODE 14.0768 +*END + +*D_NET *1553 0.0120474 +*CONN +*I *6445:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38309:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38308:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6445:DIODE 0 +2 *38309:A 0.000268081 +3 *38308:X 0.000468885 +4 *1553:47 0.00078274 +5 *1553:46 0.00201285 +6 *1553:39 0.00307971 +7 *1553:23 0.00327387 +8 *1553:13 0.00216123 +9 *38309:A *3007:31 0 +10 *38309:A *4895:20 0 +11 *1553:13 *3406:92 0 +12 *1553:13 *3779:31 0 +13 *1553:13 *4065:19 0 +14 *1553:23 *2382:14 0 +15 *1553:23 *2382:18 0 +16 *1553:23 *2396:13 0 +17 *1553:23 *2823:26 0 +18 *1553:23 *2977:76 0 +19 *1553:23 *3490:20 0 +20 *1553:23 *4077:37 0 +21 *1553:39 *1863:36 0 +22 *1553:39 *2366:43 0 +23 *1553:39 *2426:24 0 +24 *1553:39 *3295:40 0 +25 *1553:39 *3428:43 0 +26 *1553:39 *4894:6 0 +27 *1553:39 *5018:19 0 +28 *1553:46 *1822:10 0 +29 *1553:46 *2366:43 0 +30 *1553:46 *2807:34 0 +31 *1553:46 *2834:35 0 +32 *1553:46 *2926:13 0 +33 *1553:46 *3428:29 0 +34 *1553:46 *4102:72 0 +35 *1553:47 *3007:31 0 +36 *357:43 *1553:46 0 +37 *1250:57 *1553:13 0 +38 *1451:39 *1553:39 0 +39 *1451:50 *1553:23 0 +40 *1544:8 *38309:A 0 +41 *1545:20 *1553:46 0 +*RES +1 *38308:X *1553:13 33.1393 +2 *1553:13 *1553:23 48.0393 +3 *1553:23 *1553:39 41.3654 +4 *1553:39 *1553:46 42 +5 *1553:46 *1553:47 10.8036 +6 *1553:47 *38309:A 23.9786 +7 *1553:47 *6445:DIODE 9.3 +*END + +*D_NET *1554 0.0124111 +*CONN +*I *6448:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38311:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38310:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6448:DIODE 0 +2 *38311:A 0.00021134 +3 *38310:X 0 +4 *1554:15 0.000862257 +5 *1554:14 0.00238007 +6 *1554:6 0.0053433 +7 *1554:5 0.00361416 +8 *38311:A *2459:33 0 +9 *38311:A *4909:24 0 +10 *1554:6 *1846:24 0 +11 *1554:6 *2411:39 0 +12 *1554:6 *2457:16 0 +13 *1554:6 *3007:34 0 +14 *1554:6 *3295:102 0 +15 *1554:6 *3400:98 0 +16 *1554:6 *4899:8 0 +17 *1554:14 *7197:DIODE 0 +18 *1554:14 *4609:26 0 +19 *1554:14 *4745:19 0 +20 *1554:14 *4902:21 0 +21 *1554:15 *38896:A 0 +22 *1554:15 *2459:33 0 +23 *1554:15 *4609:26 0 +24 *1554:15 *4909:24 0 +25 la_data_in_core[104] *1554:15 0 +26 *265:7 *1554:14 0 +27 *371:13 *1554:6 0 +28 *371:15 *1554:6 0 +*RES +1 *38310:X *1554:5 13.8 +2 *1554:5 *1554:6 82.0893 +3 *1554:6 *1554:14 49.6786 +4 *1554:14 *1554:15 13.6786 +5 *1554:15 *38311:A 13.9786 +6 *1554:15 *6448:DIODE 9.3 +*END + +*D_NET *1555 0.00156496 +*CONN +*I *38313:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *38312:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *38313:A 0.000782479 +2 *38312:X 0.000782479 +3 *38313:A *2370:19 0 +4 *38313:A *2830:33 0 +5 *38313:A *3013:72 0 +6 *38313:A *3286:90 0 +7 *38313:A *3406:112 0 +8 *38313:A *3945:52 0 +9 *38313:A *4010:139 0 +10 *1456:36 *38313:A 0 +11 *1550:17 *38313:A 0 +*RES +1 *38312:X *38313:A 44.7607 +*END + +*D_NET *1556 0.000809025 +*CONN +*I *38315:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *38314:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *38315:A 0.000404513 +2 *38314:X 0.000404513 +3 *38315:A *3284:37 0 +4 *38315:A *4065:57 0 +*RES +1 *38314:X *38315:A 36.6714 +*END + +*D_NET *1557 0.0182402 +*CONN +*I *39886:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7814:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38316:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *39886:A 0.000345289 +2 *7814:DIODE 0 +3 *38316:X 0.0017406 +4 *1557:48 0.00040424 +5 *1557:45 0.0060278 +6 *1557:44 0.00697526 +7 *1557:30 0.00274701 +8 *39886:A *2933:18 0 +9 *39886:A *2933:19 0 +10 *39886:A *3690:19 0 +11 *1557:30 *2259:75 0 +12 *1557:30 *2276:41 0 +13 *1557:30 *2325:78 0 +14 *1557:30 *3691:37 0 +15 *1557:30 *3797:90 0 +16 *1557:30 *3806:53 0 +17 *1557:30 *4098:42 0 +18 *1557:30 *4114:28 0 +19 *1557:30 *4365:34 0 +20 *1557:44 *2260:59 0 +21 *1557:44 *2304:51 0 +22 *1557:44 *3080:76 0 +23 *1557:44 *3791:45 0 +24 *1557:44 *3825:42 0 +25 *1557:45 *2315:55 0 +26 *1557:45 *2369:35 0 +27 *1557:45 *2840:34 0 +28 *1557:45 *2840:49 0 +29 *1557:45 *3252:37 0 +30 *1557:45 *3252:41 0 +31 *1557:45 *3662:28 0 +32 *1557:45 *3720:41 0 +33 *1557:45 *3733:52 0 +34 *1438:55 *1557:30 0 +*RES +1 *38316:X *1557:30 49.8127 +2 *1557:30 *1557:44 24.9298 +3 *1557:44 *1557:45 124.571 +4 *1557:45 *1557:48 5.83929 +5 *1557:48 *7814:DIODE 13.8 +6 *1557:48 *39886:A 30.4071 +*END + +*D_NET *1558 0.00402113 +*CONN +*I *39885:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38318:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *39885:A 0.000382053 +2 *38318:X 0.00162851 +3 *1558:28 0.00201056 +4 *39885:A *3817:70 0 +5 *39885:A *4109:15 0 +6 *1558:28 *6393:DIODE 0 +7 *1558:28 *6456:DIODE 0 +8 *1558:28 *37650:B 0 +9 *1558:28 *38318:A 0 +10 *1558:28 *41360:A 0 +11 *1558:28 *3322:109 0 +12 *1558:28 *3340:61 0 +13 *1558:28 *3736:24 0 +14 *1558:28 *3766:25 0 +15 *1558:28 *4098:42 0 +16 *1558:28 *4113:37 0 +17 *1558:28 *4128:50 0 +18 *1558:28 *4320:23 0 +*RES +1 *38318:X *1558:28 46.2193 +2 *1558:28 *39885:A 31.1036 +*END + +*D_NET *1559 0.0183181 +*CONN +*I *39883:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7812:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38320:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *39883:A 0.000143745 +2 *7812:DIODE 0 +3 *38320:X 0.000990945 +4 *1559:44 0.000443314 +5 *1559:39 0.00304099 +6 *1559:38 0.00274143 +7 *1559:36 0.00210185 +8 *1559:26 0.00327516 +9 *1559:18 0.00288152 +10 *1559:10 0.00269915 +11 *39883:A *3687:42 0 +12 *1559:10 *8126:DIODE 0 +13 *1559:10 *41045:A 0 +14 *1559:10 *4020:39 0 +15 *1559:10 *4075:38 0 +16 *1559:18 *1820:39 0 +17 *1559:18 *2279:38 0 +18 *1559:18 *2281:55 0 +19 *1559:18 *2315:34 0 +20 *1559:18 *2939:60 0 +21 *1559:18 *2945:25 0 +22 *1559:18 *2947:70 0 +23 *1559:18 *3674:14 0 +24 *1559:18 *4124:18 0 +25 *1559:18 *4711:16 0 +26 *1559:26 *2266:72 0 +27 *1559:26 *3252:43 0 +28 *1559:26 *3674:33 0 +29 *1559:26 *3808:40 0 +30 *1559:26 *4697:11 0 +31 *1559:26 *5127:24 0 +32 *1559:36 *1560:33 0 +33 *1559:36 *1560:44 0 +34 *1559:36 *1692:21 0 +35 *1559:36 *2925:37 0 +36 *1559:36 *3252:37 0 +37 *1559:36 *3531:36 0 +38 *1559:36 *3706:10 0 +39 *1559:36 *5128:19 0 +40 *1559:36 *5128:26 0 +41 *1559:39 *1560:45 0 +42 *1559:39 *3968:23 0 +43 *40090:A *39883:A 0 +44 *1298:36 *1559:18 0 +45 *1316:29 *1559:36 0 +*RES +1 *38320:X *1559:10 36.1839 +2 *1559:10 *1559:18 46.6696 +3 *1559:18 *1559:26 38.0714 +4 *1559:26 *1559:36 47.506 +5 *1559:36 *1559:38 4.5 +6 *1559:38 *1559:39 57.2143 +7 *1559:39 *1559:44 15.8036 +8 *1559:44 *7812:DIODE 9.3 +9 *1559:44 *39883:A 12.3 +*END + +*D_NET *1560 0.0185751 +*CONN +*I *7811:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39882:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38322:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *7811:DIODE 0 +2 *39882:A 0.000175953 +3 *38322:X 0.000192924 +4 *1560:60 0.000623664 +5 *1560:45 0.00375984 +6 *1560:44 0.00393397 +7 *1560:33 0.00295 +8 *1560:32 0.00249647 +9 *1560:26 0.00220881 +10 *1560:14 0.00223343 +11 *39882:A *3740:19 0 +12 *1560:14 *3989:67 0 +13 *1560:14 *4110:57 0 +14 *1560:26 *37843:A 0 +15 *1560:26 *39634:A 0 +16 *1560:26 *2304:51 0 +17 *1560:26 *2939:59 0 +18 *1560:26 *3197:55 0 +19 *1560:26 *3674:33 0 +20 *1560:26 *3690:16 0 +21 *1560:26 *3791:45 0 +22 *1560:26 *3808:19 0 +23 *1560:26 *4707:8 0 +24 *1560:32 *2309:38 0 +25 *1560:44 *39488:B 0 +26 *1560:44 *1692:21 0 +27 *1560:44 *2843:66 0 +28 *1560:44 *2925:37 0 +29 *1560:44 *3706:10 0 +30 *1560:45 *2840:48 0 +31 *1560:45 *3283:37 0 +32 *1560:45 *3968:23 0 +33 *1560:60 *4323:14 0 +34 *1316:29 *1560:33 0 +35 *1411:40 *1560:60 0 +36 *1535:14 *1560:26 0 +37 *1559:36 *1560:33 0 +38 *1559:36 *1560:44 0 +39 *1559:39 *1560:45 0 +*RES +1 *38322:X *1560:14 18.4964 +2 *1560:14 *1560:26 49.0596 +3 *1560:26 *1560:32 12.625 +4 *1560:32 *1560:33 48.5893 +5 *1560:33 *1560:44 20.5787 +6 *1560:44 *1560:45 69.125 +7 *1560:45 *1560:60 23.4018 +8 *1560:60 *39882:A 17.5321 +9 *1560:60 *7811:DIODE 13.8 +*END + +*D_NET *1561 0.0114177 +*CONN +*I *38325:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6466:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38324:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *38325:A 0.000150588 +2 *6466:DIODE 0 +3 *38324:X 0.00293992 +4 *1561:57 0.000804827 +5 *1561:45 0.00261835 +6 *1561:31 0.00490403 +7 *38325:A *2815:14 0 +8 *38325:A *3297:81 0 +9 *38325:A *5025:12 0 +10 *1561:31 *6465:DIODE 0 +11 *1561:31 *1864:36 0 +12 *1561:31 *3045:21 0 +13 *1561:31 *3388:36 0 +14 *1561:31 *3414:6 0 +15 *1561:31 *3503:37 0 +16 *1561:31 *3941:56 0 +17 *1561:31 *3987:43 0 +18 *1561:31 *4360:42 0 +19 *1561:45 *41312:A 0 +20 *1561:45 *1564:35 0 +21 *1561:45 *3045:21 0 +22 *1561:45 *3978:50 0 +23 *1561:45 *4010:69 0 +24 *1561:45 *4318:21 0 +25 *1561:57 *1564:35 0 +26 *1561:57 *2815:14 0 +27 *1561:57 *4318:21 0 +28 *1448:22 *1561:45 0 +*RES +1 *38324:X *1561:31 47.3633 +2 *1561:31 *1561:45 49.2225 +3 *1561:45 *1561:57 31.8214 +4 *1561:57 *6466:DIODE 9.3 +5 *1561:57 *38325:A 21.6571 +*END + +*D_NET *1562 0.0077227 +*CONN +*I *38327:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *6469:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38326:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *38327:A 0.000157932 +2 *6469:DIODE 0 +3 *38326:X 0.00130069 +4 *1562:33 0.00123015 +5 *1562:29 0.00240273 +6 *1562:23 0.0026312 +7 *38327:A *1864:57 0 +8 *38327:A *3007:31 0 +9 *38327:A *3424:42 0 +10 *1562:23 *38290:A 0 +11 *1562:23 *2370:19 0 +12 *1562:23 *3098:12 0 +13 *1562:23 *3107:73 0 +14 *1562:23 *3308:56 0 +15 *1562:23 *3724:29 0 +16 *1562:23 *3745:27 0 +17 *1562:23 *4026:45 0 +18 *1562:29 *2411:41 0 +19 *1562:29 *2441:37 0 +20 *1562:29 *3299:22 0 +21 *1562:29 *3400:61 0 +22 *1562:33 *3007:31 0 +23 *1562:33 *3050:50 0 +24 *1562:33 *3424:42 0 +25 *1562:33 *3499:70 0 +26 *1545:8 *1562:29 0 +*RES +1 *38326:X *1562:23 32.3331 +2 *1562:23 *1562:29 38.8125 +3 *1562:29 *1562:33 28.8393 +4 *1562:33 *6469:DIODE 9.3 +5 *1562:33 *38327:A 21.7464 +*END + +*D_NET *1563 0.00108282 +*CONN +*I *38329:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *38328:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *38329:A 0.000541412 +2 *38328:X 0.000541412 +3 *38329:A *6471:DIODE 0 +4 *38329:A *2370:38 0 +5 *38329:A *3432:67 0 +6 *38329:A *3963:19 0 +7 *38329:A *4327:26 0 +8 *38329:A *4343:23 0 +*RES +1 *38328:X *38329:A 39.475 +*END + +*D_NET *1564 0.00655981 +*CONN +*I *38331:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *38330:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *38331:A 0 +2 *38330:X 0.00173579 +3 *1564:35 0.00154412 +4 *1564:16 0.00327991 +5 *1564:16 *38114:C 0 +6 *1564:16 *2820:38 0 +7 *1564:16 *3299:75 0 +8 *1564:16 *3301:114 0 +9 *1564:16 *3490:25 0 +10 *1564:16 *3745:27 0 +11 *1564:16 *3950:51 0 +12 *1564:16 *3987:43 0 +13 *1564:16 *4051:70 0 +14 *1564:16 *4094:60 0 +15 *1564:16 *4216:65 0 +16 *1564:35 *6431:DIODE 0 +17 *1564:35 *41312:A 0 +18 *1564:35 *3045:21 0 +19 *1564:35 *3297:75 0 +20 *1564:35 *3700:65 0 +21 *1564:35 *4010:69 0 +22 *1564:35 *4217:39 0 +23 *1564:35 *4318:21 0 +24 *1564:35 *4319:47 0 +25 *1448:22 *1564:35 0 +26 *1448:64 *1564:16 0 +27 *1561:45 *1564:35 0 +28 *1561:57 *1564:35 0 +*RES +1 *38330:X *1564:16 41.241 +2 *1564:16 *1564:35 41.625 +3 *1564:35 *38331:A 9.3 +*END + +*D_NET *1565 0.0130031 +*CONN +*I *38333:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *6476:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38332:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *38333:A 0.000121071 +2 *6476:DIODE 0 +3 *38332:X 0.002115 +4 *1565:47 0.00185457 +5 *1565:41 0.00315726 +6 *1565:38 0.00253196 +7 *1565:35 0.0032232 +8 *38333:A *1566:15 0 +9 *38333:A *5030:10 0 +10 *1565:35 *2403:27 0 +11 *1565:35 *2812:27 0 +12 *1565:35 *3028:29 0 +13 *1565:35 *3031:66 0 +14 *1565:35 *3411:106 0 +15 *1565:35 *4360:77 0 +16 *1565:38 *3762:42 0 +17 *1565:38 *3983:34 0 +18 *1565:41 *4007:13 0 +19 *1565:41 *4007:19 0 +20 *1565:47 *1566:15 0 +21 *1565:47 *4007:19 0 +22 *1565:47 *5030:10 0 +23 *6052:DIODE *1565:47 0 +24 *6433:DIODE *1565:47 0 +25 *38051:A *1565:47 0 +26 *1321:25 *1565:35 0 +27 *1462:33 *1565:35 0 +28 *1547:22 *1565:35 0 +*RES +1 *38332:X *1565:35 46.1937 +2 *1565:35 *1565:38 29.6696 +3 *1565:38 *1565:41 29.7143 +4 *1565:41 *1565:47 36.3036 +5 *1565:47 *6476:DIODE 9.3 +6 *1565:47 *38333:A 11.8893 +*END + +*D_NET *1566 0.0103937 +*CONN +*I *38335:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6479:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38334:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *38335:A 0.00023872 +2 *6479:DIODE 0 +3 *38334:X 0.000737915 +4 *1566:20 0.000297709 +5 *1566:15 0.00203591 +6 *1566:12 0.00416125 +7 *1566:11 0.00292224 +8 *38335:A *2721:15 0 +9 *1566:11 *2813:16 0 +10 *1566:11 *3482:32 0 +11 *1566:11 *3485:62 0 +12 *1566:11 *3657:45 0 +13 *1566:12 *4007:20 0 +14 *1566:12 *4902:6 0 +15 *1566:12 *4909:8 0 +16 *1566:15 *5030:10 0 +17 *38333:A *1566:15 0 +18 *281:15 *1566:20 0 +19 *377:44 *38335:A 0 +20 *1552:16 *1566:20 0 +21 *1565:47 *1566:15 0 +*RES +1 *38334:X *1566:11 39.3714 +2 *1566:11 *1566:12 49.6071 +3 *1566:12 *1566:15 45.6964 +4 *1566:15 *1566:20 10.3393 +5 *1566:20 *6479:DIODE 9.3 +6 *1566:20 *38335:A 14.2821 +*END + +*D_NET *1567 0.00923853 +*CONN +*I *6482:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38337:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38336:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6482:DIODE 0 +2 *38337:A 0.000237293 +3 *38336:X 0.00115976 +4 *1567:23 0.00152244 +5 *1567:22 0.00322221 +6 *1567:19 0.00309682 +7 *38337:A *2457:9 0 +8 *38337:A *2467:8 0 +9 *38337:A *4900:18 0 +10 *38337:A *5032:10 0 +11 *1567:19 *2825:47 0 +12 *1567:19 *3095:37 0 +13 *1567:19 *3661:32 0 +14 *1567:19 *3731:12 0 +15 *1567:19 *3944:19 0 +16 *1567:22 *3117:20 0 +17 *1567:22 *3290:69 0 +18 *1567:22 *3506:41 0 +19 *269:10 *38337:A 0 +20 *371:13 *1567:22 0 +21 *371:15 *1567:22 0 +22 *1014:107 *38337:A 0 +*RES +1 *38336:X *1567:19 36.1081 +2 *1567:19 *1567:22 48.4911 +3 *1567:22 *1567:23 26.8214 +4 *1567:23 *38337:A 23.5321 +5 *1567:23 *6482:DIODE 9.3 +*END + +*D_NET *1568 0.00585907 +*CONN +*I *38339:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38338:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *38339:A 0.00030118 +2 *38338:X 0.00114479 +3 *1568:32 0.00178475 +4 *1568:22 0.00262836 +5 *38339:A *2811:35 0 +6 *38339:A *3013:54 0 +7 *38339:A *4334:58 0 +8 *38339:A *4343:53 0 +9 *1568:22 *1580:16 0 +10 *1568:22 *2806:50 0 +11 *1568:22 *3402:157 0 +12 *1568:22 *3958:25 0 +13 *1568:22 *4003:42 0 +14 *1568:32 *1581:38 0 +15 *1568:32 *2376:24 0 +16 *1568:32 *2830:15 0 +17 *1568:32 *3088:14 0 +18 *1568:32 *3764:8 0 +19 *1568:32 *3764:34 0 +20 *1568:32 *4334:58 0 +21 *39967:A *1568:32 0 +*RES +1 *38338:X *1568:22 39.5908 +2 *1568:22 *1568:32 45.3393 +3 *1568:32 *38339:A 15.5857 +*END + +*D_NET *1569 0.0141287 +*CONN +*I *38341:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6487:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38340:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *38341:A 0.00025082 +2 *6487:DIODE 7.09103e-05 +3 *38340:X 0.00147045 +4 *1569:18 0.000825482 +5 *1569:15 0.00527216 +6 *1569:14 0.0047684 +7 *1569:12 0.00147045 +8 *6487:DIODE *4087:47 0 +9 *38341:A *4313:24 0 +10 *38341:A *4354:27 0 +11 *38341:A *5029:8 0 +12 *1569:12 *2380:14 0 +13 *1569:12 *2833:20 0 +14 *1569:12 *3020:37 0 +15 *1569:12 *3288:36 0 +16 *1569:12 *3428:58 0 +17 *1569:12 *3481:68 0 +18 *1569:12 *3495:19 0 +19 *1569:12 *4033:83 0 +20 *1569:12 *4223:62 0 +21 *1569:15 *2392:15 0 +22 *1569:15 *2426:25 0 +23 *1569:15 *3802:32 0 +24 *1569:15 *3802:45 0 +25 *1569:15 *3945:25 0 +26 *1221:14 *1569:12 0 +27 *1333:9 *1569:15 0 +28 *1458:37 *1569:12 0 +*RES +1 *38340:X *1569:12 47.1036 +2 *1569:12 *1569:14 4.5 +3 *1569:14 *1569:15 99.5179 +4 *1569:15 *1569:18 16.0089 +5 *1569:18 *6487:DIODE 15.3268 +6 *1569:18 *38341:A 22.2716 +*END + +*D_NET *1570 0.00396358 +*CONN +*I *38343:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38342:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *38343:A 0.000573312 +2 *38342:X 0.00140848 +3 *1570:26 0.00198179 +4 *38343:A *3944:21 0 +5 *38343:A *4216:99 0 +6 *38343:A *4581:35 0 +7 *1570:26 *38357:A 0 +8 *1570:26 *1575:15 0 +9 *1570:26 *2970:56 0 +10 *1570:26 *3006:14 0 +11 *1570:26 *3286:114 0 +12 *1570:26 *3408:24 0 +13 *1570:26 *3986:13 0 +14 *1570:26 *4566:34 0 +15 *364:19 *38343:A 0 +*RES +1 *38342:X *1570:26 46.1721 +2 *1570:26 *38343:A 30.6929 +*END + +*D_NET *1571 0.00909905 +*CONN +*I *6492:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38345:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38344:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6492:DIODE 0.000156814 +2 *38345:A 2.41141e-05 +3 *38344:X 0.00416927 +4 *1571:40 0.000380254 +5 *1571:37 0.00436859 +6 *6492:DIODE *3718:41 0 +7 *6492:DIODE *4312:19 0 +8 *1571:37 *2376:15 0 +9 *1571:37 *2376:24 0 +10 *1571:37 *2422:48 0 +11 *1571:37 *2811:35 0 +12 *1571:37 *2826:43 0 +13 *1571:37 *2830:33 0 +14 *1571:37 *2871:69 0 +15 *1571:37 *3020:37 0 +16 *1571:37 *3102:52 0 +17 *1571:37 *3297:75 0 +18 *1571:37 *3469:49 0 +19 *1571:37 *3764:34 0 +20 *1571:37 *4094:60 0 +21 *1571:37 *4216:45 0 +22 *1571:37 *4600:69 0 +23 *1244:24 *1571:37 0 +24 *1456:22 *1571:37 0 +*RES +1 *38344:X *1571:37 47.0415 +2 *1571:37 *1571:40 7.93679 +3 *1571:40 *38345:A 14.4696 +4 *1571:40 *6492:DIODE 17.6214 +*END + +*D_NET *1572 0.0071121 +*CONN +*I *38347:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38346:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *38347:A 0 +2 *38346:X 9.80604e-05 +3 *1572:21 0.00178552 +4 *1572:16 0.00345799 +5 *1572:12 0.00177053 +6 *1572:12 *3310:87 0 +7 *1572:12 *3963:34 0 +8 *1572:16 *2403:52 0 +9 *1572:16 *2807:12 0 +10 *1572:16 *2807:26 0 +11 *1572:16 *3725:80 0 +12 *1572:16 *4018:38 0 +13 *1572:16 *4057:24 0 +14 *1572:16 *4106:55 0 +15 *1572:21 *2403:52 0 +16 *1572:21 *2444:38 0 +17 *1572:21 *2481:8 0 +18 *1572:21 *2829:40 0 +19 *1572:21 *3031:66 0 +20 *1572:21 *3108:36 0 +21 *1572:21 *3117:45 0 +22 *1572:21 *3277:48 0 +23 *1572:21 *3295:33 0 +24 *1572:21 *3770:31 0 +25 *1572:21 *3967:35 0 +26 *1572:21 *4021:26 0 +27 *1572:21 *4057:24 0 +28 *1572:21 *4734:11 0 +29 *378:13 *1572:21 0 +30 *380:22 *1572:16 0 +31 *382:17 *1572:21 0 +32 *1002:26 *1572:21 0 +*RES +1 *38346:X *1572:12 16.1839 +2 *1572:12 *1572:16 38.0357 +3 *1572:16 *1572:21 44.9554 +4 *1572:21 *38347:A 9.3 +*END + +*D_NET *1573 0.00894588 +*CONN +*I *6497:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38349:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *38348:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6497:DIODE 0 +2 *38349:A 0.000124066 +3 *38348:X 0.00124703 +4 *1573:17 0.00128814 +5 *1573:14 0.00310185 +6 *1573:10 0.0031848 +7 *1573:10 *3394:63 0 +8 *1573:10 *4609:6 0 +9 *1573:14 *2467:8 0 +10 *1573:14 *2801:35 0 +11 *1573:14 *2821:45 0 +12 *1573:14 *3028:44 0 +13 *1573:14 *3582:30 0 +14 *287:17 *1573:14 0 +15 *372:37 *1573:10 0 +16 *373:28 *38349:A 0 +17 *373:28 *1573:17 0 +18 *373:30 *1573:17 0 +19 *383:14 *38349:A 0 +20 *383:14 *1573:17 0 +21 *1014:107 *1573:14 0 +22 *1014:118 *1573:10 0 +*RES +1 *38348:X *1573:10 46.5857 +2 *1573:10 *1573:14 47.9464 +3 *1573:14 *1573:17 28.8571 +4 *1573:17 *38349:A 11.8893 +5 *1573:17 *6497:DIODE 9.3 +*END + +*D_NET *1574 0.00369371 +*CONN +*I *38351:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38350:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *38351:A 0 +2 *38350:X 0.00184685 +3 *1574:17 0.00184685 +4 *1574:17 *6499:DIODE 0 +5 *1574:17 *1575:15 0 +6 *1574:17 *1754:8 0 +7 *1574:17 *2809:24 0 +8 *1574:17 *2815:83 0 +9 *1574:17 *3286:114 0 +10 *1574:17 *3484:46 0 +11 *1574:17 *3495:19 0 +12 *1574:17 *3930:13 0 +13 *1574:17 *4013:98 0 +14 *1574:17 *4318:97 0 +15 *372:39 *1574:17 0 +16 *1015:125 *1574:17 0 +17 *1461:11 *1574:17 0 +*RES +1 *38350:X *1574:17 46.8424 +2 *1574:17 *38351:A 9.3 +*END + +*D_NET *1575 0.00299875 +*CONN +*I *38353:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38352:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *38353:A 0 +2 *38352:X 0.00149937 +3 *1575:15 0.00149937 +4 *1575:15 *38371:A 0 +5 *1575:15 *2411:30 0 +6 *1575:15 *2809:24 0 +7 *1575:15 *3286:114 0 +8 *1575:15 *3288:69 0 +9 *1575:15 *4065:57 0 +10 *1575:15 *4318:97 0 +11 *1570:26 *1575:15 0 +12 *1574:17 *1575:15 0 +*RES +1 *38352:X *1575:15 41.4808 +2 *1575:15 *38353:A 9.3 +*END + +*D_NET *1576 0.00134573 +*CONN +*I *38355:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38354:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *38355:A 0.000672866 +2 *38354:X 0.000672866 +3 *38355:A *38354:B 0 +4 *38355:A *2811:20 0 +5 *38355:A *4007:20 0 +6 *38355:A *4018:86 0 +7 *1214:14 *38355:A 0 +8 *1250:57 *38355:A 0 +*RES +1 *38354:X *38355:A 42.7607 +*END + +*D_NET *1577 0.00262341 +*CONN +*I *38357:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *38356:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *38357:A 0.0013117 +2 *38356:X 0.0013117 +3 *38357:A *1586:17 0 +4 *38357:A *2411:30 0 +5 *38357:A *3006:14 0 +6 *38357:A *3408:24 0 +7 *38357:A *3481:79 0 +8 *38357:A *4065:57 0 +9 *1570:26 *38357:A 0 +*RES +1 *38356:X *38357:A 46.0327 +*END + +*D_NET *1578 0.00274478 +*CONN +*I *38359:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38358:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *38359:A 0 +2 *38358:X 0.00137239 +3 *1578:11 0.00137239 +4 *1578:11 *38350:A 0 +5 *1578:11 *38375:A 0 +6 *1578:11 *2444:6 0 +7 *1578:11 *3019:11 0 +8 *1578:11 *3044:19 0 +9 *1578:11 *3539:10 0 +10 *1578:11 *3935:25 0 +11 *1015:125 *1578:11 0 +*RES +1 *38358:X *1578:11 47.9607 +2 *1578:11 *38359:A 9.3 +*END + +*D_NET *1579 0.0025248 +*CONN +*I *38361:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38360:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *38361:A 0.00030118 +2 *38360:X 0.000961217 +3 *1579:26 0.0012624 +4 *38361:A *3779:75 0 +5 *38361:A *4330:63 0 +6 *1579:26 *38360:B 0 +7 *1579:26 *2368:8 0 +8 *1579:26 *2380:14 0 +9 *1579:26 *3496:34 0 +10 *1579:26 *3509:110 0 +11 *1579:26 *3764:45 0 +12 *1579:26 *4018:95 0 +13 *1579:26 *4223:35 0 +14 *363:45 *1579:26 0 +*RES +1 *38360:X *1579:26 48.9607 +2 *1579:26 *38361:A 15.5857 +*END + +*D_NET *1580 0.00731503 +*CONN +*I *38363:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38362:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *38363:A 0.00118243 +2 *38362:X 0.00126045 +3 *1580:45 0.00239706 +4 *1580:16 0.00247509 +5 *38363:A *6518:DIODE 0 +6 *38363:A *2980:49 0 +7 *38363:A *3286:114 0 +8 *38363:A *3401:36 0 +9 *38363:A *3495:19 0 +10 *38363:A *3966:14 0 +11 *1580:16 *6185:DIODE 0 +12 *1580:16 *38364:A 0 +13 *1580:16 *3410:141 0 +14 *1580:16 *3745:49 0 +15 *1580:16 *3975:106 0 +16 *1580:16 *3981:59 0 +17 *1580:16 *4013:67 0 +18 *1580:16 *4404:130 0 +19 *1580:45 *7575:DIODE 0 +20 *1580:45 *8641:DIODE 0 +21 *1580:45 *39579:A 0 +22 *1580:45 *2808:17 0 +23 *1580:45 *3477:53 0 +24 *1580:45 *3975:106 0 +25 *1580:45 *4013:98 0 +26 *1580:45 *4219:85 0 +27 *8024:DIODE *38363:A 0 +28 *1016:232 *38363:A 0 +29 *1568:22 *1580:16 0 +*RES +1 *38362:X *1580:16 49.7018 +2 *1580:16 *1580:45 46.1699 +3 *1580:45 *38363:A 39.9737 +*END + +*D_NET *1581 0.00615634 +*CONN +*I *38365:A I *D sky130_fd_sc_hd__buf_2 +*I *38364:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *38365:A 0 +2 *38364:X 0.00100765 +3 *1581:44 0.00154163 +4 *1581:38 0.00207052 +5 *1581:29 0.00153654 +6 *1581:29 *3931:34 0 +7 *1581:29 *3963:84 0 +8 *1581:29 *4026:90 0 +9 *1581:29 *4223:35 0 +10 *1581:29 *4318:63 0 +11 *1581:29 *4601:37 0 +12 *1581:38 *2376:24 0 +13 *1581:38 *3013:64 0 +14 *1581:38 *3088:14 0 +15 *1581:44 *3301:127 0 +16 *1581:44 *3479:82 0 +17 *1581:44 *3718:23 0 +18 *1581:44 *4080:29 0 +19 *1581:44 *4339:66 0 +20 *1458:37 *1581:29 0 +21 *1568:32 *1581:38 0 +*RES +1 *38364:X *1581:29 45.3 +2 *1581:29 *1581:38 25.25 +3 *1581:38 *1581:44 41.3571 +4 *1581:44 *38365:A 9.3 +*END + +*D_NET *1582 0.00511018 +*CONN +*I *38367:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38366:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *38367:A 0.00130621 +2 *38366:X 0.00124888 +3 *1582:13 0.00255509 +4 *38367:A *1601:24 0 +5 *38367:A *1750:14 0 +6 *38367:A *2376:15 0 +7 *38367:A *2803:61 0 +8 *38367:A *2811:81 0 +9 *38367:A *2990:33 0 +10 *38367:A *4621:6 0 +11 *1582:13 *6515:DIODE 0 +12 *1582:13 *38388:B 0 +13 *1582:13 *1831:17 0 +14 *1582:13 *3006:23 0 +15 *1582:13 *3401:36 0 +16 *1582:13 *4742:8 0 +17 *284:23 *1582:13 0 +18 *370:51 *38367:A 0 +19 *1023:101 *1582:13 0 +20 *1244:30 *38367:A 0 +21 *1427:22 *38367:A 0 +22 *1427:22 *1582:13 0 +23 *1461:25 *1582:13 0 +24 *1466:38 *1582:13 0 +*RES +1 *38366:X *1582:13 49.9786 +2 *1582:13 *38367:A 31.807 +*END + +*D_NET *1583 0.0022213 +*CONN +*I *38369:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *38368:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *38369:A 0 +2 *38368:X 0.00111065 +3 *1583:11 0.00111065 +4 *1583:11 *38368:B 0 +5 *1583:11 *1585:6 0 +6 *1583:11 *2808:35 0 +7 *1583:11 *2813:58 0 +8 *263:15 *1583:11 0 +*RES +1 *38368:X *1583:11 43.2107 +2 *1583:11 *38369:A 9.3 +*END + +*D_NET *1584 0.00165619 +*CONN +*I *38371:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38370:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *38371:A 0.000828094 +2 *38370:X 0.000828094 +3 *38371:A *3962:7 0 +4 *38371:A *4065:57 0 +5 *1575:15 *38371:A 0 +*RES +1 *38370:X *38371:A 45.1893 +*END + +*D_NET *1585 0.00851341 +*CONN +*I *6522:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38373:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *38372:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6522:DIODE 0.00014075 +2 *38373:A 0 +3 *38372:X 0 +4 *1585:9 0.00048129 +5 *1585:6 0.00411595 +6 *1585:5 0.00377541 +7 *1585:6 *38368:A 0 +8 *1585:6 *2462:8 0 +9 *1585:6 *2462:15 0 +10 *1585:6 *2813:58 0 +11 *1585:6 *2973:36 0 +12 *1585:6 *3398:71 0 +13 *1585:6 *3407:55 0 +14 *1585:6 *4610:20 0 +15 *1585:6 *4628:6 0 +16 *263:15 *1585:6 0 +17 *379:24 *6522:DIODE 0 +18 *379:24 *1585:9 0 +19 *381:14 *6522:DIODE 0 +20 *381:14 *1585:9 0 +21 *1427:31 *1585:6 0 +22 *1583:11 *1585:6 0 +*RES +1 *38372:X *1585:5 13.8 +2 *1585:5 *1585:6 85.7321 +3 *1585:6 *1585:9 11.6071 +4 *1585:9 *38373:A 9.3 +5 *1585:9 *6522:DIODE 12.3 +*END + +*D_NET *1586 0.00327913 +*CONN +*I *38375:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38374:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *38375:A 0.000326409 +2 *38374:X 0.00131316 +3 *1586:17 0.00163957 +4 *1586:17 *6524:DIODE 0 +5 *1586:17 *40754:A 0 +6 *1586:17 *3006:14 0 +7 *1586:17 *3039:15 0 +8 *1586:17 *3039:25 0 +9 *1586:17 *3394:63 0 +10 *1586:17 *3408:24 0 +11 *1586:17 *3414:18 0 +12 *1586:17 *3476:16 0 +13 *1586:17 *3699:7 0 +14 *1586:17 *4580:30 0 +15 *38357:A *1586:17 0 +16 *1015:125 *38375:A 0 +17 *1578:11 *38375:A 0 +*RES +1 *38374:X *1586:17 49.8768 +2 *1586:17 *38375:A 21.2107 +*END + +*D_NET *1587 0.0108791 +*CONN +*I *6527:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38377:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *38376:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6527:DIODE 0 +2 *38377:A 0.000158601 +3 *38376:X 6.50276e-05 +4 *1587:17 0.00132268 +5 *1587:16 0.00186511 +6 *1587:8 0.00405183 +7 *1587:7 0.00341583 +8 *1587:7 *38376:B 0 +9 *1587:7 *3935:44 0 +10 *1587:8 *1607:14 0 +11 *1587:8 *3009:71 0 +12 *1587:8 *3940:26 0 +13 *7348:DIODE *1587:8 0 +14 *267:12 *1587:16 0 +15 *284:13 *1587:8 0 +16 *284:23 *1587:8 0 +17 *285:11 *1587:16 0 +18 *366:14 *38377:A 0 +19 *366:22 *38377:A 0 +20 *1024:137 *1587:8 0 +21 *1120:11 *1587:8 0 +22 *1243:32 *1587:8 0 +23 *1467:16 *38377:A 0 +24 *1467:17 *38377:A 0 +25 *1467:17 *1587:17 0 +*RES +1 *38376:X *1587:7 15.1571 +2 *1587:7 *1587:8 76.0179 +3 *1587:8 *1587:16 28.2143 +4 *1587:16 *1587:17 24.3571 +5 *1587:17 *38377:A 21.7464 +6 *1587:17 *6527:DIODE 9.3 +*END + +*D_NET *1588 0.0141707 +*CONN +*I *6530:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38379:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *38378:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6530:DIODE 0 +2 *38379:A 0.000124066 +3 *38378:X 0 +4 *1588:15 0.00262634 +5 *1588:14 0.00413455 +6 *1588:6 0.00445903 +7 *1588:5 0.00282676 +8 *1588:6 *37625:A 0 +9 *1588:6 *2963:39 0 +10 *1588:6 *3012:50 0 +11 *1588:6 *4638:8 0 +12 *1588:6 *5155:8 0 +13 *269:16 *38379:A 0 +14 *269:16 *1588:15 0 +15 *367:11 *1588:6 0 +16 *367:15 *1588:6 0 +17 *377:46 *38379:A 0 +18 *377:46 *1588:15 0 +19 *377:52 *1588:15 0 +20 *377:54 *1588:14 0 +21 *377:54 *1588:15 0 +22 *382:21 *1588:6 0 +23 *1466:57 *1588:6 0 +*RES +1 *38378:X *1588:5 13.8 +2 *1588:5 *1588:6 64.1786 +3 *1588:6 *1588:14 47.625 +4 *1588:14 *1588:15 52.2857 +5 *1588:15 *38379:A 11.8893 +6 *1588:15 *6530:DIODE 9.3 +*END + +*D_NET *1589 0.00899904 +*CONN +*I *6533:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38381:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *38380:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6533:DIODE 0 +2 *38381:A 0.000184524 +3 *38380:X 0 +4 *1589:25 0.000305595 +5 *1589:22 0.00218841 +6 *1589:10 0.00419392 +7 *1589:4 0.00212658 +8 *38381:A *1849:8 0 +9 *38381:A *4405:23 0 +10 *1589:10 *38380:B 0 +11 *1589:10 *38382:B 0 +12 *1589:10 *1718:29 0 +13 *1589:10 *2999:55 0 +14 *1589:10 *3699:17 0 +15 *1589:10 *3957:50 0 +16 *1589:10 *3957:51 0 +17 *1589:22 *38103:A 0 +18 *1589:22 *38383:A 0 +19 *1589:22 *1849:8 0 +20 *1589:22 *2808:38 0 +21 *1589:22 *2988:24 0 +22 *1589:22 *3394:108 0 +23 *1589:22 *3398:94 0 +24 *1589:22 *3948:35 0 +25 *1589:22 *3957:50 0 +26 *1589:22 *4223:103 0 +27 *1589:25 *4405:23 0 +28 *262:11 *1589:22 0 +29 *262:17 *1589:22 0 +30 *288:11 *1589:10 0 +31 *379:27 *38381:A 0 +32 *1252:12 *1589:22 0 +33 *1466:38 *1589:22 0 +*RES +1 *38380:X *1589:4 9.3 +2 *1589:4 *1589:10 49.0179 +3 *1589:10 *1589:22 46.1432 +4 *1589:22 *1589:25 7.08929 +5 *1589:25 *38381:A 22.4071 +6 *1589:25 *6533:DIODE 9.3 +*END + +*D_NET *1590 0.00893896 +*CONN +*I *6536:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38383:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38382:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *6536:DIODE 0 +2 *38383:A 0.000209043 +3 *38382:X 0.000912334 +4 *1590:45 0.000487549 +5 *1590:42 0.00169614 +6 *1590:30 0.0030696 +7 *1590:16 0.0025643 +8 *38383:A *1849:8 0 +9 *38383:A *2996:15 0 +10 *1590:16 *1591:10 0 +11 *1590:16 *1718:29 0 +12 *1590:16 *1742:6 0 +13 *1590:16 *3699:17 0 +14 *1590:16 *3935:47 0 +15 *1590:30 *6193:DIODE 0 +16 *1590:30 *38056:B 0 +17 *1590:30 *39514:B 0 +18 *1590:30 *1716:10 0 +19 *1590:30 *1718:29 0 +20 *1590:30 *3370:26 0 +21 *1590:30 *3476:58 0 +22 *1590:30 *3539:20 0 +23 *1590:30 *3957:51 0 +24 *1590:30 *4223:105 0 +25 *1590:30 *4636:8 0 +26 *1590:42 *38069:A 0 +27 *1590:42 *1591:24 0 +28 *1590:42 *1601:20 0 +29 *1590:42 *2833:63 0 +30 *1590:42 *2990:48 0 +31 *1590:42 *2996:15 0 +32 *1590:42 *3476:45 0 +33 *1590:42 *4741:6 0 +34 *1590:45 *2996:15 0 +35 *38129:A *1590:30 0 +36 *267:15 *1590:30 0 +37 *381:17 *1590:42 0 +38 *1024:137 *1590:42 0 +39 *1463:30 *1590:30 0 +40 *1465:9 *1590:16 0 +41 *1589:22 *38383:A 0 +*RES +1 *38382:X *1590:16 46.7286 +2 *1590:16 *1590:30 49.7857 +3 *1590:30 *1590:42 48 +4 *1590:42 *1590:45 10.375 +5 *1590:45 *38383:A 22.7464 +6 *1590:45 *6536:DIODE 9.3 +*END + +*D_NET *1591 0.00601892 +*CONN +*I *38385:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *38384:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *38385:A 0 +2 *38384:X 0.00139779 +3 *1591:24 0.00161167 +4 *1591:10 0.00300946 +5 *1591:10 *38386:A 0 +6 *1591:10 *38387:A 0 +7 *1591:10 *1711:14 0 +8 *1591:10 *1742:6 0 +9 *1591:10 *1831:16 0 +10 *1591:10 *3935:47 0 +11 *1591:24 *38056:B 0 +12 *1591:24 *1593:10 0 +13 *1591:24 *2802:61 0 +14 *1591:24 *2990:48 0 +15 *1591:24 *4619:11 0 +16 *38125:A *1591:24 0 +17 *1427:22 *1591:24 0 +18 *1461:25 *1591:24 0 +19 *1465:9 *1591:10 0 +20 *1590:16 *1591:10 0 +21 *1590:42 *1591:24 0 +*RES +1 *38384:X *1591:10 48.9786 +2 *1591:10 *1591:24 47.4643 +3 *1591:24 *38385:A 13.8 +*END + +*D_NET *1592 0.00155839 +*CONN +*I *38387:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *38386:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *38387:A 0.000779195 +2 *38386:X 0.000779195 +3 *38387:A *38386:B 0 +4 *38387:A *39411:B 0 +5 *38387:A *1711:14 0 +6 *38387:A *1742:6 0 +7 *38387:A *1831:16 0 +8 *1591:10 *38387:A 0 +*RES +1 *38386:X *38387:A 45.1714 +*END + +*D_NET *1593 0.0105272 +*CONN +*I *38389:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6543:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38388:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *38389:A 0.000197935 +2 *6543:DIODE 0 +3 *38388:X 0.00139275 +4 *1593:14 0.00270377 +5 *1593:13 0.00367291 +6 *1593:10 0.00255983 +7 *38389:A *38919:A 0 +8 *1593:10 *2809:24 0 +9 *1593:10 *4619:11 0 +10 *1593:10 *4741:6 0 +11 *1593:13 *1737:7 0 +12 *1593:13 *2423:11 0 +13 *277:17 *1593:14 0 +14 *289:12 *38389:A 0 +15 *289:12 *1593:14 0 +16 *289:15 *1593:14 0 +17 *381:17 *1593:10 0 +18 *1023:101 *1593:10 0 +19 *1466:50 *1593:10 0 +20 *1591:24 *1593:10 0 +*RES +1 *38388:X *1593:10 49.05 +2 *1593:10 *1593:13 28.8571 +3 *1593:13 *1593:14 56.8929 +4 *1593:14 *6543:DIODE 13.8 +5 *1593:14 *38389:A 18.2107 +*END + +*D_NET *1594 0.0179267 +*CONN +*I *6546:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38391:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *38390:X O *D sky130_fd_sc_hd__and2_2 +*CAP +1 *6546:DIODE 0 +2 *38391:A 0.000124066 +3 *38390:X 6.50276e-05 +4 *1594:16 0.000530661 +5 *1594:11 0.00533243 +6 *1594:10 0.00492584 +7 *1594:8 0.00344185 +8 *1594:7 0.00350687 +9 *38391:A *4618:17 0 +10 *38391:A *4627:18 0 +11 *1594:7 *38390:B 0 +12 *1594:8 *6544:DIODE 0 +13 *1594:8 *37438:A 0 +14 *1594:8 *39510:B 0 +15 *1594:8 *1740:8 0 +16 *1594:8 *1842:8 0 +17 *1594:8 *5156:10 0 +18 *1594:16 *4616:14 0 +19 *273:19 *1594:8 0 +20 *274:15 *1594:8 0 +21 *275:17 *1594:8 0 +22 *280:11 *1594:16 0 +23 *281:7 *1594:16 0 +24 *366:24 *1594:11 0 +25 *377:57 *1594:8 0 +26 *382:18 *1594:11 0 +27 *1247:28 *1594:8 0 +*RES +1 *38390:X *1594:7 15.1571 +2 *1594:7 *1594:8 78.1429 +3 *1594:8 *1594:10 4.5 +4 *1594:10 *1594:11 102.804 +5 *1594:11 *1594:16 18.2321 +6 *1594:16 *38391:A 11.8893 +7 *1594:16 *6546:DIODE 9.3 +*END + +*D_NET *1595 0.00486137 +*CONN +*I *38393:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *38392:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *38393:A 0.000753807 +2 *38392:X 0 +3 *1595:8 0.00243068 +4 *1595:5 0.00167688 +5 *1595:8 *5741:DIODE 0 +6 *1595:8 *37700:B 0 +7 *1595:8 *37710:A 0 +8 *1595:8 *37710:B 0 +9 *1595:8 *39408:B 0 +10 *1595:8 *1740:8 0 +11 *1595:8 *3019:49 0 +12 *1595:8 *4583:18 0 +13 *275:17 *1595:8 0 +14 *377:57 *1595:8 0 +*RES +1 *38392:X *1595:5 13.8 +2 *1595:5 *1595:8 42.5714 +3 *1595:8 *38393:A 25.0321 +*END + +*D_NET *1596 0.000488712 +*CONN +*I *38395:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *38394:X O *D sky130_fd_sc_hd__and2_1 +*CAP +1 *38395:A 0.000244356 +2 *38394:X 0.000244356 +3 *38395:A *38394:A 0 +4 *38395:A *38400:A 0 +5 *38395:A *4493:38 0 +6 *6784:DIODE *38395:A 0 +*RES +1 *38394:X *38395:A 33.0286 +*END + +*D_NET *1597 0.000948401 +*CONN +*I *39393:B I *D sky130_fd_sc_hd__nand2_1 +*I *38395:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39393:B 0.0004742 +2 *38395:X 0.0004742 +3 *39393:B *39091:A 0 +4 *39393:B *1725:8 0 +5 *39393:B *4463:32 0 +*RES +1 *38395:X *39393:B 37.85 +*END + +*D_NET *1598 0.0106039 +*CONN +*I *7351:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39394:B I *D sky130_fd_sc_hd__nand2_1 +*I *37671:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *7351:DIODE 2.24709e-05 +2 *39394:B 0.000474215 +3 *37671:X 0.00480525 +4 *1598:21 0.00530194 +5 *7351:DIODE *5279:DIODE 0 +6 *39394:B *5279:DIODE 0 +7 *39394:B *1862:103 0 +8 *39394:B *2373:20 0 +9 *39394:B *3209:17 0 +10 *39394:B *4594:23 0 +11 *1598:21 *5279:DIODE 0 +12 *1598:21 *40239:A 0 +13 *1598:21 *2373:20 0 +14 *1598:21 *2983:26 0 +15 *1598:21 *3537:16 0 +16 *1598:21 *4532:19 0 +17 *1598:21 *4533:25 0 +18 *1598:21 *4539:54 0 +19 *1598:21 *4540:18 0 +20 *1598:21 *4563:28 0 +21 *1598:21 *4576:23 0 +22 *1598:21 *4579:17 0 +23 *1598:21 *4757:16 0 +24 *1598:21 *5195:21 0 +25 *6885:DIODE *1598:21 0 +26 *6918:DIODE *1598:21 0 +27 *39394:A *39394:B 0 +*RES +1 *37671:X *1598:21 49.7551 +2 *1598:21 *39394:B 30.8175 +3 *1598:21 *7351:DIODE 9.83571 +*END + +*D_NET *1599 0.00859972 +*CONN +*I *7353:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39395:B I *D sky130_fd_sc_hd__nand2_1 +*I *37673:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *7353:DIODE 0 +2 *39395:B 0.000138103 +3 *37673:X 0.00164923 +4 *1599:24 0.000837867 +5 *1599:18 0.00251253 +6 *1599:8 0.00346199 +7 *39395:B *37429:A 0 +8 *39395:B *1750:18 0 +9 *39395:B *4043:25 0 +10 *1599:8 *1610:7 0 +11 *1599:8 *3392:111 0 +12 *1599:8 *3396:49 0 +13 *1599:8 *3398:98 0 +14 *1599:18 *39417:B 0 +15 *1599:18 *3392:107 0 +16 *1599:18 *3396:39 0 +17 *1599:18 *3396:49 0 +18 *1599:24 *39417:B 0 +19 *1599:24 *1750:18 0 +20 *1599:24 *2999:55 0 +21 *1599:24 *3398:94 0 +22 *1599:24 *3957:50 0 +23 *7352:DIODE *39395:B 0 +24 *37693:A *1599:8 0 +25 *262:17 *39395:B 0 +26 *262:17 *1599:24 0 +27 *284:23 *1599:18 0 +28 *1245:5 *1599:18 0 +29 *1252:12 *1599:18 0 +*RES +1 *37673:X *1599:8 48.3804 +2 *1599:8 *1599:18 47.2946 +3 *1599:18 *1599:24 23.8929 +4 *1599:24 *39395:B 16.8893 +5 *1599:24 *7353:DIODE 13.8 +*END + +*D_NET *1600 0.00728839 +*CONN +*I *39396:B I *D sky130_fd_sc_hd__nand2_2 +*I *37675:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39396:B 2.0535e-05 +2 *37675:X 0.000232812 +3 *1600:9 0.00341138 +4 *1600:8 0.00362366 +5 *39396:B *1734:21 0 +6 *1600:8 *4587:8 0 +7 *1600:9 *39423:B 0 +8 *1600:9 *1734:9 0 +9 *1600:9 *1734:21 0 +10 *1600:9 *4587:9 0 +11 *7384:DIODE *1600:9 0 +12 *39396:A *39396:B 0 +*RES +1 *37675:X *1600:8 23.5857 +2 *1600:8 *1600:9 70.7679 +3 *1600:9 *39396:B 9.72857 +*END + +*D_NET *1601 0.0128359 +*CONN +*I *39397:B I *D sky130_fd_sc_hd__nand2_1 +*I *7356:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37677:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *39397:B 0.000233509 +2 *7356:DIODE 4.53482e-05 +3 *37677:X 0.000139215 +4 *1601:33 0.00149368 +5 *1601:24 0.00322991 +6 *1601:20 0.00276999 +7 *1601:12 0.00276999 +8 *1601:8 0.0021543 +9 *7356:DIODE *2996:15 0 +10 *39397:B *2830:66 0 +11 *1601:8 *1739:8 0 +12 *1601:8 *4583:18 0 +13 *1601:12 *5482:DIODE 0 +14 *1601:12 *1748:8 0 +15 *1601:12 *2996:29 0 +16 *1601:12 *2996:31 0 +17 *1601:20 *2376:15 0 +18 *1601:24 *38111:A 0 +19 *1601:24 *2811:81 0 +20 *1601:24 *3286:132 0 +21 *1601:33 *38089:A 0 +22 *1601:33 *2996:15 0 +23 *1601:33 *3495:36 0 +24 *1601:33 *3764:69 0 +25 *1601:33 *3940:26 0 +26 *7355:DIODE *39397:B 0 +27 *38367:A *1601:24 0 +28 *39397:A *39397:B 0 +29 *362:17 *1601:12 0 +30 *1426:6 *39397:B 0 +31 *1467:8 *39397:B 0 +32 *1590:42 *1601:20 0 +*RES +1 *37677:X *1601:8 21.4607 +2 *1601:8 *1601:12 46.8482 +3 *1601:12 *1601:20 14.5418 +4 *1601:20 *1601:24 46.8482 +5 *1601:24 *1601:33 27.4997 +6 *1601:33 *7356:DIODE 10.2464 +7 *1601:33 *39397:B 23.6214 +*END + +*D_NET *1602 0.00991243 +*CONN +*I *7358:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39398:B I *D sky130_fd_sc_hd__nand2_2 +*I *37679:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *7358:DIODE 0 +2 *39398:B 0.00016428 +3 *37679:X 0.00164323 +4 *1602:9 0.00331298 +5 *1602:7 0.00479193 +6 *39398:B *2969:5 0 +7 *39398:B *5183:9 0 +8 *1602:7 *37679:A 0 +9 *1602:7 *1704:5 0 +10 *1602:7 *1707:11 0 +11 *1602:7 *2969:5 0 +12 *1602:9 *5450:DIODE 0 +13 *1602:9 *1704:5 0 +14 *1602:9 *2969:5 0 +15 *1602:9 *5183:9 0 +*RES +1 *37679:X *1602:7 43.5321 +2 *1602:7 *1602:9 65.8393 +3 *1602:9 *39398:B 12.7286 +4 *1602:9 *7358:DIODE 9.3 +*END + +*D_NET *1603 0.00245812 +*CONN +*I *39881:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37681:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39881:A 0 +2 *37681:X 0.00122906 +3 *1603:10 0.00122906 +4 *1603:10 *40219:A 0 +5 *1603:10 *40224:A 0 +6 *1603:10 *1873:15 0 +7 *1603:10 *2714:8 0 +8 *1603:10 *3095:8 0 +9 *1603:10 *4584:17 0 +10 *1603:10 *4762:10 0 +11 *1603:10 *4781:7 0 +*RES +1 *37681:X *1603:10 43.9964 +2 *1603:10 *39881:A 9.3 +*END + +*D_NET *1604 0.00243951 +*CONN +*I *39400:B I *D sky130_fd_sc_hd__nand2_2 +*I *37683:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39400:B 0 +2 *37683:X 0.00121976 +3 *1604:10 0.00121976 +4 *1604:10 *41412:A 0 +5 *1604:10 *1732:8 0 +6 *1463:30 *1604:10 0 +*RES +1 *37683:X *1604:10 43.8893 +2 *1604:10 *39400:B 9.3 +*END + +*D_NET *1605 0.00496488 +*CONN +*I *39401:B I *D sky130_fd_sc_hd__nand2_2 +*I *37685:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39401:B 0.000826803 +2 *37685:X 0.00165564 +3 *1605:11 0.00248244 +4 *39401:B *3541:15 0 +5 *39401:B *4629:16 0 +6 *1605:11 *39654:A 0 +7 *1605:11 *2423:11 0 +8 *1605:11 *2922:5 0 +9 *40068:A *1605:11 0 +10 *280:11 *39401:B 0 +11 *1241:9 *1605:11 0 +12 *1256:11 *39401:B 0 +13 *1335:19 *1605:11 0 +14 *1335:23 *1605:11 0 +*RES +1 *37685:X *1605:11 48.3536 +2 *1605:11 *39401:B 31.2643 +*END + +*D_NET *1606 0.00266154 +*CONN +*I *39402:B I *D sky130_fd_sc_hd__nand2_2 +*I *37687:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39402:B 0 +2 *37687:X 0.00133077 +3 *1606:9 0.00133077 +4 *1606:9 *5515:DIODE 0 +5 *1606:9 *3026:9 0 +6 *1606:9 *4765:9 0 +7 *39402:A *1606:9 0 +8 *1463:30 *1606:9 0 +*RES +1 *37687:X *1606:9 46.1571 +2 *1606:9 *39402:B 9.3 +*END + +*D_NET *1607 0.00254675 +*CONN +*I *39403:B I *D sky130_fd_sc_hd__nand2_2 +*I *37689:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39403:B 0.000237864 +2 *37689:X 0.00103551 +3 *1607:14 0.00127337 +4 *39403:B *1846:13 0 +5 *39403:B *2801:62 0 +6 *1607:14 *2801:62 0 +7 *39403:A *39403:B 0 +8 *278:17 *1607:14 0 +9 *288:11 *1607:14 0 +10 *1024:137 *1607:14 0 +11 *1243:40 *1607:14 0 +12 *1587:8 *1607:14 0 +*RES +1 *37689:X *1607:14 49.1036 +2 *1607:14 *39403:B 14.2643 +*END + +*D_NET *1608 0.00565508 +*CONN +*I *39404:B I *D sky130_fd_sc_hd__nand2_1 +*I *37491:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39404:B 0 +2 *37491:X 0.00102819 +3 *1608:31 0.00179935 +4 *1608:18 0.00282754 +5 *1608:18 *40543:A 0 +6 *1608:18 *40544:A 0 +7 *1608:18 *3326:13 0 +8 *1608:18 *3457:18 0 +9 *1608:18 *3463:11 0 +10 *1608:18 *3465:5 0 +11 *1608:18 *3488:27 0 +12 *1608:31 *3282:6 0 +13 *1608:31 *3364:13 0 +14 *1608:31 *3851:17 0 +15 *1608:31 *4440:8 0 +16 *1608:31 *4441:10 0 +17 *1608:31 *4817:29 0 +18 *343:13 *1608:18 0 +*RES +1 *37491:X *1608:18 49.2107 +2 *1608:18 *1608:31 46.772 +3 *1608:31 *39404:B 9.3 +*END + +*D_NET *1609 0.0070611 +*CONN +*I *39405:B I *D sky130_fd_sc_hd__nand2_2 +*I *37691:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39405:B 0.00146928 +2 *37691:X 0.000125844 +3 *1609:11 0.0034047 +4 *1609:8 0.00206127 +5 *39405:B *39410:B 0 +6 *39405:B *3754:13 0 +7 *1609:8 *1849:8 0 +8 *1609:11 *4312:33 0 +9 *38123:A *39405:B 0 +10 *38123:A *1609:11 0 +11 *39410:A *39405:B 0 +12 *283:15 *1609:8 0 +13 *382:21 *39405:B 0 +14 *1460:33 *1609:11 0 +*RES +1 *37691:X *1609:8 21.1571 +2 *1609:8 *1609:11 40.3929 +3 *1609:11 *39405:B 49.1214 +*END + +*D_NET *1610 0.00366379 +*CONN +*I *39406:B I *D sky130_fd_sc_hd__nand2_1 +*I *37693:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39406:B 0 +2 *37693:X 0.0018319 +3 *1610:7 0.0018319 +4 *1610:7 *38380:A 0 +5 *1610:7 *38382:A 0 +6 *1610:7 *3392:111 0 +7 *1610:7 *3396:49 0 +8 *1610:7 *3397:43 0 +9 *1610:7 *3398:98 0 +10 *1610:7 *3953:104 0 +11 *37693:A *1610:7 0 +12 *39406:A *1610:7 0 +13 *1599:8 *1610:7 0 +*RES +1 *37693:X *1610:7 47.5321 +2 *1610:7 *39406:B 9.3 +*END + +*D_NET *1611 0.00666907 +*CONN +*I *39407:B I *D sky130_fd_sc_hd__nand2_2 +*I *37695:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39407:B 0.000878728 +2 *37695:X 0.00027439 +3 *1611:13 0.00306015 +4 *1611:10 0.00245581 +5 *39407:B *3541:23 0 +6 *1611:10 *3541:15 0 +7 *1611:10 *3541:21 0 +8 *1611:10 *3541:23 0 +9 *1611:13 *3541:23 0 +10 *5518:DIODE *1611:13 0 +11 *37715:A *1611:13 0 +12 *39407:A *39407:B 0 +13 *381:17 *1611:10 0 +14 *1247:23 *39407:B 0 +15 *1247:23 *1611:13 0 +*RES +1 *37695:X *1611:10 24.0857 +2 *1611:10 *1611:13 45.5268 +3 *1611:13 *39407:B 27.7018 +*END + +*D_NET *1612 0.000314135 +*CONN +*I *39408:B I *D sky130_fd_sc_hd__nand2_1 +*I *37697:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39408:B 0.000157068 +2 *37697:X 0.000157068 +3 *275:17 *39408:B 0 +4 *377:57 *39408:B 0 +5 *1595:8 *39408:B 0 +*RES +1 *37697:X *39408:B 31.0107 +*END + +*D_NET *1613 0.00171336 +*CONN +*I *39409:B I *D sky130_fd_sc_hd__nand2_1 +*I *37699:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39409:B 0.00085668 +2 *37699:X 0.00085668 +3 *39409:B *5531:DIODE 0 +*RES +1 *37699:X *39409:B 46.0286 +*END + +*D_NET *1614 0.00150902 +*CONN +*I *39410:B I *D sky130_fd_sc_hd__nand2_2 +*I *37701:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39410:B 0.000754512 +2 *37701:X 0.000754512 +3 *39410:B *3754:13 0 +4 *39405:B *39410:B 0 +5 *382:21 *39410:B 0 +*RES +1 *37701:X *39410:B 43.5286 +*END + +*D_NET *1615 0.00436224 +*CONN +*I *39411:B I *D sky130_fd_sc_hd__nand2_1 +*I *37703:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39411:B 0.000745198 +2 *37703:X 0.00143592 +3 *1615:11 0.00218112 +4 *39411:B *1716:13 0 +5 *39411:B *1743:11 0 +6 *39411:B *3962:21 0 +7 *1615:11 *39495:B 0 +8 *1615:11 *1743:11 0 +9 *1615:11 *3012:51 0 +10 *1615:11 *3962:21 0 +11 *7372:DIODE *39411:B 0 +12 *38387:A *39411:B 0 +13 *276:17 *39411:B 0 +14 *1466:57 *1615:11 0 +*RES +1 *37703:X *1615:11 48.6036 +2 *1615:11 *39411:B 33.9429 +*END + +*D_NET *1616 0.00101598 +*CONN +*I *39412:B I *D sky130_fd_sc_hd__nand2_2 +*I *37705:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39412:B 0.000507988 +2 *37705:X 0.000507988 +3 *39412:B *39655:A 0 +4 *39412:B *1744:16 0 +5 *39412:B *2441:10 0 +6 *385:11 *39412:B 0 +*RES +1 *37705:X *39412:B 38.5821 +*END + +*D_NET *1617 0.0023862 +*CONN +*I *39413:B I *D sky130_fd_sc_hd__nand2_1 +*I *37707:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39413:B 0 +2 *37707:X 0.0011931 +3 *1617:25 0.0011931 +4 *1617:25 *3396:31 0 +5 *1617:25 *3396:39 0 +6 *5541:DIODE *1617:25 0 +7 *7374:DIODE *1617:25 0 +8 *7378:DIODE *1617:25 0 +9 *39417:A *1617:25 0 +10 *1245:5 *1617:25 0 +*RES +1 *37707:X *1617:25 43.7196 +2 *1617:25 *39413:B 9.3 +*END + +*D_NET *1618 0.00378093 +*CONN +*I *39414:B I *D sky130_fd_sc_hd__nand2_1 +*I *37709:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39414:B 0.000596371 +2 *37709:X 0.00129409 +3 *1618:14 0.00189046 +4 *39414:B *3091:54 0 +5 *39414:B *3184:31 0 +6 *39414:B *3392:63 0 +7 *1618:14 *1840:20 0 +8 *1618:14 *3391:32 0 +9 *1618:14 *4529:32 0 +10 *1618:14 *5175:22 0 +11 *6944:DIODE *1618:14 0 +12 *39508:A *1618:14 0 +13 *1261:41 *39414:B 0 +*RES +1 *37709:X *1618:14 45.9964 +2 *1618:14 *39414:B 21.7464 +*END + +*D_NET *1619 0.000342267 +*CONN +*I *39415:B I *D sky130_fd_sc_hd__nand2_1 +*I *37493:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39415:B 0.000171134 +2 *37493:X 0.000171134 +3 *39415:B *3917:25 0 +4 *39415:B *4126:14 0 +5 *39415:B *4419:35 0 +*RES +1 *37493:X *39415:B 31.4036 +*END + +*D_NET *1620 0.002164 +*CONN +*I *39416:B I *D sky130_fd_sc_hd__nand2_1 +*I *37711:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39416:B 0 +2 *37711:X 0.001082 +3 *1620:9 0.001082 +4 *1620:9 *3656:51 0 +5 *1620:9 *3737:33 0 +6 *7377:DIODE *1620:9 0 +7 *269:19 *1620:9 0 +8 *1335:16 *1620:9 0 +*RES +1 *37711:X *1620:9 41.0143 +2 *1620:9 *39416:B 9.3 +*END + +*D_NET *1621 0.00166065 +*CONN +*I *39417:B I *D sky130_fd_sc_hd__nand2_1 +*I *37713:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39417:B 0.000830326 +2 *37713:X 0.000830326 +3 *39417:B *1750:18 0 +4 *39417:B *3392:93 0 +5 *39417:B *3396:39 0 +6 *39417:B *3948:35 0 +7 *1245:5 *39417:B 0 +8 *1599:18 *39417:B 0 +9 *1599:24 *39417:B 0 +*RES +1 *37713:X *39417:B 42.1105 +*END + +*D_NET *1622 0.00330711 +*CONN +*I *39418:B I *D sky130_fd_sc_hd__nand2_1 +*I *37715:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39418:B 0.0015812 +2 *37715:X 7.23606e-05 +3 *1622:8 0.00165356 +4 *39418:B *1737:16 0 +5 *39418:B *2423:11 0 +6 *1622:8 *2801:62 0 +7 *39418:A *39418:B 0 +8 *1335:19 *39418:B 0 +*RES +1 *37715:X *1622:8 19.9429 +2 *1622:8 *39418:B 42.3 +*END + +*D_NET *1623 0.00273875 +*CONN +*I *39419:B I *D sky130_fd_sc_hd__nand2_2 +*I *37717:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39419:B 0 +2 *37717:X 0.00136938 +3 *1623:11 0.00136938 +4 *1623:11 *1864:68 0 +5 *1623:11 *2366:28 0 +6 *1623:11 *2423:11 0 +7 *1623:11 *4624:14 0 +8 *1623:11 *4740:11 0 +9 *7380:DIODE *1623:11 0 +10 *39419:A *1623:11 0 +11 *1451:63 *1623:11 0 +*RES +1 *37717:X *1623:11 47.6036 +2 *1623:11 *39419:B 9.3 +*END + +*D_NET *1624 0.00503918 +*CONN +*I *39420:B I *D sky130_fd_sc_hd__nand2_1 +*I *37719:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39420:B 0.00124579 +2 *37719:X 0.0012738 +3 *1624:18 0.00251959 +4 *39420:B *3342:19 0 +5 *39420:B *3724:94 0 +6 *1624:18 *3035:14 0 +7 *1624:18 *3342:32 0 +8 *1624:18 *3357:68 0 +9 *1624:18 *3391:55 0 +10 *1624:18 *4580:22 0 +11 *1005:91 *1624:18 0 +*RES +1 *37719:X *1624:18 48.9042 +2 *1624:18 *39420:B 35.3 +*END + +*D_NET *1625 0.00272915 +*CONN +*I *39421:B I *D sky130_fd_sc_hd__nand2_1 +*I *37721:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39421:B 0 +2 *37721:X 0.00136457 +3 *1625:10 0.00136457 +4 *1625:10 *3695:31 0 +5 *1625:10 *4583:13 0 +6 *5556:DIODE *1625:10 0 +7 *267:15 *1625:10 0 +8 *288:11 *1625:10 0 +*RES +1 *37721:X *1625:10 46.9607 +2 *1625:10 *39421:B 9.3 +*END + +*D_NET *1626 0.00195499 +*CONN +*I *39422:B I *D sky130_fd_sc_hd__nand2_1 +*I *37723:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39422:B 0.000977497 +2 *37723:X 0.000977497 +3 *39422:B *1754:8 0 +4 *39422:B *2441:11 0 +5 *39422:B *2807:35 0 +6 *39422:B *4906:8 0 +7 *1251:31 *39422:B 0 +*RES +1 *37723:X *39422:B 48.85 +*END + +*D_NET *1627 0.00627819 +*CONN +*I *39423:B I *D sky130_fd_sc_hd__nand2_1 +*I *37725:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39423:B 0.00108921 +2 *37725:X 2.0535e-05 +3 *1627:14 0.00311856 +4 *1627:5 0.00204988 +5 *39423:B *1734:21 0 +6 *39423:B *3095:9 0 +7 *1627:14 *1734:29 0 +8 *1627:14 *3486:11 0 +9 *1627:14 *4578:20 0 +10 *1627:14 *4777:14 0 +11 *1627:14 *4777:22 0 +12 *1018:73 *1627:14 0 +13 *1600:9 *39423:B 0 +*RES +1 *37725:X *1627:5 9.72857 +2 *1627:5 *1627:14 47.0893 +3 *1627:14 *39423:B 36.5321 +*END + +*D_NET *1628 0.00810341 +*CONN +*I *39424:B I *D sky130_fd_sc_hd__nand2_1 +*I *7386:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37495:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39424:B 0.00014075 +2 *7386:DIODE 0 +3 *37495:X 0.00139758 +4 *1628:27 0.000752649 +5 *1628:15 0.00251337 +6 *1628:9 0.00329906 +7 *39424:B *3069:9 0 +8 *39424:B *4797:50 0 +9 *1628:9 *37330:A 0 +10 *1628:9 *37494:B 0 +11 *1628:9 *2694:18 0 +12 *1628:9 *3900:29 0 +13 *1628:9 *3900:33 0 +14 *1628:15 *3171:42 0 +15 *1628:15 *4797:31 0 +16 *1628:27 *3069:9 0 +17 *1628:27 *3175:16 0 +18 *1628:27 *3390:17 0 +19 *1628:27 *3914:18 0 +20 *1628:27 *4797:50 0 +21 *304:23 *1628:15 0 +*RES +1 *37495:X *1628:9 47.675 +2 *1628:9 *1628:15 48.7679 +3 *1628:15 *1628:27 21.9148 +4 *1628:27 *7386:DIODE 9.3 +5 *1628:27 *39424:B 12.3 +*END + +*D_NET *1629 0.00525371 +*CONN +*I *39425:B I *D sky130_fd_sc_hd__nand2_1 +*I *37497:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39425:B 0 +2 *37497:X 0.000415916 +3 *1629:13 0.00221094 +4 *1629:10 0.00262685 +5 *1629:10 *1786:42 0 +6 *1629:10 *2364:8 0 +7 *1629:10 *4493:38 0 +8 *1629:13 *4475:19 0 +9 *1629:13 *4511:32 0 +10 *1629:13 *4523:22 0 +11 *39425:A *1629:13 0 +12 *260:17 *1629:10 0 +13 *1477:13 *1629:13 0 +*RES +1 *37497:X *1629:10 27.4071 +2 *1629:10 *1629:13 46.1429 +3 *1629:13 *39425:B 9.3 +*END + +*D_NET *1630 0.00302989 +*CONN +*I *39426:B I *D sky130_fd_sc_hd__nand2_1 +*I *37499:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39426:B 0 +2 *37499:X 0.00151495 +3 *1630:8 0.00151495 +4 *1630:8 *1643:14 0 +5 *1630:8 *3468:31 0 +6 *39426:A *1630:8 0 +7 *293:27 *1630:8 0 +*RES +1 *37499:X *1630:8 45.55 +2 *1630:8 *39426:B 13.8 +*END + +*D_NET *1631 0.00113409 +*CONN +*I *39427:B I *D sky130_fd_sc_hd__nand2_1 +*I *37501:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39427:B 0.000567043 +2 *37501:X 0.000567043 +3 *39427:B *37769:A 0 +4 *39427:B *5067:5 0 +5 *292:17 *39427:B 0 +*RES +1 *37501:X *39427:B 39.8857 +*END + +*D_NET *1632 0.00304958 +*CONN +*I *39428:B I *D sky130_fd_sc_hd__nand2_1 +*I *37503:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39428:B 0.00118376 +2 *37503:X 0.000341033 +3 *1632:13 0.00152479 +4 *39428:B *3082:19 0 +5 *39428:B *3467:21 0 +6 *39428:B *4807:12 0 +7 *1632:13 *3082:17 0 +8 *1632:13 *3082:19 0 +9 *39428:A *39428:B 0 +*RES +1 *37503:X *1632:13 25.4607 +2 *1632:13 *39428:B 34.0679 +*END + +*D_NET *1633 0.00176017 +*CONN +*I *39429:B I *D sky130_fd_sc_hd__nand2_1 +*I *37505:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39429:B 0.000880086 +2 *37505:X 0.000880086 +3 *39429:B *1691:9 0 +4 *39429:B *3096:10 0 +5 *39429:B *3917:15 0 +6 *39429:B *3922:56 0 +7 *39429:B *4811:27 0 +8 *354:17 *39429:B 0 +*RES +1 *37505:X *39429:B 46.2964 +*END + +*D_NET *1634 0.000171384 +*CONN +*I *39430:B I *D sky130_fd_sc_hd__nand2_1 +*I *37507:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39430:B 8.56922e-05 +2 *37507:X 8.56922e-05 +3 *39430:B *4811:10 0 +4 *297:13 *39430:B 0 +*RES +1 *37507:X *39430:B 29.5464 +*END + +*D_NET *1635 0.00185365 +*CONN +*I *39431:B I *D sky130_fd_sc_hd__nand2_1 +*I *37509:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39431:B 0.000926826 +2 *37509:X 0.000926826 +3 *39431:B *1637:13 0 +4 *37509:A *39431:B 0 +5 *1153:18 *39431:B 0 +*RES +1 *37509:X *39431:B 47.2964 +*END + +*D_NET *1636 0.00158845 +*CONN +*I *39432:B I *D sky130_fd_sc_hd__nand2_1 +*I *37473:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39432:B 0.000794227 +2 *37473:X 0.000794227 +3 *39432:B *39080:A 0 +4 *39432:B *3390:43 0 +5 *39432:B *3390:47 0 +6 *39432:B *4801:15 0 +7 *39432:B *4815:15 0 +8 *39432:A *39432:B 0 +*RES +1 *37473:X *39432:B 44.4571 +*END + +*D_NET *1637 0.00562332 +*CONN +*I *39433:B I *D sky130_fd_sc_hd__nand2_1 +*I *37511:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39433:B 0.000179328 +2 *37511:X 0.000520648 +3 *1637:13 0.00229101 +4 *1637:9 0.00263233 +5 *1637:9 *38142:B 0 +6 *1637:13 *1763:10 0 +7 *7395:DIODE *39433:B 0 +8 *39431:B *1637:13 0 +9 *300:13 *39433:B 0 +10 *1470:9 *1637:9 0 +*RES +1 *37511:X *1637:9 20.2286 +2 *1637:9 *1637:13 48.5714 +3 *1637:13 *39433:B 17.8714 +*END + +*D_NET *1638 0.000419555 +*CONN +*I *39434:B I *D sky130_fd_sc_hd__nand2_1 +*I *37513:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39434:B 0.000209778 +2 *37513:X 0.000209778 +3 *39434:B *3465:5 0 +4 *39434:B *3900:9 0 +*RES +1 *37513:X *39434:B 32.1357 +*END + +*D_NET *1639 0.00125133 +*CONN +*I *39435:B I *D sky130_fd_sc_hd__nand2_1 +*I *37515:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39435:B 0.000625664 +2 *37515:X 0.000625664 +3 *39435:B *3175:28 0 +4 *39435:B *3917:25 0 +5 *39435:B *3928:26 0 +6 *39435:B *4523:10 0 +7 *39435:B *4787:11 0 +8 *39435:B *4815:26 0 +*RES +1 *37515:X *39435:B 41.1357 +*END + +*D_NET *1640 0.00114003 +*CONN +*I *39436:B I *D sky130_fd_sc_hd__nand2_1 +*I *37517:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39436:B 0.000570016 +2 *37517:X 0.000570016 +3 *39436:B *2363:9 0 +4 *39436:B *3096:17 0 +5 *39436:B *4475:8 0 +6 *293:27 *39436:B 0 +*RES +1 *37517:X *39436:B 39.6536 +*END + +*D_NET *1641 0.00126917 +*CONN +*I *39437:B I *D sky130_fd_sc_hd__nand2_1 +*I *37519:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39437:B 0.000634584 +2 *37519:X 0.000634584 +3 *39437:B *37332:A 0 +4 *39437:B *3871:14 0 +5 *39437:B *4879:28 0 +*RES +1 *37519:X *39437:B 41.4036 +*END + +*D_NET *1642 0.00264807 +*CONN +*I *39438:B I *D sky130_fd_sc_hd__nand2_1 +*I *37521:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39438:B 0 +2 *37521:X 0.00132403 +3 *1642:13 0.00132403 +4 *1642:13 *3570:37 0 +5 *1642:13 *4207:41 0 +6 *39438:A *1642:13 0 +7 *260:17 *1642:13 0 +8 *1470:9 *1642:13 0 +9 *1470:21 *1642:13 0 +*RES +1 *37521:X *1642:13 46.0411 +2 *1642:13 *39438:B 9.3 +*END + +*D_NET *1643 0.00474359 +*CONN +*I *39439:B I *D sky130_fd_sc_hd__nand2_1 +*I *37523:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39439:B 6.50276e-05 +2 *37523:X 0.000296332 +3 *1643:14 0.00207547 +4 *1643:10 0.00230677 +5 *39439:B *3874:25 0 +6 *39439:B *4798:11 0 +7 *1643:10 *1762:14 0 +8 *1643:10 *3874:25 0 +9 *1643:14 *3096:17 0 +10 *1643:14 *3468:31 0 +11 *7401:DIODE *1643:14 0 +12 *293:27 *1643:14 0 +13 *306:11 *1643:14 0 +14 *332:47 *1643:10 0 +15 *1630:8 *1643:14 0 +*RES +1 *37523:X *1643:10 24.7643 +2 *1643:10 *1643:14 46.6071 +3 *1643:14 *39439:B 15.1571 +*END + +*D_NET *1644 0.000751373 +*CONN +*I *39440:B I *D sky130_fd_sc_hd__nand2_1 +*I *37525:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39440:B 0.000375687 +2 *37525:X 0.000375687 +3 *39440:B *3570:25 0 +4 *39440:B *3880:34 0 +5 *39440:B *4207:16 0 +6 *37525:A *39440:B 0 +7 *1159:9 *39440:B 0 +8 *1159:20 *39440:B 0 +*RES +1 *37525:X *39440:B 40.791 +*END + +*D_NET *1645 0.00176017 +*CONN +*I *39441:B I *D sky130_fd_sc_hd__nand2_1 +*I *37527:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39441:B 0.000880086 +2 *37527:X 0.000880086 +3 *39441:B *1773:8 0 +4 *39441:B *2791:21 0 +5 *39441:B *2791:25 0 +6 *39441:B *2913:10 0 +7 *39441:B *2952:21 0 +8 *39441:B *4800:13 0 +9 *1355:25 *39441:B 0 +10 *1369:6 *39441:B 0 +*RES +1 *37527:X *39441:B 46.2964 +*END + +*D_NET *1646 0.00171842 +*CONN +*I *39442:B I *D sky130_fd_sc_hd__nand2_1 +*I *37529:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39442:B 0.00085921 +2 *37529:X 0.00085921 +3 *39442:B *2952:10 0 +4 *39442:B *4167:19 0 +5 *39442:B *4210:7 0 +6 *38139:A *39442:B 0 +7 *39442:A *39442:B 0 +*RES +1 *37529:X *39442:B 45.85 +*END + +*D_NET *1647 0.000318293 +*CONN +*I *39443:B I *D sky130_fd_sc_hd__nand2_1 +*I *37475:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39443:B 0.000159146 +2 *37475:X 0.000159146 +3 *39443:B *4371:13 0 +4 *39443:B *4371:17 0 +5 *6702:DIODE *39443:B 0 +*RES +1 *37475:X *39443:B 21.9214 +*END + +*D_NET *1648 0.00313074 +*CONN +*I *39444:B I *D sky130_fd_sc_hd__nand2_1 +*I *37531:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39444:B 0 +2 *37531:X 0.00156537 +3 *1648:11 0.00156537 +4 *1648:11 *37350:A 0 +5 *1648:11 *2796:13 0 +6 *1648:11 *3060:15 0 +7 *1648:11 *3885:38 0 +8 *1648:11 *3896:25 0 +9 *1648:11 *3896:27 0 +10 *39444:A *1648:11 0 +11 *1294:20 *1648:11 0 +*RES +1 *37531:X *1648:11 42.0321 +2 *1648:11 *39444:B 9.3 +*END + +*D_NET *1649 0.00640053 +*CONN +*I *39445:B I *D sky130_fd_sc_hd__nand2_1 +*I *37533:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39445:B 0.00048899 +2 *37533:X 0.000342474 +3 *1649:15 0.00285779 +4 *1649:8 0.00271127 +5 *39445:B *1777:7 0 +6 *1649:8 *4399:40 0 +7 *1649:15 *1777:7 0 +8 *1649:15 *2912:17 0 +9 *7402:DIODE *1649:8 0 +10 *39445:A *39445:B 0 +11 *294:68 *39445:B 0 +12 *294:68 *1649:15 0 +13 *307:13 *1649:8 0 +14 *1342:8 *1649:8 0 +*RES +1 *37533:X *1649:8 26.0143 +2 *1649:8 *1649:15 49.7768 +3 *1649:15 *39445:B 19.5054 +*END + +*D_NET *1650 0.00118833 +*CONN +*I *39446:B I *D sky130_fd_sc_hd__nand2_2 +*I *37535:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39446:B 0.000594166 +2 *37535:X 0.000594166 +3 *39446:B *1778:15 0 +4 *39446:B *2572:12 0 +5 *39446:B *3382:12 0 +6 *39446:A *39446:B 0 +7 *313:39 *39446:B 0 +*RES +1 *37535:X *39446:B 40.6179 +*END + +*D_NET *1651 0.00420801 +*CONN +*I *39447:B I *D sky130_fd_sc_hd__nand2_1 +*I *37537:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39447:B 0.000718121 +2 *37537:X 0.00138588 +3 *1651:15 0.002104 +4 *39447:B *2906:8 0 +5 *39447:B *5068:22 0 +6 *1651:15 *2952:11 0 +7 *302:13 *39447:B 0 +8 *332:37 *39447:B 0 +9 *1167:30 *1651:15 0 +10 *1342:14 *39447:B 0 +11 *1343:11 *1651:15 0 +12 *1367:8 *1651:15 0 +13 *1471:9 *1651:15 0 +*RES +1 *37537:X *1651:15 47.0012 +2 *1651:15 *39447:B 42.8714 +*END + +*D_NET *1652 0.000235339 +*CONN +*I *39448:B I *D sky130_fd_sc_hd__nand2_1 +*I *37539:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39448:B 0.000117669 +2 *37539:X 0.000117669 +3 *39448:B *5045:6 0 +4 *1366:12 *39448:B 0 +*RES +1 *37539:X *39448:B 30.1893 +*END + +*D_NET *1653 0.00231572 +*CONN +*I *39449:B I *D sky130_fd_sc_hd__nand2_1 +*I *37541:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39449:B 0 +2 *37541:X 0.00115786 +3 *1653:11 0.00115786 +4 *1653:11 *2789:21 0 +5 *1653:11 *2898:10 0 +6 *1653:11 *3547:49 0 +7 *1653:11 *4664:25 0 +8 *39449:A *1653:11 0 +9 *1479:11 *1653:11 0 +10 *1482:15 *1653:11 0 +*RES +1 *37541:X *1653:11 43.0143 +2 *1653:11 *39449:B 9.3 +*END + +*D_NET *1654 0.00209267 +*CONN +*I *39450:B I *D sky130_fd_sc_hd__nand2_2 +*I *37543:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39450:B 0.00104634 +2 *37543:X 0.00104634 +3 *39450:B *4637:47 0 +4 *37543:A *39450:B 0 +5 *1170:35 *39450:B 0 +*RES +1 *37543:X *39450:B 49.8679 +*END + +*D_NET *1655 0.00661029 +*CONN +*I *39451:B I *D sky130_fd_sc_hd__nand2_1 +*I *37545:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39451:B 0.00156152 +2 *37545:X 0.00118668 +3 *1655:16 0.00211846 +4 *1655:10 0.00174363 +5 *39451:B *2908:15 0 +6 *39451:B *3586:68 0 +7 *39451:B *4667:14 0 +8 *1655:10 *2764:21 0 +9 *1655:10 *4402:27 0 +10 *1655:16 *1662:16 0 +11 *37947:A *39451:B 0 +12 *39451:A *39451:B 0 +13 *39935:A *39451:B 0 +14 *1486:37 *39451:B 0 +*RES +1 *37545:X *1655:10 43.175 +2 *1655:10 *1655:16 20.7321 +3 *1655:16 *39451:B 41.8893 +*END + +*D_NET *1656 0.00107259 +*CONN +*I *39452:B I *D sky130_fd_sc_hd__nand2_1 +*I *37547:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39452:B 0.000536295 +2 *37547:X 0.000536295 +3 *39452:B *2912:21 0 +4 *39452:B *3556:41 0 +5 *39452:B *3597:60 0 +6 *39452:A *39452:B 0 +7 *1276:14 *39452:B 0 +8 *1483:37 *39452:B 0 +*RES +1 *37547:X *39452:B 39.0732 +*END + +*D_NET *1657 0.00486623 +*CONN +*I *39453:B I *D sky130_fd_sc_hd__nand2_1 +*I *37549:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39453:B 0.000281501 +2 *37549:X 0.000379256 +3 *1657:18 0.00205386 +4 *1657:7 0.00215161 +5 *39453:B *3547:30 0 +6 *1657:7 *3836:39 0 +7 *1657:18 *3547:18 0 +8 *1657:18 *3836:39 0 +9 *37803:A *39453:B 0 +10 *37803:A *1657:18 0 +11 *39453:A *39453:B 0 +12 *294:35 *1657:18 0 +13 *1300:65 *1657:18 0 +14 *1392:22 *39453:B 0 +15 *1392:22 *1657:18 0 +16 *1484:46 *39453:B 0 +*RES +1 *37549:X *1657:7 17.2464 +2 *1657:7 *1657:18 46.1429 +3 *1657:18 *39453:B 15.175 +*END + +*D_NET *1658 0.00267562 +*CONN +*I *39454:B I *D sky130_fd_sc_hd__nand2_2 +*I *37477:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39454:B 0 +2 *37477:X 0.00133781 +3 *1658:9 0.00133781 +4 *1658:9 *1786:11 0 +5 *1658:9 *2360:24 0 +6 *1658:9 *2360:27 0 +7 *1658:9 *4821:10 0 +8 *1294:9 *1658:9 0 +*RES +1 *37477:X *1658:9 46.3536 +2 *1658:9 *39454:B 9.3 +*END + +*D_NET *1659 0.00345164 +*CONN +*I *39455:B I *D sky130_fd_sc_hd__nand2_1 +*I *37551:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39455:B 0.00172582 +2 *37551:X 0.00172582 +3 *39455:B *41429:A 0 +4 *39455:B *3350:41 0 +5 *39455:B *3371:12 0 +6 *39455:B *3889:15 0 +7 *39455:B *3925:9 0 +8 *39455:B *4144:12 0 +9 *39455:B *4197:36 0 +10 *39455:B *5198:18 0 +11 *295:24 *39455:B 0 +*RES +1 *37551:X *39455:B 47.7055 +*END + +*D_NET *1660 0.000711881 +*CONN +*I *39456:B I *D sky130_fd_sc_hd__nand2_1 +*I *37553:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39456:B 0.00035594 +2 *37553:X 0.00035594 +3 *39456:B *1662:16 0 +4 *39456:B *3586:52 0 +*RES +1 *37553:X *39456:B 26.0286 +*END + +*D_NET *1661 0.00861854 +*CONN +*I *39457:B I *D sky130_fd_sc_hd__nand2_1 +*I *37555:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39457:B 0.00049384 +2 *37555:X 0.00179744 +3 *1661:31 0.00251183 +4 *1661:20 0.00381543 +5 *39457:B *2454:10 0 +6 *39457:B *2911:17 0 +7 *39457:B *4402:27 0 +8 *1661:20 *2762:19 0 +9 *1661:20 *3169:53 0 +10 *1661:20 *3554:63 0 +11 *1661:20 *3848:40 0 +12 *1661:20 *3852:55 0 +13 *1661:31 *37901:A 0 +14 *1661:31 *2912:21 0 +15 *1661:31 *3579:47 0 +16 *1661:31 *4667:24 0 +17 *294:62 *1661:31 0 +18 *334:15 *1661:20 0 +19 *1278:10 *39457:B 0 +20 *1373:13 *1661:20 0 +21 *1482:26 *39457:B 0 +*RES +1 *37555:X *1661:20 41.7251 +2 *1661:20 *1661:31 46.8036 +3 *1661:31 *39457:B 24.4607 +*END + +*D_NET *1662 0.00653368 +*CONN +*I *39458:B I *D sky130_fd_sc_hd__nand2_1 +*I *37557:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39458:B 0.000303971 +2 *37557:X 0.00100903 +3 *1662:23 0.00225781 +4 *1662:16 0.00296287 +5 *39458:B *39691:A 0 +6 *39458:B *1666:13 0 +7 *39458:B *3169:29 0 +8 *1662:16 *1788:19 0 +9 *1662:16 *3586:52 0 +10 *1662:16 *4402:27 0 +11 *1662:23 *2869:14 0 +12 *1662:23 *2911:44 0 +13 *1662:23 *3586:52 0 +14 *37947:A *1662:16 0 +15 *39456:B *1662:16 0 +16 *39940:A *1662:23 0 +17 *294:50 *1662:23 0 +18 *329:17 *39458:B 0 +19 *1277:63 *1662:23 0 +20 *1292:14 *39458:B 0 +21 *1655:16 *1662:16 0 +*RES +1 *37557:X *1662:16 48.6036 +2 *1662:16 *1662:23 49.9107 +3 *1662:23 *39458:B 25.05 +*END + +*D_NET *1663 0.00267825 +*CONN +*I *39459:B I *D sky130_fd_sc_hd__nand2_1 +*I *37559:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39459:B 0 +2 *37559:X 0.00133912 +3 *1663:10 0.00133912 +4 *1663:10 *2502:16 0 +5 *1663:10 *3561:61 0 +6 *1663:10 *3614:49 0 +7 *294:50 *1663:10 0 +8 *1277:63 *1663:10 0 +*RES +1 *37559:X *1663:10 46.5321 +2 *1663:10 *39459:B 9.3 +*END + +*D_NET *1664 0.00363063 +*CONN +*I *39460:B I *D sky130_fd_sc_hd__nand2_1 +*I *37561:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39460:B 0 +2 *37561:X 0.00181532 +3 *1664:18 0.00181532 +4 *1664:18 *5845:DIODE 0 +5 *1664:18 *41199:A 0 +6 *1664:18 *2795:17 0 +7 *1664:18 *3567:50 0 +8 *1664:18 *3641:39 0 +9 *1664:18 *4163:29 0 +10 *1664:18 *4187:32 0 +11 *1664:18 *4424:33 0 +12 *1664:18 *4693:38 0 +13 *37561:A *1664:18 0 +14 *327:25 *1664:18 0 +15 *1468:24 *1664:18 0 +16 *1473:24 *1664:18 0 +*RES +1 *37561:X *1664:18 44.4157 +2 *1664:18 *39460:B 9.3 +*END + +*D_NET *1665 0.000284923 +*CONN +*I *39461:B I *D sky130_fd_sc_hd__nand2_1 +*I *37563:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39461:B 0.000142462 +2 *37563:X 0.000142462 +3 *39461:B *3231:23 0 +4 *39461:B *3384:63 0 +5 *37563:A *39461:B 0 +*RES +1 *37563:X *39461:B 21.6357 +*END + +*D_NET *1666 0.00220594 +*CONN +*I *39462:B I *D sky130_fd_sc_hd__nand2_1 +*I *37565:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39462:B 0 +2 *37565:X 0.00110297 +3 *1666:13 0.00110297 +4 *1666:13 *1790:7 0 +5 *1666:13 *3169:29 0 +6 *1666:13 *3169:53 0 +7 *1666:13 *4381:21 0 +8 *1666:13 *4385:73 0 +9 *1666:13 *4402:17 0 +10 *39458:A *1666:13 0 +11 *39458:B *1666:13 0 +*RES +1 *37565:X *1666:13 41.55 +2 *1666:13 *39462:B 9.3 +*END + +*D_NET *1667 0.000471374 +*CONN +*I *39463:B I *D sky130_fd_sc_hd__nand2_1 +*I *37567:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39463:B 0.000235687 +2 *37567:X 0.000235687 +3 *39463:B *39466:B 0 +4 *39463:B *3614:49 0 +*RES +1 *37567:X *39463:B 32.6536 +*END + +*D_NET *1668 0.000419477 +*CONN +*I *39464:B I *D sky130_fd_sc_hd__nand2_1 +*I *37569:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39464:B 0.000209738 +2 *37569:X 0.000209738 +3 *1382:29 *39464:B 0 +*RES +1 *37569:X *39464:B 32.1357 +*END + +*D_NET *1669 0.00122355 +*CONN +*I *39465:B I *D sky130_fd_sc_hd__nand2_1 +*I *37479:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39465:B 0.000611773 +2 *37479:X 0.000611773 +3 *39465:B *2449:13 0 +4 *39465:B *2449:15 0 +5 *39465:B *2694:19 0 +6 *39465:B *3082:19 0 +7 *39465:A *39465:B 0 +*RES +1 *37479:X *39465:B 31.3679 +*END + +*D_NET *1670 0.00118956 +*CONN +*I *39466:B I *D sky130_fd_sc_hd__nand2_1 +*I *37571:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39466:B 0.000594782 +2 *37571:X 0.000594782 +3 *39466:B *3614:49 0 +4 *39466:B *3836:40 0 +5 *39463:B *39466:B 0 +6 *1520:25 *39466:B 0 +7 *1520:36 *39466:B 0 +*RES +1 *37571:X *39466:B 40.1982 +*END + +*D_NET *1671 0.000171306 +*CONN +*I *39467:B I *D sky130_fd_sc_hd__nand2_1 +*I *37573:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39467:B 8.56529e-05 +2 *37573:X 8.56529e-05 +3 *39467:A *39467:B 0 +4 *334:15 *39467:B 0 +5 *1373:13 *39467:B 0 +*RES +1 *37573:X *39467:B 29.5464 +*END + +*D_NET *1672 0.00567495 +*CONN +*I *39468:B I *D sky130_fd_sc_hd__nand2_1 +*I *37575:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39468:B 0 +2 *37575:X 0.00125941 +3 *1672:43 0.00157806 +4 *1672:21 0.00283747 +5 *1672:21 *6372:DIODE 0 +6 *1672:21 *8803:DIODE 0 +7 *1672:21 *3897:21 0 +8 *1672:21 *3897:48 0 +9 *1672:21 *4157:31 0 +10 *1672:21 *4157:52 0 +11 *1672:21 *4183:21 0 +12 *1672:43 *8599:DIODE 0 +13 *1672:43 *37994:C 0 +14 *1672:43 *40913:A 0 +15 *1672:43 *1894:66 0 +16 *1672:43 *1894:89 0 +17 *1672:43 *2031:51 0 +18 *1672:43 *2741:14 0 +19 *1672:43 *3332:62 0 +20 *1672:43 *3897:21 0 +21 *1672:43 *4136:41 0 +22 *1672:43 *4175:17 0 +23 *1672:43 *4397:36 0 +24 *1672:43 *4457:48 0 +25 *39898:A *1672:43 0 +26 *1396:20 *1672:43 0 +27 *1398:20 *1672:43 0 +28 *1521:23 *1672:21 0 +*RES +1 *37575:X *1672:21 49.3179 +2 *1672:21 *1672:43 48.323 +3 *1672:43 *39468:B 9.3 +*END + +*D_NET *1673 0.00551133 +*CONN +*I *39469:B I *D sky130_fd_sc_hd__nand2_1 +*I *37577:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39469:B 0.000404925 +2 *37577:X 0.000259377 +3 *1673:11 0.00249629 +4 *1673:8 0.00235074 +5 *39469:B *3598:31 0 +6 *1673:8 *4386:38 0 +7 *1673:8 *4997:8 0 +8 *1673:11 *1798:40 0 +9 *1673:11 *2745:32 0 +10 *1673:11 *3051:36 0 +11 *1673:11 *3353:27 0 +12 *1673:11 *3579:15 0 +13 *1277:38 *39469:B 0 +14 *1382:19 *39469:B 0 +15 *1382:29 *39469:B 0 +16 *1500:37 *39469:B 0 +17 *1500:37 *1673:11 0 +*RES +1 *37577:X *1673:8 24.1929 +2 *1673:8 *1673:11 43.6786 +3 *1673:11 *39469:B 17.9071 +*END + +*D_NET *1674 0.00206431 +*CONN +*I *39470:B I *D sky130_fd_sc_hd__nand2_2 +*I *37579:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39470:B 0.00103216 +2 *37579:X 0.00103216 +3 *39470:B *1802:8 0 +4 *39470:B *2750:19 0 +5 *39470:B *2750:29 0 +6 *39470:B *3597:21 0 +7 *39470:B *3597:27 0 +8 *1520:37 *39470:B 0 +*RES +1 *37579:X *39470:B 49.225 +*END + +*D_NET *1675 0.0134016 +*CONN +*I *7434:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39471:B I *D sky130_fd_sc_hd__nand2_1 +*I *37581:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *7434:DIODE 0.000264003 +2 *39471:B 0.000309418 +3 *37581:X 0.00255498 +4 *1675:70 0.00210727 +5 *1675:66 0.00357243 +6 *1675:32 0.00459355 +7 *7434:DIODE *1805:34 0 +8 *7434:DIODE *3580:102 0 +9 *7434:DIODE *3616:44 0 +10 *7434:DIODE *4403:31 0 +11 *39471:B *3574:20 0 +12 *39471:B *3587:56 0 +13 *39471:B *4403:19 0 +14 *1675:32 *8972:DIODE 0 +15 *1675:32 *1798:40 0 +16 *1675:32 *3061:38 0 +17 *1675:32 *3169:9 0 +18 *1675:32 *3568:22 0 +19 *1675:32 *3568:34 0 +20 *1675:32 *3573:20 0 +21 *1675:32 *3577:83 0 +22 *1675:32 *3586:20 0 +23 *1675:32 *3590:20 0 +24 *1675:32 *3598:50 0 +25 *1675:32 *3601:18 0 +26 *1675:32 *3639:52 0 +27 *1675:32 *3848:11 0 +28 *1675:32 *3850:32 0 +29 *1675:32 *3855:36 0 +30 *1675:32 *3865:38 0 +31 *1675:32 *3868:25 0 +32 *1675:32 *4380:68 0 +33 *1675:32 *4390:20 0 +34 *1675:66 *38000:B 0 +35 *1675:66 *1683:15 0 +36 *1675:66 *1889:45 0 +37 *1675:66 *3554:29 0 +38 *1675:66 *3565:102 0 +39 *1675:66 *3568:22 0 +40 *1675:66 *3850:32 0 +41 *1675:66 *3854:17 0 +42 *1675:66 *3886:12 0 +43 *1675:66 *3886:19 0 +44 *1675:66 *4133:5 0 +45 *1675:66 *4380:43 0 +46 *1675:66 *4389:23 0 +47 *1675:66 *4470:77 0 +48 *1675:70 *3596:72 0 +49 *1675:70 *3616:44 0 +50 *1675:70 *3630:46 0 +51 *1675:70 *3645:13 0 +52 *1675:70 *3868:25 0 +53 *1675:70 *4142:13 0 +54 *1374:8 *1675:32 0 +55 *1377:14 *1675:66 0 +56 *1387:58 *1675:70 0 +57 *1396:43 *1675:66 0 +58 *1507:12 *1675:66 0 +*RES +1 *37581:X *1675:32 49.8623 +2 *1675:32 *1675:66 49.0316 +3 *1675:66 *1675:70 16.7249 +4 *1675:70 *39471:B 25.4565 +5 *1675:70 *7434:DIODE 20.0232 +*END + +*D_NET *1676 0.0101019 +*CONN +*I *39472:B I *D sky130_fd_sc_hd__nand2_1 +*I *7436:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37583:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *39472:B 0.000101392 +2 *7436:DIODE 0 +3 *37583:X 0.000633784 +4 *1676:9 0.00441717 +5 *1676:8 0.00494956 +6 *39472:B *1804:7 0 +7 *39472:B *1903:32 0 +8 *39472:B *1917:32 0 +9 *1676:8 *4944:10 0 +10 *1676:9 *1903:32 0 +11 *1676:9 *1903:40 0 +12 *1676:9 *1904:30 0 +13 *1676:9 *1917:32 0 +14 *1676:9 *2769:13 0 +15 *1513:24 *1676:8 0 +*RES +1 *37583:X *1676:8 32.6929 +2 *1676:8 *1676:9 90.0714 +3 *1676:9 *7436:DIODE 9.3 +4 *1676:9 *39472:B 11.4786 +*END + +*D_NET *1677 0.00882897 +*CONN +*I *7438:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39473:B I *D sky130_fd_sc_hd__nand2_1 +*I *37585:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *7438:DIODE 0.000116249 +2 *39473:B 7.89871e-05 +3 *37585:X 0.000518922 +4 *1677:33 0.00168344 +5 *1677:19 0.00370033 +6 *1677:11 0.00273105 +7 *1677:11 *2884:10 0 +8 *1677:11 *3169:29 0 +9 *1677:11 *3586:29 0 +10 *1677:19 *37548:A 0 +11 *1677:19 *3051:23 0 +12 *1677:19 *3169:27 0 +13 *1677:19 *3169:29 0 +14 *1677:19 *3586:29 0 +15 *1677:19 *3619:57 0 +16 *1677:19 *3847:29 0 +17 *1677:19 *3848:11 0 +18 *1677:33 *1678:18 0 +19 *1677:33 *1801:11 0 +20 *1677:33 *1914:47 0 +21 *1677:33 *3169:9 0 +22 *1677:33 *3619:57 0 +23 *1677:33 *4361:30 0 +24 *40027:A *1677:33 0 +25 *1192:26 *1677:33 0 +*RES +1 *37585:X *1677:11 29.2375 +2 *1677:11 *1677:19 46.4911 +3 *1677:19 *1677:33 37.2962 +4 *1677:33 *39473:B 15.5946 +5 *1677:33 *7438:DIODE 16.5054 +*END + +*D_NET *1678 0.00887697 +*CONN +*I *39474:B I *D sky130_fd_sc_hd__nand2_1 +*I *7440:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37587:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39474:B 0.000121071 +2 *7440:DIODE 0 +3 *37587:X 0.00309373 +4 *1678:29 0.00134476 +5 *1678:18 0.00431741 +6 *39474:B *3830:17 0 +7 *39474:B *3836:22 0 +8 *39474:B *3840:28 0 +9 *1678:18 *1801:11 0 +10 *1678:18 *1903:44 0 +11 *1678:18 *1917:37 0 +12 *1678:18 *3577:65 0 +13 *1678:18 *3623:20 0 +14 *1678:18 *4386:38 0 +15 *1678:29 *39912:A 0 +16 *1678:29 *1681:20 0 +17 *1678:29 *3830:17 0 +18 *1678:29 *3832:41 0 +19 *1678:29 *3836:25 0 +20 *1678:29 *3840:28 0 +21 *1183:41 *1678:18 0 +22 *1277:32 *1678:18 0 +23 *1677:33 *1678:18 0 +*RES +1 *37587:X *1678:18 43.7024 +2 *1678:18 *1678:29 34.6607 +3 *1678:29 *7440:DIODE 9.3 +4 *1678:29 *39474:B 11.8893 +*END + +*D_NET *1679 0.00931532 +*CONN +*I *39475:B I *D sky130_fd_sc_hd__nand2_2 +*I *7442:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37589:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *39475:B 0.000121927 +2 *7442:DIODE 0 +3 *37589:X 0 +4 *1679:15 0.000578036 +5 *1679:5 0.00453573 +6 *1679:4 0.00407962 +7 *39475:B *2168:24 0 +8 *1679:5 *1905:56 0 +9 *1679:5 *1911:28 0 +10 *1679:5 *1918:20 0 +11 *1679:5 *2864:53 0 +12 *1679:15 *2168:24 0 +13 *37589:A *1679:5 0 +14 *38223:A *39475:B 0 +15 *1279:18 *1679:5 0 +16 *1385:46 *1679:15 0 +*RES +1 *37589:X *1679:4 9.3 +2 *1679:4 *1679:5 85.1429 +3 *1679:5 *1679:15 18.7143 +4 *1679:15 *7442:DIODE 9.3 +5 *1679:15 *39475:B 11.9071 +*END + +*D_NET *1680 0.00565896 +*CONN +*I *39476:B I *D sky130_fd_sc_hd__nand2_1 +*I *37481:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39476:B 2.0535e-05 +2 *37481:X 0.000165918 +3 *1680:9 0.00266356 +4 *1680:8 0.00280895 +5 *1680:8 *37332:A 0 +6 *1680:8 *4419:30 0 +7 *1680:8 *4693:29 0 +8 *1680:9 *38401:A 0 +9 *1680:9 *2359:14 0 +10 *1680:9 *3069:29 0 +11 *1680:9 *3993:17 0 +12 *1680:9 *4487:9 0 +13 *6752:DIODE *1680:8 0 +14 *39476:A *1680:9 0 +15 *343:58 *1680:9 0 +16 *343:72 *1680:9 0 +*RES +1 *37481:X *1680:8 22.0679 +2 *1680:8 *1680:9 55.1607 +3 *1680:9 *39476:B 9.72857 +*END + +*D_NET *1681 0.011902 +*CONN +*I *39477:B I *D sky130_fd_sc_hd__nand2_1 +*I *7445:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37591:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *39477:B 0.000252838 +2 *7445:DIODE 0 +3 *37591:X 0.00118654 +4 *1681:49 0.000985884 +5 *1681:35 0.003104 +6 *1681:20 0.00377855 +7 *1681:14 0.00259414 +8 *39477:B *8378:DIODE 0 +9 *39477:B *1920:43 0 +10 *39477:B *2751:11 0 +11 *39477:B *2852:19 0 +12 *39477:B *3607:20 0 +13 *39477:B *3608:75 0 +14 *1681:14 *1915:45 0 +15 *1681:14 *2876:20 0 +16 *1681:14 *2888:18 0 +17 *1681:14 *2890:28 0 +18 *1681:14 *3607:23 0 +19 *1681:14 *3870:46 0 +20 *1681:20 *39912:A 0 +21 *1681:20 *2750:37 0 +22 *1681:20 *3832:41 0 +23 *1681:35 *8342:DIODE 0 +24 *1681:35 *1915:30 0 +25 *1681:35 *1920:43 0 +26 *1681:35 *1935:20 0 +27 *1681:35 *2174:74 0 +28 *1681:35 *2878:14 0 +29 *1681:49 *6322:DIODE 0 +30 *1681:49 *8559:DIODE 0 +31 *1681:49 *1920:43 0 +32 *1681:49 *1949:34 0 +33 *1681:49 *2174:74 0 +34 *1681:49 *2852:19 0 +35 *1681:49 *3607:20 0 +36 *39914:A *1681:35 0 +37 *39914:A *1681:49 0 +38 *40005:A *1681:49 0 +39 *40029:A *1681:35 0 +40 *1281:15 *1681:14 0 +41 *1390:22 *1681:35 0 +42 *1401:23 *1681:35 0 +43 *1401:40 *1681:35 0 +44 *1678:29 *1681:20 0 +*RES +1 *37591:X *1681:14 34.7314 +2 *1681:14 *1681:20 38.5714 +3 *1681:20 *1681:35 49.9643 +4 *1681:35 *1681:49 15.75 +5 *1681:49 *7445:DIODE 9.3 +6 *1681:49 *39477:B 14.8179 +*END + +*D_NET *1682 0.00686962 +*CONN +*I *39478:B I *D sky130_fd_sc_hd__nand2_1 +*I *37593:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39478:B 0.000832524 +2 *37593:X 0.000926896 +3 *1682:43 0.00250791 +4 *1682:25 0.00260229 +5 *39478:B *1948:42 0 +6 *39478:B *2857:52 0 +7 *39478:B *2886:65 0 +8 *39478:B *3195:39 0 +9 *1682:25 *1911:27 0 +10 *1682:25 *2886:40 0 +11 *1682:25 *2886:50 0 +12 *1682:25 *3863:28 0 +13 *1682:43 *1911:27 0 +14 *1682:43 *1948:29 0 +15 *1682:43 *2184:34 0 +16 *1682:43 *2196:44 0 +17 *1682:43 *2886:50 0 +18 *1682:43 *3195:39 0 +19 *7824:DIODE *1682:43 0 +20 *1401:40 *1682:25 0 +21 *1401:41 *1682:25 0 +22 *1529:46 *1682:43 0 +*RES +1 *37593:X *1682:25 47.1571 +2 *1682:25 *1682:43 44.7857 +3 *1682:43 *39478:B 26.675 +*END + +*D_NET *1683 0.00160391 +*CONN +*I *39479:B I *D sky130_fd_sc_hd__nand2_2 +*I *37595:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39479:B 0 +2 *37595:X 0.000801956 +3 *1683:15 0.000801956 +4 *1683:15 *1889:45 0 +5 *1683:15 *3629:34 0 +6 *1683:15 *3839:49 0 +7 *1675:66 *1683:15 0 +*RES +1 *37595:X *1683:15 44.55 +2 *1683:15 *39479:B 9.3 +*END + +*D_NET *1684 0.00118444 +*CONN +*I *39480:B I *D sky130_fd_sc_hd__nand2_1 +*I *37597:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39480:B 0.000592218 +2 *37597:X 0.000592218 +3 *39480:B *1935:28 0 +4 *39480:B *2158:17 0 +5 *39480:B *2187:16 0 +6 *39480:B *2731:12 0 +*RES +1 *37597:X *39480:B 40.3143 +*END + +*D_NET *1685 0.00722315 +*CONN +*I *39481:B I *D sky130_fd_sc_hd__nand2_1 +*I *37599:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39481:B 0.000654768 +2 *37599:X 0.000866613 +3 *1685:26 0.00274496 +4 *1685:18 0.00295681 +5 *39481:B *8340:DIODE 0 +6 *39481:B *40625:A 0 +7 *39481:B *3612:67 0 +8 *39481:B *4346:63 0 +9 *39481:B *4480:36 0 +10 *1685:18 *2184:22 0 +11 *1685:18 *2736:15 0 +12 *1685:18 *2852:21 0 +13 *1685:26 *40026:A 0 +14 *1685:26 *2184:22 0 +15 *1685:26 *2852:21 0 +16 *1685:26 *2857:53 0 +17 *1685:26 *3588:35 0 +18 *1685:26 *3588:45 0 +19 *1685:26 *4346:50 0 +20 *1685:26 *4368:22 0 +21 *39481:A *39481:B 0 +*RES +1 *37599:X *1685:18 45.6571 +2 *1685:18 *1685:26 48.3929 +3 *1685:26 *39481:B 27.7911 +*END + +*D_NET *1686 0.00175791 +*CONN +*I *39482:B I *D sky130_fd_sc_hd__nand2_1 +*I *37601:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39482:B 0.000878956 +2 *37601:X 0.000878956 +3 *39482:B *1814:19 0 +4 *39482:B *2602:39 0 +5 *39482:B *2867:11 0 +6 *39482:B *5135:52 0 +7 *314:19 *39482:B 0 +8 *346:36 *39482:B 0 +9 *1385:53 *39482:B 0 +*RES +1 *37601:X *39482:B 46.7607 +*END + +*D_NET *1687 0.00297065 +*CONN +*I *39483:B I *D sky130_fd_sc_hd__nand2_1 +*I *37603:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39483:B 0.000294107 +2 *37603:X 0.00119122 +3 *1687:21 0.00148533 +4 *39483:B *1815:19 0 +5 *39483:B *2234:24 0 +6 *39483:B *2235:25 0 +7 *39483:B *3201:48 0 +8 *39483:B *3578:37 0 +9 *1687:21 *8129:DIODE 0 +10 *1687:21 *40707:A 0 +11 *1687:21 *2215:20 0 +12 *1687:21 *2225:18 0 +13 *1687:21 *3640:15 0 +14 *1687:21 *3640:19 0 +15 *1687:21 *3646:8 0 +16 *1687:21 *4333:50 0 +*RES +1 *37603:X *1687:21 47.9964 +2 *1687:21 *39483:B 20.3357 +*END + +*D_NET *1688 0.000877137 +*CONN +*I *39484:B I *D sky130_fd_sc_hd__nand2_1 +*I *37605:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39484:B 0.000438569 +2 *37605:X 0.000438569 +3 *39484:B *2217:49 0 +4 *39484:B *2221:75 0 +5 *39484:B *2222:58 0 +6 *39484:B *2227:48 0 +7 *39484:B *3808:19 0 +8 *39484:A *39484:B 0 +*RES +1 *37605:X *39484:B 36.8857 +*END + +*D_NET *1689 0.00296732 +*CONN +*I *39485:B I *D sky130_fd_sc_hd__nand2_1 +*I *37607:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39485:B 0 +2 *37607:X 0.00148366 +3 *1689:11 0.00148366 +4 *1689:11 *2561:12 0 +5 *1689:11 *2570:13 0 +6 *1689:11 *2734:7 0 +7 *1689:11 *3899:29 0 +8 *1689:11 *4679:11 0 +9 *39485:A *1689:11 0 +10 *319:5 *1689:11 0 +11 *1508:28 *1689:11 0 +*RES +1 *37607:X *1689:11 49.6214 +2 *1689:11 *39485:B 9.3 +*END + +*D_NET *1690 0.00358712 +*CONN +*I *39486:B I *D sky130_fd_sc_hd__nand2_1 +*I *37609:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39486:B 0.000618755 +2 *37609:X 0.00117481 +3 *1690:22 0.00179356 +4 *39486:B *2251:33 0 +5 *39486:B *2324:60 0 +6 *39486:B *2725:47 0 +7 *39486:B *2725:65 0 +8 *39486:B *5116:47 0 +9 *1690:22 *2217:49 0 +10 *1690:22 *2270:35 0 +11 *1690:22 *2322:40 0 +12 *1690:22 *2322:53 0 +13 *1690:22 *2870:23 0 +14 *1690:22 *3588:13 0 +*RES +1 *37609:X *1690:22 49.2554 +2 *1690:22 *39486:B 27.0946 +*END + +*D_NET *1691 0.00454765 +*CONN +*I *39487:B I *D sky130_fd_sc_hd__nand2_1 +*I *37483:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39487:B 0 +2 *37483:X 2.0535e-05 +3 *1691:9 0.00225329 +4 *1691:5 0.00227383 +5 *1691:9 *37492:A 0 +6 *1691:9 *37492:B 0 +7 *1691:9 *3903:39 0 +8 *1691:9 *3917:15 0 +9 *1691:9 *3922:49 0 +10 *1691:9 *3922:56 0 +11 *7455:DIODE *1691:9 0 +12 *39429:B *1691:9 0 +13 *39487:A *1691:9 0 +14 *1140:15 *1691:5 0 +15 *1145:7 *1691:9 0 +*RES +1 *37483:X *1691:5 9.72857 +2 *1691:5 *1691:9 46.9643 +3 *1691:9 *39487:B 9.3 +*END + +*D_NET *1692 0.00854747 +*CONN +*I *39488:B I *D sky130_fd_sc_hd__nand2_1 +*I *37611:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39488:B 0.00150484 +2 *37611:X 0.00276889 +3 *1692:21 0.00427373 +4 *39488:B *2843:66 0 +5 *39488:B *2962:30 0 +6 *39488:B *3706:10 0 +7 *39488:B *3723:61 0 +8 *39488:B *3741:47 0 +9 *39488:B *3741:65 0 +10 *39488:B *4332:58 0 +11 *1692:21 *2420:34 0 +12 *1692:21 *2925:37 0 +13 *1692:21 *2944:36 0 +14 *1692:21 *3074:45 0 +15 *1692:21 *3323:44 0 +16 *1692:21 *3531:36 0 +17 *1692:21 *3796:29 0 +18 *1692:21 *3824:23 0 +19 *1692:21 *4100:54 0 +20 *39488:A *39488:B 0 +21 *1329:36 *1692:21 0 +22 *1436:22 *1692:21 0 +23 *1559:36 *1692:21 0 +24 *1560:44 *39488:B 0 +25 *1560:44 *1692:21 0 +*RES +1 *37611:X *1692:21 49.1055 +2 *1692:21 *39488:B 49.8082 +*END + +*D_NET *1693 0.00935793 +*CONN +*I *7458:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39489:B I *D sky130_fd_sc_hd__nand2_1 +*I *37613:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *7458:DIODE 0 +2 *39489:B 0.000124066 +3 *37613:X 0.00308624 +4 *1693:37 0.00159272 +5 *1693:20 0.0045549 +6 *39489:B *3775:48 0 +7 *39489:B *4369:45 0 +8 *1693:20 *2374:94 0 +9 *1693:20 *2841:41 0 +10 *1693:20 *3076:52 0 +11 *1693:20 *3177:46 0 +12 *1693:20 *3689:28 0 +13 *1693:20 *3757:22 0 +14 *1693:20 *3824:23 0 +15 *1693:20 *4050:44 0 +16 *1693:20 *4103:47 0 +17 *1693:20 *4708:19 0 +18 *1693:37 *3083:45 0 +19 *1693:37 *3775:48 0 +20 *1693:37 *4369:45 0 +21 *1693:37 *4369:47 0 +*RES +1 *37613:X *1693:20 47.2674 +2 *1693:20 *1693:37 49.0179 +3 *1693:37 *39489:B 11.8893 +4 *1693:37 *7458:DIODE 9.3 +*END + +*D_NET *1694 0.00125355 +*CONN +*I *39490:B I *D sky130_fd_sc_hd__nand2_1 +*I *37615:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39490:B 0.000626774 +2 *37615:X 0.000626774 +3 *39490:B *1822:10 0 +4 *39490:B *2430:11 0 +5 *39490:B *2929:17 0 +6 *39490:B *3432:75 0 +7 *39490:B *4069:21 0 +8 *39490:B *4069:23 0 +9 *40074:A *39490:B 0 +10 *1332:41 *39490:B 0 +*RES +1 *37615:X *39490:B 40.85 +*END + +*D_NET *1695 0.000430625 +*CONN +*I *39491:B I *D sky130_fd_sc_hd__nand2_1 +*I *37617:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39491:B 0.000215312 +2 *37617:X 0.000215312 +3 *39491:B *1824:54 0 +*RES +1 *37617:X *39491:B 32.1536 +*END + +*D_NET *1696 0.00596048 +*CONN +*I *39492:B I *D sky130_fd_sc_hd__nand2_2 +*I *37619:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39492:B 0 +2 *37619:X 0.00175219 +3 *1696:30 0.00122805 +4 *1696:16 0.00298024 +5 *1696:16 *3424:50 0 +6 *1696:16 *3479:61 0 +7 *1696:16 *3666:60 0 +8 *1696:16 *4084:65 0 +9 *1696:16 *4087:47 0 +10 *1696:16 *4087:49 0 +11 *1696:16 *4313:24 0 +12 *1696:16 *4339:35 0 +13 *1696:16 *4354:27 0 +14 *1696:30 *3295:50 0 +15 *1696:30 *3544:14 0 +16 *1696:30 *3739:33 0 +17 *1696:30 *3773:32 0 +*RES +1 *37619:X *1696:16 48.0503 +2 *1696:16 *1696:30 42.5252 +3 *1696:30 *39492:B 9.3 +*END + +*D_NET *1697 0.00881829 +*CONN +*I *39493:B I *D sky130_fd_sc_hd__nand2_1 +*I *7463:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37621:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39493:B 0.000354605 +2 *7463:DIODE 8.4707e-05 +3 *37621:X 0.00188548 +4 *1697:15 0.000776857 +5 *1697:14 0.00208435 +6 *1697:7 0.00363229 +7 *7463:DIODE *1826:9 0 +8 *39493:B *3284:29 0 +9 *39493:B *3540:13 0 +10 *39493:B *3540:33 0 +11 *39493:B *3718:41 0 +12 *39493:B *4897:22 0 +13 *1697:7 *3474:39 0 +14 *1697:7 *3540:35 0 +15 *1697:14 *1849:21 0 +16 *1697:14 *3284:33 0 +17 *1697:14 *3474:39 0 +18 *1697:14 *3540:35 0 +19 *1697:15 *1826:9 0 +20 *39493:A *39493:B 0 +21 *1221:14 *1697:14 0 +22 *1243:20 *1697:7 0 +*RES +1 *37621:X *1697:7 48.6661 +2 *1697:7 *1697:14 45.7589 +3 *1697:14 *1697:15 7.10714 +4 *1697:15 *7463:DIODE 11.0679 +5 *1697:15 *39493:B 26.2241 +*END + +*D_NET *1698 0.00770715 +*CONN +*I *39494:B I *D sky130_fd_sc_hd__nand2_1 +*I *37623:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39494:B 0.00114226 +2 *37623:X 0.00135644 +3 *1698:32 0.00249714 +4 *1698:11 0.00271132 +5 *39494:B *2802:37 0 +6 *39494:B *2806:108 0 +7 *39494:B *3656:29 0 +8 *39494:B *3718:64 0 +9 *39494:B *3737:18 0 +10 *39494:B *4580:30 0 +11 *1698:11 *2802:49 0 +12 *1698:11 *3656:31 0 +13 *1698:32 *37716:B 0 +14 *1698:32 *1710:19 0 +15 *1698:32 *3480:38 0 +16 *1698:32 *3656:31 0 +17 *1698:32 *4579:32 0 +18 *1698:32 *4612:14 0 +19 *1247:11 *1698:32 0 +20 *1260:14 *1698:32 0 +21 *1460:30 *1698:32 0 +*RES +1 *37623:X *1698:11 46.6929 +2 *1698:11 *1698:32 48.9461 +3 *1698:32 *39494:B 44.4432 +*END + +*D_NET *1699 0.00292538 +*CONN +*I *39495:B I *D sky130_fd_sc_hd__nand2_1 +*I *37625:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39495:B 0.00146269 +2 *37625:X 0.00146269 +3 *39495:B *5487:DIODE 0 +4 *39495:B *1711:14 0 +5 *39495:B *1711:21 0 +6 *39495:B *2963:27 0 +7 *7465:DIODE *39495:B 0 +8 *1615:11 *39495:B 0 +*RES +1 *37625:X *39495:B 49.3143 +*END + +*D_NET *1700 0.000849419 +*CONN +*I *39496:B I *D sky130_fd_sc_hd__nand2_1 +*I *37627:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39496:B 0.000424709 +2 *37627:X 0.000424709 +3 *39496:B *3091:41 0 +4 *39496:B *3189:36 0 +5 *39496:B *3395:60 0 +6 *39496:B *3695:18 0 +7 *39496:B *4449:51 0 +8 *39496:B *4529:16 0 +*RES +1 *37627:X *39496:B 37.0107 +*END + +*D_NET *1701 0.00419736 +*CONN +*I *39497:B I *D sky130_fd_sc_hd__nand2_2 +*I *37629:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39497:B 0 +2 *37629:X 0.00077092 +3 *1701:29 0.00132776 +4 *1701:14 0.00209868 +5 *1701:14 *39501:B 0 +6 *1701:14 *4533:43 0 +7 *1701:14 *4533:63 0 +8 *1701:14 *4558:18 0 +9 *1701:14 *4594:23 0 +10 *1701:14 *5107:43 0 +11 *1701:29 *40243:A 0 +12 *1701:29 *40244:A 0 +13 *1701:29 *41405:A 0 +14 *1701:29 *1874:11 0 +15 *1701:29 *2964:5 0 +16 *1701:29 *2994:20 0 +17 *1701:29 *3089:38 0 +18 *1701:29 *4529:16 0 +19 *1701:29 *4530:9 0 +20 *1701:29 *5178:56 0 +*RES +1 *37629:X *1701:14 34.5857 +2 *1701:14 *1701:29 42.0309 +3 *1701:29 *39497:B 9.3 +*END + +*D_NET *1702 0.000565685 +*CONN +*I *39498:B I *D sky130_fd_sc_hd__nand2_1 +*I *37485:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39498:B 0.000282843 +2 *37485:X 0.000282843 +3 *39498:B *3149:12 0 +4 *39498:B *3468:10 0 +5 *39498:B *3749:31 0 +6 *39498:B *3900:25 0 +*RES +1 *37485:X *39498:B 33.7607 +*END + +*D_NET *1703 0.000554446 +*CONN +*I *39499:B I *D sky130_fd_sc_hd__nand2_2 +*I *37631:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39499:B 0.000277223 +2 *37631:X 0.000277223 +3 *39499:B *37631:A 0 +4 *39499:B *3039:53 0 +*RES +1 *37631:X *39499:B 24.3857 +*END + +*D_NET *1704 0.00385944 +*CONN +*I *39500:B I *D sky130_fd_sc_hd__nand2_2 +*I *37633:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39500:B 0.000152586 +2 *37633:X 0.00177714 +3 *1704:5 0.00192972 +4 *39500:A *39500:B 0 +5 *367:15 *39500:B 0 +6 *1602:7 *1704:5 0 +7 *1602:9 *1704:5 0 +*RES +1 *37633:X *1704:5 46.3893 +2 *1704:5 *39500:B 21.7643 +*END + +*D_NET *1705 0.000605783 +*CONN +*I *39501:B I *D sky130_fd_sc_hd__nand2_1 +*I *37635:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39501:B 0.000302892 +2 *37635:X 0.000302892 +3 *39501:B *4558:18 0 +4 *39501:B *5107:43 0 +5 *1701:14 *39501:B 0 +*RES +1 *37635:X *39501:B 24.9214 +*END + +*D_NET *1706 0.00165253 +*CONN +*I *39502:B I *D sky130_fd_sc_hd__nand2_2 +*I *37637:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39502:B 0.000826267 +2 *37637:X 0.000826267 +3 *39502:B *39324:A 0 +4 *39502:B *39516:B 0 +5 *39502:B *41401:A 0 +6 *39502:B *2714:9 0 +7 *6920:DIODE *39502:B 0 +8 *1044:8 *39502:B 0 +*RES +1 *37637:X *39502:B 45.0286 +*END + +*D_NET *1707 0.0114278 +*CONN +*I *39503:B I *D sky130_fd_sc_hd__nand2_1 +*I *7474:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37639:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *39503:B 0.000181771 +2 *7474:DIODE 0 +3 *37639:X 0.000246183 +4 *1707:18 0.000240761 +5 *1707:15 0.00305625 +6 *1707:14 0.00305625 +7 *1707:11 0.00222971 +8 *1707:8 0.00241691 +9 *39503:B *1737:27 0 +10 *39503:B *1744:29 0 +11 *39503:B *5174:15 0 +12 *1707:11 *37679:A 0 +13 *1707:11 *2969:5 0 +14 *1707:15 *1717:5 0 +15 *1707:15 *4426:9 0 +16 *1602:7 *1707:11 0 +*RES +1 *37639:X *1707:8 23.8893 +2 *1707:8 *1707:11 49.8036 +3 *1707:11 *1707:14 5.83929 +4 *1707:14 *1707:15 62.5536 +5 *1707:15 *1707:18 5.83929 +6 *1707:18 *7474:DIODE 13.8 +7 *1707:18 *39503:B 17.9071 +*END + +*D_NET *1708 0.00185219 +*CONN +*I *39504:B I *D sky130_fd_sc_hd__nand2_1 +*I *37641:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39504:B 0.000926094 +2 *37641:X 0.000926094 +3 *39504:B *7290:DIODE 0 +4 *39504:B *1864:72 0 +5 *39504:B *2967:25 0 +6 *39504:B *3091:41 0 +7 *39504:B *3189:17 0 +8 *39504:B *3695:23 0 +9 *39504:B *4545:48 0 +10 *39504:B *4573:28 0 +11 *7475:DIODE *39504:B 0 +12 *1019:42 *39504:B 0 +*RES +1 *37641:X *39504:B 47.3679 +*END + +*D_NET *1709 0.00469951 +*CONN +*I *39505:B I *D sky130_fd_sc_hd__nand2_1 +*I *37643:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39505:B 0.000922229 +2 *37643:X 0.00142752 +3 *1709:13 0.00234975 +4 *39505:B *39513:B 0 +5 *39505:B *1717:11 0 +6 *39505:B *1845:9 0 +7 *39505:B *2969:5 0 +8 *39505:B *5187:9 0 +9 *1709:13 *2965:15 0 +10 *1709:13 *2969:5 0 +11 *1709:13 *4572:8 0 +12 *1709:13 *4578:20 0 +13 *1709:13 *4773:30 0 +14 *1005:91 *39505:B 0 +*RES +1 *37643:X *1709:13 48.6036 +2 *1709:13 *39505:B 37.8 +*END + +*D_NET *1710 0.00682533 +*CONN +*I *39506:B I *D sky130_fd_sc_hd__nand2_1 +*I *37645:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39506:B 0 +2 *37645:X 0.00131895 +3 *1710:19 0.00209372 +4 *1710:11 0.00341266 +5 *1710:11 *3046:32 0 +6 *1710:11 *3480:39 0 +7 *1710:11 *3656:31 0 +8 *1710:11 *3656:45 0 +9 *1710:11 *3737:33 0 +10 *1710:19 *3656:29 0 +11 *1710:19 *3656:31 0 +12 *1710:19 *3737:33 0 +13 *1710:19 *3761:84 0 +14 *1698:32 *1710:19 0 +*RES +1 *37645:X *1710:11 36.8893 +2 *1710:11 *1710:19 43.8214 +3 *1710:19 *39506:B 9.3 +*END + +*D_NET *1711 0.00918147 +*CONN +*I *39507:B I *D sky130_fd_sc_hd__nand2_1 +*I *7479:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37647:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39507:B 4.53482e-05 +2 *7479:DIODE 8.57316e-05 +3 *37647:X 0.000560863 +4 *1711:22 0.00022741 +5 *1711:21 0.00224609 +6 *1711:14 0.00380246 +7 *1711:7 0.00221356 +8 *7479:DIODE *1735:16 0 +9 *7479:DIODE *1750:18 0 +10 *39507:B *3930:13 0 +11 *1711:7 *5471:DIODE 0 +12 *1711:7 *3012:51 0 +13 *1711:7 *3019:49 0 +14 *1711:14 *5536:DIODE 0 +15 *1711:14 *1721:5 0 +16 *1711:14 *2963:27 0 +17 *1711:14 *3012:51 0 +18 *1711:21 *2963:27 0 +19 *1711:21 *3044:21 0 +20 *1711:21 *3044:34 0 +21 *1711:22 *1735:16 0 +22 *1711:22 *1750:14 0 +23 *7465:DIODE *1711:21 0 +24 *38387:A *1711:14 0 +25 *39495:B *1711:14 0 +26 *39495:B *1711:21 0 +27 *362:20 *1711:21 0 +28 *1591:10 *1711:14 0 +*RES +1 *37647:X *1711:7 20.9429 +2 *1711:7 *1711:14 43.625 +3 *1711:14 *1711:21 49.4286 +4 *1711:21 *1711:22 2.25 +5 *1711:22 *7479:DIODE 15.7464 +6 *1711:22 *39507:B 14.7464 +*END + +*D_NET *1712 0.00729305 +*CONN +*I *39508:B I *D sky130_fd_sc_hd__nand2_1 +*I *37649:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39508:B 0 +2 *37649:X 0.00364653 +3 *1712:27 0.00364653 +4 *1712:27 *7297:DIODE 0 +5 *1712:27 *2976:14 0 +6 *1712:27 *3017:61 0 +7 *1712:27 *3357:30 0 +8 *1712:27 *4545:74 0 +9 *1712:27 *4755:23 0 +10 *1712:27 *5080:33 0 +11 *6944:DIODE *1712:27 0 +12 *7480:DIODE *1712:27 0 +13 *39508:A *1712:27 0 +*RES +1 *37649:X *1712:27 42.9479 +2 *1712:27 *39508:B 9.3 +*END + +*D_NET *1713 0.00124181 +*CONN +*I *39509:B I *D sky130_fd_sc_hd__nand2_1 +*I *37487:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39509:B 0.000620903 +2 *37487:X 0.000620903 +3 *39509:B *5295:DIODE 0 +4 *39509:B *37486:B 0 +5 *39509:B *3249:24 0 +6 *39509:B *3488:26 0 +7 *39509:B *3877:20 0 +8 *39509:B *4626:14 0 +9 *39509:B *4827:11 0 +10 *39509:B *5202:15 0 +11 *37487:A *39509:B 0 +*RES +1 *37487:X *39509:B 41.2071 +*END + +*D_NET *1714 0.000171463 +*CONN +*I *39510:B I *D sky130_fd_sc_hd__nand2_1 +*I *37651:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39510:B 8.57316e-05 +2 *37651:X 8.57316e-05 +3 *39510:B *1740:8 0 +4 *39510:B *1842:8 0 +5 *377:57 *39510:B 0 +6 *1594:8 *39510:B 0 +*RES +1 *37651:X *39510:B 29.5464 +*END + +*D_NET *1715 0.00338578 +*CONN +*I *39511:B I *D sky130_fd_sc_hd__nand2_1 +*I *37653:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39511:B 0.000576692 +2 *37653:X 0.0011162 +3 *1715:14 0.00169289 +4 *39511:B *3539:11 0 +5 *39511:B *3930:13 0 +6 *1715:14 *1750:14 0 +7 *1715:14 *1839:12 0 +8 *1715:14 *1842:9 0 +9 *1715:14 *2388:10 0 +10 *1715:14 *3930:13 0 +11 *262:17 *1715:14 0 +*RES +1 *37653:X *1715:14 41.8893 +2 *1715:14 *39511:B 21.3357 +*END + +*D_NET *1716 0.00682504 +*CONN +*I *39512:B I *D sky130_fd_sc_hd__nand2_2 +*I *37655:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39512:B 0 +2 *37655:X 0.00145742 +3 *1716:13 0.00195511 +4 *1716:10 0.00341252 +5 *1716:10 *3039:53 0 +6 *1716:10 *3399:39 0 +7 *1716:13 *6131:DIODE 0 +8 *1716:13 *3009:71 0 +9 *1716:13 *3962:19 0 +10 *1716:13 *3962:21 0 +11 *7372:DIODE *1716:13 0 +12 *39411:B *1716:13 0 +13 *278:20 *1716:13 0 +14 *1463:30 *1716:10 0 +15 *1466:50 *1716:13 0 +16 *1590:30 *1716:10 0 +*RES +1 *37655:X *1716:10 48.9964 +2 *1716:10 *1716:13 40.8036 +3 *1716:13 *39512:B 9.3 +*END + +*D_NET *1717 0.0170111 +*CONN +*I *7486:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39513:B I *D sky130_fd_sc_hd__nand2_1 +*I *37657:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7486:DIODE 0 +2 *39513:B 0.000186986 +3 *37657:X 0 +4 *1717:11 0.000253902 +5 *1717:5 0.00831857 +6 *1717:4 0.00825166 +7 *39513:B *1845:9 0 +8 *39513:B *3375:38 0 +9 *39513:B *4773:30 0 +10 *1717:5 *4426:9 0 +11 *1717:5 *4764:9 0 +12 *7470:DIODE *1717:5 0 +13 *7485:DIODE *39513:B 0 +14 *39505:B *39513:B 0 +15 *39505:B *1717:11 0 +16 *1005:91 *1717:11 0 +17 *1707:15 *1717:5 0 +*RES +1 *37657:X *1717:4 9.3 +2 *1717:4 *1717:5 172.214 +3 *1717:5 *1717:11 10.5714 +4 *1717:11 *39513:B 22.5321 +5 *1717:11 *7486:DIODE 9.3 +*END + +*D_NET *1718 0.00557984 +*CONN +*I *39514:B I *D sky130_fd_sc_hd__nand2_2 +*I *37659:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39514:B 0.000399577 +2 *37659:X 0.000982848 +3 *1718:29 0.00180707 +4 *1718:10 0.00239034 +5 *39514:B *38132:B 0 +6 *39514:B *3395:117 0 +7 *39514:B *3935:44 0 +8 *39514:B *4223:105 0 +9 *1718:10 *3699:17 0 +10 *1718:29 *38132:B 0 +11 *1718:29 *38132:C 0 +12 *1718:29 *1748:8 0 +13 *1718:29 *2999:63 0 +14 *1718:29 *3396:49 0 +15 *1718:29 *3699:17 0 +16 *1718:29 *3935:47 0 +17 *1465:9 *1718:29 0 +18 *1589:10 *1718:29 0 +19 *1590:16 *1718:29 0 +20 *1590:30 *39514:B 0 +21 *1590:30 *1718:29 0 +*RES +1 *37659:X *1718:10 38.8714 +2 *1718:10 *1718:29 47.8036 +3 *1718:29 *39514:B 17.6393 +*END + +*D_NET *1719 0.00039701 +*CONN +*I *39515:B I *D sky130_fd_sc_hd__nand2_1 +*I *37661:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39515:B 0.000198505 +2 *37661:X 0.000198505 +3 *39515:B *37661:A 0 +4 *39515:B *2996:31 0 +*RES +1 *37661:X *39515:B 22.7429 +*END + +*D_NET *1720 0.0071329 +*CONN +*I *39516:B I *D sky130_fd_sc_hd__nand2_1 +*I *37663:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39516:B 0.000341395 +2 *37663:X 0.00127039 +3 *1720:34 0.00229606 +4 *1720:17 0.00322506 +5 *39516:B *41401:A 0 +6 *39516:B *2714:9 0 +7 *1720:17 *2964:5 0 +8 *1720:17 *4545:16 0 +9 *1720:34 *2964:5 0 +10 *1720:34 *2966:14 0 +11 *1720:34 *2994:20 0 +12 *1720:34 *4575:14 0 +13 *1720:34 *5209:55 0 +14 *1720:34 *5209:69 0 +15 *6891:DIODE *1720:17 0 +16 *6918:DIODE *1720:34 0 +17 *6925:DIODE *1720:34 0 +18 *6926:DIODE *1720:17 0 +19 *6928:DIODE *1720:17 0 +20 *7530:DIODE *1720:17 0 +21 *39502:B *39516:B 0 +22 *39543:A *1720:17 0 +*RES +1 *37663:X *1720:17 36.0321 +2 *1720:17 *1720:34 47.705 +3 *1720:34 *39516:B 16.425 +*END + +*D_NET *1721 0.00640694 +*CONN +*I *39517:B I *D sky130_fd_sc_hd__nand2_1 +*I *37665:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39517:B 0.000462045 +2 *37665:X 0 +3 *1721:5 0.00320347 +4 *1721:4 0.00274143 +5 *39517:B *3401:36 0 +6 *39517:B *3940:26 0 +7 *1721:5 *39620:A 0 +8 *1721:5 *2963:27 0 +9 *1721:5 *3012:44 0 +10 *1711:14 *1721:5 0 +*RES +1 *37665:X *1721:4 9.3 +2 *1721:4 *1721:5 57.2143 +3 *1721:5 *39517:B 27.769 +*END + +*D_NET *1722 0.00700515 +*CONN +*I *39518:B I *D sky130_fd_sc_hd__nand2_1 +*I *37667:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39518:B 0.000891562 +2 *37667:X 0.00106303 +3 *1722:16 0.00243954 +4 *1722:10 0.00261101 +5 *39518:B *3397:35 0 +6 *39518:B *3397:37 0 +7 *39518:B *3398:75 0 +8 *39518:B *3699:17 0 +9 *39518:B *3957:29 0 +10 *1722:10 *3944:29 0 +11 *1722:16 *3395:109 0 +12 *1722:16 *3491:48 0 +13 *7491:DIODE *39518:B 0 +14 *1017:93 *1722:10 0 +15 *1466:38 *1722:10 0 +*RES +1 *37667:X *1722:10 40.6929 +2 *1722:10 *1722:16 41.4643 +3 *1722:16 *39518:B 27.9071 +*END + +*D_NET *1723 0.00122953 +*CONN +*I *39519:B I *D sky130_fd_sc_hd__nand2_2 +*I *37669:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39519:B 0.000614767 +2 *37669:X 0.000614767 +3 *39519:B *38384:A 0 +4 *39519:B *1831:16 0 +5 *39519:B *3396:53 0 +*RES +1 *37669:X *39519:B 31.3679 +*END + +*D_NET *1724 0.00269779 +*CONN +*I *39520:B I *D sky130_fd_sc_hd__nand2_1 +*I *37489:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39520:B 0.00134889 +2 *37489:X 0.00134889 +3 *39520:B *37356:A 0 +4 *39520:B *3431:31 0 +5 *39520:B *3431:35 0 +6 *39520:B *4822:9 0 +7 *39520:B *4828:11 0 +8 *39520:A *39520:B 0 +9 *343:20 *39520:B 0 +*RES +1 *37489:X *39520:B 46.8143 +*END + +*D_NET *1725 0.00334375 +*CONN +*I *38396:A I *D sky130_fd_sc_hd__inv_2 +*I *39393:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *38396:A 0.00139895 +2 *39393:Y 0.000272925 +3 *1725:8 0.00167187 +4 *38396:A *2705:15 0 +5 *38396:A *4127:14 0 +6 *1725:8 *4463:28 0 +7 *1725:8 *4463:32 0 +8 *6962:DIODE *1725:8 0 +9 *39393:B *1725:8 0 +*RES +1 *39393:Y *1725:8 24.4964 +2 *1725:8 *38396:A 38.4964 +*END + +*D_NET *1726 0.0192778 +*CONN +*I *7624:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39640:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39394:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *7624:DIODE 0.000357078 +2 *39640:A 0.00177453 +3 *39394:Y 0.0005115 +4 *1726:43 0.00448482 +5 *1726:25 0.00517336 +6 *1726:24 0.00284374 +7 *1726:20 0.00182246 +8 *1726:10 0.00231036 +9 *7624:DIODE *2712:55 0 +10 *7624:DIODE *3264:93 0 +11 *7624:DIODE *4603:43 0 +12 *39640:A *40326:A 0 +13 *39640:A *40342:A 0 +14 *39640:A *1828:47 0 +15 *39640:A *3106:51 0 +16 *39640:A *3188:46 0 +17 *39640:A *3221:36 0 +18 *39640:A *3235:22 0 +19 *39640:A *3266:92 0 +20 *39640:A *4015:55 0 +21 *39640:A *4536:21 0 +22 *1726:10 *2373:20 0 +23 *1726:20 *1866:23 0 +24 *1726:20 *3016:30 0 +25 *1726:20 *4525:17 0 +26 *1726:20 *5078:22 0 +27 *1726:20 *5102:51 0 +28 *1726:20 *5103:25 0 +29 *1726:20 *5164:24 0 +30 *1726:25 *1828:23 0 +31 *1726:25 *1829:33 0 +32 *1726:25 *2938:53 0 +33 *1726:25 *3016:30 0 +34 *1726:25 *4348:43 0 +35 *1726:25 *4574:17 0 +36 *1726:25 *5102:39 0 +37 *1726:25 *5166:78 0 +38 *1726:43 *1855:27 0 +39 *1726:43 *2882:46 0 +40 *1726:43 *3142:50 0 +41 *1726:43 *3188:46 0 +42 *1726:43 *3221:36 0 +43 *1726:43 *3235:22 0 +44 *1726:43 *4427:42 0 +45 *1726:43 *5175:74 0 +46 mprj_dat_i_core[2] *1726:25 0 +47 *6613:DIODE *7624:DIODE 0 +48 *540:55 *39640:A 0 +49 *545:47 *1726:25 0 +50 *790:51 *39640:A 0 +51 *792:83 *39640:A 0 +52 *796:31 *39640:A 0 +53 *1000:78 *39640:A 0 +54 *1240:36 *1726:25 0 +*RES +1 *39394:Y *1726:10 29.8 +2 *1726:10 *1726:20 49.4933 +3 *1726:20 *1726:24 5.03571 +4 *1726:24 *1726:25 58.8571 +5 *1726:25 *1726:43 47.3342 +6 *1726:43 *39640:A 45.344 +7 *1726:43 *7624:DIODE 25.1296 +*END + +*D_NET *1727 0.00671058 +*CONN +*I *39639:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39395:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *39639:A 0.000321715 +2 *39395:Y 5.89896e-05 +3 *1727:9 0.0032963 +4 *1727:8 0.00303357 +5 *39639:A *3022:31 0 +6 *39639:A *3026:5 0 +7 *1727:8 *37429:A 0 +8 *1727:9 *5461:DIODE 0 +9 *1727:9 *39602:A 0 +10 *1727:9 *3022:31 0 +11 *1727:9 *3026:5 0 +12 *1727:9 *3486:20 0 +13 *1727:9 *3491:48 0 +14 *1727:9 *4765:9 0 +*RES +1 *39395:Y *1727:8 19.6393 +2 *1727:8 *1727:9 62.1429 +3 *1727:9 *39639:A 16.0143 +*END + +*D_NET *1728 0.0210058 +*CONN +*I *39637:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7622:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39396:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *39637:A 0.000211386 +2 *7622:DIODE 0.000211728 +3 *39396:Y 0.00375478 +4 *1728:42 0.00130983 +5 *1728:28 0.00632499 +6 *1728:17 0.00919305 +7 *7622:DIODE *3077:51 0 +8 *7622:DIODE *4529:86 0 +9 *7622:DIODE *4534:92 0 +10 *39637:A *4780:63 0 +11 *39637:A *4780:86 0 +12 *39637:A *5186:28 0 +13 *1728:17 *1734:21 0 +14 *1728:17 *1834:23 0 +15 *1728:17 *2983:26 0 +16 *1728:17 *3030:42 0 +17 *1728:17 *4578:12 0 +18 *1728:17 *5087:15 0 +19 *1728:17 *5185:14 0 +20 *1728:17 *5209:194 0 +21 *1728:28 *1834:23 0 +22 *1728:28 *2373:47 0 +23 *1728:28 *3016:52 0 +24 *1728:28 *4527:13 0 +25 *1728:28 *4534:31 0 +26 *1728:28 *4574:14 0 +27 *1728:28 *4761:31 0 +28 *1728:28 *5073:11 0 +29 *1728:28 *5075:51 0 +30 *1728:28 *5185:14 0 +31 *1728:42 *1833:19 0 +32 *1728:42 *3142:40 0 +33 *1728:42 *4416:32 0 +34 *1728:42 *4529:86 0 +35 *1728:42 *4554:53 0 +36 *1728:42 *4589:32 0 +37 *1728:42 *5177:17 0 +38 mprj_dat_i_core[22] *1728:17 0 +39 *6921:DIODE *1728:17 0 +40 *6947:DIODE *1728:42 0 +41 *928:15 *1728:28 0 +42 *1018:73 *1728:17 0 +43 *1240:37 *1728:28 0 +*RES +1 *39396:Y *1728:17 47.1739 +2 *1728:17 *1728:28 47.6653 +3 *1728:28 *1728:42 29.1696 +4 *1728:42 *7622:DIODE 18.9875 +5 *1728:42 *39637:A 18.3268 +*END + +*D_NET *1729 0.00135788 +*CONN +*I *39636:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39397:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *39636:A 0.000678939 +2 *39397:Y 0.000678939 +3 *39636:A *2830:56 0 +4 *39636:A *3480:28 0 +5 *39636:A *4405:9 0 +6 *39397:A *39636:A 0 +*RES +1 *39397:Y *39636:A 32.8321 +*END + +*D_NET *1730 0.00684084 +*CONN +*I *37412:A I *D sky130_fd_sc_hd__clkinv_4 +*I *39398:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *37412:A 0 +2 *39398:Y 0.00171895 +3 *1730:15 0.00170147 +4 *1730:7 0.00342042 +5 *1730:7 *37418:A 0 +6 *1730:7 *1737:27 0 +7 *1730:7 *2969:5 0 +8 *1730:7 *3342:36 0 +9 *1730:15 *40228:A 0 +10 *1730:15 *1848:15 0 +11 *1730:15 *2714:9 0 +12 *1730:15 *2969:5 0 +13 *1730:15 *4572:8 0 +14 *1730:15 *4575:14 0 +15 *1730:15 *4578:20 0 +16 *1730:15 *4773:30 0 +17 *6914:DIODE *1730:15 0 +*RES +1 *39398:Y *1730:7 45.175 +2 *1730:7 *1730:15 45.625 +3 *1730:15 *37412:A 9.3 +*END + +*D_NET *1731 0.0144123 +*CONN +*I *5270:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37413:A I *D sky130_fd_sc_hd__clkinv_2 +*I *39399:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5270:DIODE 0.000317654 +2 *37413:A 0.000717964 +3 *39399:Y 0.000757468 +4 *1731:67 0.00256476 +5 *1731:51 0.00386568 +6 *1731:32 0.00356628 +7 *1731:22 0.00262251 +8 *5270:DIODE *5186:65 0 +9 *37413:A *3077:108 0 +10 *37413:A *5175:86 0 +11 *37413:A *5177:23 0 +12 *37413:A *5179:133 0 +13 *1731:22 *1866:22 0 +14 *1731:22 *2971:45 0 +15 *1731:22 *4550:11 0 +16 *1731:22 *4592:50 0 +17 *1731:22 *5100:45 0 +18 *1731:32 *39309:A 0 +19 *1731:32 *1833:19 0 +20 *1731:32 *1855:27 0 +21 *1731:32 *3142:50 0 +22 *1731:32 *5072:39 0 +23 *1731:32 *5073:11 0 +24 *1731:51 *1829:47 0 +25 *1731:51 *1862:22 0 +26 *1731:51 *2882:46 0 +27 *1731:51 *3135:26 0 +28 *1731:51 *5168:49 0 +29 *1731:51 *5194:22 0 +30 *1731:67 *1855:22 0 +31 *1731:67 *2927:41 0 +32 *1731:67 *2938:44 0 +33 *1731:67 *3135:26 0 +34 *1731:67 *3142:91 0 +35 *1731:67 *5177:21 0 +36 *1731:67 *5177:23 0 +37 *1731:67 *5191:31 0 +38 *1731:67 *5194:22 0 +39 *797:54 *1731:51 0 +40 *798:23 *1731:51 0 +41 *913:12 *1731:22 0 +42 *1010:169 *5270:DIODE 0 +43 *1010:169 *1731:67 0 +44 *1240:37 *1731:32 0 +*RES +1 *39399:Y *1731:22 44.1393 +2 *1731:22 *1731:32 49.297 +3 *1731:32 *1731:51 16.998 +4 *1731:51 *1731:67 49.6152 +5 *1731:67 *37413:A 38.7107 +6 *1731:51 *5270:DIODE 26.8427 +*END + +*D_NET *1732 0.00633649 +*CONN +*I *37414:A I *D sky130_fd_sc_hd__clkinv_4 +*I *39400:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *37414:A 0.000990815 +2 *39400:Y 0.00020607 +3 *1732:19 0.00296218 +4 *1732:8 0.00217743 +5 *37414:A *4580:15 0 +6 *37414:A *4778:9 0 +7 *1732:8 *4588:11 0 +8 *1732:19 *4766:13 0 +9 *1732:19 *4778:9 0 +10 *38789:A *37414:A 0 +11 *38790:A *37414:A 0 +12 *38792:A *37414:A 0 +13 *39400:A *1732:8 0 +14 *1463:30 *1732:8 0 +15 *1604:10 *1732:8 0 +*RES +1 *39400:Y *1732:8 22.9786 +2 *1732:8 *1732:19 41.3929 +3 *1732:19 *37414:A 29.9786 +*END + +*D_NET *1733 0.0180511 +*CONN +*I *37415:A I *D sky130_fd_sc_hd__inv_2 +*I *5271:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39401:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *37415:A 2.26741e-05 +2 *5271:DIODE 0.000124066 +3 *39401:Y 0.00711642 +4 *1733:18 0.00190911 +5 *1733:11 0.0088788 +6 *5271:DIODE *3389:17 0 +7 *5271:DIODE *3491:13 0 +8 *37415:A *3389:17 0 +9 *1733:11 *1735:13 0 +10 *1733:11 *2456:6 0 +11 *1733:11 *2823:26 0 +12 *1733:11 *2970:45 0 +13 *1733:11 *3048:20 0 +14 *1733:11 *3473:36 0 +15 *1733:11 *3731:12 0 +16 *1733:18 *3470:67 0 +17 *1733:18 *5106:24 0 +18 *1020:15 *1733:18 0 +19 *1243:20 *1733:11 0 +20 *1243:32 *1733:11 0 +21 *1247:22 *1733:11 0 +22 *1332:19 *1733:11 0 +23 *1550:17 *1733:18 0 +*RES +1 *39401:Y *1733:11 48.6678 +2 *1733:11 *1733:18 44.5982 +3 *1733:18 *5271:DIODE 11.8893 +4 *1733:18 *37415:A 9.83571 +*END + +*D_NET *1734 0.0176002 +*CONN +*I *5272:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37416:A I *D sky130_fd_sc_hd__inv_2 +*I *39402:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *5272:DIODE 0 +2 *37416:A 0.000571247 +3 *39402:Y 0.000379893 +4 *1734:32 0.000914842 +5 *1734:29 0.00257392 +6 *1734:21 0.00344541 +7 *1734:9 0.00527503 +8 *1734:8 0.00443984 +9 *37416:A *39316:A 0 +10 *37416:A *4532:19 0 +11 *37416:A *4534:15 0 +12 *37416:A *4548:57 0 +13 *37416:A *4568:19 0 +14 *1734:9 *1753:11 0 +15 *1734:9 *3095:9 0 +16 *1734:21 *3095:9 0 +17 *1734:29 *3095:9 0 +18 *1734:29 *3375:38 0 +19 *1734:29 *3486:11 0 +20 *1734:29 *4585:28 0 +21 *1734:32 *3101:16 0 +22 *1734:32 *4570:18 0 +23 *1734:32 *5095:16 0 +24 *6876:DIODE *37416:A 0 +25 *6876:DIODE *1734:32 0 +26 *7382:DIODE *1734:9 0 +27 *7384:DIODE *1734:9 0 +28 *38777:A *37416:A 0 +29 *39396:A *1734:21 0 +30 *39396:A *1734:29 0 +31 *39396:B *1734:21 0 +32 *39423:B *1734:21 0 +33 *276:22 *1734:9 0 +34 *290:17 *1734:21 0 +35 *1021:19 *1734:21 0 +36 *1600:9 *1734:9 0 +37 *1600:9 *1734:21 0 +38 *1627:14 *1734:29 0 +39 *1728:17 *1734:21 0 +*RES +1 *39402:Y *1734:8 26.925 +2 *1734:8 *1734:9 84.7321 +3 *1734:9 *1734:21 34.5536 +4 *1734:21 *1734:29 47.4787 +5 *1734:29 *1734:32 11.2761 +6 *1734:32 *37416:A 26.4786 +7 *1734:32 *5272:DIODE 13.8 +*END + +*D_NET *1735 0.00683282 +*CONN +*I *37417:A I *D sky130_fd_sc_hd__clkinv_4 +*I *39403:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *37417:A 0.000741817 +2 *39403:Y 0.000943348 +3 *1735:16 0.00247306 +4 *1735:13 0.00267459 +5 *37417:A *1875:11 0 +6 *37417:A *2964:5 0 +7 *1735:13 *1750:14 0 +8 *1735:13 *2456:6 0 +9 *1735:13 *2802:60 0 +10 *1735:13 *3012:37 0 +11 *1735:13 *4621:6 0 +12 *1735:16 *7545:DIODE 0 +13 *1735:16 *1750:14 0 +14 *1735:16 *1750:18 0 +15 *1735:16 *2804:36 0 +16 *1735:16 *4627:6 0 +17 *1735:16 *5209:30 0 +18 *7479:DIODE *1735:16 0 +19 *39539:A *37417:A 0 +20 *374:25 *1735:13 0 +21 *374:25 *1735:16 0 +22 *1017:93 *37417:A 0 +23 *1024:137 *1735:16 0 +24 *1246:16 *1735:13 0 +25 *1711:22 *1735:16 0 +26 *1733:11 *1735:13 0 +*RES +1 *39403:Y *1735:13 44.05 +2 *1735:13 *1735:16 43.7857 +3 *1735:16 *37417:A 34.0143 +*END + +*D_NET *1736 0.00208699 +*CONN +*I *38406:A I *D sky130_fd_sc_hd__inv_2 +*I *39404:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *38406:A 0 +2 *39404:Y 0.0010435 +3 *1736:11 0.0010435 +4 *1736:11 *3282:6 0 +5 *1736:11 *3315:12 0 +6 *1736:11 *4430:96 0 +7 *1736:11 *4440:8 0 +8 *1736:11 *4817:29 0 +9 *6643:DIODE *1736:11 0 +10 *6964:DIODE *1736:11 0 +*RES +1 *39404:Y *1736:11 40.9964 +2 *1736:11 *38406:A 9.3 +*END + +*D_NET *1737 0.0134041 +*CONN +*I *5273:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37418:A I *D sky130_fd_sc_hd__clkinv_4 +*I *39405:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *5273:DIODE 0 +2 *37418:A 0.000189364 +3 *39405:Y 0.00194302 +4 *1737:27 0.00179876 +5 *1737:22 0.00314948 +6 *1737:16 0.00296027 +7 *1737:7 0.00336321 +8 *37418:A *4764:8 0 +9 *1737:7 *2423:11 0 +10 *1737:16 *1849:8 0 +11 *1737:16 *2423:11 0 +12 *1737:22 *2828:38 0 +13 *1737:22 *3541:15 0 +14 *1737:27 *1839:12 0 +15 *1737:27 *2828:38 0 +16 *1737:27 *3370:23 0 +17 *1737:27 *4744:16 0 +18 *39405:A *1737:7 0 +19 *39418:B *1737:16 0 +20 *39503:B *1737:27 0 +21 *262:11 *1737:16 0 +22 *370:51 *1737:22 0 +23 *370:55 *1737:27 0 +24 *1021:19 *1737:27 0 +25 *1335:19 *1737:7 0 +26 *1335:19 *1737:16 0 +27 *1466:38 *1737:27 0 +28 *1593:13 *1737:7 0 +29 *1730:7 *37418:A 0 +30 *1730:7 *1737:27 0 +*RES +1 *39405:Y *1737:7 49.8982 +2 *1737:7 *1737:16 38.8125 +3 *1737:16 *1737:22 37.9732 +4 *1737:22 *1737:27 40.4196 +5 *1737:27 *37418:A 22.3357 +6 *1737:27 *5273:DIODE 9.3 +*END + +*D_NET *1738 0.000800566 +*CONN +*I *37419:A I *D sky130_fd_sc_hd__clkinv_4 +*I *39406:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37419:A 0.000400283 +2 *39406:Y 0.000400283 +3 *7367:DIODE *37419:A 0 +*RES +1 *39406:Y *37419:A 36.1357 +*END + +*D_NET *1739 0.00815487 +*CONN +*I *5274:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37420:A I *D sky130_fd_sc_hd__clkinv_4 +*I *39407:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *5274:DIODE 0 +2 *37420:A 0.000193371 +3 *39407:Y 0.000694768 +4 *1739:11 0.000767069 +5 *1739:8 0.0031893 +6 *1739:7 0.00331037 +7 *1739:7 *3541:23 0 +8 *1739:8 *37700:B 0 +9 *1739:8 *1832:14 0 +10 *1739:8 *3391:100 0 +11 *366:27 *1739:8 0 +12 *367:15 *1739:8 0 +13 *1464:26 *1739:8 0 +14 *1601:8 *1739:8 0 +*RES +1 *39407:Y *1739:7 28.3 +2 *1739:7 *1739:8 59.3214 +3 *1739:8 *1739:11 16.5357 +4 *1739:11 *37420:A 13.3357 +5 *1739:11 *5274:DIODE 9.3 +*END + +*D_NET *1740 0.00307105 +*CONN +*I *37421:A I *D sky130_fd_sc_hd__clkinv_4 +*I *39408:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37421:A 0.000163425 +2 *39408:Y 0.0013721 +3 *1740:8 0.00153552 +4 *37421:A *3459:11 0 +5 *37421:A *4767:8 0 +6 *1740:8 *5740:DIODE 0 +7 *1740:8 *6544:DIODE 0 +8 *1740:8 *37673:A 0 +9 *1740:8 *37710:A 0 +10 *1740:8 *38392:A 0 +11 *1740:8 *1842:8 0 +12 *1740:8 *3019:49 0 +13 *1740:8 *3392:111 0 +14 *38133:A *1740:8 0 +15 *39510:B *1740:8 0 +16 *377:57 *1740:8 0 +17 *1594:8 *1740:8 0 +18 *1595:8 *1740:8 0 +*RES +1 *39408:Y *1740:8 49.3893 +2 *1740:8 *37421:A 12.7107 +*END + +*D_NET *1741 0.00289143 +*CONN +*I *37422:A I *D sky130_fd_sc_hd__clkinv_4 +*I *39409:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37422:A 0 +2 *39409:Y 0.00144571 +3 *1741:11 0.00144571 +4 *1741:11 *3537:5 0 +5 *276:22 *1741:11 0 +*RES +1 *39409:Y *1741:11 49.5857 +2 *1741:11 *37422:A 9.3 +*END + +*D_NET *1742 0.00587388 +*CONN +*I *37423:A I *D sky130_fd_sc_hd__clkinv_4 +*I *39410:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *37423:A 0.000497974 +2 *39410:Y 0 +3 *1742:6 0.00293694 +4 *1742:5 0.00243896 +5 *37423:A *4766:7 0 +6 *37423:A *4766:13 0 +7 *1742:6 *37424:A 0 +8 *1742:6 *38386:A 0 +9 *1742:6 *3396:49 0 +10 *1742:6 *3953:94 0 +11 *38387:A *1742:6 0 +12 *1268:8 *1742:6 0 +13 *1590:16 *1742:6 0 +14 *1591:10 *1742:6 0 +*RES +1 *39410:Y *1742:5 13.8 +2 *1742:5 *1742:6 55.375 +3 *1742:6 *37423:A 24.1929 +*END + +*D_NET *1743 0.00417265 +*CONN +*I *37424:A I *D sky130_fd_sc_hd__clkinv_4 +*I *39411:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37424:A 0.00103348 +2 *39411:Y 0.00105285 +3 *1743:11 0.00208633 +4 *37424:A *37738:B 0 +5 *37424:A *2979:15 0 +6 *37424:A *4767:8 0 +7 *7372:DIODE *1743:11 0 +8 *39411:B *1743:11 0 +9 *386:33 *37424:A 0 +10 *1268:8 *37424:A 0 +11 *1466:57 *1743:11 0 +12 *1615:11 *1743:11 0 +13 *1742:6 *37424:A 0 +*RES +1 *39411:Y *1743:11 35.8357 +2 *1743:11 *37424:A 36.5321 +*END + +*D_NET *1744 0.0143294 +*CONN +*I *37425:A I *D sky130_fd_sc_hd__clkinv_4 +*I *5275:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39412:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *37425:A 8.25679e-05 +2 *5275:DIODE 0.000112474 +3 *39412:Y 6.50276e-05 +4 *1744:29 0.00362824 +5 *1744:28 0.00484373 +6 *1744:16 0.00347144 +7 *1744:7 0.00212593 +8 *37425:A *41408:A 0 +9 *37425:A *4767:11 0 +10 *1744:7 *2423:11 0 +11 *1744:16 *6196:DIODE 0 +12 *1744:16 *6521:DIODE 0 +13 *1744:16 *37718:A 0 +14 *1744:16 *37718:B 0 +15 *1744:16 *39602:A 0 +16 *1744:16 *1850:11 0 +17 *1744:16 *2392:13 0 +18 *1744:16 *2441:10 0 +19 *1744:16 *3342:32 0 +20 *1744:16 *3357:68 0 +21 *1744:16 *3407:55 0 +22 *1744:16 *3480:28 0 +23 *1744:16 *3486:20 0 +24 *1744:16 *3718:64 0 +25 *1744:16 *3764:69 0 +26 *1744:16 *3953:62 0 +27 *1744:28 *41420:A 0 +28 *1744:28 *2969:5 0 +29 *1744:28 *5183:9 0 +30 *1744:28 *5187:8 0 +31 *1744:28 *5187:9 0 +32 *1744:29 *41408:A 0 +33 *1744:29 *1835:5 0 +34 *1744:29 *4767:11 0 +35 *1744:29 *4777:9 0 +36 *1744:29 *5174:7 0 +37 *1744:29 *5174:15 0 +38 *1744:29 *5174:19 0 +39 *7473:DIODE *1744:29 0 +40 *39412:B *1744:16 0 +41 *39503:A *1744:29 0 +42 *39503:B *1744:29 0 +43 *265:27 *1744:28 0 +44 *276:17 *5275:DIODE 0 +45 *385:11 *1744:16 0 +*RES +1 *39412:Y *1744:7 15.1571 +2 *1744:7 *1744:16 47.1071 +3 *1744:16 *1744:28 43.125 +4 *1744:28 *1744:29 71.5893 +5 *1744:29 *5275:DIODE 20.8536 +6 *1744:29 *37425:A 11.0857 +*END + +*D_NET *1745 0.00110529 +*CONN +*I *37426:A I *D sky130_fd_sc_hd__inv_2 +*I *39413:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37426:A 0.000552644 +2 *39413:Y 0.000552644 +3 *37426:A *4779:10 0 +4 *37426:A *4779:16 0 +5 *7374:DIODE *37426:A 0 +6 *280:14 *37426:A 0 +*RES +1 *39413:Y *37426:A 39.7964 +*END + +*D_NET *1746 0.0034981 +*CONN +*I *37427:A I *D sky130_fd_sc_hd__clkinv_2 +*I *39414:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37427:A 0.000889763 +2 *39414:Y 0.000859285 +3 *1746:17 0.00174905 +4 *37427:A *1836:16 0 +5 *37427:A *2964:5 0 +6 *37427:A *2967:25 0 +7 *37427:A *3189:17 0 +8 *37427:A *3695:23 0 +9 *37427:A *5178:56 0 +10 *1746:17 *3101:25 0 +11 *1746:17 *3164:44 0 +12 *1746:17 *3168:25 0 +13 *1746:17 *3168:43 0 +14 *1746:17 *3199:63 0 +15 *1746:17 *4570:27 0 +16 *1746:17 *5174:37 0 +17 *6941:DIODE *37427:A 0 +18 *1261:41 *1746:17 0 +*RES +1 *39414:Y *1746:17 45.6214 +2 *1746:17 *37427:A 46.6571 +*END + +*D_NET *1747 0.0067735 +*CONN +*I *38407:A I *D sky130_fd_sc_hd__inv_2 +*I *39415:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *38407:A 0.000192699 +2 *39415:Y 0 +3 *1747:5 0.00338675 +4 *1747:4 0.00319405 +5 *38407:A *2364:30 0 +6 *38407:A *3390:17 0 +7 *1747:5 *2356:9 0 +8 *1747:5 *2356:11 0 +9 *1747:5 *4418:19 0 +*RES +1 *39415:Y *1747:4 9.3 +2 *1747:4 *1747:5 66.6607 +3 *1747:5 *38407:A 22.675 +*END + +*D_NET *1748 0.00356734 +*CONN +*I *37428:A I *D sky130_fd_sc_hd__clkinv_4 +*I *39416:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37428:A 0 +2 *39416:Y 0 +3 *1748:8 0.00178367 +4 *1748:5 0.00178367 +5 *1748:8 *6061:DIODE 0 +6 *1748:8 *39620:A 0 +7 *1748:8 *3012:44 0 +8 *1748:8 *3044:34 0 +9 *1748:8 *3370:26 0 +10 *1748:8 *3476:58 0 +11 *1748:8 *3480:49 0 +12 *362:17 *1748:8 0 +13 *1463:30 *1748:8 0 +14 *1601:12 *1748:8 0 +15 *1718:29 *1748:8 0 +*RES +1 *39416:Y *1748:5 13.8 +2 *1748:5 *1748:8 45 +3 *1748:8 *37428:A 9.3 +*END + +*D_NET *1749 0.00148466 +*CONN +*I *37429:A I *D sky130_fd_sc_hd__inv_2 +*I *39417:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37429:A 0.00074233 +2 *39417:Y 0.00074233 +3 *37429:A *1750:18 0 +4 *37429:A *2965:37 0 +5 *37429:A *3026:5 0 +6 *37429:A *3026:9 0 +7 *37429:A *3396:39 0 +8 *37429:A *4765:9 0 +9 *39395:B *37429:A 0 +10 *1727:8 *37429:A 0 +*RES +1 *39417:Y *37429:A 43.4214 +*END + +*D_NET *1750 0.00607069 +*CONN +*I *37430:A I *D sky130_fd_sc_hd__inv_2 +*I *39418:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37430:A 0 +2 *39418:Y 0.00119719 +3 *1750:18 0.00183816 +4 *1750:14 0.00303535 +5 *1750:14 *2802:60 0 +6 *1750:14 *4621:6 0 +7 *1750:18 *39329:A 0 +8 *1750:18 *1839:12 0 +9 *1750:18 *2804:36 0 +10 *1750:18 *2994:20 0 +11 *1750:18 *4585:13 0 +12 *1750:18 *4777:8 0 +13 *6893:DIODE *1750:18 0 +14 *7479:DIODE *1750:18 0 +15 *37429:A *1750:18 0 +16 *37713:A *1750:18 0 +17 *38367:A *1750:14 0 +18 *39395:B *1750:18 0 +19 *39417:B *1750:18 0 +20 *39507:A *1750:14 0 +21 *262:17 *1750:14 0 +22 *262:17 *1750:18 0 +23 *1335:19 *1750:14 0 +24 *1599:24 *1750:18 0 +25 *1711:22 *1750:14 0 +26 *1715:14 *1750:14 0 +27 *1735:13 *1750:14 0 +28 *1735:16 *1750:14 0 +29 *1735:16 *1750:18 0 +*RES +1 *39418:Y *1750:14 40.3714 +2 *1750:14 *1750:18 46.2143 +3 *1750:18 *37430:A 9.3 +*END + +*D_NET *1751 0.0140534 +*CONN +*I *37431:A I *D sky130_fd_sc_hd__inv_2 +*I *5276:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39419:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *37431:A 0 +2 *5276:DIODE 0.000111381 +3 *39419:Y 9.90435e-05 +4 *1751:12 0.00129336 +5 *1751:9 0.00681627 +6 *1751:8 0.00573334 +7 *5276:DIODE *3006:20 0 +8 *5276:DIODE *4779:10 0 +9 *1751:8 *2986:34 0 +10 *1751:9 *38077:A 0 +11 *1751:9 *2396:11 0 +12 *1751:9 *2396:13 0 +13 *1751:9 *2810:23 0 +14 *1751:9 *3117:20 0 +15 *1751:9 *5019:23 0 +16 *1751:12 *3019:28 0 +17 *1751:12 *3476:45 0 +18 *1751:12 *4635:6 0 +19 *37713:A *5276:DIODE 0 +20 *378:19 *1751:12 0 +21 *1017:89 *1751:12 0 +22 *1017:93 *5276:DIODE 0 +23 *1017:93 *1751:12 0 +24 *1019:23 *1751:8 0 +25 *1221:17 *1751:9 0 +26 *1221:21 *1751:9 0 +27 *1221:25 *1751:9 0 +*RES +1 *39419:Y *1751:8 20.55 +2 *1751:8 *1751:9 117.589 +3 *1751:9 *1751:12 31.3393 +4 *1751:12 *5276:DIODE 16.2821 +5 *1751:12 *37431:A 13.8 +*END + +*D_NET *1752 0.00258796 +*CONN +*I *37432:A I *D sky130_fd_sc_hd__clkinv_2 +*I *39420:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37432:A 0 +2 *39420:Y 0.00129398 +3 *1752:16 0.00129398 +4 *1752:16 *2970:58 0 +5 *1752:16 *3024:78 0 +6 *1752:16 *3102:23 0 +7 *1752:16 *3251:12 0 +8 *1752:16 *3357:61 0 +9 *1752:16 *3375:38 0 +10 *1752:16 *3724:94 0 +11 *1752:16 *4545:48 0 +12 *1752:16 *4783:13 0 +13 *1752:16 *5169:18 0 +14 *364:19 *1752:16 0 +*RES +1 *39420:Y *1752:16 45.681 +2 *1752:16 *37432:A 9.3 +*END + +*D_NET *1753 0.00289634 +*CONN +*I *37433:A I *D sky130_fd_sc_hd__inv_2 +*I *39421:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37433:A 0 +2 *39421:Y 0.00144817 +3 *1753:11 0.00144817 +4 *1753:11 *37681:A 0 +5 *1753:11 *40219:A 0 +6 *1753:11 *2967:38 0 +7 *1753:11 *4585:13 0 +8 *1753:11 *4587:9 0 +9 *1753:11 *5178:10 0 +10 *6893:DIODE *1753:11 0 +11 *6929:DIODE *1753:11 0 +12 *6930:DIODE *1753:11 0 +13 *1024:137 *1753:11 0 +14 *1734:9 *1753:11 0 +*RES +1 *39421:Y *1753:11 49.2464 +2 *1753:11 *37433:A 9.3 +*END + +*D_NET *1754 0.00627867 +*CONN +*I *37434:A I *D sky130_fd_sc_hd__clkinv_2 +*I *39422:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37434:A 0 +2 *39422:Y 0.000379898 +3 *1754:8 0.00275943 +4 *1754:7 0.00313933 +5 *1754:7 *2441:11 0 +6 *1754:8 *38130:C 0 +7 *1754:8 *2806:108 0 +8 *1754:8 *3099:12 0 +9 *1754:8 *3164:17 0 +10 *1754:8 *3375:38 0 +11 *1754:8 *3419:28 0 +12 *1754:8 *3737:18 0 +13 *1754:8 *4013:98 0 +14 *39422:B *1754:8 0 +15 *1015:125 *1754:8 0 +16 *1251:31 *1754:8 0 +17 *1461:11 *1754:8 0 +18 *1464:11 *1754:8 0 +19 *1574:17 *1754:8 0 +*RES +1 *39422:Y *1754:7 21.7286 +2 *1754:7 *1754:8 62.6607 +3 *1754:8 *37434:A 13.8 +*END + +*D_NET *1755 0.00678588 +*CONN +*I *37435:A I *D sky130_fd_sc_hd__clkinv_2 +*I *39423:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37435:A 0 +2 *39423:Y 4.56186e-05 +3 *1755:29 0.00142649 +4 *1755:18 0.00334732 +5 *1755:8 0.00196645 +6 *1755:8 *4587:15 0 +7 *1755:18 *40221:A 0 +8 *1755:18 *3189:17 0 +9 *1755:18 *4551:34 0 +10 *1755:18 *4585:13 0 +11 *1755:18 *4587:15 0 +12 *1755:29 *37461:A 0 +13 *1755:29 *39537:B 0 +14 *1755:29 *3695:23 0 +15 *1755:29 *4534:15 0 +16 *1755:29 *4539:22 0 +17 *1755:29 *4542:25 0 +18 *1755:29 *4572:8 0 +19 *1755:29 *5166:22 0 +20 *1755:29 *5209:74 0 +21 *6914:DIODE *1755:29 0 +22 *38743:A *1755:29 0 +23 *369:31 *1755:18 0 +24 *1015:125 *1755:29 0 +25 *1259:31 *1755:18 0 +26 *1259:31 *1755:29 0 +*RES +1 *39423:Y *1755:8 19.3357 +2 *1755:8 *1755:18 49.9053 +3 *1755:18 *1755:29 44.0357 +4 *1755:29 *37435:A 9.3 +*END + +*D_NET *1756 0.00409782 +*CONN +*I *38408:A I *D sky130_fd_sc_hd__inv_2 +*I *39424:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *38408:A 0.00173778 +2 *39424:Y 0.000311132 +3 *1756:10 0.00204891 +4 *38408:A *4549:15 0 +5 *38408:A *4799:14 0 +6 *38408:A *4799:23 0 +7 *38408:A *4879:23 0 +8 *1756:10 *37325:A 0 +9 *1756:10 *3914:12 0 +10 *1756:10 *4538:8 0 +11 *1158:5 *38408:A 0 +*RES +1 *39424:Y *1756:10 25.2464 +2 *1756:10 *38408:A 45.5679 +*END + +*D_NET *1757 0.00508594 +*CONN +*I *38409:A I *D sky130_fd_sc_hd__clkinv_2 +*I *39425:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *38409:A 0.000583537 +2 *39425:Y 4.56186e-05 +3 *1757:14 0.00249735 +4 *1757:8 0.00195943 +5 *38409:A *37516:B 0 +6 *38409:A *3096:17 0 +7 *38409:A *3468:31 0 +8 *1757:8 *4439:8 0 +9 *1757:8 *5181:14 0 +10 *1757:14 *3175:38 0 +11 *1757:14 *4425:10 0 +12 *1757:14 *4792:12 0 +13 *37517:A *38409:A 0 +*RES +1 *39425:Y *1757:8 19.3357 +2 *1757:8 *1757:14 49.5893 +3 *1757:14 *38409:A 21.4786 +*END + +*D_NET *1758 0.00337429 +*CONN +*I *38410:A I *D sky130_fd_sc_hd__clkinv_2 +*I *39426:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *38410:A 0.000913659 +2 *39426:Y 0.000773486 +3 *1758:7 0.00168714 +4 *38410:A *3082:30 0 +5 *38410:A *3382:8 0 +6 *38410:A *4127:23 0 +7 *38410:A *4787:10 0 +8 *1758:7 *4798:11 0 +*RES +1 *39426:Y *1758:7 29.9429 +2 *1758:7 *38410:A 34.4964 +*END + +*D_NET *1759 0.00493386 +*CONN +*I *38411:A I *D sky130_fd_sc_hd__inv_2 +*I *39427:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *38411:A 0.00145585 +2 *39427:Y 0.00101108 +3 *1759:8 0.00246693 +4 *38411:A *38150:A 0 +5 *38411:A *38150:B 0 +6 *38411:A *2795:5 0 +7 *38411:A *4195:5 0 +8 *38411:A *4788:12 0 +9 *1759:8 *37769:A 0 +10 *1759:8 *2952:24 0 +11 *7389:DIODE *1759:8 0 +*RES +1 *39427:Y *1759:8 41.1929 +2 *1759:8 *38411:A 39.6214 +*END + +*D_NET *1760 0.0064099 +*CONN +*I *38412:A I *D sky130_fd_sc_hd__clkinv_2 +*I *39428:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *38412:A 0.000112474 +2 *39428:Y 0.00126547 +3 *1760:13 0.00193948 +4 *1760:7 0.00309247 +5 *38412:A *3138:10 0 +6 *1760:7 *4807:12 0 +7 *1760:13 *37514:A 0 +8 *1760:13 *37514:B 0 +9 *1760:13 *1775:10 0 +10 *1760:13 *2572:12 0 +11 *1760:13 *3924:36 0 +12 *1760:13 *3928:35 0 +13 *1760:13 *4371:17 0 +14 *1760:13 *4499:10 0 +15 *1760:13 *4787:10 0 +16 *6666:DIODE *38412:A 0 +17 *6666:DIODE *1760:13 0 +18 *6808:DIODE *38412:A 0 +19 *7010:DIODE *1760:13 0 +20 *332:47 *1760:7 0 +21 *1156:9 *1760:13 0 +*RES +1 *39428:Y *1760:7 40.2107 +2 *1760:7 *1760:13 48.2679 +3 *1760:13 *38412:A 16.3536 +*END + +*D_NET *1761 0.00080791 +*CONN +*I *37325:A I *D sky130_fd_sc_hd__inv_2 +*I *39429:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37325:A 0.000403955 +2 *39429:Y 0.000403955 +3 *37325:A *3104:19 0 +4 *37325:A *3467:9 0 +5 *37325:A *3914:12 0 +6 *343:56 *37325:A 0 +7 *1756:10 *37325:A 0 +*RES +1 *39429:Y *37325:A 36.5821 +*END + +*D_NET *1762 0.00154154 +*CONN +*I *37326:A I *D sky130_fd_sc_hd__clkinv_2 +*I *39430:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37326:A 0 +2 *39430:Y 0.00077077 +3 *1762:14 0.00077077 +4 *1762:14 *37342:A 0 +5 *1762:14 *1786:30 0 +6 *39430:A *1762:14 0 +7 *310:27 *1762:14 0 +8 *332:47 *1762:14 0 +9 *1643:10 *1762:14 0 +*RES +1 *39430:Y *1762:14 44.175 +2 *1762:14 *37326:A 9.3 +*END + +*D_NET *1763 0.00270228 +*CONN +*I *37327:A I *D sky130_fd_sc_hd__inv_2 +*I *39431:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37327:A 0 +2 *39431:Y 0.00135114 +3 *1763:10 0.00135114 +4 *1763:10 *37904:B 0 +5 *1763:10 *37904:C 0 +6 *1763:10 *2694:22 0 +7 *1763:10 *3600:58 0 +8 *1763:10 *3609:38 0 +9 *1763:10 *4439:8 0 +10 *39431:A *1763:10 0 +11 *298:24 *1763:10 0 +12 *1637:13 *1763:10 0 +*RES +1 *39431:Y *1763:10 47.6036 +2 *1763:10 *37327:A 9.3 +*END + +*D_NET *1764 0.00577477 +*CONN +*I *38397:A I *D sky130_fd_sc_hd__inv_2 +*I *39432:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *38397:A 0 +2 *39432:Y 0.000696982 +3 *1764:11 0.0021904 +4 *1764:10 0.00288738 +5 *1764:10 *4463:32 0 +6 *1764:10 *5161:9 0 +7 *1764:11 *2705:15 0 +8 *1764:11 *4794:7 0 +*RES +1 *39432:Y *1764:10 33.175 +2 *1764:10 *1764:11 45.7143 +3 *1764:11 *38397:A 9.3 +*END + +*D_NET *1765 0.00219764 +*CONN +*I *37328:A I *D sky130_fd_sc_hd__inv_2 +*I *39433:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37328:A 0 +2 *39433:Y 0.00109882 +3 *1765:11 0.00109882 +4 *1765:11 *38144:A 0 +5 *1765:11 *4451:20 0 +6 *1765:11 *4499:38 0 +*RES +1 *39433:Y *1765:11 41.7821 +2 *1765:11 *37328:A 9.3 +*END + +*D_NET *1766 0.00195704 +*CONN +*I *37329:A I *D sky130_fd_sc_hd__inv_2 +*I *39434:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37329:A 0.000978521 +2 *39434:Y 0.000978521 +3 *37329:A *39067:A 0 +4 *37329:A *2358:22 0 +5 *37329:A *3271:14 0 +6 *37329:A *3466:5 0 +7 *37329:A *4671:9 0 +8 *37329:A *4682:16 0 +9 *37329:A *4796:18 0 +10 *38823:A *37329:A 0 +11 *301:47 *37329:A 0 +*RES +1 *39434:Y *37329:A 48.6893 +*END + +*D_NET *1767 0.00444286 +*CONN +*I *37330:A I *D sky130_fd_sc_hd__clkinv_2 +*I *39435:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37330:A 0.00185671 +2 *39435:Y 0.000364723 +3 *1767:12 0.00222143 +4 *37330:A *3877:63 0 +5 *37330:A *3924:36 0 +6 *37330:A *3928:35 0 +7 *37330:A *4801:15 0 +8 *1767:12 *3917:25 0 +9 *1767:12 *4815:26 0 +10 *37495:A *37330:A 0 +11 *1628:9 *37330:A 0 +*RES +1 *39435:Y *1767:12 26.1393 +2 *1767:12 *37330:A 48.05 +*END + +*D_NET *1768 0.00309535 +*CONN +*I *37331:A I *D sky130_fd_sc_hd__inv_2 +*I *39436:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37331:A 0.000753807 +2 *39436:Y 0.000793871 +3 *1768:10 0.00154768 +4 *37331:A *3468:31 0 +5 *1768:10 *2363:9 0 +6 *1768:10 *4371:18 0 +7 *37517:A *37331:A 0 +8 *306:11 *1768:10 0 +*RES +1 *39436:Y *1768:10 35.05 +2 *1768:10 *37331:A 25.0321 +*END + +*D_NET *1769 0.00156334 +*CONN +*I *37332:A I *D sky130_fd_sc_hd__clkinv_2 +*I *39437:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37332:A 0.000781671 +2 *39437:Y 0.000781671 +3 *37332:A *3171:42 0 +4 *37332:A *4126:14 0 +5 *37332:A *4419:30 0 +6 *37332:A *4439:42 0 +7 *37332:A *4787:25 0 +8 *39437:B *37332:A 0 +9 *1680:8 *37332:A 0 +*RES +1 *39437:Y *37332:A 41.2884 +*END + +*D_NET *1770 0.00102962 +*CONN +*I *37333:A I *D sky130_fd_sc_hd__inv_2 +*I *39438:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37333:A 0.00051481 +2 *39438:Y 0.00051481 +3 *37333:A *2791:21 0 +4 *37333:A *3570:37 0 +5 *37333:A *3600:53 0 +6 *40059:A *37333:A 0 +7 *1358:14 *37333:A 0 +8 *1470:21 *37333:A 0 +9 *1486:10 *37333:A 0 +*RES +1 *39438:Y *37333:A 38.9785 +*END + +*D_NET *1771 0.00210187 +*CONN +*I *37334:A I *D sky130_fd_sc_hd__inv_2 +*I *39439:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37334:A 0 +2 *39439:Y 0.00105094 +3 *1771:10 0.00105094 +4 *1771:10 *3874:25 0 +5 *1771:10 *4418:26 0 +6 *1771:10 *4451:11 0 +7 *1771:10 *4937:8 0 +*RES +1 *39439:Y *1771:10 41.175 +2 *1771:10 *37334:A 9.3 +*END + +*D_NET *1772 0.00286179 +*CONN +*I *37335:A I *D sky130_fd_sc_hd__clkinv_2 +*I *39440:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37335:A 2.56688e-05 +2 *39440:Y 0.00140523 +3 *1772:14 0.0014309 +4 *37335:A *1786:19 0 +5 *37335:A *3149:25 0 +6 *1772:14 *37343:A 0 +7 *1772:14 *2360:14 0 +8 *1772:14 *3378:51 0 +9 *1772:14 *3383:48 0 +10 *1772:14 *3600:23 0 +11 *1772:14 *3621:21 0 +12 *1772:14 *4420:28 0 +13 *1772:14 *4420:38 0 +14 *5331:DIODE *1772:14 0 +15 *37525:A *1772:14 0 +16 *311:49 *1772:14 0 +17 *1167:13 *1772:14 0 +18 *1483:10 *1772:14 0 +*RES +1 *39440:Y *1772:14 49.8357 +2 *1772:14 *37335:A 9.83571 +*END + +*D_NET *1773 0.00342008 +*CONN +*I *37336:A I *D sky130_fd_sc_hd__inv_2 +*I *39441:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37336:A 6.50276e-05 +2 *39441:Y 0.00164501 +3 *1773:8 0.00171004 +4 *37336:A *4192:27 0 +5 *37336:A *4201:23 0 +6 *1773:8 *37898:B 0 +7 *1773:8 *2952:21 0 +8 *1773:8 *3609:34 0 +9 *1773:8 *4420:83 0 +10 *1773:8 *4511:52 0 +11 *39441:B *1773:8 0 +12 *40059:A *1773:8 0 +13 *299:35 *1773:8 0 +14 *299:40 *1773:8 0 +15 *1345:11 *1773:8 0 +16 *1355:25 *1773:8 0 +*RES +1 *39441:Y *1773:8 49.4429 +2 *1773:8 *37336:A 15.1571 +*END + +*D_NET *1774 0.00909791 +*CONN +*I *5210:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37337:A I *D sky130_fd_sc_hd__inv_2 +*I *39442:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5210:DIODE 0 +2 *37337:A 0.000157649 +3 *39442:Y 0.00182735 +4 *1774:23 0.00207104 +5 *1774:22 0.00256395 +6 *1774:16 0.00247791 +7 *1774:16 *5845:DIODE 0 +8 *1774:16 *2358:16 0 +9 *1774:16 *3380:52 0 +10 *1774:16 *3885:34 0 +11 *1774:16 *4210:7 0 +12 *1774:16 *4210:13 0 +13 *1774:16 *4420:38 0 +14 *1774:16 *4420:54 0 +15 *1774:16 *4433:40 0 +16 *1774:16 *4805:15 0 +17 *1774:16 *5045:6 0 +18 *1774:22 *1777:10 0 +19 *1774:22 *4177:19 0 +20 *1774:22 *4213:23 0 +21 *1774:22 *4815:32 0 +22 *1179:38 *1774:16 0 +23 *1294:20 *1774:16 0 +24 *1354:21 *37337:A 0 +25 *1354:21 *1774:23 0 +26 *1354:41 *1774:16 0 +27 *1354:49 *1774:16 0 +28 *1468:15 *1774:23 0 +29 *1473:24 *1774:16 0 +*RES +1 *39442:Y *1774:16 41.6543 +2 *1774:16 *1774:22 22.8571 +3 *1774:22 *1774:23 39.9643 +4 *1774:23 *37337:A 12.6214 +5 *1774:23 *5210:DIODE 9.3 +*END + +*D_NET *1775 0.00529721 +*CONN +*I *38398:A I *D sky130_fd_sc_hd__inv_2 +*I *39443:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *38398:A 0 +2 *39443:Y 0.000858149 +3 *1775:16 0.00179046 +4 *1775:10 0.00264861 +5 *1775:10 *2449:10 0 +6 *1775:10 *3749:9 0 +7 *1775:10 *4371:17 0 +8 *1775:10 *4487:8 0 +9 *1775:10 *4842:17 0 +10 *1775:16 *3627:9 0 +11 la_data_in_mprj[3] *1775:16 0 +12 *449:7 *1775:16 0 +13 *822:9 *1775:16 0 +14 *866:7 *1775:10 0 +15 *1760:13 *1775:10 0 +*RES +1 *39443:Y *1775:10 36.9071 +2 *1775:10 *1775:16 46.5 +3 *1775:16 *38398:A 9.3 +*END + +*D_NET *1776 0.00456741 +*CONN +*I *37338:A I *D sky130_fd_sc_hd__inv_2 +*I *39444:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37338:A 0.000792044 +2 *39444:Y 0.00149166 +3 *1776:8 0.0022837 +4 *37338:A *3082:19 0 +5 *1776:8 *1777:10 0 +6 *1776:8 *3896:27 0 +7 *1776:8 *4571:36 0 +8 *1776:8 *4815:32 0 +9 *332:47 *37338:A 0 +*RES +1 *39444:Y *1776:8 45.9964 +2 *1776:8 *37338:A 39.3893 +*END + +*D_NET *1777 0.00790768 +*CONN +*I *37339:A I *D sky130_fd_sc_hd__inv_1 +*I *5211:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39445:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37339:A 0.000128558 +2 *5211:DIODE 0 +3 *39445:Y 0.00165906 +4 *1777:11 0.000508456 +5 *1777:10 0.00216622 +6 *1777:7 0.00344538 +7 *37339:A *3127:25 0 +8 *1777:10 *37506:A 0 +9 *1777:10 *37888:A_N 0 +10 *1777:10 *37888:B 0 +11 *1777:10 *2776:10 0 +12 *1777:10 *2781:6 0 +13 *1777:10 *2911:15 0 +14 *1777:10 *3604:16 0 +15 *1777:10 *3880:34 0 +16 *1777:10 *3885:38 0 +17 *1777:10 *4207:32 0 +18 *1777:10 *4425:48 0 +19 *1777:10 *4571:36 0 +20 *1777:10 *4651:8 0 +21 *1777:10 *4815:32 0 +22 *1777:11 *3127:25 0 +23 *39445:B *1777:7 0 +24 *1148:12 *37339:A 0 +25 *1148:12 *1777:11 0 +26 *1485:34 *1777:10 0 +27 *1649:15 *1777:7 0 +28 *1774:22 *1777:10 0 +29 *1776:8 *1777:10 0 +*RES +1 *39445:Y *1777:7 48.425 +2 *1777:7 *1777:10 45 +3 *1777:10 *1777:11 7.92857 +4 *1777:11 *5211:DIODE 9.3 +5 *1777:11 *37339:A 12.0455 +*END + +*D_NET *1778 0.0136936 +*CONN +*I *37340:A I *D sky130_fd_sc_hd__clkinv_2 +*I *5212:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39446:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *37340:A 0.000156294 +2 *5212:DIODE 0 +3 *39446:Y 0.000415383 +4 *1778:20 0.000816781 +5 *1778:15 0.0062751 +6 *1778:14 0.00603 +7 *37340:A *3431:25 0 +8 *37340:A *3468:10 0 +9 *1778:14 *3069:34 0 +10 *1778:15 *37498:A 0 +11 *1778:15 *37535:A 0 +12 *1778:15 *39557:A 0 +13 *1778:15 *2354:5 0 +14 *1778:15 *3052:15 0 +15 *1778:15 *3052:21 0 +16 *1778:15 *3052:27 0 +17 *1778:15 *3382:12 0 +18 *1778:15 *3382:31 0 +19 *1778:15 *4786:9 0 +20 *1778:15 *4791:7 0 +21 *1778:15 *4812:10 0 +22 *1778:20 *2363:22 0 +23 *1778:20 *3127:17 0 +24 *1778:20 *3431:22 0 +25 *39446:B *1778:15 0 +*RES +1 *39446:Y *1778:14 27.0898 +2 *1778:14 *1778:15 117.179 +3 *1778:15 *1778:20 24 +4 *1778:20 *5212:DIODE 9.3 +5 *1778:20 *37340:A 21.6214 +*END + +*D_NET *1779 0.00679984 +*CONN +*I *37341:A I *D sky130_fd_sc_hd__inv_2 +*I *39447:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37341:A 0.00140793 +2 *39447:Y 0.000261822 +3 *1779:10 0.0031381 +4 *1779:7 0.00199199 +5 *37341:A *37342:A 0 +6 *37341:A *4803:19 0 +7 *37341:A *4810:7 0 +8 *1779:7 *2789:21 0 +9 *1779:10 *5766:DIODE 0 +10 *1779:10 *2901:8 0 +11 *1779:10 *2906:8 0 +12 *1779:10 *3467:25 0 +13 *1779:10 *3621:32 0 +14 *1779:10 *4451:75 0 +15 *1779:10 *4523:10 0 +16 *1152:11 *1779:10 0 +17 *1162:11 *1779:10 0 +18 *1354:32 *1779:10 0 +19 *1479:11 *1779:7 0 +20 *1481:19 *1779:10 0 +*RES +1 *39447:Y *1779:7 19.2643 +2 *1779:7 *1779:10 43.7857 +3 *1779:10 *37341:A 38.7464 +*END + +*D_NET *1780 0.00925117 +*CONN +*I *5213:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37342:A I *D sky130_fd_sc_hd__inv_2 +*I *39448:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5213:DIODE 0 +2 *37342:A 0.0002374 +3 *39448:Y 0.00116386 +4 *1780:31 0.00163763 +5 *1780:29 0.00322433 +6 *1780:19 0.00298795 +7 *37342:A *1786:30 0 +8 *37342:A *4803:19 0 +9 *37342:A *4810:7 0 +10 *37342:A *4811:10 0 +11 *1780:19 *3383:48 0 +12 *1780:19 *3556:62 0 +13 *1780:19 *3600:7 0 +14 *1780:19 *3600:23 0 +15 *1780:19 *3609:15 0 +16 *1780:19 *3621:21 0 +17 *1780:19 *3631:37 0 +18 *1780:29 *5822:DIODE 0 +19 *1780:29 *37343:A 0 +20 *1780:29 *39560:A 0 +21 *1780:29 *2360:24 0 +22 *1780:29 *3060:14 0 +23 *1780:29 *3621:21 0 +24 *1780:29 *4192:11 0 +25 *1780:29 *4439:45 0 +26 *1780:29 *4439:49 0 +27 *1780:29 *4560:12 0 +28 *1780:29 *4810:7 0 +29 *1780:31 *39561:A 0 +30 *1780:31 *4803:19 0 +31 *1780:31 *4810:7 0 +32 *37341:A *37342:A 0 +33 *39430:A *37342:A 0 +34 *310:27 *37342:A 0 +35 *311:49 *1780:29 0 +36 *1161:11 *1780:19 0 +37 *1762:14 *37342:A 0 +*RES +1 *39448:Y *1780:19 47.028 +2 *1780:19 *1780:29 44.7411 +3 *1780:29 *1780:31 29.2857 +4 *1780:31 *37342:A 23.4071 +5 *1780:31 *5213:DIODE 9.3 +*END + +*D_NET *1781 0.00437148 +*CONN +*I *37343:A I *D sky130_fd_sc_hd__inv_2 +*I *39449:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37343:A 0.00127565 +2 *39449:Y 0.000910087 +3 *1781:13 0.00218574 +4 *37343:A *37498:A 0 +5 *37343:A *2360:14 0 +6 *37343:A *3060:14 0 +7 *37343:A *4192:11 0 +8 *37343:A *4593:20 0 +9 *1781:13 *2914:13 0 +10 *1781:13 *3585:25 0 +11 *1781:13 *4203:16 0 +12 *1781:13 *4399:40 0 +13 *1781:13 *4439:49 0 +14 *1781:13 *4693:38 0 +15 *7402:DIODE *1781:13 0 +16 *307:13 *1781:13 0 +17 *311:41 *1781:13 0 +18 *311:49 *37343:A 0 +19 *1772:14 *37343:A 0 +20 *1780:29 *37343:A 0 +*RES +1 *39449:Y *1781:13 43.1571 +2 *1781:13 *37343:A 42.7643 +*END + +*D_NET *1782 0.0118322 +*CONN +*I *37344:A I *D sky130_fd_sc_hd__clkinv_2 +*I *5214:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39450:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *37344:A 0.000410059 +2 *5214:DIODE 0 +3 *39450:Y 0.000944932 +4 *1782:49 0.00118178 +5 *1782:36 0.00233135 +6 *1782:15 0.00378938 +7 *1782:14 0.00317469 +8 *37344:A *2360:59 0 +9 *37344:A *3160:26 0 +10 *37344:A *4671:11 0 +11 *1782:14 *3149:24 0 +12 *1782:14 *3149:25 0 +13 *1782:14 *4417:12 0 +14 *1782:14 *4821:10 0 +15 *1782:15 *2363:21 0 +16 *1782:15 *3149:19 0 +17 *1782:15 *3378:24 0 +18 *1782:15 *4821:11 0 +19 *1782:36 *40471:A 0 +20 *1782:36 *3149:12 0 +21 *1782:36 *3171:26 0 +22 *1782:36 *3304:34 0 +23 *1782:36 *3304:45 0 +24 *1782:36 *3378:20 0 +25 *1782:36 *3488:13 0 +26 *1782:36 *3874:12 0 +27 *1782:36 *3922:49 0 +28 *1782:36 *4604:7 0 +29 *1782:49 *2360:51 0 +30 *1782:49 *2360:59 0 +31 *1782:49 *4593:7 0 +32 *1782:49 *4649:33 0 +33 *6773:DIODE *1782:36 0 +34 *6938:DIODE *1782:36 0 +35 *37477:A *1782:14 0 +36 *37485:A *1782:36 0 +37 *38496:A *37344:A 0 +38 *38791:A *1782:36 0 +39 *38802:A *1782:36 0 +40 *39450:A *1782:14 0 +41 *343:52 *1782:36 0 +42 *812:5 *1782:36 0 +*RES +1 *39450:Y *1782:14 38.3714 +2 *1782:14 *1782:15 46.5357 +3 *1782:15 *1782:36 49.0446 +4 *1782:36 *1782:49 21.0982 +5 *1782:49 *5214:DIODE 9.3 +6 *1782:49 *37344:A 18.3357 +*END + +*D_NET *1783 0.00662348 +*CONN +*I *37345:A I *D sky130_fd_sc_hd__inv_2 +*I *39451:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37345:A 0 +2 *39451:Y 4.53482e-05 +3 *1783:17 0.00158702 +4 *1783:10 0.00326639 +5 *1783:7 0.00172472 +6 *1783:10 *37914:B 0 +7 *1783:10 *37948:A_N 0 +8 *1783:10 *37948:B 0 +9 *1783:10 *38192:B 0 +10 *1783:10 *2364:30 0 +11 *1783:10 *2790:10 0 +12 *1783:10 *2797:75 0 +13 *1783:10 *2907:10 0 +14 *1783:10 *3304:48 0 +15 *1783:10 *3585:14 0 +16 *1783:10 *3637:40 0 +17 *1783:10 *4147:14 0 +18 *1783:10 *4802:16 0 +19 *1783:17 *37346:A 0 +20 *1783:17 *3068:25 0 +21 *1783:17 *3127:24 0 +22 *1783:17 *3127:25 0 +23 *1783:17 *3215:23 0 +24 *1783:17 *3468:21 0 +25 *1783:17 *3920:18 0 +26 *1783:17 *3920:19 0 +27 *321:13 *1783:10 0 +28 *1356:10 *1783:10 0 +29 *1486:37 *1783:7 0 +*RES +1 *39451:Y *1783:7 14.7464 +2 *1783:7 *1783:10 42.5714 +3 *1783:10 *1783:17 42.3036 +4 *1783:17 *37345:A 9.3 +*END + +*D_NET *1784 0.00413952 +*CONN +*I *37346:A I *D sky130_fd_sc_hd__inv_2 +*I *39452:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37346:A 0.000476341 +2 *39452:Y 0.00159342 +3 *1784:12 0.00206976 +4 *37346:A *4526:18 0 +5 *37346:A *4538:8 0 +6 *1784:12 *5854:DIODE 0 +7 *1784:12 *37916:A_N 0 +8 *1784:12 *37916:C 0 +9 *1784:12 *2767:14 0 +10 *1784:12 *2792:11 0 +11 *1784:12 *3644:37 0 +12 *1784:12 *4207:16 0 +13 *1784:12 *4417:34 0 +14 *1784:12 *4422:18 0 +15 *1784:12 *4422:27 0 +16 *1784:12 *4526:18 0 +17 *1784:12 *4538:8 0 +18 *39452:A *1784:12 0 +19 *291:33 *1784:12 0 +20 *291:35 *1784:12 0 +21 *1283:20 *1784:12 0 +22 *1365:20 *1784:12 0 +23 *1368:8 *1784:12 0 +24 *1783:17 *37346:A 0 +*RES +1 *39452:Y *1784:12 49.7911 +2 *1784:12 *37346:A 24.6482 +*END + +*D_NET *1785 0.0121449 +*CONN +*I *37347:A I *D sky130_fd_sc_hd__clkinv_2 +*I *5215:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39453:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37347:A 0 +2 *5215:DIODE 0.000143745 +3 *39453:Y 0.000962059 +4 *1785:20 0.000523481 +5 *1785:15 0.00357379 +6 *1785:14 0.00458689 +7 *1785:10 0.0023549 +8 *5215:DIODE *3900:24 0 +9 *5215:DIODE *4827:11 0 +10 *1785:10 *5367:DIODE 0 +11 *1785:10 *37558:B 0 +12 *1785:10 *2502:16 0 +13 *1785:10 *2911:44 0 +14 *1785:10 *3614:60 0 +15 *1785:10 *3634:16 0 +16 *1785:10 *3845:114 0 +17 *1785:10 *4400:48 0 +18 *1785:10 *4620:14 0 +19 *1785:14 *3437:66 0 +20 *1785:14 *3897:60 0 +21 *1785:14 *4150:16 0 +22 *1785:14 *4157:78 0 +23 *1785:14 *4441:10 0 +24 *1785:14 *4704:8 0 +25 *1785:15 *2351:9 0 +26 *1785:15 *2354:5 0 +27 *1785:15 *3929:23 0 +28 *1785:15 *3929:27 0 +29 *1785:20 *37349:A 0 +30 *1785:20 *4615:17 0 +31 *1785:20 *4821:29 0 +32 *39453:A *1785:10 0 +33 *1166:9 *1785:15 0 +34 *1170:11 *1785:15 0 +35 *1392:22 *1785:10 0 +36 *1484:46 *1785:10 0 +37 *1489:15 *1785:10 0 +38 *1499:12 *1785:10 0 +*RES +1 *39453:Y *1785:10 35.5232 +2 *1785:10 *1785:14 36.0446 +3 *1785:14 *1785:15 66.6607 +4 *1785:15 *1785:20 17.625 +5 *1785:20 *5215:DIODE 12.3 +6 *1785:20 *37347:A 9.3 +*END + +*D_NET *1786 0.0169247 +*CONN +*I *6550:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38399:A I *D sky130_fd_sc_hd__inv_2 +*I *39454:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *6550:DIODE 0 +2 *38399:A 0.000257543 +3 *39454:Y 0.00223815 +4 *1786:42 0.00144856 +5 *1786:35 0.00319532 +6 *1786:30 0.00414805 +7 *1786:19 0.00277135 +8 *1786:11 0.00286575 +9 *38399:A *4818:7 0 +10 *1786:11 *2358:16 0 +11 *1786:11 *3468:21 0 +12 *1786:11 *3867:18 0 +13 *1786:11 *4802:16 0 +14 *1786:19 *3149:25 0 +15 *1786:30 *3149:25 0 +16 *1786:30 *3149:41 0 +17 *1786:30 *4126:28 0 +18 *1786:30 *4593:29 0 +19 *1786:30 *4811:10 0 +20 *1786:35 *2364:14 0 +21 *1786:35 *4126:31 0 +22 *1786:42 *37516:A 0 +23 *1786:42 *4126:31 0 +24 *1786:42 *4425:10 0 +25 *1786:42 *4451:11 0 +26 *1786:42 *4451:15 0 +27 *1786:42 *4463:32 0 +28 *37335:A *1786:19 0 +29 *37342:A *1786:30 0 +30 *39430:A *1786:30 0 +31 *39450:A *1786:19 0 +32 *39454:A *1786:11 0 +33 *310:27 *1786:30 0 +34 *1170:26 *1786:11 0 +35 *1294:9 *1786:11 0 +36 *1629:10 *1786:42 0 +37 *1658:9 *1786:11 0 +38 *1762:14 *1786:30 0 +*RES +1 *39454:Y *1786:11 49.3284 +2 *1786:11 *1786:19 21.0618 +3 *1786:19 *1786:30 49.6786 +4 *1786:30 *1786:35 46.3304 +5 *1786:35 *1786:42 34.4196 +6 *1786:42 *38399:A 14.675 +7 *1786:42 *6550:DIODE 9.3 +*END + +*D_NET *1787 0.00933234 +*CONN +*I *37348:A I *D sky130_fd_sc_hd__inv_2 +*I *5216:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39455:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37348:A 0.000124066 +2 *5216:DIODE 0 +3 *39455:Y 0.000838142 +4 *1787:22 0.00167763 +5 *1787:15 0.00370396 +6 *1787:11 0.00298854 +7 *37348:A *4825:33 0 +8 *37348:A *4834:15 0 +9 *1787:11 *1793:20 0 +10 *1787:11 *3131:35 0 +11 *1787:11 *3872:11 0 +12 *1787:11 *3872:13 0 +13 *1787:11 *5197:13 0 +14 *1787:15 *1800:25 0 +15 *1787:15 *1974:24 0 +16 *1787:15 *4151:37 0 +17 *1787:15 *5197:13 0 +18 *1787:22 *1974:24 0 +19 *1787:22 *2071:23 0 +20 *1787:22 *2142:26 0 +21 *1787:22 *3058:22 0 +22 *1787:22 *3332:38 0 +23 *1787:22 *3913:15 0 +24 *1787:22 *4447:17 0 +25 *1787:22 *4455:22 0 +26 *1787:22 *4461:56 0 +27 *6977:DIODE *1787:22 0 +28 *7417:DIODE *1787:11 0 +*RES +1 *39455:Y *1787:11 35.9071 +2 *1787:11 *1787:15 44.9107 +3 *1787:15 *1787:22 43.1429 +4 *1787:22 *5216:DIODE 9.3 +5 *1787:22 *37348:A 11.8893 +*END + +*D_NET *1788 0.00484515 +*CONN +*I *37349:A I *D sky130_fd_sc_hd__clkinv_2 +*I *39456:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37349:A 0.000306235 +2 *39456:Y 0.00211634 +3 *1788:19 0.00242257 +4 *37349:A *3917:13 0 +5 *37349:A *4615:17 0 +6 *37349:A *4817:14 0 +7 *37349:A *4827:11 0 +8 *1788:19 *5366:DIODE 0 +9 *1788:19 *2761:8 0 +10 *1788:19 *2764:20 0 +11 *1788:19 *3463:21 0 +12 *1788:19 *4431:17 0 +13 *1788:19 *4626:14 0 +14 *1788:19 *4821:29 0 +15 *1161:11 *1788:19 0 +16 *1496:16 *1788:19 0 +17 *1662:16 *1788:19 0 +18 *1785:20 *37349:A 0 +*RES +1 *39456:Y *1788:19 43.3564 +2 *1788:19 *37349:A 20.7554 +*END + +*D_NET *1789 0.00904985 +*CONN +*I *5217:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37350:A I *D sky130_fd_sc_hd__inv_2 +*I *39457:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5217:DIODE 0 +2 *37350:A 0.000104386 +3 *39457:Y 0.00064199 +4 *1789:22 0.00135835 +5 *1789:19 0.00214552 +6 *1789:16 0.00252458 +7 *1789:10 0.00227501 +8 *37350:A *2796:13 0 +9 *1789:10 *2911:17 0 +10 *1789:10 *3579:62 0 +11 *1789:16 *2764:8 0 +12 *1789:16 *4402:31 0 +13 *1789:22 *8826:DIODE 0 +14 *1789:22 *37771:A 0 +15 *1789:22 *38188:B 0 +16 *1789:22 *2951:28 0 +17 *1789:22 *3556:62 0 +18 *1789:22 *3585:25 0 +19 *1789:22 *3631:52 0 +20 *1789:22 *4174:67 0 +21 *1789:22 *4430:50 0 +22 *1789:22 *4433:40 0 +23 *39926:A *1789:22 0 +24 *317:15 *1789:22 0 +25 *354:17 *1789:16 0 +26 *1482:15 *1789:16 0 +27 *1484:10 *1789:10 0 +28 *1486:37 *1789:19 0 +29 *1648:11 *37350:A 0 +*RES +1 *39457:Y *1789:10 31.7821 +2 *1789:10 *1789:16 43.2143 +3 *1789:16 *1789:19 23.1071 +4 *1789:19 *1789:22 32.8571 +5 *1789:22 *37350:A 11.4786 +6 *1789:22 *5217:DIODE 9.3 +*END + +*D_NET *1790 0.00637471 +*CONN +*I *37351:A I *D sky130_fd_sc_hd__clkinv_2 +*I *39458:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37351:A 0.000261822 +2 *39458:Y 0.000714448 +3 *1790:8 0.00247291 +4 *1790:7 0.00292554 +5 *37351:A *3465:5 0 +6 *37351:A *3901:40 0 +7 *1790:7 *4402:17 0 +8 *1790:8 *2351:14 0 +9 *1790:8 *2356:20 0 +10 *1790:8 *3241:51 0 +11 *1790:8 *3437:66 0 +12 *1790:8 *3878:43 0 +13 *1790:8 *3887:14 0 +14 *1790:8 *4132:80 0 +15 *1790:8 *4169:44 0 +16 *1790:8 *4397:59 0 +17 *1790:8 *4400:48 0 +18 *1790:8 *4437:23 0 +19 *1790:8 *4441:10 0 +20 *1790:8 *5199:8 0 +21 *37559:A *1790:8 0 +22 *1489:15 *1790:8 0 +23 *1666:13 *1790:7 0 +*RES +1 *39458:Y *1790:7 28.7107 +2 *1790:7 *1790:8 50.2143 +3 *1790:8 *37351:A 19.2643 +*END + +*D_NET *1791 0.00578245 +*CONN +*I *37352:A I *D sky130_fd_sc_hd__clkinv_2 +*I *39459:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37352:A 2.56688e-05 +2 *39459:Y 0 +3 *1791:6 0.00289123 +4 *1791:5 0.00286556 +5 *37352:A *3304:9 0 +6 *37352:A *3367:5 0 +7 *1791:6 *37570:B 0 +8 *1791:6 *39073:A 0 +9 *1791:6 *2354:16 0 +10 *1791:6 *3151:50 0 +11 *1791:6 *3384:87 0 +12 *1791:6 *3456:35 0 +13 *1791:6 *3456:36 0 +14 *1791:6 *3561:61 0 +15 *1791:6 *3852:37 0 +16 *1791:6 *4140:88 0 +17 *1791:6 *4398:25 0 +18 *1791:6 *4726:19 0 +19 *6965:DIODE *1791:6 0 +*RES +1 *39459:Y *1791:5 13.8 +2 *1791:5 *1791:6 65.0893 +3 *1791:6 *37352:A 14.3357 +*END + +*D_NET *1792 0.00721998 +*CONN +*I *37353:A I *D sky130_fd_sc_hd__inv_2 +*I *39460:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37353:A 0 +2 *39460:Y 0.00198623 +3 *1792:29 0.00162376 +4 *1792:11 0.00360999 +5 *1792:11 *3136:48 0 +6 *1792:11 *4196:53 0 +7 *1792:11 *4396:19 0 +8 *1792:11 *4401:42 0 +9 *1792:29 *5336:DIODE 0 +10 *1792:29 *3644:37 0 +11 *1792:29 *4150:27 0 +12 *1792:29 *4163:9 0 +13 *1792:29 *4181:27 0 +14 *1792:29 *4184:38 0 +15 *1792:29 *4205:25 0 +16 *1792:29 *4208:33 0 +17 *1792:29 *4388:30 0 +18 *1487:25 *1792:11 0 +*RES +1 *39460:Y *1792:11 47.8214 +2 *1792:11 *1792:29 42.8319 +3 *1792:29 *37353:A 9.3 +*END + +*D_NET *1793 0.0044542 +*CONN +*I *37354:A I *D sky130_fd_sc_hd__clkinv_2 +*I *39461:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37354:A 0.00018396 +2 *39461:Y 0.000236314 +3 *1793:20 0.00199079 +4 *1793:13 0.00204314 +5 *37354:A *3315:12 0 +6 *37354:A *4443:8 0 +7 *37354:A *4825:7 0 +8 *1793:13 *2064:31 0 +9 *1793:13 *3213:22 0 +10 *1793:13 *3384:77 0 +11 *1793:13 *3921:46 0 +12 *1793:20 *40543:A 0 +13 *1793:20 *2352:29 0 +14 *1793:20 *3367:5 0 +15 *1793:20 *3455:55 0 +16 *1793:20 *3455:58 0 +17 *1793:20 *3463:21 0 +18 *1793:20 *4154:50 0 +19 *1793:20 *4443:8 0 +20 *6788:DIODE *1793:20 0 +21 *6789:DIODE *1793:20 0 +22 *7417:DIODE *1793:20 0 +23 *37563:A *1793:13 0 +24 *322:35 *1793:20 0 +25 *1787:11 *1793:20 0 +*RES +1 *39461:Y *1793:13 23.3405 +2 *1793:13 *1793:20 49.2232 +3 *1793:20 *37354:A 17.6393 +*END + +*D_NET *1794 0.00933566 +*CONN +*I *37355:A I *D sky130_fd_sc_hd__inv_2 +*I *5218:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39462:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37355:A 0 +2 *5218:DIODE 0.000104386 +3 *39462:Y 0.00456344 +4 *1794:20 0.000104386 +5 *1794:18 0.00456344 +6 *5218:DIODE *3917:15 0 +7 *1794:18 *3067:24 0 +8 *1794:18 *3564:20 0 +9 *1794:18 *3601:31 0 +10 *1794:18 *3869:20 0 +11 *1794:18 *3924:15 0 +12 *1794:18 *4432:12 0 +13 *1794:18 *4615:17 0 +14 *1794:18 *4626:14 0 +15 *1794:18 *4821:29 0 +16 *39509:A *1794:18 0 +17 *295:24 *1794:18 0 +18 *376:78 *1794:18 0 +19 *1140:15 *5218:DIODE 0 +*RES +1 *39462:Y *1794:18 45.8152 +2 *1794:18 *1794:20 4.5 +3 *1794:20 *5218:DIODE 11.4786 +4 *1794:20 *37355:A 9.3 +*END + +*D_NET *1795 0.00644731 +*CONN +*I *37356:A I *D sky130_fd_sc_hd__clkinv_2 +*I *39463:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37356:A 0.000379898 +2 *39463:Y 0.00116763 +3 *1795:21 0.00205602 +4 *1795:14 0.00284376 +5 *37356:A *4822:9 0 +6 *37356:A *4828:11 0 +7 *1795:14 *38182:B 0 +8 *1795:14 *2792:43 0 +9 *1795:14 *3452:24 0 +10 *1795:14 *3547:18 0 +11 *1795:14 *3568:34 0 +12 *1795:14 *4397:37 0 +13 *1795:14 *4401:20 0 +14 *1795:21 *2007:19 0 +15 *1795:21 *3456:35 0 +16 *1795:21 *3457:18 0 +17 *1795:21 *3887:10 0 +18 *1795:21 *3920:8 0 +19 *1795:21 *4132:74 0 +20 *1795:21 *4152:109 0 +21 *1795:21 *4156:52 0 +22 *1795:21 *4391:38 0 +23 *1795:21 *4395:83 0 +24 *1795:21 *4443:8 0 +25 *1795:21 *4822:9 0 +26 *1795:21 *4828:11 0 +27 *37489:A *1795:21 0 +28 *39520:B *37356:A 0 +*RES +1 *39463:Y *1795:14 49.0946 +2 *1795:14 *1795:21 42.4018 +3 *1795:21 *37356:A 17.2286 +*END + +*D_NET *1796 0.00925689 +*CONN +*I *5219:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37357:A I *D sky130_fd_sc_hd__inv_2 +*I *39464:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5219:DIODE 0.000119574 +2 *37357:A 2.41714e-05 +3 *39464:Y 0.00158719 +4 *1796:43 0.00159275 +5 *1796:39 0.00289751 +6 *1796:20 0.0030357 +7 *5219:DIODE *3333:9 0 +8 *5219:DIODE *3336:41 0 +9 *5219:DIODE *3901:11 0 +10 *37357:A *3333:9 0 +11 *1796:20 *38216:B 0 +12 *1796:20 *1895:46 0 +13 *1796:20 *1919:56 0 +14 *1796:20 *2004:50 0 +15 *1796:20 *2855:51 0 +16 *1796:20 *2876:8 0 +17 *1796:20 *3583:62 0 +18 *1796:20 *3595:30 0 +19 *1796:20 *3876:93 0 +20 *1796:39 *37956:A_N 0 +21 *1796:39 *1895:46 0 +22 *1796:39 *2004:50 0 +23 *1796:39 *2012:37 0 +24 *1796:39 *2043:43 0 +25 *1796:39 *3426:20 0 +26 *1796:39 *3583:32 0 +27 *1796:39 *4165:20 0 +28 *1796:39 *4760:47 0 +29 *1796:39 *5015:41 0 +30 *1796:39 *5199:21 0 +31 *1796:43 *3329:22 0 +32 *1796:43 *3333:9 0 +33 *1796:43 *3901:11 0 +34 *1796:43 *5199:21 0 +35 *37583:A *1796:20 0 +36 *39473:A *1796:20 0 +37 *40027:A *1796:20 0 +38 *1507:10 *1796:20 0 +39 *1507:12 *1796:20 0 +*RES +1 *39464:Y *1796:20 49.3804 +2 *1796:20 *1796:39 37.3839 +3 *1796:39 *1796:43 30.4286 +4 *1796:43 *37357:A 9.87143 +5 *1796:43 *5219:DIODE 11.8893 +*END + +*D_NET *1797 0.00190098 +*CONN +*I *38400:A I *D sky130_fd_sc_hd__inv_2 +*I *39465:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *38400:A 0.000950492 +2 *39465:Y 0.000950492 +3 *38400:A *2449:15 0 +4 *38400:A *4463:32 0 +5 *6784:DIODE *38400:A 0 +6 *7349:DIODE *38400:A 0 +7 *38395:A *38400:A 0 +8 *260:17 *38400:A 0 +*RES +1 *39465:Y *38400:A 48.4929 +*END + +*D_NET *1798 0.0116016 +*CONN +*I *37358:A I *D sky130_fd_sc_hd__clkinv_2 +*I *5220:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39466:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37358:A 0.00014075 +2 *5220:DIODE 0 +3 *39466:Y 0.00114668 +4 *1798:57 0.00159916 +5 *1798:40 0.00451336 +6 *1798:11 0.00420164 +7 *37358:A *3878:31 0 +8 *37358:A *3901:21 0 +9 *1798:11 *39925:A 0 +10 *1798:11 *2855:22 0 +11 *1798:11 *3051:36 0 +12 *1798:11 *3353:27 0 +13 *1798:11 *3554:45 0 +14 *1798:11 *3554:54 0 +15 *1798:11 *3556:14 0 +16 *1798:40 *1914:47 0 +17 *1798:40 *3051:36 0 +18 *1798:40 *3554:29 0 +19 *1798:40 *3568:22 0 +20 *1798:40 *3577:83 0 +21 *1798:40 *3579:15 0 +22 *1798:40 *3586:20 0 +23 *1798:40 *3866:32 0 +24 *1798:40 *4644:10 0 +25 *1798:57 *37954:C 0 +26 *1798:57 *3268:30 0 +27 *1798:57 *3338:25 0 +28 *1798:57 *3346:14 0 +29 *1798:57 *3901:21 0 +30 *1798:57 *4170:30 0 +31 *1798:57 *4188:14 0 +32 *1798:57 *4454:22 0 +33 *39931:A *1798:11 0 +34 *387:20 *1798:40 0 +35 *1492:42 *1798:40 0 +36 *1673:11 *1798:40 0 +37 *1675:32 *1798:40 0 +*RES +1 *39466:Y *1798:11 42.3714 +2 *1798:11 *1798:40 49.6646 +3 *1798:40 *1798:57 37.9732 +4 *1798:57 *5220:DIODE 9.3 +5 *1798:57 *37358:A 12.3 +*END + +*D_NET *1799 0.00793078 +*CONN +*I *37359:A I *D sky130_fd_sc_hd__inv_2 +*I *39467:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37359:A 0 +2 *39467:Y 0.000313787 +3 *1799:29 0.00150056 +4 *1799:13 0.0036516 +5 *1799:10 0.00246483 +6 *1799:10 *6319:DIODE 0 +7 *1799:10 *4205:15 0 +8 *1799:13 *3567:32 0 +9 *1799:13 *4211:37 0 +10 *1799:29 *40930:A 0 +11 *1799:29 *3437:66 0 +12 *1799:29 *4159:51 0 +13 *1799:29 *4172:34 0 +14 *1799:29 *4188:17 0 +15 *1799:29 *4196:32 0 +16 *1799:29 *4211:36 0 +17 *1799:29 *4214:73 0 +18 *1799:29 *4401:23 0 +19 *1799:29 *4726:19 0 +20 *1373:13 *1799:10 0 +21 *1381:13 *1799:13 0 +22 *1381:32 *1799:13 0 +*RES +1 *39467:Y *1799:10 25.2464 +2 *1799:10 *1799:13 49.3929 +3 *1799:13 *1799:29 41.8141 +4 *1799:29 *37359:A 9.3 +*END + +*D_NET *1800 0.0114243 +*CONN +*I *37360:A I *D sky130_fd_sc_hd__inv_1 +*I *5221:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39468:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37360:A 0.000201836 +2 *5221:DIODE 0 +3 *39468:Y 0.00149494 +4 *1800:37 0.00125083 +5 *1800:36 0.00225678 +6 *1800:25 0.00296636 +7 *1800:18 0.00325352 +8 *37360:A *3059:21 0 +9 *37360:A *3452:19 0 +10 *37360:A *3887:14 0 +11 *37360:A *3920:8 0 +12 *37360:A *5152:15 0 +13 *1800:18 *1811:18 0 +14 *1800:18 *2009:31 0 +15 *1800:18 *2012:37 0 +16 *1800:18 *3170:27 0 +17 *1800:18 *3268:29 0 +18 *1800:18 *3374:43 0 +19 *1800:18 *3840:71 0 +20 *1800:18 *3921:41 0 +21 *1800:18 *3921:46 0 +22 *1800:18 *4132:28 0 +23 *1800:18 *4209:19 0 +24 *1800:25 *1801:22 0 +25 *1800:25 *2142:29 0 +26 *1800:25 *3137:32 0 +27 *1800:36 *2064:22 0 +28 *1800:36 *3347:41 0 +29 *1800:36 *3350:28 0 +30 *1800:36 *3872:11 0 +31 *1800:36 *3889:15 0 +32 *1800:36 *3925:9 0 +33 *1800:36 *4748:23 0 +34 *1800:37 *3059:21 0 +35 *1800:37 *4141:21 0 +36 *1800:37 *5152:15 0 +37 *1377:14 *1800:18 0 +38 *1787:15 *1800:25 0 +*RES +1 *39468:Y *1800:18 45.6 +2 *1800:18 *1800:25 45.9821 +3 *1800:25 *1800:36 43.5714 +4 *1800:36 *1800:37 21.8929 +5 *1800:37 *5221:DIODE 9.3 +6 *1800:37 *37360:A 22.6973 +*END + +*D_NET *1801 0.00678231 +*CONN +*I *37361:A I *D sky130_fd_sc_hd__clkinv_2 +*I *39469:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37361:A 0 +2 *39469:Y 0.00136821 +3 *1801:22 0.00167615 +4 *1801:19 0.00202295 +5 *1801:11 0.00171501 +6 *1801:11 *37994:A_N 0 +7 *1801:11 *3056:20 0 +8 *1801:11 *4152:66 0 +9 *1801:11 *4461:64 0 +10 *1801:19 *4214:40 0 +11 *1801:22 *2049:23 0 +12 *1801:22 *2049:28 0 +13 *1801:22 *2142:29 0 +14 *1801:22 *3061:38 0 +15 *1801:22 *3065:17 0 +16 *1801:22 *3343:13 0 +17 *1801:22 *3349:20 0 +18 *1801:22 *3393:14 0 +19 *1801:22 *3426:20 0 +20 *1801:22 *4395:53 0 +21 *1801:22 *4447:10 0 +22 *1801:22 *4760:28 0 +23 *1395:20 *1801:22 0 +24 *1677:33 *1801:11 0 +25 *1678:18 *1801:11 0 +26 *1800:25 *1801:22 0 +*RES +1 *39469:Y *1801:11 48.8 +2 *1801:11 *1801:19 20.8214 +3 *1801:19 *1801:22 42.5714 +4 *1801:22 *37361:A 9.3 +*END + +*D_NET *1802 0.0126832 +*CONN +*I *37362:A I *D sky130_fd_sc_hd__clkinv_2 +*I *5222:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39470:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *37362:A 0.000124066 +2 *5222:DIODE 0 +3 *39470:Y 4.53482e-05 +4 *1802:26 0.00035674 +5 *1802:21 0.00255026 +6 *1802:19 0.00348793 +7 *1802:8 0.00362193 +8 *1802:7 0.00249694 +9 *37362:A *3913:15 0 +10 *1802:7 *3597:27 0 +11 *1802:8 *41160:A 0 +12 *1802:8 *41205:A 0 +13 *1802:8 *2351:20 0 +14 *1802:8 *2502:25 0 +15 *1802:8 *3241:41 0 +16 *1802:8 *3384:77 0 +17 *1802:8 *3556:14 0 +18 *1802:8 *3557:42 0 +19 *1802:8 *3618:20 0 +20 *1802:8 *3921:46 0 +21 *1802:8 *3929:20 0 +22 *1802:8 *4132:74 0 +23 *1802:8 *4150:13 0 +24 *1802:8 *4152:109 0 +25 *1802:8 *4191:28 0 +26 *1802:8 *4208:21 0 +27 *1802:8 *4443:8 0 +28 *1802:19 *3910:11 0 +29 *1802:19 *3911:13 0 +30 *1802:19 *3929:20 0 +31 *1802:19 *4141:21 0 +32 *1802:21 *3910:11 0 +33 *1802:21 *4148:15 0 +34 *1802:26 *3058:28 0 +35 *1802:26 *3061:38 0 +36 *39470:A *1802:7 0 +37 *39470:B *1802:8 0 +38 *282:36 *1802:19 0 +39 *343:13 *1802:19 0 +40 *1277:42 *1802:8 0 +41 *1392:28 *1802:8 0 +42 *1395:23 *37362:A 0 +43 *1490:20 *1802:8 0 +*RES +1 *39470:Y *1802:7 14.7464 +2 *1802:7 *1802:8 55.6786 +3 *1802:8 *1802:19 38.2768 +4 *1802:19 *1802:21 48.3839 +5 *1802:21 *1802:26 14.2857 +6 *1802:26 *5222:DIODE 9.3 +7 *1802:26 *37362:A 11.8893 +*END + +*D_NET *1803 0.00519371 +*CONN +*I *37363:A I *D sky130_fd_sc_hd__inv_2 +*I *39471:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37363:A 0.000115296 +2 *39471:Y 0.000163425 +3 *1803:8 0.00243343 +4 *1803:7 0.00248156 +5 *37363:A *3343:5 0 +6 *37363:A *4836:10 0 +7 *37363:A *5197:27 0 +8 *1803:7 *3633:61 0 +9 *1803:7 *4403:19 0 +10 *1803:8 *1896:37 0 +11 *1803:8 *2043:27 0 +12 *1803:8 *2055:17 0 +13 *1803:8 *3059:10 0 +14 *1803:8 *3353:13 0 +15 *1803:8 *3616:41 0 +16 *1803:8 *3888:24 0 +17 *1803:8 *3895:49 0 +18 *1803:8 *4145:20 0 +19 *1803:8 *4179:47 0 +20 *1803:8 *4831:21 0 +21 *1803:8 *4970:36 0 +22 *1494:14 *1803:8 0 +23 *1494:18 *1803:8 0 +*RES +1 *39471:Y *1803:7 17.2107 +2 *1803:7 *1803:8 52.6429 +3 *1803:8 *37363:A 16.4071 +*END + +*D_NET *1804 0.00793976 +*CONN +*I *5223:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37364:A I *D sky130_fd_sc_hd__inv_2 +*I *39472:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5223:DIODE 8.4707e-05 +2 *37364:A 0.000343409 +3 *39472:Y 0.000478295 +4 *1804:8 0.00349158 +5 *1804:7 0.00354176 +6 *5223:DIODE *3058:5 0 +7 *5223:DIODE *3908:40 0 +8 *37364:A *3343:5 0 +9 *37364:A *3349:36 0 +10 *37364:A *3483:12 0 +11 *37364:A *5197:27 0 +12 *1804:7 *1903:32 0 +13 *1804:7 *1917:32 0 +14 *1804:8 *37804:A 0 +15 *1804:8 *37970:A_N 0 +16 *1804:8 *1893:26 0 +17 *1804:8 *2018:30 0 +18 *1804:8 *2743:14 0 +19 *1804:8 *2889:14 0 +20 *1804:8 *3349:36 0 +21 *1804:8 *3561:38 0 +22 *1804:8 *3853:34 0 +23 *1804:8 *3865:20 0 +24 *1804:8 *4145:20 0 +25 *39472:B *1804:7 0 +26 *40039:A *1804:8 0 +27 *1029:70 *1804:8 0 +28 *1387:70 *1804:8 0 +*RES +1 *39472:Y *1804:7 23.7821 +2 *1804:7 *1804:8 69.6429 +3 *1804:8 *37364:A 21.3714 +4 *1804:8 *5223:DIODE 15.5679 +*END + +*D_NET *1805 0.0111818 +*CONN +*I *5224:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37365:A I *D sky130_fd_sc_hd__inv_2 +*I *39473:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5224:DIODE 0 +2 *37365:A 0.000124921 +3 *39473:Y 0.00236937 +4 *1805:38 0.00186883 +5 *1805:34 0.00309658 +6 *1805:15 0.00372205 +7 *37365:A *3332:17 0 +8 *37365:A *3339:45 0 +9 *37365:A *3347:11 0 +10 *1805:15 *1912:57 0 +11 *1805:15 *1919:56 0 +12 *1805:15 *1921:54 0 +13 *1805:15 *2855:51 0 +14 *1805:15 *3639:34 0 +15 *1805:15 *3837:23 0 +16 *1805:15 *4361:17 0 +17 *1805:15 *4385:21 0 +18 *1805:34 *1912:41 0 +19 *1805:34 *1952:59 0 +20 *1805:34 *2889:14 0 +21 *1805:34 *3151:50 0 +22 *1805:34 *3580:102 0 +23 *1805:38 *40355:A 0 +24 *1805:38 *2022:17 0 +25 *1805:38 *2036:17 0 +26 *1805:38 *2144:61 0 +27 *1805:38 *3242:36 0 +28 *1805:38 *3349:36 0 +29 *1805:38 *3349:40 0 +30 *1805:38 *3453:10 0 +31 *1805:38 *3596:56 0 +32 *1805:38 *3857:46 0 +33 *1805:38 *3902:64 0 +34 *1805:38 *3921:41 0 +35 *1805:38 *3974:10 0 +36 *1805:38 *4461:42 0 +37 *1805:38 *4466:28 0 +38 *1805:38 *4835:25 0 +39 *1805:38 *4970:36 0 +40 *7434:DIODE *1805:34 0 +41 *39473:A *1805:15 0 +42 *40027:A *1805:15 0 +43 *338:85 *1805:34 0 +*RES +1 *39473:Y *1805:15 49.8508 +2 *1805:15 *1805:34 42.6421 +3 *1805:34 *1805:38 44.1339 +4 *1805:38 *37365:A 11.9071 +5 *1805:38 *5224:DIODE 9.3 +*END + +*D_NET *1806 0.0117927 +*CONN +*I *37366:A I *D sky130_fd_sc_hd__inv_2 +*I *5225:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39474:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37366:A 0.000159146 +2 *5225:DIODE 0 +3 *39474:Y 0.00156811 +4 *1806:35 0.00432825 +5 *1806:16 0.00573722 +6 *37366:A *40449:A 0 +7 *37366:A *3332:16 0 +8 *37366:A *3450:13 0 +9 *1806:16 *2857:20 0 +10 *1806:16 *3568:22 0 +11 *1806:16 *3853:22 0 +12 *1806:16 *3863:28 0 +13 *1806:16 *4458:48 0 +14 *1806:35 *40449:A 0 +15 *1806:35 *1888:14 0 +16 *1806:35 *1980:10 0 +17 *1806:35 *2027:40 0 +18 *1806:35 *2104:26 0 +19 *1806:35 *2107:45 0 +20 *1806:35 *2179:36 0 +21 *1806:35 *2191:30 0 +22 *1806:35 *3056:15 0 +23 *1806:35 *3222:37 0 +24 *1806:35 *3450:13 0 +25 *1806:35 *3594:26 0 +26 *1806:35 *3630:37 0 +27 *1806:35 *3846:16 0 +28 *1806:35 *4130:13 0 +*RES +1 *39474:Y *1806:16 48.6393 +2 *1806:16 *1806:35 43.2425 +3 *1806:35 *5225:DIODE 9.3 +4 *1806:35 *37366:A 12.7464 +*END + +*D_NET *1807 0.0146184 +*CONN +*I *5226:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37367:A I *D sky130_fd_sc_hd__inv_2 +*I *39475:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *5226:DIODE 0 +2 *37367:A 0.000156294 +3 *39475:Y 0.00458493 +4 *1807:38 0.00143301 +5 *1807:34 0.00256796 +6 *1807:17 0.00587617 +7 *37367:A *39105:A 0 +8 *37367:A *3331:19 0 +9 *37367:A *4479:10 0 +10 *37367:A *4841:17 0 +11 *1807:17 *1809:19 0 +12 *1807:17 *1811:30 0 +13 *1807:17 *1980:10 0 +14 *1807:17 *2027:40 0 +15 *1807:17 *2078:15 0 +16 *1807:17 *2172:33 0 +17 *1807:17 *2751:22 0 +18 *1807:17 *3056:15 0 +19 *1807:17 *3156:54 0 +20 *1807:17 *3611:24 0 +21 *1807:17 *3683:12 0 +22 *1807:17 *3902:48 0 +23 *1807:34 *39103:A 0 +24 *1807:34 *40455:A 0 +25 *1807:34 *1810:24 0 +26 *1807:34 *2049:13 0 +27 *1807:34 *2120:21 0 +28 *1807:34 *2149:19 0 +29 *1807:34 *3336:10 0 +30 *1807:34 *4459:45 0 +31 *1807:34 *4843:16 0 +32 *1807:34 *5070:20 0 +33 *1807:34 *5081:14 0 +34 *1807:38 *39105:A 0 +35 *1807:38 *40190:A 0 +36 *1807:38 *4462:49 0 +37 *1807:38 *4479:10 0 +38 *6819:DIODE *1807:34 0 +39 *6997:DIODE *1807:34 0 +40 *470:7 *1807:34 0 +41 *474:5 *37367:A 0 +*RES +1 *39475:Y *1807:17 48.759 +2 *1807:17 *1807:34 42.0982 +3 *1807:34 *1807:38 31.4107 +4 *1807:38 *37367:A 17.1214 +5 *1807:38 *5226:DIODE 13.8 +*END + +*D_NET *1808 0.000510929 +*CONN +*I *38401:A I *D sky130_fd_sc_hd__inv_2 +*I *39476:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *38401:A 0.000255465 +2 *39476:Y 0.000255465 +3 *38401:A *3749:9 0 +4 *38401:A *4487:8 0 +5 *1680:9 *38401:A 0 +*RES +1 *39476:Y *38401:A 33.0643 +*END + +*D_NET *1809 0.00803278 +*CONN +*I *37368:A I *D sky130_fd_sc_hd__inv_2 +*I *5227:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39477:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37368:A 0.000109092 +2 *5227:DIODE 4.56186e-05 +3 *39477:Y 0.0030503 +4 *1809:20 0.000966093 +5 *1809:19 0.00386168 +6 *5227:DIODE *4473:25 0 +7 *37368:A *2082:25 0 +8 *37368:A *3366:11 0 +9 *1809:19 *40671:A 0 +10 *1809:19 *41357:A 0 +11 *1809:19 *2031:28 0 +12 *1809:19 *2162:27 0 +13 *1809:19 *4170:19 0 +14 *1809:20 *41159:A 0 +15 *1809:20 *1962:13 0 +16 *1809:20 *2078:15 0 +17 *1809:20 *2088:30 0 +18 *1809:20 *3139:38 0 +19 *1809:20 *4139:10 0 +20 *1809:20 *4473:25 0 +21 *6815:DIODE *5227:DIODE 0 +22 *1503:19 *1809:19 0 +23 *1807:17 *1809:19 0 +*RES +1 *39477:Y *1809:19 41.8925 +2 *1809:19 *1809:20 18.4911 +3 *1809:20 *5227:DIODE 14.8357 +4 *1809:20 *37368:A 16.2018 +*END + +*D_NET *1810 0.00832798 +*CONN +*I *5228:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37369:A I *D sky130_fd_sc_hd__inv_2 +*I *39478:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5228:DIODE 0 +2 *37369:A 0.000353108 +3 *39478:Y 0.0035447 +4 *1810:24 0.000619288 +5 *1810:21 0.00381088 +6 *37369:A *40441:A 0 +7 *37369:A *2098:29 0 +8 *37369:A *3366:15 0 +9 *37369:A *3605:16 0 +10 *37369:A *4462:49 0 +11 *37369:A *4840:15 0 +12 *37369:A *5037:13 0 +13 *1810:21 *1811:30 0 +14 *1810:21 *1930:17 0 +15 *1810:21 *2010:25 0 +16 *1810:21 *2033:47 0 +17 *1810:21 *2158:17 0 +18 *1810:21 *2731:12 0 +19 *1810:21 *3156:47 0 +20 *1810:21 *3359:20 0 +21 *1810:21 *3368:21 0 +22 *1810:21 *3558:50 0 +23 *1810:21 *3620:33 0 +24 *1810:21 *3876:23 0 +25 *1810:21 *4101:20 0 +26 *1810:24 *4459:45 0 +27 *1530:32 *1810:21 0 +28 *1807:34 *1810:24 0 +*RES +1 *39478:Y *1810:21 48.8473 +2 *1810:21 *1810:24 10.5446 +3 *1810:24 *37369:A 31.0624 +4 *1810:24 *5228:DIODE 9.3 +*END + +*D_NET *1811 0.0196942 +*CONN +*I *37370:A I *D sky130_fd_sc_hd__inv_2 +*I *5229:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39479:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *37370:A 0.000181693 +2 *5229:DIODE 0 +3 *39479:Y 0.000909035 +4 *1811:30 0.00757483 +5 *1811:25 0.00875636 +6 *1811:18 0.00227226 +7 *37370:A *41094:A 0 +8 *37370:A *1998:29 0 +9 *37370:A *3902:39 0 +10 *37370:A *4189:22 0 +11 *1811:18 *3451:38 0 +12 *1811:18 *3451:40 0 +13 *1811:25 *2012:37 0 +14 *1811:25 *3056:15 0 +15 *1811:25 *3451:38 0 +16 *1811:25 *3851:17 0 +17 *1811:25 *3879:22 0 +18 *1811:25 *4993:17 0 +19 *1811:30 *2027:40 0 +20 *1811:30 *2033:47 0 +21 *1811:30 *2049:13 0 +22 *1811:30 *2149:19 0 +23 *1811:30 *3056:15 0 +24 *1811:30 *3061:19 0 +25 *1811:30 *3156:47 0 +26 *1811:30 *3156:54 0 +27 *1811:30 *3368:15 0 +28 *1811:30 *3572:35 0 +29 *1811:30 *3605:33 0 +30 *1811:30 *3902:48 0 +31 *1811:30 *4993:17 0 +32 *39479:A *1811:18 0 +33 *1377:14 *1811:18 0 +34 *1800:18 *1811:18 0 +35 *1807:17 *1811:30 0 +36 *1810:21 *1811:30 0 +*RES +1 *39479:Y *1811:18 34.7911 +2 *1811:18 *1811:25 25.3207 +3 *1811:25 *1811:30 36.0321 +4 *1811:30 *5229:DIODE 13.8 +5 *1811:30 *37370:A 17.9071 +*END + +*D_NET *1812 0.00749975 +*CONN +*I *5230:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37371:A I *D sky130_fd_sc_hd__inv_2 +*I *39480:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5230:DIODE 0 +2 *37371:A 0.000124066 +3 *39480:Y 0.00134986 +4 *1812:23 0.00240001 +5 *1812:13 0.00362581 +6 *37371:A *1959:10 0 +7 *37371:A *2088:36 0 +8 *1812:13 *40653:A 0 +9 *1812:13 *2168:9 0 +10 *1812:13 *2174:25 0 +11 *1812:13 *2187:16 0 +12 *1812:13 *3190:35 0 +13 *1812:13 *3574:20 0 +14 *1812:13 *3630:14 0 +15 *1812:13 *3671:27 0 +16 *1812:13 *4333:26 0 +17 *1812:13 *5058:10 0 +18 *1812:13 *5071:20 0 +19 *1812:23 *1959:10 0 +20 *1812:23 *1962:21 0 +21 *1812:23 *2036:17 0 +22 *1812:23 *2046:25 0 +23 *1812:23 *2057:15 0 +24 *1812:23 *2088:36 0 +25 *1812:23 *2126:13 0 +26 *1812:23 *2136:17 0 +27 *1812:23 *2164:22 0 +28 *1812:23 *2165:22 0 +29 *1812:23 *2166:16 0 +30 *1812:23 *2850:39 0 +31 *1812:23 *3156:47 0 +32 *1812:23 *3170:15 0 +33 *1812:23 *3569:60 0 +34 *1812:23 *3638:12 0 +35 *1288:17 *1812:23 0 +36 *1533:71 *1812:23 0 +37 *1533:74 *1812:23 0 +*RES +1 *39480:Y *1812:13 49.6603 +2 *1812:13 *1812:23 41.6047 +3 *1812:23 *37371:A 11.8893 +4 *1812:23 *5230:DIODE 9.3 +*END + +*D_NET *1813 0.00713181 +*CONN +*I *37372:A I *D sky130_fd_sc_hd__inv_2 +*I *39481:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37372:A 0.00158457 +2 *39481:Y 0.00198134 +3 *1813:23 0.00356591 +4 *37372:A *37374:A 0 +5 *37372:A *39109:A 0 +6 *37372:A *1958:19 0 +7 *37372:A *2020:24 0 +8 *37372:A *2083:34 0 +9 *37372:A *2131:21 0 +10 *37372:A *2135:19 0 +11 *37372:A *3146:8 0 +12 *37372:A *3934:30 0 +13 *37372:A *4292:16 0 +14 *37372:A *4479:35 0 +15 *37372:A *4480:8 0 +16 *37372:A *5115:20 0 +17 *37372:A *5126:10 0 +18 *1813:23 *1934:54 0 +19 *1813:23 *2208:22 0 +20 *1813:23 *3592:9 0 +21 *1813:23 *4480:35 0 +22 *6825:DIODE *37372:A 0 +23 *38867:A *37372:A 0 +*RES +1 *39481:Y *1813:23 40.8748 +2 *1813:23 *37372:A 48.9518 +*END + +*D_NET *1814 0.00861163 +*CONN +*I *5231:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37373:A I *D sky130_fd_sc_hd__inv_2 +*I *39482:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5231:DIODE 0 +2 *37373:A 0.000387763 +3 *39482:Y 0.00136965 +4 *1814:26 0.00293616 +5 *1814:19 0.00391805 +6 *37373:A *4479:10 0 +7 *1814:19 *39770:A 0 +8 *1814:19 *2187:11 0 +9 *1814:19 *2703:17 0 +10 *1814:19 *2703:19 0 +11 *1814:19 *3195:26 0 +12 *1814:19 *3558:50 0 +13 *1814:19 *3592:9 0 +14 *1814:19 *5142:16 0 +15 *1814:26 *2149:14 0 +16 *1814:26 *2186:18 0 +17 *1814:26 *5115:20 0 +18 *6682:DIODE *37373:A 0 +19 *6824:DIODE *37373:A 0 +20 *39482:A *1814:19 0 +21 *39482:B *1814:19 0 +22 *314:19 *1814:19 0 +23 *346:36 *1814:19 0 +24 *603:5 *37373:A 0 +25 *1385:53 *1814:19 0 +26 *1404:10 *1814:19 0 +*RES +1 *39482:Y *1814:19 47.8427 +2 *1814:19 *1814:26 17.6887 +3 *1814:26 *37373:A 22.4607 +4 *1814:26 *5231:DIODE 13.8 +*END + +*D_NET *1815 0.0102645 +*CONN +*I *5232:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37374:A I *D sky130_fd_sc_hd__inv_2 +*I *39483:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5232:DIODE 4.53482e-05 +2 *37374:A 0.000389076 +3 *39483:Y 0.0013179 +4 *1815:42 0.00381437 +5 *1815:19 0.00469785 +6 *5232:DIODE *2098:30 0 +7 *5232:DIODE *3351:15 0 +8 *37374:A *39109:A 0 +9 *37374:A *3694:19 0 +10 *37374:A *4479:35 0 +11 *37374:A *4481:14 0 +12 *1815:19 *37814:A 0 +13 *1815:19 *2044:18 0 +14 *1815:19 *2228:22 0 +15 *1815:19 *2229:18 0 +16 *1815:19 *2231:7 0 +17 *1815:19 *2235:25 0 +18 *1815:19 *3569:21 0 +19 *1815:19 *3578:37 0 +20 *1815:19 *3620:17 0 +21 *1815:19 *4311:22 0 +22 *1815:19 *4370:32 0 +23 *1815:42 *37800:A 0 +24 *1815:42 *38014:C 0 +25 *1815:42 *1817:20 0 +26 *1815:42 *1966:14 0 +27 *1815:42 *1981:12 0 +28 *1815:42 *2052:21 0 +29 *1815:42 *3172:29 0 +30 *1815:42 *3269:39 0 +31 *1815:42 *4056:10 0 +32 *1815:42 *4056:17 0 +33 *1815:42 *4186:12 0 +34 *1815:42 *4280:20 0 +35 *1815:42 *4292:16 0 +36 *7005:DIODE *37374:A 0 +37 *37372:A *37374:A 0 +38 *39483:B *1815:19 0 +*RES +1 *39483:Y *1815:19 47.1128 +2 *1815:19 *1815:42 39.5144 +3 *1815:42 *37374:A 22.3 +4 *1815:42 *5232:DIODE 14.7464 +*END + +*D_NET *1816 0.00780152 +*CONN +*I *5233:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37375:A I *D sky130_fd_sc_hd__inv_2 +*I *39484:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5233:DIODE 0 +2 *37375:A 0.000174238 +3 *39484:Y 0.00120353 +4 *1816:22 0.00269723 +5 *1816:13 0.00372652 +6 *37375:A *2115:24 0 +7 *37375:A *2135:50 0 +8 *37375:A *2139:38 0 +9 *37375:A *2143:31 0 +10 *37375:A *4858:48 0 +11 *1816:13 *8942:DIODE 0 +12 *1816:13 *40852:A 0 +13 *1816:13 *41310:A 0 +14 *1816:13 *41339:A 0 +15 *1816:13 *2250:25 0 +16 *1816:13 *2732:36 0 +17 *1816:13 *2843:32 0 +18 *1816:13 *3575:25 0 +19 *1816:13 *3821:15 0 +20 *1816:13 *4356:14 0 +21 *1816:22 *2118:25 0 +22 *1816:22 *2143:31 0 +23 *1816:22 *2149:14 0 +24 *1816:22 *2228:22 0 +25 *1816:22 *2229:18 0 +26 *1816:22 *3330:12 0 +27 *1816:22 *4002:23 0 +28 *1293:20 *1816:22 0 +*RES +1 *39484:Y *1816:13 46.4805 +2 *1816:13 *1816:22 24.1907 +3 *1816:22 *37375:A 22.05 +4 *1816:22 *5233:DIODE 9.3 +*END + +*D_NET *1817 0.00755907 +*CONN +*I *5234:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37376:A I *D sky130_fd_sc_hd__inv_2 +*I *39485:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5234:DIODE 0 +2 *37376:A 0.000159146 +3 *39485:Y 0.00103723 +4 *1817:20 0.0027423 +5 *1817:11 0.00362039 +6 *37376:A *39111:A 0 +7 *37376:A *2088:46 0 +8 *37376:A *2143:38 0 +9 *1817:11 *2222:10 0 +10 *1817:11 *2225:18 0 +11 *1817:11 *2863:10 0 +12 *1817:11 *3324:48 0 +13 *1817:20 *2052:21 0 +14 *1817:20 *3359:20 0 +15 *1817:20 *4002:13 0 +16 *1282:17 *1817:20 0 +17 *1306:8 *1817:11 0 +18 *1815:42 *1817:20 0 +*RES +1 *39485:Y *1817:11 43.7477 +2 *1817:11 *1817:20 22.533 +3 *1817:20 *37376:A 12.6214 +4 *1817:20 *5234:DIODE 9.3 +*END + +*D_NET *1818 0.0106585 +*CONN +*I *5235:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37377:A I *D sky130_fd_sc_hd__inv_2 +*I *39486:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5235:DIODE 0 +2 *37377:A 0.000169684 +3 *39486:Y 0.00156997 +4 *1818:32 0.000546707 +5 *1818:31 0.00358959 +6 *1818:19 0.00478254 +7 *37377:A *2089:7 0 +8 *37377:A *4484:14 0 +9 *37377:A *4851:20 0 +10 *1818:19 *2235:25 0 +11 *1818:19 *2254:19 0 +12 *1818:19 *2259:26 0 +13 *1818:19 *2725:47 0 +14 *1818:19 *2879:20 0 +15 *1818:19 *3566:51 0 +16 *1818:19 *3588:32 0 +17 *1818:19 *3799:8 0 +18 *1818:31 *2050:17 0 +19 *1818:31 *2081:7 0 +20 *1818:31 *2081:8 0 +21 *1818:31 *2082:47 0 +22 *1818:31 *2085:13 0 +23 *1818:31 *2138:34 0 +24 *1818:31 *3227:8 0 +25 *1818:31 *4025:20 0 +26 *1818:31 *4056:17 0 +27 *1818:31 *4307:11 0 +28 *1818:32 *1959:17 0 +29 *1818:32 *2089:7 0 +30 *1818:32 *3351:26 0 +31 *1818:32 *4484:14 0 +32 *6833:DIODE *37377:A 0 +33 *6833:DIODE *1818:32 0 +34 *1307:10 *1818:19 0 +*RES +1 *39486:Y *1818:19 48.6899 +2 *1818:19 *1818:31 48.4384 +3 *1818:31 *1818:32 8.625 +4 *1818:32 *37377:A 17.425 +5 *1818:32 *5235:DIODE 13.8 +*END + +*D_NET *1819 0.00278279 +*CONN +*I *38402:A I *D sky130_fd_sc_hd__inv_2 +*I *39487:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *38402:A 0 +2 *39487:Y 0.0013914 +3 *1819:11 0.0013914 +4 *1819:11 *5290:DIODE 0 +5 *1819:11 *3071:10 0 +6 *1819:11 *3381:19 0 +7 *1819:11 *3381:30 0 +8 *1819:11 *3917:15 0 +9 *1819:11 *4419:20 0 +10 *1819:11 *4637:42 0 +11 *1819:11 *4891:49 0 +12 *37481:A *1819:11 0 +*RES +1 *39487:Y *1819:11 48.2821 +2 *1819:11 *38402:A 9.3 +*END + +*D_NET *1820 0.0108945 +*CONN +*I *39634:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39488:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *39634:A 0.000756793 +2 *39488:Y 0.00137901 +3 *1820:39 0.00240727 +4 *1820:33 0.00331147 +5 *1820:18 0.00304001 +6 *39634:A *5986:DIODE 0 +7 *39634:A *38013:A 0 +8 *39634:A *2844:41 0 +9 *39634:A *3797:90 0 +10 *39634:A *3817:52 0 +11 *39634:A *3817:63 0 +12 *39634:A *4358:21 0 +13 *1820:18 *2264:57 0 +14 *1820:18 *2925:37 0 +15 *1820:18 *2940:20 0 +16 *1820:18 *3741:47 0 +17 *1820:18 *3984:68 0 +18 *1820:18 *4332:58 0 +19 *1820:18 *4377:79 0 +20 *1820:18 *4705:14 0 +21 *1820:18 *4710:8 0 +22 *1820:33 *2305:44 0 +23 *1820:33 *2420:57 0 +24 *1820:39 *2278:48 0 +25 *1820:39 *2278:54 0 +26 *1820:39 *2947:70 0 +27 *1820:39 *2948:19 0 +28 *1820:39 *3124:49 0 +29 *1820:39 *3372:48 0 +30 *1820:39 *3808:40 0 +31 *1820:39 *4050:29 0 +32 *1820:39 *4124:18 0 +33 *39488:A *1820:18 0 +34 *1012:10 *1820:33 0 +35 *1022:16 *1820:33 0 +36 *1559:18 *1820:39 0 +37 *1560:26 *39634:A 0 +*RES +1 *39488:Y *1820:18 48.2368 +2 *1820:18 *1820:33 25.3282 +3 *1820:33 *1820:39 45.9643 +4 *1820:39 *39634:A 30.3357 +*END + +*D_NET *1821 0.0037579 +*CONN +*I *39633:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39489:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *39633:A 0.000856054 +2 *39489:Y 0.0010229 +3 *1821:16 0.00187895 +4 *39633:A *40729:A 0 +5 *39633:A *40741:A 0 +6 *39633:A *2242:65 0 +7 *39633:A *3670:24 0 +8 *39633:A *3685:22 0 +9 *39633:A *3812:65 0 +10 *39633:A *4345:89 0 +11 *39633:A *4356:37 0 +12 *39633:A *4356:45 0 +13 *1821:16 *2962:30 0 +14 *1821:16 *3177:57 0 +15 *1821:16 *3714:23 0 +16 *1821:16 *4746:15 0 +*RES +1 *39489:Y *1821:16 49.6036 +2 *1821:16 *39633:A 27.2286 +*END + +*D_NET *1822 0.0155769 +*CONN +*I *7620:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39632:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39490:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *7620:DIODE 0 +2 *39632:A 0.000604593 +3 *39490:Y 0.000662088 +4 *1822:28 0.000984466 +5 *1822:25 0.00183096 +6 *1822:17 0.00496001 +7 *1822:16 0.00469081 +8 *1822:10 0.00184397 +9 *39632:A *8875:DIODE 0 +10 *39632:A *1824:88 0 +11 *39632:A *3780:49 0 +12 *39632:A *3995:36 0 +13 *39632:A *4084:31 0 +14 *39632:A *4241:75 0 +15 *39632:A *4314:28 0 +16 *1822:10 *2430:11 0 +17 *1822:10 *4069:21 0 +18 *1822:16 *2382:19 0 +19 *1822:16 *2387:11 0 +20 *1822:16 *2403:52 0 +21 *1822:16 *2821:36 0 +22 *1822:16 *3218:36 0 +23 *1822:16 *3489:17 0 +24 *1822:17 *2385:26 0 +25 *1822:17 *2974:19 0 +26 *1822:25 *2421:30 0 +27 *1822:25 *2831:39 0 +28 *1822:25 *3073:49 0 +29 *1822:25 *3183:24 0 +30 *1822:28 *2834:18 0 +31 *1822:28 *4314:28 0 +32 *39490:B *1822:10 0 +33 *370:24 *1822:25 0 +34 *1211:80 *1822:25 0 +35 *1211:86 *1822:25 0 +36 *1272:18 *1822:16 0 +37 *1272:25 *1822:17 0 +38 *1553:46 *1822:10 0 +*RES +1 *39490:Y *1822:10 33.2286 +2 *1822:10 *1822:16 33.9464 +3 *1822:16 *1822:17 73.2321 +4 *1822:17 *1822:25 44.0893 +5 *1822:25 *1822:28 13.125 +6 *1822:28 *39632:A 31.5857 +7 *1822:28 *7620:DIODE 9.3 +*END + +*D_NET *1823 0.00499291 +*CONN +*I *39630:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39491:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *39630:A 0.00106299 +2 *39491:Y 0.00143347 +3 *1823:18 0.00249645 +4 *39630:A *7975:DIODE 0 +5 *39630:A *2422:89 0 +6 *39630:A *3073:29 0 +7 *39630:A *3073:37 0 +8 *39630:A *3285:139 0 +9 *39630:A *3732:35 0 +10 *39630:A *4033:44 0 +11 *39630:A *4087:26 0 +12 *39630:A *4330:11 0 +13 *1823:18 *7975:DIODE 0 +14 *1823:18 *2929:44 0 +15 *1823:18 *3678:56 0 +16 *1823:18 *3725:48 0 +17 *1823:18 *3748:14 0 +18 *1823:18 *3748:20 0 +19 *1823:18 *3756:43 0 +20 *1823:18 *3758:53 0 +21 *1823:18 *4351:33 0 +22 *358:44 *1823:18 0 +23 *1207:74 *39630:A 0 +24 *1336:38 *1823:18 0 +*RES +1 *39491:Y *1823:18 33.6536 +2 *1823:18 *39630:A 41.0679 +*END + +*D_NET *1824 0.0195508 +*CONN +*I *7617:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39628:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39492:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *7617:DIODE 0.000336398 +2 *39628:A 2.56688e-05 +3 *39492:Y 0.00109462 +4 *1824:88 0.00223538 +5 *1824:69 0.00311347 +6 *1824:54 0.00282351 +7 *1824:42 0.00290744 +8 *1824:11 0.00362187 +9 *1824:10 0.0033924 +10 *7617:DIODE *7884:DIODE 0 +11 *7617:DIODE *2818:17 0 +12 *7617:DIODE *2822:113 0 +13 *7617:DIODE *4051:24 0 +14 *39628:A *3780:26 0 +15 *39628:A *4225:69 0 +16 *1824:10 *3755:13 0 +17 *1824:10 *3761:28 0 +18 *1824:11 *8332:DIODE 0 +19 *1824:11 *37615:A 0 +20 *1824:11 *37842:B 0 +21 *1824:11 *40615:A 0 +22 *1824:11 *40780:A 0 +23 *1824:11 *3117:51 0 +24 *1824:11 *3308:99 0 +25 *1824:11 *3684:95 0 +26 *1824:11 *3728:24 0 +27 *1824:11 *3729:35 0 +28 *1824:11 *3755:11 0 +29 *1824:11 *3755:13 0 +30 *1824:42 *3701:50 0 +31 *1824:42 *4097:94 0 +32 *1824:42 *4247:51 0 +33 *1824:42 *4327:26 0 +34 *1824:42 *4343:15 0 +35 *1824:42 *4351:33 0 +36 *1824:54 *8496:DIODE 0 +37 *1824:54 *2370:66 0 +38 *1824:54 *2822:145 0 +39 *1824:54 *3285:139 0 +40 *1824:54 *3414:6 0 +41 *1824:54 *3657:19 0 +42 *1824:54 *3666:45 0 +43 *1824:54 *3755:11 0 +44 *1824:69 *8422:DIODE 0 +45 *1824:69 *8929:DIODE 0 +46 *1824:69 *40790:A 0 +47 *1824:69 *3183:14 0 +48 *1824:69 *3285:112 0 +49 *1824:69 *3774:25 0 +50 *1824:69 *4080:11 0 +51 *1824:69 *4084:35 0 +52 *1824:69 *4339:14 0 +53 *1824:88 *2375:48 0 +54 *1824:88 *3275:32 0 +55 *1824:88 *3388:55 0 +56 *1824:88 *3780:49 0 +57 *1824:88 *4256:47 0 +58 *1824:88 *4323:77 0 +59 *1824:88 *4323:93 0 +60 *1824:88 *4344:25 0 +61 *7460:DIODE *1824:54 0 +62 *37617:A *1824:54 0 +63 *39491:B *1824:54 0 +64 *39632:A *1824:88 0 +65 *1207:83 *1824:54 0 +66 *1328:28 *1824:42 0 +67 *1336:17 *1824:11 0 +68 *1416:47 *1824:54 0 +*RES +1 *39492:Y *1824:10 41.2286 +2 *1824:10 *1824:11 47.7679 +3 *1824:11 *1824:42 46.623 +4 *1824:42 *1824:54 47.521 +5 *1824:54 *1824:69 48.8214 +6 *1824:69 *1824:88 39.5309 +7 *1824:88 *39628:A 14.3357 +8 *1824:88 *7617:DIODE 21.5679 +*END + +*D_NET *1825 0.0010721 +*CONN +*I *39626:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39493:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *39626:A 0.00053605 +2 *39493:Y 0.00053605 +3 *39626:A *3470:59 0 +4 *39626:A *3470:67 0 +5 *39626:A *3474:38 0 +6 *39626:A *3540:13 0 +7 *39626:A *4069:68 0 +8 *39493:A *39626:A 0 +*RES +1 *39493:Y *39626:A 29.85 +*END + +*D_NET *1826 0.0135126 +*CONN +*I *7613:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39623:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39494:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *7613:DIODE 0.00016043 +2 *39623:A 4.53482e-05 +3 *39494:Y 0.000342258 +4 *1826:50 0.00147304 +5 *1826:40 0.00251414 +6 *1826:28 0.00201648 +7 *1826:9 0.00369413 +8 *1826:8 0.00326679 +9 *7613:DIODE *3297:81 0 +10 *39623:A *3297:81 0 +11 *1826:8 *4580:30 0 +12 *1826:8 *4601:70 0 +13 *1826:9 *37866:A 0 +14 *1826:9 *2922:5 0 +15 *1826:9 *3657:82 0 +16 *1826:9 *3666:83 0 +17 *1826:28 *3470:59 0 +18 *1826:28 *3474:38 0 +19 *1826:28 *3657:82 0 +20 *1826:28 *3945:38 0 +21 *1826:28 *4601:52 0 +22 *1826:40 *2989:36 0 +23 *1826:40 *3097:18 0 +24 *1826:40 *3432:97 0 +25 *1826:40 *3540:13 0 +26 *1826:50 *3490:20 0 +27 *1826:50 *3802:45 0 +28 *1826:50 *3945:25 0 +29 *1826:50 *3967:40 0 +30 *1826:50 *4894:6 0 +31 *7463:DIODE *1826:9 0 +32 *7464:DIODE *1826:8 0 +33 *37717:A *1826:9 0 +34 *40100:A *1826:28 0 +35 *40100:A *1826:40 0 +36 *361:41 *1826:8 0 +37 *1274:11 *1826:9 0 +38 *1274:11 *1826:28 0 +39 *1332:27 *1826:40 0 +40 *1422:33 *1826:9 0 +41 *1424:36 *1826:40 0 +42 *1448:64 *7613:DIODE 0 +43 *1448:64 *39623:A 0 +44 *1552:16 *1826:28 0 +45 *1697:15 *1826:9 0 +*RES +1 *39494:Y *1826:8 26.0143 +2 *1826:8 *1826:9 60.9107 +3 *1826:9 *1826:28 43.5893 +4 *1826:28 *1826:40 44.4107 +5 *1826:40 *1826:50 37.1429 +6 *1826:50 *39623:A 10.2464 +7 *1826:50 *7613:DIODE 12.7107 +*END + +*D_NET *1827 0.00116677 +*CONN +*I *39620:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39495:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *39620:A 0.000583387 +2 *39495:Y 0.000583387 +3 *39620:A *6061:DIODE 0 +4 *39620:A *1847:5 0 +5 *39620:A *2990:42 0 +6 *39620:A *2996:29 0 +7 *39620:A *3476:45 0 +8 *38129:A *39620:A 0 +9 *1721:5 *39620:A 0 +10 *1748:8 *39620:A 0 +*RES +1 *39495:Y *39620:A 39.9571 +*END + +*D_NET *1828 0.0195514 +*CONN +*I *7607:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39616:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39496:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *7607:DIODE 0.000508499 +2 *39616:A 0.00070072 +3 *39496:Y 0.000986365 +4 *1828:47 0.00295438 +5 *1828:23 0.00633645 +6 *1828:21 0.00583494 +7 *1828:18 0.00223002 +8 *7607:DIODE *40317:A 0 +9 *7607:DIODE *40506:A 0 +10 *7607:DIODE *3251:43 0 +11 *7607:DIODE *3405:41 0 +12 *7607:DIODE *5188:32 0 +13 *39616:A *7136:DIODE 0 +14 *39616:A *40506:A 0 +15 *39616:A *3194:63 0 +16 *39616:A *3203:30 0 +17 *39616:A *3211:15 0 +18 *39616:A *3783:76 0 +19 *39616:A *4591:34 0 +20 *39616:A *5172:65 0 +21 *1828:18 *3357:61 0 +22 *1828:18 *3391:42 0 +23 *1828:18 *4527:13 0 +24 *1828:18 *4545:69 0 +25 *1828:18 *5166:22 0 +26 *1828:21 *1829:30 0 +27 *1828:21 *4559:9 0 +28 *1828:21 *5076:9 0 +29 *1828:23 *39046:A 0 +30 *1828:23 *1829:30 0 +31 *1828:23 *1829:33 0 +32 *1828:23 *3571:48 0 +33 *1828:23 *4416:32 0 +34 *1828:23 *4524:13 0 +35 *1828:23 *4524:31 0 +36 *1828:23 *4592:28 0 +37 *1828:23 *4784:21 0 +38 *1828:23 *5076:9 0 +39 *1828:23 *5102:39 0 +40 *1828:23 *5102:51 0 +41 *1828:23 *5166:78 0 +42 *1828:23 *5171:107 0 +43 *1828:47 *40506:A 0 +44 *1828:47 *1840:72 0 +45 *1828:47 *2984:58 0 +46 *1828:47 *3122:31 0 +47 *1828:47 *3221:36 0 +48 *1828:47 *3264:93 0 +49 *1828:47 *4015:55 0 +50 *1828:47 *4427:42 0 +51 *1828:47 *4586:92 0 +52 *1828:47 *5172:65 0 +53 *1828:47 *5186:65 0 +54 mprj_dat_i_core[9] *1828:18 0 +55 *6783:DIODE *1828:47 0 +56 *39542:A *1828:18 0 +57 *39640:A *1828:47 0 +58 *363:53 *1828:18 0 +59 *368:106 *1828:18 0 +60 *540:55 *1828:47 0 +61 *798:23 *1828:47 0 +62 *933:8 *1828:18 0 +63 *1062:11 *1828:18 0 +64 *1098:15 *1828:23 0 +65 *1240:36 *1828:23 0 +66 *1726:25 *1828:23 0 +*RES +1 *39496:Y *1828:18 40.8357 +2 *1828:18 *1828:21 26.0179 +3 *1828:21 *1828:23 95.8214 +4 *1828:23 *1828:47 36.7148 +5 *1828:47 *39616:A 33.3893 +6 *1828:47 *7607:DIODE 30.2286 +*END + +*D_NET *1829 0.0213335 +*CONN +*I *39614:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7605:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39497:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *39614:A 0 +2 *7605:DIODE 2.56688e-05 +3 *39497:Y 0.000674623 +4 *1829:81 0.00107571 +5 *1829:51 0.00240571 +6 *1829:47 0.0033425 +7 *1829:33 0.00418921 +8 *1829:30 0.00430162 +9 *1829:21 0.00339722 +10 *1829:18 0.00192127 +11 *7605:DIODE *8264:DIODE 0 +12 *1829:18 *39574:A 0 +13 *1829:18 *4581:35 0 +14 *1829:18 *5178:56 0 +15 *1829:21 *7300:DIODE 0 +16 *1829:21 *1873:9 0 +17 *1829:21 *4559:9 0 +18 *1829:21 *5074:60 0 +19 *1829:21 *5076:9 0 +20 *1829:21 *5078:22 0 +21 *1829:21 *5078:28 0 +22 *1829:30 *4525:17 0 +23 *1829:30 *4534:31 0 +24 *1829:30 *4559:9 0 +25 *1829:30 *5078:22 0 +26 *1829:30 *5102:51 0 +27 *1829:30 *5180:26 0 +28 *1829:33 *5102:39 0 +29 *1829:33 *5102:51 0 +30 *1829:47 *40370:A 0 +31 *1829:47 *2849:23 0 +32 *1829:47 *2882:46 0 +33 *1829:47 *3205:68 0 +34 *1829:47 *3571:48 0 +35 *1829:47 *4540:26 0 +36 *1829:47 *5191:27 0 +37 *1829:51 *7140:DIODE 0 +38 *1829:51 *8264:DIODE 0 +39 *1829:51 *3402:73 0 +40 *1829:51 *4348:34 0 +41 *1829:51 *4603:43 0 +42 *1829:81 *40203:A 0 +43 *1829:81 *2373:145 0 +44 *1829:81 *3262:69 0 +45 *1829:81 *3783:76 0 +46 la_data_in_mprj[124] *1829:51 0 +47 mprj_ack_i_core *1829:47 0 +48 mprj_dat_i_core[3] *1829:30 0 +49 *6716:DIODE *1829:81 0 +50 *6873:DIODE *1829:18 0 +51 *795:41 *1829:47 0 +52 *1463:25 *1829:21 0 +53 *1726:25 *1829:33 0 +54 *1731:51 *1829:47 0 +55 *1828:21 *1829:30 0 +56 *1828:23 *1829:30 0 +57 *1828:23 *1829:33 0 +*RES +1 *39497:Y *1829:18 33.6571 +2 *1829:18 *1829:21 26.0179 +3 *1829:21 *1829:30 49.6607 +4 *1829:30 *1829:33 49.3929 +5 *1829:33 *1829:47 27.5949 +6 *1829:47 *1829:51 33.7946 +7 *1829:51 *7605:DIODE 9.83571 +8 *1829:51 *1829:81 42 +9 *1829:81 *39614:A 9.3 +*END + +*D_NET *1830 0.00311164 +*CONN +*I *38403:A I *D sky130_fd_sc_hd__clkinv_2 +*I *39498:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *38403:A 0.000336261 +2 *39498:Y 0.00121956 +3 *1830:13 0.00155582 +4 *38403:A *4433:25 0 +5 *38403:A *4433:34 0 +6 *38403:A *4549:15 0 +7 *1830:13 *39057:A 0 +8 *1830:13 *39059:A 0 +9 *1830:13 *2363:22 0 +10 *1830:13 *2364:30 0 +11 *1830:13 *3749:31 0 +12 *1830:13 *4433:25 0 +13 *1830:13 *4433:34 0 +14 *6628:DIODE *1830:13 0 +15 *6916:DIODE *1830:13 0 +*RES +1 *39498:Y *1830:13 44.5321 +2 *1830:13 *38403:A 16.3179 +*END + +*D_NET *1831 0.0176973 +*CONN +*I *7603:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39612:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39499:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *7603:DIODE 0 +2 *39612:A 0.000235726 +3 *39499:Y 0.000491617 +4 *1831:29 0.00261993 +5 *1831:28 0.00292588 +6 *1831:17 0.00458195 +7 *1831:16 0.00519543 +8 *1831:10 0.00164678 +9 *39612:A *4908:11 0 +10 *1831:10 *38378:A 0 +11 *1831:10 *3039:53 0 +12 *1831:16 *38386:B 0 +13 *1831:16 *1851:5 0 +14 *1831:17 *38113:A 0 +15 *1831:17 *2825:59 0 +16 *1831:17 *3006:23 0 +17 *1831:17 *3006:29 0 +18 *1831:17 *3944:21 0 +19 *1831:28 *2809:24 0 +20 *1831:28 *3006:14 0 +21 *1831:28 *3408:24 0 +22 *1831:28 *3491:48 0 +23 *1831:29 *6501:DIODE 0 +24 *1831:29 *40610:A 0 +25 *1831:29 *1839:23 0 +26 *1831:29 *3539:10 0 +27 *1831:29 *3962:7 0 +28 *1831:29 *3962:11 0 +29 *1831:29 *4908:11 0 +30 *7484:DIODE *1831:17 0 +31 *7492:DIODE *1831:16 0 +32 *37713:A *1831:17 0 +33 *38387:A *1831:16 0 +34 *39519:B *1831:16 0 +35 *367:15 *1831:10 0 +36 *1255:7 *1831:17 0 +37 *1467:8 *1831:28 0 +38 *1582:13 *1831:17 0 +39 *1591:10 *1831:16 0 +*RES +1 *39499:Y *1831:10 28.6929 +2 *1831:10 *1831:16 33.3393 +3 *1831:16 *1831:17 84.3214 +4 *1831:17 *1831:28 18.7573 +5 *1831:28 *1831:29 49.8214 +6 *1831:29 *39612:A 23.3536 +7 *1831:29 *7603:DIODE 9.3 +*END + +*D_NET *1832 0.0179655 +*CONN +*I *7600:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39609:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39500:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *7600:DIODE 0 +2 *39609:A 0.000144601 +3 *39500:Y 0.000184039 +4 *1832:36 0.00111391 +5 *1832:19 0.00705624 +6 *1832:17 0.00768481 +7 *1832:14 0.00178192 +8 *39609:A *40231:A 0 +9 *39609:A *3370:8 0 +10 *1832:14 *3002:15 0 +11 *1832:14 *4426:9 0 +12 *1832:17 *3002:9 0 +13 *1832:17 *3002:15 0 +14 *1832:19 *3002:5 0 +15 *1832:19 *3002:9 0 +16 *1832:36 *40231:A 0 +17 *1832:36 *3375:38 0 +18 *1832:36 *4566:17 0 +19 *1832:36 *4773:42 0 +20 *1832:36 *4777:31 0 +21 *39500:A *1832:14 0 +22 *1739:8 *1832:14 0 +*RES +1 *39500:Y *1832:14 22.3893 +2 *1832:14 *1832:17 33.4107 +3 *1832:17 *1832:19 127.036 +4 *1832:19 *1832:36 43.263 +5 *1832:36 *39609:A 12.3179 +6 *1832:36 *7600:DIODE 9.3 +*END + +*D_NET *1833 0.0157046 +*CONN +*I *7597:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39606:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39501:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *7597:DIODE 0.000990424 +2 *39606:A 8.4707e-05 +3 *39501:Y 0.00127551 +4 *1833:28 0.00180537 +5 *1833:19 0.00550164 +6 *1833:17 0.00604691 +7 *7597:DIODE *3077:91 0 +8 *7597:DIODE *3145:13 0 +9 *7597:DIODE *5179:115 0 +10 *39606:A *40252:A 0 +11 *1833:17 *1866:23 0 +12 *1833:17 *3254:16 0 +13 *1833:17 *4595:18 0 +14 *1833:17 *5073:11 0 +15 *1833:17 *5107:43 0 +16 *1833:17 *5177:17 0 +17 *1833:17 *5180:19 0 +18 *1833:19 *39309:A 0 +19 *1833:19 *1855:27 0 +20 *1833:19 *2971:20 0 +21 *1833:19 *3017:31 0 +22 *1833:19 *5072:39 0 +23 *1833:19 *5073:11 0 +24 *1833:19 *5084:107 0 +25 *1833:19 *5177:17 0 +26 *1833:28 *4524:31 0 +27 *1833:28 *4550:63 0 +28 mprj_dat_i_core[7] *1833:17 0 +29 *6783:DIODE *7597:DIODE 0 +30 *795:41 *1833:28 0 +31 *797:54 *39606:A 0 +32 *1043:26 *1833:19 0 +33 *1061:20 *1833:17 0 +34 *1728:42 *1833:19 0 +35 *1731:32 *1833:19 0 +*RES +1 *39501:Y *1833:17 45.8179 +2 *1833:17 *1833:19 99.5179 +3 *1833:19 *1833:28 22.3402 +4 *1833:28 *39606:A 15.5679 +5 *1833:28 *7597:DIODE 36.3714 +*END + +*D_NET *1834 0.0224309 +*CONN +*I *7595:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39604:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39502:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *7595:DIODE 9.41867e-06 +2 *39604:A 0.00100445 +3 *39502:Y 0.000478788 +4 *1834:23 0.0107366 +5 *1834:10 0.0102016 +6 *7595:DIODE *3398:20 0 +7 *39604:A *5509:DIODE 0 +8 *39604:A *7591:DIODE 0 +9 *39604:A *39599:A 0 +10 *39604:A *3106:23 0 +11 *39604:A *3188:31 0 +12 *39604:A *3188:46 0 +13 *39604:A *3398:20 0 +14 *39604:A *4449:18 0 +15 *1834:10 *4573:19 0 +16 *1834:10 *4580:22 0 +17 *1834:10 *4778:32 0 +18 *1834:23 *40370:A 0 +19 *1834:23 *2849:23 0 +20 *1834:23 *2882:46 0 +21 *1834:23 *2983:26 0 +22 *1834:23 *3205:68 0 +23 *1834:23 *3218:24 0 +24 *1834:23 *3266:20 0 +25 *1834:23 *3571:48 0 +26 *1834:23 *4761:31 0 +27 *1834:23 *5175:74 0 +28 *1834:23 *5179:91 0 +29 mprj_dat_i_core[20] *1834:10 0 +30 *6758:DIODE *39604:A 0 +31 *6884:DIODE *1834:10 0 +32 *914:8 *1834:10 0 +33 *1728:17 *1834:23 0 +34 *1728:28 *1834:23 0 +*RES +1 *39502:Y *1834:10 28.0404 +2 *1834:10 *1834:23 45.8372 +3 *1834:23 *39604:A 44.5232 +4 *1834:23 *7595:DIODE 14.0768 +*END + +*D_NET *1835 0.00463035 +*CONN +*I *39602:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39503:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *39602:A 0.000459321 +2 *39503:Y 0.00185585 +3 *1835:5 0.00231517 +4 *39602:A *3026:5 0 +5 *1835:5 *3391:55 0 +6 *1835:5 *4773:20 0 +7 *1835:5 *4777:9 0 +8 *1835:5 *5174:19 0 +9 *1727:9 *39602:A 0 +10 *1744:16 *39602:A 0 +11 *1744:29 *1835:5 0 +*RES +1 *39503:Y *1835:5 48.0321 +2 *1835:5 *39602:A 28.0679 +*END + +*D_NET *1836 0.013812 +*CONN +*I *7591:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39599:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39504:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *7591:DIODE 0.000121071 +2 *39599:A 0.000262677 +3 *39504:Y 0.00244099 +4 *1836:26 0.00446503 +5 *1836:16 0.00652227 +6 *7591:DIODE *3188:31 0 +7 *39599:A *3188:31 0 +8 *1836:16 *3035:14 0 +9 *1836:16 *3091:54 0 +10 *1836:16 *3109:24 0 +11 *1836:16 *3179:23 0 +12 *1836:16 *3199:50 0 +13 *1836:16 *3357:61 0 +14 *1836:16 *3403:39 0 +15 *1836:16 *3419:28 0 +16 *1836:16 *3471:37 0 +17 *1836:16 *3695:23 0 +18 *1836:16 *5183:23 0 +19 *1836:26 *9006:DIODE 0 +20 *1836:26 *3398:20 0 +21 *1836:26 *3536:44 0 +22 *1836:26 *4427:42 0 +23 *1836:26 *4529:92 0 +24 *1836:26 *4783:42 0 +25 *1836:26 *5167:58 0 +26 *1836:26 *5169:18 0 +27 *1836:26 *5169:40 0 +28 *1836:26 *5188:20 0 +29 *6758:DIODE *7591:DIODE 0 +30 *6816:DIODE *1836:26 0 +31 *37427:A *1836:16 0 +32 *39604:A *7591:DIODE 0 +33 *39604:A *39599:A 0 +34 *371:15 *1836:16 0 +35 *1261:30 *1836:26 0 +*RES +1 *39504:Y *1836:16 45.9807 +2 *1836:16 *1836:26 26.5211 +3 *1836:26 *39599:A 14.7821 +4 *1836:26 *7591:DIODE 11.8893 +*END + +*D_NET *1837 0.00190786 +*CONN +*I *39597:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39505:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *39597:A 0.000953928 +2 *39505:Y 0.000953928 +3 *39597:A *7971:DIODE 0 +4 *39597:A *1845:9 0 +5 *39597:A *1845:30 0 +6 *39597:A *2969:5 0 +7 *39597:A *4573:28 0 +*RES +1 *39505:Y *39597:A 47.9214 +*END + +*D_NET *1838 0.00108066 +*CONN +*I *39595:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39506:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *39595:A 0.000540328 +2 *39506:Y 0.000540328 +3 *39595:A *3718:60 0 +4 *39595:A *3718:64 0 +5 *39595:A *3761:84 0 +6 *7464:DIODE *39595:A 0 +7 *39506:A *39595:A 0 +*RES +1 *39506:Y *39595:A 29.8143 +*END + +*D_NET *1839 0.00594105 +*CONN +*I *39592:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39507:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *39592:A 0 +2 *39507:Y 0.00111425 +3 *1839:23 0.00185628 +4 *1839:12 0.00297053 +5 *1839:12 *2388:20 0 +6 *1839:12 *2828:38 0 +7 *1839:12 *3962:15 0 +8 *1839:12 *3962:19 0 +9 *1839:23 *6106:DIODE 0 +10 *1839:23 *38054:A_N 0 +11 *1839:23 *38054:C 0 +12 *1839:23 *2819:27 0 +13 *1839:23 *3006:20 0 +14 *1839:23 *3962:11 0 +15 *1839:23 *3962:15 0 +16 *262:17 *1839:12 0 +17 *1715:14 *1839:12 0 +18 *1737:27 *1839:12 0 +19 *1750:18 *1839:12 0 +20 *1831:29 *1839:23 0 +*RES +1 *39507:Y *1839:12 46.2464 +2 *1839:12 *1839:23 43.5179 +3 *1839:23 *39592:A 9.3 +*END + +*D_NET *1840 0.0177749 +*CONN +*I *7584:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39589:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39508:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *7584:DIODE 0 +2 *39589:A 0 +3 *39508:Y 0.00251658 +4 *1840:93 0.00178415 +5 *1840:72 0.00407903 +6 *1840:52 0.00458673 +7 *1840:20 0.00480844 +8 *1840:20 *2968:53 0 +9 *1840:20 *3091:90 0 +10 *1840:20 *3116:41 0 +11 *1840:20 *3264:34 0 +12 *1840:20 *3403:39 0 +13 *1840:20 *3999:52 0 +14 *1840:20 *4044:49 0 +15 *1840:20 *4244:29 0 +16 *1840:20 *4404:113 0 +17 *1840:20 *4545:74 0 +18 *1840:20 *4572:44 0 +19 *1840:20 *4590:14 0 +20 *1840:20 *4780:63 0 +21 *1840:52 *38108:B 0 +22 *1840:52 *40236:A 0 +23 *1840:52 *3091:90 0 +24 *1840:52 *3107:53 0 +25 *1840:52 *3286:34 0 +26 *1840:52 *3412:71 0 +27 *1840:52 *3498:25 0 +28 *1840:52 *3975:51 0 +29 *1840:52 *3975:77 0 +30 *1840:52 *4044:49 0 +31 *1840:52 *4411:65 0 +32 *1840:52 *4427:93 0 +33 *1840:52 *5084:82 0 +34 *1840:52 *5189:34 0 +35 *1840:72 *8166:DIODE 0 +36 *1840:72 *2411:93 0 +37 *1840:72 *2417:19 0 +38 *1840:72 *2982:29 0 +39 *1840:72 *3113:70 0 +40 *1840:72 *3194:63 0 +41 *1840:72 *3221:36 0 +42 *1840:72 *3264:93 0 +43 *1840:72 *3281:24 0 +44 *1840:72 *3288:24 0 +45 *1840:72 *4274:26 0 +46 *1840:72 *4415:46 0 +47 *1840:72 *4586:92 0 +48 *1840:93 *2373:145 0 +49 *1840:93 *3130:35 0 +50 *1840:93 *3240:25 0 +51 *1840:93 *3257:23 0 +52 *1840:93 *3262:69 0 +53 *1840:93 *3262:86 0 +54 *1840:93 *4550:91 0 +55 *1840:93 *5175:86 0 +56 *6609:DIODE *1840:93 0 +57 *6716:DIODE *1840:93 0 +58 *6944:DIODE *1840:20 0 +59 *39508:A *1840:20 0 +60 *409:61 *1840:93 0 +61 *1001:65 *1840:93 0 +62 *1248:43 *1840:52 0 +63 *1450:45 *1840:52 0 +64 *1618:14 *1840:20 0 +65 *1828:47 *1840:72 0 +*RES +1 *39508:Y *1840:20 49.5886 +2 *1840:20 *1840:52 49.9441 +3 *1840:52 *1840:72 35.707 +4 *1840:72 *1840:93 48.0022 +5 *1840:93 *39589:A 9.3 +6 *1840:72 *7584:DIODE 9.3 +*END + +*D_NET *1841 0.00303938 +*CONN +*I *38404:A I *D sky130_fd_sc_hd__clkinv_2 +*I *39509:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *38404:A 0.000773486 +2 *39509:Y 0.000746206 +3 *1841:17 0.00151969 +4 *38404:A *2359:19 0 +5 *38404:A *4799:28 0 +6 *38404:A *4809:9 0 +7 *1841:17 *5291:DIODE 0 +8 *1841:17 *2359:19 0 +9 *1841:17 *3182:10 0 +10 *1841:17 *4431:17 0 +11 *1841:17 *4615:17 0 +12 *1841:17 *4799:37 0 +13 *1841:17 *4809:9 0 +14 *1841:17 *4820:10 0 +15 *1841:17 *4827:11 0 +*RES +1 *39509:Y *1841:17 34.55 +2 *1841:17 *38404:A 25.4429 +*END + +*D_NET *1842 0.0078553 +*CONN +*I *7583:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39588:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39510:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *7583:DIODE 0 +2 *39588:A 0.000189986 +3 *39510:Y 0.00020607 +4 *1842:9 0.00372158 +5 *1842:8 0.00373767 +6 *39588:A *2809:24 0 +7 *39588:A *3009:71 0 +8 *39588:A *3401:36 0 +9 *39588:A *3940:26 0 +10 *1842:9 *37625:A 0 +11 *1842:9 *38392:B 0 +12 *1842:9 *3044:35 0 +13 *1842:9 *3539:21 0 +14 *1842:9 *3930:13 0 +15 *39510:B *1842:8 0 +16 *1594:8 *1842:8 0 +17 *1715:14 *1842:9 0 +18 *1740:8 *1842:8 0 +*RES +1 *39510:Y *1842:8 22.9786 +2 *1842:8 *1842:9 73.6429 +3 *1842:9 *39588:A 26.5286 +4 *1842:9 *7583:DIODE 9.3 +*END + +*D_NET *1843 0.00816296 +*CONN +*I *7580:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39585:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39511:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *7580:DIODE 0 +2 *39585:A 0.000195653 +3 *39511:Y 0 +4 *1843:5 0.00408148 +5 *1843:4 0.00388583 +6 *39585:A *2815:94 0 +7 *39585:A *3425:24 0 +8 *39585:A *3480:11 0 +9 *39585:A *3930:13 0 +10 *1843:5 *38054:C 0 +11 *1843:5 *2806:108 0 +12 *1843:5 *2806:120 0 +13 *1843:5 *3498:59 0 +14 *1843:5 *3930:13 0 +*RES +1 *39511:Y *1843:4 9.3 +2 *1843:4 *1843:5 81.0357 +3 *1843:5 *39585:A 22.4429 +4 *1843:5 *7580:DIODE 9.3 +*END + +*D_NET *1844 0.0222971 +*CONN +*I *7578:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39583:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39512:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *7578:DIODE 0 +2 *39583:A 0.000175973 +3 *39512:Y 0.00131844 +4 *1844:39 0.00208179 +5 *1844:23 0.00297682 +6 *1844:9 0.0077483 +7 *1844:8 0.00799574 +8 *39583:A *3767:36 0 +9 *39583:A *4219:76 0 +10 *1844:8 *3009:64 0 +11 *1844:9 *1863:47 0 +12 *1844:9 *2366:28 0 +13 *1844:9 *5018:11 0 +14 *1844:23 *2366:40 0 +15 *1844:23 *2721:14 0 +16 *1844:23 *3024:61 0 +17 *1844:23 *3582:30 0 +18 *1844:23 *5018:18 0 +19 *1844:39 *2366:40 0 +20 *1844:39 *2834:44 0 +21 *1844:39 *3036:72 0 +22 *1844:39 *3036:76 0 +23 *1844:39 *3406:92 0 +24 *1844:39 *3734:21 0 +25 *1844:39 *3745:49 0 +26 *1844:39 *3764:34 0 +27 *1844:39 *4219:76 0 +28 *1844:39 *4322:93 0 +29 *39517:A *1844:8 0 +30 *379:27 *1844:8 0 +31 *384:17 *1844:8 0 +32 *1451:63 *1844:9 0 +*RES +1 *39512:Y *1844:8 48.175 +2 *1844:8 *1844:9 139.357 +3 *1844:9 *1844:23 38.1789 +4 *1844:23 *1844:39 48.4286 +5 *1844:39 *39583:A 22.0321 +6 *1844:39 *7578:DIODE 9.3 +*END + +*D_NET *1845 0.00601583 +*CONN +*I *39581:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39513:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *39581:A 5.54018e-05 +2 *39513:Y 0.00138423 +3 *1845:30 0.00162368 +4 *1845:9 0.00295251 +5 *39581:A *3168:25 0 +6 *39581:A *3342:19 0 +7 *1845:9 *2969:5 0 +8 *1845:9 *3724:94 0 +9 *1845:30 *2969:5 0 +10 *1845:30 *4773:49 0 +11 *1845:30 *5187:9 0 +12 *7476:DIODE *1845:9 0 +13 *39505:B *1845:9 0 +14 *39513:B *1845:9 0 +15 *39597:A *1845:9 0 +16 *39597:A *1845:30 0 +*RES +1 *39513:Y *1845:9 47.3714 +2 *1845:9 *1845:30 47.2143 +3 *1845:30 *39581:A 15.2732 +*END + +*D_NET *1846 0.0224076 +*CONN +*I *39579:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7575:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39514:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *39579:A 0.000143015 +2 *7575:DIODE 0.000212246 +3 *39514:Y 0.00149389 +4 *1846:32 0.00101483 +5 *1846:24 0.00173273 +6 *1846:13 0.00869507 +7 *1846:12 0.00762192 +8 *1846:10 0.00149389 +9 *7575:DIODE *8257:DIODE 0 +10 *7575:DIODE *2804:11 0 +11 *39579:A *38340:B 0 +12 *39579:A *3975:106 0 +13 *39579:A *4404:130 0 +14 *1846:10 *2423:10 0 +15 *1846:10 *2801:62 0 +16 *1846:10 *4223:103 0 +17 *1846:13 *5549:DIODE 0 +18 *1846:13 *39655:A 0 +19 *1846:13 *2392:13 0 +20 *1846:13 *2392:15 0 +21 *1846:13 *2801:53 0 +22 *1846:13 *2801:62 0 +23 *1846:24 *3007:34 0 +24 *1846:24 *3764:45 0 +25 *1846:32 *6447:DIODE 0 +26 *1846:32 *3400:98 0 +27 *1846:32 *3402:157 0 +28 *1846:32 *3428:58 0 +29 *1846:32 *3950:83 0 +30 *39403:A *1846:13 0 +31 *39403:B *1846:13 0 +32 *288:11 *1846:10 0 +33 *381:17 *1846:10 0 +34 *1554:6 *1846:24 0 +35 *1580:45 *7575:DIODE 0 +36 *1580:45 *39579:A 0 +*RES +1 *39514:Y *1846:10 47.7821 +2 *1846:10 *1846:12 4.5 +3 *1846:12 *1846:13 159.071 +4 *1846:13 *1846:24 37.7589 +5 *1846:24 *1846:32 15.2857 +6 *1846:32 *7575:DIODE 18.4696 +7 *1846:32 *39579:A 17.0946 +*END + +*D_NET *1847 0.00394776 +*CONN +*I *39577:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39515:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *39577:A 5.89896e-05 +2 *39515:Y 0.00191489 +3 *1847:5 0.00197388 +4 *39577:A *2990:42 0 +5 *39577:A *4636:8 0 +6 *1847:5 *2996:29 0 +7 *1847:5 *2996:31 0 +8 *39515:A *1847:5 0 +9 *39620:A *1847:5 0 +*RES +1 *39515:Y *1847:5 49.2643 +2 *1847:5 *39577:A 19.6393 +*END + +*D_NET *1848 0.00623004 +*CONN +*I *39574:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39516:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *39574:A 0.000499098 +2 *39516:Y 0.00120986 +3 *1848:28 0.00190516 +4 *1848:15 0.00261592 +5 *39574:A *2964:5 0 +6 *39574:A *4533:43 0 +7 *39574:A *4539:54 0 +8 *39574:A *5178:56 0 +9 *1848:15 *39527:B 0 +10 *1848:15 *40228:A 0 +11 *1848:15 *40327:A 0 +12 *1848:15 *41401:A 0 +13 *1848:15 *2714:9 0 +14 *1848:15 *4542:25 0 +15 *1848:15 *4576:23 0 +16 *1848:15 *5166:22 0 +17 *1848:28 *39316:A 0 +18 *1848:28 *40318:A 0 +19 *1848:28 *40327:A 0 +20 *1848:28 *3089:38 0 +21 *1848:28 *4539:48 0 +22 *1848:28 *4547:54 0 +23 *1848:28 *5095:16 0 +24 *6872:DIODE *39574:A 0 +25 *6878:DIODE *1848:15 0 +26 *6909:DIODE *1848:28 0 +27 *6911:DIODE *1848:28 0 +28 *1730:15 *1848:15 0 +29 *1829:18 *39574:A 0 +*RES +1 *39516:Y *1848:15 34.675 +2 *1848:15 *1848:28 47.625 +3 *1848:28 *39574:A 28.925 +*END + +*D_NET *1849 0.0168445 +*CONN +*I *7570:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39572:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39517:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *7570:DIODE 0 +2 *39572:A 0.000222463 +3 *39517:Y 0.000797068 +4 *1849:21 0.00119144 +5 *1849:15 0.00565866 +6 *1849:14 0.00643372 +7 *1849:8 0.0025411 +8 *39572:A *3963:84 0 +9 *39572:A *4318:79 0 +10 *1849:8 *4920:11 0 +11 *1849:14 *2801:53 0 +12 *1849:14 *2801:62 0 +13 *1849:15 *5433:DIODE 0 +14 *1849:15 *3474:39 0 +15 *1849:15 *3540:35 0 +16 *1849:15 *3541:13 0 +17 *1849:15 *4610:20 0 +18 *1849:21 *2833:20 0 +19 *1849:21 *3428:58 0 +20 *1849:21 *3963:84 0 +21 *1849:21 *4223:62 0 +22 *1849:21 *4318:79 0 +23 *37723:A *1849:15 0 +24 *38381:A *1849:8 0 +25 *38383:A *1849:8 0 +26 *39418:A *1849:8 0 +27 *262:11 *1849:8 0 +28 *268:13 *1849:8 0 +29 *285:11 *1849:8 0 +30 *379:27 *1849:8 0 +31 *1243:20 *1849:15 0 +32 *1243:32 *1849:14 0 +33 *1244:30 *1849:8 0 +34 *1257:13 *1849:15 0 +35 *1427:22 *1849:8 0 +36 *1456:36 *1849:21 0 +37 *1467:8 *1849:14 0 +38 *1589:22 *1849:8 0 +39 *1609:8 *1849:8 0 +40 *1697:14 *1849:21 0 +41 *1737:16 *1849:8 0 +*RES +1 *39517:Y *1849:8 36.3357 +2 *1849:8 *1849:14 45.4821 +3 *1849:14 *1849:15 97.875 +4 *1849:15 *1849:21 30.9286 +5 *1849:21 *39572:A 13.9429 +6 *1849:21 *7570:DIODE 9.3 +*END + +*D_NET *1850 0.00996454 +*CONN +*I *7568:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39570:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39518:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *7568:DIODE 0.000259456 +2 *39570:A 4.53482e-05 +3 *39518:Y 0.00033482 +4 *1850:43 0.00107415 +5 *1850:36 0.00207951 +6 *1850:17 0.00357329 +7 *1850:11 0.00259795 +8 *39570:A *4219:85 0 +9 *39570:A *4411:145 0 +10 *1850:11 *38134:C 0 +11 *1850:11 *3407:55 0 +12 *1850:11 *3767:55 0 +13 *1850:11 *3953:62 0 +14 *1850:17 *40553:A 0 +15 *1850:17 *3476:16 0 +16 *1850:17 *3767:51 0 +17 *1850:17 *3767:55 0 +18 *1850:17 *3975:127 0 +19 *1850:17 *4219:105 0 +20 *1850:36 *2411:18 0 +21 *1850:36 *2965:15 0 +22 *1850:36 *3117:12 0 +23 *1850:36 *3397:31 0 +24 *1850:36 *3403:56 0 +25 *1850:36 *3421:52 0 +26 *1850:43 *4219:85 0 +27 *1850:43 *4411:145 0 +28 *364:19 *1850:36 0 +29 *1466:19 *1850:11 0 +30 *1744:16 *1850:11 0 +*RES +1 *39518:Y *1850:11 25.4339 +2 *1850:11 *1850:17 47.2946 +3 *1850:17 *1850:36 46.625 +4 *1850:36 *1850:43 25.1786 +5 *1850:43 *39570:A 10.2464 +6 *1850:43 *7568:DIODE 24.1929 +*END + +*D_NET *1851 0.0167535 +*CONN +*I *7566:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39568:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39519:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *7566:DIODE 0 +2 *39568:A 0.00019273 +3 *39519:Y 0.00175746 +4 *1851:29 0.00120087 +5 *1851:26 0.00257424 +6 *1851:17 0.00371714 +7 *1851:14 0.00385232 +8 *1851:5 0.00345873 +9 *39568:A *3975:106 0 +10 *39568:A *3975:118 0 +11 *39568:A *4013:98 0 +12 *1851:5 *6193:DIODE 0 +13 *1851:5 *38386:B 0 +14 *1851:5 *3944:35 0 +15 *1851:5 *4223:103 0 +16 *1851:14 *3039:52 0 +17 *1851:14 *3399:31 0 +18 *1851:14 *4779:10 0 +19 *1851:17 *3957:29 0 +20 *1851:26 *3397:31 0 +21 *1851:26 *3397:35 0 +22 *1851:26 *3953:62 0 +23 *1851:26 *3957:15 0 +24 *1851:29 *3957:13 0 +25 *1851:29 *3957:15 0 +26 *1851:29 *3975:118 0 +27 *7492:DIODE *1851:5 0 +28 *280:11 *1851:14 0 +29 *1023:101 *1851:14 0 +30 *1831:16 *1851:5 0 +*RES +1 *39519:Y *1851:5 45.9786 +2 *1851:5 *1851:14 49.5179 +3 *1851:14 *1851:17 49.3929 +4 *1851:17 *1851:26 46.3036 +5 *1851:26 *1851:29 25.5714 +6 *1851:29 *39568:A 13.4786 +7 *1851:29 *7566:DIODE 9.3 +*END + +*D_NET *1852 0.00171506 +*CONN +*I *38405:A I *D sky130_fd_sc_hd__clkinv_2 +*I *39520:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *38405:A 0.00085753 +2 *39520:Y 0.00085753 +3 *38405:A *3304:9 0 +4 *38405:A *3431:31 0 +5 *38405:A *4682:16 0 +6 *6781:DIODE *38405:A 0 +7 *38645:A *38405:A 0 +8 *437:11 *38405:A 0 +*RES +1 *39520:Y *38405:A 46.0286 +*END + +*D_NET *1853 0.00032856 +*CONN +*I *37471:A I *D sky130_fd_sc_hd__clkinv_2 +*I *39521:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37471:A 0.00016428 +2 *39521:Y 0.00016428 +3 *37471:A *2712:135 0 +4 *37471:A *3235:111 0 +*RES +1 *39521:Y *37471:A 22.0286 +*END + +*D_NET *1854 0.00219973 +*CONN +*I *37439:A I *D sky130_fd_sc_hd__clkinv_2 +*I *39522:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37439:A 4.53482e-05 +2 *39522:Y 0.00105452 +3 *1854:20 0.00109987 +4 *1854:20 *2371:20 0 +5 *1854:20 *3793:20 0 +6 *407:10 *1854:20 0 +7 *534:43 *1854:20 0 +8 *786:21 *1854:20 0 +9 *788:34 *1854:20 0 +10 *1000:50 *1854:20 0 +*RES +1 *39522:Y *1854:20 49.8357 +2 *1854:20 *37439:A 10.2464 +*END + +*D_NET *1855 0.00806131 +*CONN +*I *37449:A I *D sky130_fd_sc_hd__inv_2 +*I *39523:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37449:A 0.00103601 +2 *39523:Y 0.00121751 +3 *1855:27 0.00281314 +4 *1855:22 0.00299465 +5 *37449:A *4562:26 0 +6 *1855:22 *2927:41 0 +7 *1855:22 *3017:31 0 +8 *1855:22 *3106:51 0 +9 *1855:22 *3142:74 0 +10 *1855:22 *5177:17 0 +11 *1855:22 *5177:21 0 +12 *1855:27 *2927:41 0 +13 *1855:27 *2927:66 0 +14 *1855:27 *2960:43 0 +15 *1855:27 *3017:31 0 +16 *1855:27 *3142:50 0 +17 mprj_ack_i_core *37449:A 0 +18 *966:14 *37449:A 0 +19 *1726:43 *1855:27 0 +20 *1731:32 *1855:27 0 +21 *1731:67 *1855:22 0 +22 *1833:19 *1855:27 0 +*RES +1 *39523:Y *1855:22 49.3893 +2 *1855:22 *1855:27 46.0893 +3 *1855:27 *37449:A 35.8625 +*END + +*D_NET *1856 0.00383504 +*CONN +*I *37450:A I *D sky130_fd_sc_hd__inv_2 +*I *39524:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37450:A 0.000585677 +2 *39524:Y 0.00133184 +3 *1856:16 0.00191752 +4 *37450:A *40443:A 0 +5 *37450:A *3403:7 0 +6 *37450:A *3743:59 0 +7 *1856:16 *40269:A 0 +8 *1856:16 *40486:A 0 +9 *1856:16 *3038:26 0 +10 *1856:16 *3209:17 0 +11 *1856:16 *3403:7 0 +12 *1856:16 *4555:54 0 +13 *1856:16 *4555:62 0 +14 *1856:16 *4780:120 0 +15 *6619:DIODE *37450:A 0 +16 *6827:DIODE *37450:A 0 +17 *6948:DIODE *37450:A 0 +18 *801:36 *1856:16 0 +*RES +1 *39524:Y *1856:16 47.7576 +2 *1856:16 *37450:A 35.3446 +*END + +*D_NET *1857 0.00373914 +*CONN +*I *37451:A I *D sky130_fd_sc_hd__clkinv_2 +*I *39525:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37451:A 0.000202783 +2 *39525:Y 0.00166679 +3 *1857:28 0.00186957 +4 *37451:A *3004:24 0 +5 *37451:A *5102:19 0 +6 *1857:28 *2983:16 0 +7 *1857:28 *4438:19 0 +8 *1857:28 *4774:57 0 +9 *1857:28 *5072:38 0 +10 *1857:28 *5167:85 0 +11 *1857:28 *5171:77 0 +12 *1857:28 *5171:82 0 +13 la_data_in_mprj[126] *1857:28 0 +14 *38620:A *1857:28 0 +15 *39525:A *1857:28 0 +16 *415:10 *1857:28 0 +17 *415:28 *1857:28 0 +18 *544:49 *1857:28 0 +19 *545:11 *1857:28 0 +*RES +1 *39525:Y *1857:28 49.425 +2 *1857:28 *37451:A 18.0321 +*END + +*D_NET *1858 0.0026973 +*CONN +*I *37452:A I *D sky130_fd_sc_hd__inv_2 +*I *39526:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37452:A 0 +2 *39526:Y 0.00134865 +3 *1858:17 0.00134865 +4 *1858:17 *40338:A 0 +5 *1858:17 *3030:23 0 +6 *1858:17 *4535:38 0 +7 *1858:17 *4586:24 0 +8 *1858:17 *4592:28 0 +9 mprj_dat_i_core[2] *1858:17 0 +10 *6943:DIODE *1858:17 0 +11 *38808:A *1858:17 0 +12 *39526:A *1858:17 0 +*RES +1 *39526:Y *1858:17 47.3357 +2 *1858:17 *37452:A 9.3 +*END + +*D_NET *1859 0.000460108 +*CONN +*I *37453:A I *D sky130_fd_sc_hd__inv_2 +*I *39527:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37453:A 0.000230054 +2 *39527:Y 0.000230054 +3 *37453:A *1867:17 0 +4 *37453:A *2964:5 0 +*RES +1 *39527:Y *37453:A 32.6357 +*END + +*D_NET *1860 0.0112796 +*CONN +*I *5277:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37454:A I *D sky130_fd_sc_hd__inv_2 +*I *39528:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5277:DIODE 0.00038368 +2 *37454:A 0 +3 *39528:Y 0.00160111 +4 *1860:57 0.00403866 +5 *1860:28 0.0052561 +6 *5277:DIODE *1862:103 0 +7 *5277:DIODE *4533:65 0 +8 *5277:DIODE *5078:13 0 +9 *5277:DIODE *5175:22 0 +10 *1860:28 *5509:DIODE 0 +11 *1860:28 *7982:DIODE 0 +12 *1860:28 *1862:46 0 +13 *1860:28 *3130:20 0 +14 *1860:28 *3205:68 0 +15 *1860:28 *3221:16 0 +16 *1860:28 *3230:27 0 +17 *1860:28 *3254:33 0 +18 *1860:28 *4411:21 0 +19 *1860:28 *4602:40 0 +20 *1860:28 *5102:19 0 +21 *1860:28 *5186:65 0 +22 *1860:57 *8017:DIODE 0 +23 *1860:57 *1862:69 0 +24 *1860:57 *1862:103 0 +25 *1860:57 *2713:101 0 +26 *1860:57 *2849:48 0 +27 *1860:57 *2994:20 0 +28 *1860:57 *3017:55 0 +29 *1860:57 *3130:20 0 +30 *1860:57 *3205:47 0 +31 *1860:57 *3209:17 0 +32 *1860:57 *3230:27 0 +33 *1860:57 *3357:30 0 +34 *1860:57 *4592:50 0 +35 *1860:57 *4774:25 0 +36 *1860:57 *5171:77 0 +37 *1860:57 *5175:22 0 +38 *1860:57 *5175:48 0 +39 *1860:57 *5179:29 0 +40 *1860:57 *5186:65 0 +41 *1860:57 *5195:21 0 +42 *1860:57 *5195:27 0 +43 *6816:DIODE *1860:28 0 +*RES +1 *39528:Y *1860:28 49.4472 +2 *1860:28 *1860:57 41.0042 +3 *1860:57 *37454:A 9.3 +4 *1860:57 *5277:DIODE 26.4786 +*END + +*D_NET *1861 0.0109791 +*CONN +*I *5278:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37455:A I *D sky130_fd_sc_hd__inv_2 +*I *39529:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5278:DIODE 0.000173955 +2 *37455:A 0 +3 *39529:Y 0.00531558 +4 *1861:20 0.00548953 +5 *5278:DIODE *4548:57 0 +6 *1861:20 *39529:B 0 +7 *1861:20 *1870:18 0 +8 *1861:20 *2713:11 0 +9 *1861:20 *2713:16 0 +10 *1861:20 *3116:22 0 +11 *1861:20 *3209:17 0 +12 *1861:20 *4533:97 0 +13 *1861:20 *4539:22 0 +14 *1861:20 *4556:21 0 +15 *1861:20 *4563:28 0 +16 *1861:20 *4594:23 0 +17 *1861:20 *4601:19 0 +18 *1861:20 *5182:28 0 +19 *1861:20 *5195:21 0 +*RES +1 *39529:Y *1861:20 41.6606 +2 *1861:20 *37455:A 13.8 +3 *1861:20 *5278:DIODE 17.6214 +*END + +*D_NET *1862 0.0156856 +*CONN +*I *5279:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37456:A I *D sky130_fd_sc_hd__inv_2 +*I *39530:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *5279:DIODE 0.000553152 +2 *37456:A 0 +3 *39530:Y 0.000987221 +4 *1862:103 0.00280944 +5 *1862:91 0.00326159 +6 *1862:69 0.00283165 +7 *1862:46 0.00304082 +8 *1862:22 0.0022017 +9 *5279:DIODE *2373:20 0 +10 *5279:DIODE *4539:54 0 +11 *5279:DIODE *4563:28 0 +12 *5279:DIODE *4596:28 0 +13 *5279:DIODE *5080:28 0 +14 *5279:DIODE *5178:74 0 +15 *1862:22 *39044:A 0 +16 *1862:22 *39045:A 0 +17 *1862:22 *2993:17 0 +18 *1862:22 *4393:16 0 +19 *1862:22 *5072:32 0 +20 *1862:22 *5102:19 0 +21 *1862:22 *5194:22 0 +22 *1862:46 *41426:A 0 +23 *1862:46 *2984:58 0 +24 *1862:46 *3135:26 0 +25 *1862:46 *3230:27 0 +26 *1862:46 *4412:17 0 +27 *1862:46 *4755:41 0 +28 *1862:46 *5100:31 0 +29 *1862:46 *5102:19 0 +30 *1862:69 *7145:DIODE 0 +31 *1862:69 *40443:A 0 +32 *1862:69 *40486:A 0 +33 *1862:69 *3077:70 0 +34 *1862:69 *3130:20 0 +35 *1862:69 *3230:27 0 +36 *1862:69 *3235:22 0 +37 *1862:69 *3398:20 0 +38 *1862:69 *3403:7 0 +39 *1862:69 *4348:43 0 +40 *1862:69 *4416:20 0 +41 *1862:69 *4589:32 0 +42 *1862:69 *4774:25 0 +43 *1862:69 *4780:99 0 +44 *1862:69 *4780:120 0 +45 *1862:91 *40206:A 0 +46 *1862:91 *40338:A 0 +47 *1862:91 *40480:A 0 +48 *1862:91 *2713:69 0 +49 *1862:91 *3221:16 0 +50 *1862:91 *3403:16 0 +51 *1862:91 *4554:53 0 +52 *1862:91 *4589:32 0 +53 *1862:91 *4595:33 0 +54 *1862:103 *40255:A 0 +55 *1862:103 *40376:A 0 +56 *1862:103 *2373:20 0 +57 *1862:103 *2713:49 0 +58 *1862:103 *2714:57 0 +59 *1862:103 *3077:20 0 +60 *1862:103 *3240:25 0 +61 *1862:103 *3391:14 0 +62 *1862:103 *4533:63 0 +63 *1862:103 *4533:65 0 +64 *1862:103 *4533:97 0 +65 *1862:103 *4534:49 0 +66 *1862:103 *4589:18 0 +67 *1862:103 *4589:32 0 +68 *1862:103 *4594:45 0 +69 *1862:103 *4595:33 0 +70 *1862:103 *5175:22 0 +71 la_data_in_mprj[123] *1862:22 0 +72 *5277:DIODE *1862:103 0 +73 *6816:DIODE *1862:46 0 +74 *6827:DIODE *1862:69 0 +75 *6936:DIODE *5279:DIODE 0 +76 *6943:DIODE *1862:91 0 +77 *7351:DIODE *5279:DIODE 0 +78 *38798:A *1862:103 0 +79 *38810:A *1862:103 0 +80 *39394:B *5279:DIODE 0 +81 *39394:B *1862:103 0 +82 *542:22 *1862:22 0 +83 *542:60 *1862:22 0 +84 *793:49 *1862:22 0 +85 *798:23 *1862:22 0 +86 *802:27 *1862:69 0 +87 *1240:24 *1862:46 0 +88 *1248:24 *1862:69 0 +89 *1253:17 *1862:91 0 +90 *1253:17 *1862:103 0 +91 *1598:21 *5279:DIODE 0 +92 *1731:51 *1862:22 0 +93 *1860:28 *1862:46 0 +94 *1860:57 *1862:69 0 +95 *1860:57 *1862:103 0 +*RES +1 *39530:Y *1862:22 46.88 +2 *1862:22 *1862:46 48.5312 +3 *1862:46 *1862:69 49.4652 +4 *1862:69 *1862:91 39.6786 +5 *1862:91 *1862:103 47.3393 +6 *1862:103 *37456:A 9.3 +7 *1862:103 *5279:DIODE 30.9071 +*END + +*D_NET *1863 0.0258488 +*CONN +*I *5280:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37457:A I *D sky130_fd_sc_hd__inv_2 +*I *39531:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *5280:DIODE 6.36006e-05 +2 *37457:A 0.000317236 +3 *39531:Y 0.00132333 +4 *1863:48 0.00303555 +5 *1863:47 0.00427436 +6 *1863:39 0.00499082 +7 *1863:38 0.00337117 +8 *1863:36 0.00201324 +9 *1863:32 0.00357468 +10 *1863:28 0.00288477 +11 *5280:DIODE *5209:69 0 +12 *37457:A *8099:DIODE 0 +13 *37457:A *1869:15 0 +14 *37457:A *4552:32 0 +15 *1863:28 *40236:A 0 +16 *1863:28 *3188:27 0 +17 *1863:28 *3212:50 0 +18 *1863:28 *3398:40 0 +19 *1863:28 *3405:71 0 +20 *1863:28 *3492:26 0 +21 *1863:28 *4013:24 0 +22 *1863:28 *4219:42 0 +23 *1863:28 *4755:23 0 +24 *1863:28 *4758:27 0 +25 *1863:28 *5084:82 0 +26 *1863:32 *2422:26 0 +27 *1863:32 *2828:10 0 +28 *1863:32 *3047:68 0 +29 *1863:32 *3492:26 0 +30 *1863:32 *3731:8 0 +31 *1863:32 *4010:89 0 +32 *1863:36 *2378:11 0 +33 *1863:36 *3428:49 0 +34 *1863:39 *2396:13 0 +35 *1863:39 *2810:23 0 +36 *1863:39 *3400:84 0 +37 *1863:48 *2403:6 0 +38 *1863:48 *2463:6 0 +39 *1863:48 *2801:49 0 +40 *1863:48 *3009:52 0 +41 *1863:48 *3413:136 0 +42 *1863:48 *3737:32 0 +43 *1863:48 *3999:56 0 +44 *1863:48 *4223:71 0 +45 *1863:48 *4580:22 0 +46 *1863:48 *4622:6 0 +47 *6881:DIODE *1863:28 0 +48 *38105:A *1863:48 0 +49 *39516:A *37457:A 0 +50 *39531:A *1863:28 0 +51 *369:31 *1863:48 0 +52 *372:37 *1863:47 0 +53 *383:17 *37457:A 0 +54 *383:17 *1863:48 0 +55 *1260:14 *1863:48 0 +56 *1333:20 *1863:36 0 +57 *1451:24 *1863:28 0 +58 *1451:63 *1863:47 0 +59 *1453:16 *1863:28 0 +60 *1553:39 *1863:36 0 +61 *1844:9 *1863:47 0 +*RES +1 *39531:Y *1863:28 45.0784 +2 *1863:28 *1863:32 39.9911 +3 *1863:32 *1863:36 46.625 +4 *1863:36 *1863:38 4.5 +5 *1863:38 *1863:39 70.3571 +6 *1863:39 *1863:47 47.4107 +7 *1863:47 *1863:48 60.3839 +8 *1863:48 *37457:A 21.0589 +9 *1863:48 *5280:DIODE 15.3268 +*END + +*D_NET *1864 0.0372395 +*CONN +*I *5281:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37458:A I *D sky130_fd_sc_hd__inv_2 +*I *39532:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *5281:DIODE 0 +2 *37458:A 0.000587636 +3 *39532:Y 0.00508187 +4 *1864:72 0.00233613 +5 *1864:68 0.00366874 +6 *1864:59 0.00670833 +7 *1864:57 0.00504563 +8 *1864:51 0.00380582 +9 *1864:50 0.00423585 +10 *1864:36 0.00576944 +11 *37458:A *40244:A 0 +12 *37458:A *3536:7 0 +13 *37458:A *4529:16 0 +14 *37458:A *4547:65 0 +15 *37458:A *4581:35 0 +16 *1864:36 *40342:A 0 +17 *1864:36 *2373:145 0 +18 *1864:36 *2837:67 0 +19 *1864:36 *3070:32 0 +20 *1864:36 *3097:44 0 +21 *1864:36 *3348:57 0 +22 *1864:36 *3512:22 0 +23 *1864:36 *3584:37 0 +24 *1864:36 *4031:50 0 +25 *1864:36 *4254:54 0 +26 *1864:36 *4524:73 0 +27 *1864:36 *4590:93 0 +28 *1864:50 *2458:10 0 +29 *1864:50 *2458:20 0 +30 *1864:50 *2722:19 0 +31 *1864:50 *2824:55 0 +32 *1864:50 *3118:33 0 +33 *1864:51 *3007:31 0 +34 *1864:51 *3278:21 0 +35 *1864:51 *3278:31 0 +36 *1864:51 *3278:35 0 +37 *1864:57 *3007:31 0 +38 *1864:57 *3278:31 0 +39 *1864:57 *3278:35 0 +40 *1864:57 *5027:21 0 +41 *1864:59 *2475:15 0 +42 *1864:59 *3007:31 0 +43 *1864:59 *3117:21 0 +44 *1864:59 *3278:35 0 +45 *1864:59 *3707:9 0 +46 *1864:68 *2973:23 0 +47 *1864:68 *3473:36 0 +48 *1864:68 *4624:14 0 +49 *1864:68 *4901:18 0 +50 *1864:72 *7991:DIODE 0 +51 *1864:72 *38052:B 0 +52 *1864:72 *2800:48 0 +53 *1864:72 *3024:68 0 +54 *1864:72 *3102:23 0 +55 *1864:72 *3199:50 0 +56 *1864:72 *3357:61 0 +57 *1864:72 *3389:16 0 +58 *1864:72 *3397:17 0 +59 *1864:72 *3475:42 0 +60 *1864:72 *3475:58 0 +61 *1864:72 *3936:79 0 +62 *1864:72 *4545:48 0 +63 *1864:72 *4581:35 0 +64 *1864:72 *4624:14 0 +65 *38053:A *1864:59 0 +66 *38327:A *1864:57 0 +67 *39504:B *1864:72 0 +68 *368:28 *1864:50 0 +69 *790:51 *1864:36 0 +70 *1027:120 *1864:36 0 +71 *1028:55 *1864:36 0 +72 *1422:30 *1864:68 0 +73 *1561:31 *1864:36 0 +74 *1623:11 *1864:68 0 +*RES +1 *39532:Y *1864:36 49.8537 +2 *1864:36 *1864:50 28.5893 +3 *1864:50 *1864:51 74.0536 +4 *1864:51 *1864:57 5.5 +5 *1864:57 *1864:59 99.9286 +6 *1864:59 *1864:68 48.4732 +7 *1864:68 *1864:72 44.2411 +8 *1864:72 *37458:A 31.1929 +9 *1864:72 *5281:DIODE 9.3 +*END + +*D_NET *1865 0.00107637 +*CONN +*I *37440:A I *D sky130_fd_sc_hd__clkinv_2 +*I *39533:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37440:A 0.000538187 +2 *39533:Y 0.000538187 +3 *37440:A *2712:135 0 +4 *37440:A *2978:15 0 +5 *37440:A *3018:46 0 +6 *37440:A *3235:96 0 +7 *37440:A *3417:18 0 +8 *37440:A *5084:13 0 +9 *37440:A *5105:39 0 +10 *401:51 *37440:A 0 +*RES +1 *39533:Y *37440:A 39.4036 +*END + +*D_NET *1866 0.0176385 +*CONN +*I *5282:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37459:A I *D sky130_fd_sc_hd__inv_2 +*I *39534:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 *5282:DIODE 0.000296836 +2 *37459:A 0 +3 *39534:Y 0.000760539 +4 *1866:26 0.000476144 +5 *1866:23 0.00776187 +6 *1866:22 0.0083431 +7 *5282:DIODE *39322:A 0 +8 *5282:DIODE *4542:13 0 +9 *5282:DIODE *4576:23 0 +10 *5282:DIODE *5087:15 0 +11 *1866:22 *3030:23 0 +12 *1866:22 *3142:40 0 +13 *1866:22 *3392:18 0 +14 *1866:22 *4550:11 0 +15 *1866:23 *4564:11 0 +16 *1866:23 *5074:60 0 +17 *1866:23 *5078:22 0 +18 *1866:23 *5103:25 0 +19 *1866:23 *5177:17 0 +20 *1866:23 *5180:19 0 +21 mprj_dat_i_core[1] *1866:22 0 +22 mprj_dat_i_core[21] *1866:26 0 +23 mprj_dat_i_core[22] *1866:26 0 +24 *6881:DIODE *1866:22 0 +25 *6907:DIODE *1866:22 0 +26 *6921:DIODE *5282:DIODE 0 +27 *916:13 *1866:26 0 +28 *1032:14 *1866:22 0 +29 *1045:10 *5282:DIODE 0 +30 *1045:10 *1866:26 0 +31 *1463:25 *1866:23 0 +32 *1463:27 *1866:23 0 +33 *1726:20 *1866:23 0 +34 *1731:22 *1866:22 0 +35 *1833:17 *1866:23 0 +*RES +1 *39534:Y *1866:22 43.7821 +2 *1866:22 *1866:23 158.25 +3 *1866:23 *1866:26 8.57143 +4 *1866:26 *37459:A 13.8 +5 *1866:26 *5282:DIODE 20.6036 +*END + +*D_NET *1867 0.00218099 +*CONN +*I *37460:A I *D sky130_fd_sc_hd__inv_2 +*I *39535:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37460:A 0 +2 *39535:Y 0.0010905 +3 *1867:17 0.0010905 +4 *1867:17 *39537:B 0 +5 *1867:17 *40286:A 0 +6 *1867:17 *1869:15 0 +7 *1867:17 *2964:5 0 +8 *1867:17 *3164:17 0 +9 *1867:17 *3212:26 0 +10 *1867:17 *4570:18 0 +11 *1867:17 *5077:19 0 +12 *6915:DIODE *1867:17 0 +13 *37453:A *1867:17 0 +14 *1040:12 *1867:17 0 +*RES +1 *39535:Y *1867:17 41.3804 +2 *1867:17 *37460:A 9.3 +*END + +*D_NET *1868 0.00365545 +*CONN +*I *37461:A I *D sky130_fd_sc_hd__inv_2 +*I *39536:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37461:A 0.000456545 +2 *39536:Y 0.00137118 +3 *1868:11 0.00182772 +4 *37461:A *40330:A 0 +5 *37461:A *4539:22 0 +6 *37461:A *4542:25 0 +7 *37461:A *4547:31 0 +8 *1868:11 *1874:18 0 +9 *1868:11 *3030:36 0 +10 *1868:11 *4534:15 0 +11 *1868:11 *4535:15 0 +12 *1868:11 *4548:39 0 +13 *1868:11 *5078:29 0 +14 *6917:DIODE *37461:A 0 +15 *1037:12 *1868:11 0 +16 *1755:29 *37461:A 0 +*RES +1 *39536:Y *1868:11 47.175 +2 *1868:11 *37461:A 27.9071 +*END + +*D_NET *1869 0.00255951 +*CONN +*I *37462:A I *D sky130_fd_sc_hd__inv_2 +*I *39537:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37462:A 0 +2 *39537:Y 0.00127976 +3 *1869:15 0.00127976 +4 *1869:15 *37671:A 0 +5 *1869:15 *3164:17 0 +6 *1869:15 *4542:25 0 +7 *1869:15 *5087:15 0 +8 *6917:DIODE *1869:15 0 +9 *37457:A *1869:15 0 +10 *39516:A *1869:15 0 +11 *1867:17 *1869:15 0 +*RES +1 *39537:Y *1869:15 45.3536 +2 *1869:15 *37462:A 9.3 +*END + +*D_NET *1870 0.00741837 +*CONN +*I *37463:A I *D sky130_fd_sc_hd__inv_2 +*I *39538:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37463:A 0 +2 *39538:Y 0.00370918 +3 *1870:18 0.00370918 +4 *1870:18 *2994:20 0 +5 *1870:18 *3209:17 0 +6 *1870:18 *4539:22 0 +7 *1870:18 *4580:15 0 +8 *1870:18 *5195:21 0 +9 *6886:DIODE *1870:18 0 +10 *6887:DIODE *1870:18 0 +11 *1861:20 *1870:18 0 +*RES +1 *39538:Y *1870:18 42.8701 +2 *1870:18 *37463:A 9.3 +*END + +*D_NET *1871 0.00144224 +*CONN +*I *37464:A I *D sky130_fd_sc_hd__inv_2 +*I *39539:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37464:A 0.000721122 +2 *39539:Y 0.000721122 +3 *37464:A *4551:20 0 +4 *37464:A *4781:7 0 +5 *921:12 *37464:A 0 +6 *1050:5 *37464:A 0 +*RES +1 *39539:Y *37464:A 43.1714 +*END + +*D_NET *1872 0.00207227 +*CONN +*I *37465:A I *D sky130_fd_sc_hd__inv_2 +*I *39540:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37465:A 0.00103614 +2 *39540:Y 0.00103614 +3 *37465:A *39324:A 0 +4 *37465:A *4541:9 0 +5 *37465:A *4551:20 0 +6 *37465:A *4581:11 0 +7 mprj_dat_i_core[23] *37465:A 0 +8 *6886:DIODE *37465:A 0 +9 *6887:DIODE *37465:A 0 +10 *917:5 *37465:A 0 +11 *1046:8 *37465:A 0 +*RES +1 *39540:Y *37465:A 49.6893 +*END + +*D_NET *1873 0.0136771 +*CONN +*I *5283:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37466:A I *D sky130_fd_sc_hd__inv_2 +*I *39541:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *5283:DIODE 0.000201924 +2 *37466:A 0 +3 *39541:Y 0.000326036 +4 *1873:15 0.000720762 +5 *1873:9 0.00631057 +6 *1873:8 0.00611777 +7 *5283:DIODE *3089:14 0 +8 *5283:DIODE *4583:12 0 +9 *5283:DIODE *4781:7 0 +10 *1873:8 *7289:DIODE 0 +11 *1873:8 *40243:A 0 +12 *1873:8 *4532:19 0 +13 *1873:9 *3030:42 0 +14 *1873:9 *4569:11 0 +15 *1873:9 *5078:28 0 +16 *1873:9 *5180:11 0 +17 *1873:15 *39328:A 0 +18 *1873:15 *4584:17 0 +19 *1873:15 *4781:7 0 +20 mprj_dat_i_core[28] *1873:15 0 +21 *6891:DIODE *5283:DIODE 0 +22 *6891:DIODE *1873:15 0 +23 *922:10 *1873:15 0 +24 *1463:25 *1873:9 0 +25 *1463:27 *1873:9 0 +26 *1603:10 *1873:15 0 +27 *1829:21 *1873:9 0 +*RES +1 *39541:Y *1873:8 25.7107 +2 *1873:8 *1873:9 120.875 +3 *1873:9 *1873:15 20.6964 +4 *1873:15 *37466:A 9.3 +5 *1873:15 *5283:DIODE 22.9071 +*END + +*D_NET *1874 0.00517664 +*CONN +*I *37467:A I *D sky130_fd_sc_hd__inv_2 +*I *39542:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37467:A 0 +2 *39542:Y 0.000904183 +3 *1874:18 0.00168414 +4 *1874:11 0.00258832 +5 *1874:11 *3254:16 0 +6 *1874:11 *4530:9 0 +7 *1874:11 *4533:43 0 +8 *1874:11 *4563:28 0 +9 *1874:18 *4530:9 0 +10 *1874:18 *4534:15 0 +11 *6875:DIODE *1874:18 0 +12 *6876:DIODE *1874:18 0 +13 *6913:DIODE *1874:18 0 +14 *6939:DIODE *1874:11 0 +15 *39542:A *1874:11 0 +16 *368:106 *1874:11 0 +17 *1701:29 *1874:11 0 +18 *1868:11 *1874:18 0 +*RES +1 *39542:Y *1874:11 28.2643 +2 *1874:11 *1874:18 44.3393 +3 *1874:18 *37467:A 9.3 +*END + +*D_NET *1875 0.00534672 +*CONN +*I *37468:A I *D sky130_fd_sc_hd__inv_2 +*I *39543:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37468:A 0.00134714 +2 *39543:Y 0.00132622 +3 *1875:11 0.00267336 +4 *37468:A *5567:DIODE 0 +5 *37468:A *37470:A 0 +6 *37468:A *39333:A 0 +7 *37468:A *2964:5 0 +8 *37468:A *4766:13 0 +9 *37468:A *5180:10 0 +10 *37468:A *5209:8 0 +11 *1875:11 *2964:5 0 +12 *1875:11 *4545:22 0 +13 *6897:DIODE *37468:A 0 +14 *6932:DIODE *37468:A 0 +15 *7538:DIODE *1875:11 0 +16 *7542:DIODE *37468:A 0 +17 *37417:A *1875:11 0 +*RES +1 *39543:Y *1875:11 37.1036 +2 *1875:11 *37468:A 46.9786 +*END + +*D_NET *1876 0.00154954 +*CONN +*I *37441:A I *D sky130_fd_sc_hd__inv_2 +*I *39544:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37441:A 0.000774769 +2 *39544:Y 0.000774769 +3 *37441:A *39026:A 0 +4 *37441:A *3422:31 0 +5 *37441:A *5105:9 0 +6 *37441:A *5194:29 0 +7 *38603:A *37441:A 0 +8 *526:10 *37441:A 0 +9 *781:30 *37441:A 0 +*RES +1 *39544:Y *37441:A 35.0464 +*END + +*D_NET *1877 0.000807478 +*CONN +*I *37469:A I *D sky130_fd_sc_hd__inv_2 +*I *39545:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37469:A 0.000403739 +2 *39545:Y 0.000403739 +3 *37469:A *5178:10 0 +4 *37469:A *5209:8 0 +5 *37733:A *37469:A 0 +6 *926:12 *37469:A 0 +*RES +1 *39545:Y *37469:A 36.5821 +*END + +*D_NET *1878 0.001741 +*CONN +*I *37470:A I *D sky130_fd_sc_hd__inv_2 +*I *39546:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37470:A 0.000870502 +2 *39546:Y 0.000870502 +3 *37470:A *2967:38 0 +4 *37470:A *4776:9 0 +5 *6896:DIODE *37470:A 0 +6 *7544:DIODE *37470:A 0 +7 *37468:A *37470:A 0 +8 *39545:A *37470:A 0 +9 *1023:101 *37470:A 0 +*RES +1 *39546:Y *37470:A 46.0643 +*END + +*D_NET *1879 0.00276664 +*CONN +*I *37442:A I *D sky130_fd_sc_hd__inv_2 +*I *39547:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37442:A 3.2228e-05 +2 *39547:Y 0.00135109 +3 *1879:21 0.00138332 +4 *1879:21 *40252:A 0 +5 *1879:21 *40269:A 0 +6 *1879:21 *40282:A 0 +7 *1879:21 *3087:5 0 +8 *1879:21 *3106:23 0 +9 *1879:21 *3145:13 0 +10 *1879:21 *3266:62 0 +11 *1879:21 *4404:25 0 +12 *1879:21 *4774:35 0 +13 *1879:21 *5179:115 0 +14 *39547:A *1879:21 0 +15 *541:59 *1879:21 0 +16 *792:83 *1879:21 0 +17 *795:41 *1879:21 0 +18 *1001:100 *1879:21 0 +*RES +1 *39547:Y *1879:21 46.9786 +2 *1879:21 *37442:A 19.0321 +*END + +*D_NET *1880 0.00389366 +*CONN +*I *37443:A I *D sky130_fd_sc_hd__inv_2 +*I *39548:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37443:A 0.000823629 +2 *39548:Y 0.0011232 +3 *1880:22 0.00194683 +4 *37443:A *1884:14 0 +5 *37443:A *2794:13 0 +6 *37443:A *3043:52 0 +7 *37443:A *3744:70 0 +8 *37443:A *5105:9 0 +9 *1880:22 *8520:DIODE 0 +10 *1880:22 *8889:DIODE 0 +11 *1880:22 *40248:A 0 +12 *1880:22 *41259:A 0 +13 *1880:22 *2381:49 0 +14 *1880:22 *3086:28 0 +15 *1880:22 *3105:23 0 +16 *1880:22 *3121:7 0 +17 *1880:22 *3265:23 0 +18 *1880:22 *4182:68 0 +19 *1880:22 *4215:39 0 +20 *6605:DIODE *37443:A 0 +21 *8012:DIODE *1880:22 0 +22 *39552:A *37443:A 0 +23 *400:29 *37443:A 0 +24 *780:30 *1880:22 0 +*RES +1 *39548:Y *1880:22 46.8982 +2 *1880:22 *37443:A 38.9227 +*END + +*D_NET *1881 0.00103864 +*CONN +*I *37444:A I *D sky130_fd_sc_hd__clkinv_2 +*I *39549:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37444:A 0.000519319 +2 *39549:Y 0.000519319 +3 *37444:A *3077:108 0 +4 *37444:A *3250:39 0 +5 *37444:A *5105:66 0 +6 *409:29 *37444:A 0 +7 *535:29 *37444:A 0 +8 *789:59 *37444:A 0 +9 *1027:120 *37444:A 0 +*RES +1 *39549:Y *37444:A 47.9571 +*END + +*D_NET *1882 0.000859728 +*CONN +*I *37445:A I *D sky130_fd_sc_hd__clkinv_2 +*I *39550:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37445:A 0.000429864 +2 *39550:Y 0.000429864 +3 *37445:A *2371:20 0 +4 *37445:A *2373:145 0 +5 *37445:A *3077:108 0 +6 *37445:A *3145:24 0 +7 *37445:A *5105:66 0 +8 *6604:DIODE *37445:A 0 +*RES +1 *39550:Y *37445:A 36.7429 +*END + +*D_NET *1883 0.00310826 +*CONN +*I *37446:A I *D sky130_fd_sc_hd__inv_2 +*I *39551:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37446:A 8.4707e-05 +2 *39551:Y 0.00146942 +3 *1883:13 0.00155413 +4 *37446:A *4171:7 0 +5 *37446:A *5105:7 0 +6 *1883:13 *8091:DIODE 0 +7 *1883:13 *2771:23 0 +8 *1883:13 *2984:11 0 +9 *1883:13 *3105:42 0 +10 *1883:13 *3145:90 0 +11 *1883:13 *4171:7 0 +12 *1883:13 *4193:27 0 +13 *1883:13 *5105:7 0 +14 *1883:13 *5105:9 0 +15 *6591:DIODE *1883:13 0 +16 *6592:DIODE *1883:13 0 +17 *7554:DIODE *1883:13 0 +*RES +1 *39551:Y *1883:13 49.3357 +2 *1883:13 *37446:A 11.0679 +*END + +*D_NET *1884 0.00814051 +*CONN +*I *37447:A I *D sky130_fd_sc_hd__clkinv_2 +*I *39552:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37447:A 0 +2 *39552:Y 0.000816057 +3 *1884:36 0.00132289 +4 *1884:24 0.0032542 +5 *1884:14 0.00274737 +6 *1884:14 *40500:A 0 +7 *1884:14 *2849:8 0 +8 *1884:14 *3141:30 0 +9 *1884:14 *5105:9 0 +10 *1884:14 *5186:106 0 +11 *1884:24 *2984:20 0 +12 *1884:24 *2984:36 0 +13 *1884:24 *3018:21 0 +14 *1884:24 *5184:29 0 +15 *1884:24 *5188:60 0 +16 *1884:24 *5191:31 0 +17 *1884:24 *5191:38 0 +18 *1884:36 *2905:28 0 +19 *1884:36 *3412:7 0 +20 *1884:36 *5191:31 0 +21 *37443:A *1884:14 0 +22 *401:8 *1884:14 0 +23 *401:34 *1884:14 0 +24 *407:10 *1884:36 0 +25 *525:17 *1884:24 0 +26 *534:22 *1884:36 0 +27 *784:10 *1884:14 0 +28 *787:22 *1884:36 0 +29 *788:17 *1884:36 0 +30 *1230:27 *1884:24 0 +*RES +1 *39552:Y *1884:14 36.1214 +2 *1884:14 *1884:24 49.4286 +3 *1884:24 *1884:36 46.3929 +4 *1884:36 *37447:A 9.3 +*END + +*D_NET *1885 0.000485024 +*CONN +*I *37448:A I *D sky130_fd_sc_hd__inv_2 +*I *39553:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37448:A 0.000242512 +2 *39553:Y 0.000242512 +3 *37448:A *40480:A 0 +4 *37448:A *3142:40 0 +5 *37448:A *5107:27 0 +*RES +1 *39553:Y *37448:A 32.7964 +*END + +*D_NET *1886 0.00269957 +*CONN +*I *41389:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[0] O *D mprj_logic_high +*CAP +1 *41389:A 0.00134978 +2 *38890:HI[0] 0.00134978 +3 *41389:A *1887:14 0 +4 *41389:A *1897:26 0 +5 *41389:A *2162:58 0 +6 *41389:A *2179:36 0 +7 *41389:A *2202:64 0 +8 *41389:A *3384:18 0 +9 *41389:A *3850:32 0 +10 *41389:A *3856:54 0 +11 *40001:A *41389:A 0 +12 *40010:A *41389:A 0 +13 *1403:43 *41389:A 0 +*RES +1 *38890:HI[0] *41389:A 29.8837 +*END + +*D_NET *1887 0.0196462 +*CONN +*I *8979:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41387:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[100] O *D mprj_logic_high +*CAP +1 *8979:DIODE 0.000177442 +2 *41387:A 0.000144601 +3 *38890:HI[100] 0.000779044 +4 *1887:89 0.00156523 +5 *1887:82 0.00235027 +6 *1887:63 0.00238655 +7 *1887:43 0.00293108 +8 *1887:34 0.0022131 +9 *1887:15 0.00344067 +10 *1887:14 0.00365823 +11 *8979:DIODE *4397:37 0 +12 *41387:A *3886:51 0 +13 *41387:A *4180:27 0 +14 *1887:14 *1888:14 0 +15 *1887:14 *2179:36 0 +16 *1887:14 *2191:30 0 +17 *1887:15 *5353:DIODE 0 +18 *1887:15 *5378:DIODE 0 +19 *1887:15 *5398:DIODE 0 +20 *1887:15 *37544:A 0 +21 *1887:15 *37544:B 0 +22 *1887:15 *37568:B 0 +23 *1887:15 *40691:A 0 +24 *1887:15 *40706:A 0 +25 *1887:15 *40880:A 0 +26 *1887:15 *2195:24 0 +27 *1887:15 *2733:56 0 +28 *1887:15 *3151:24 0 +29 *1887:15 *3353:13 0 +30 *1887:15 *3450:37 0 +31 *1887:15 *3565:41 0 +32 *1887:15 *3580:65 0 +33 *1887:15 *3591:17 0 +34 *1887:15 *3642:21 0 +35 *1887:15 *3642:32 0 +36 *1887:15 *3839:16 0 +37 *1887:15 *3839:35 0 +38 *1887:15 *4387:27 0 +39 *1887:15 *4458:48 0 +40 *1887:34 *41376:A 0 +41 *1887:34 *3629:24 0 +42 *1887:34 *3629:34 0 +43 *1887:34 *3645:29 0 +44 *1887:34 *3839:35 0 +45 *1887:34 *3839:49 0 +46 *1887:34 *4387:27 0 +47 *1887:34 *4389:23 0 +48 *1887:43 *3629:45 0 +49 *1887:43 *4400:17 0 +50 *1887:63 *3338:37 0 +51 *1887:63 *3590:10 0 +52 *1887:63 *3591:56 0 +53 *1887:63 *3645:52 0 +54 *1887:63 *3841:9 0 +55 *1887:63 *3841:23 0 +56 *1887:63 *4387:75 0 +57 *1887:82 *37998:B 0 +58 *1887:82 *3338:37 0 +59 *1887:82 *3839:75 0 +60 *1887:82 *4133:27 0 +61 *1887:82 *4387:79 0 +62 *1887:82 *4397:37 0 +63 *1887:89 *3886:51 0 +64 *1887:89 *4397:37 0 +65 *41389:A *1887:14 0 +66 *1395:13 *1887:63 0 +67 *1398:20 *1887:63 0 +68 *1513:15 *1887:63 0 +*RES +1 *38890:HI[100] *1887:14 10.988 +2 *1887:14 *1887:15 60.0893 +3 *1887:15 *1887:34 30.3214 +4 *1887:34 *1887:43 48.0536 +5 *1887:43 *1887:63 49.625 +6 *1887:63 *1887:82 41.5893 +7 *1887:82 *1887:89 35.2143 +8 *1887:89 *41387:A 12.3179 +9 *1887:89 *8979:DIODE 22.2107 +*END + +*D_NET *1888 0.00635543 +*CONN +*I *41386:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[101] O *D mprj_logic_high +*CAP +1 *41386:A 0.000161927 +2 *38890:HI[101] 0.000659605 +3 *1888:17 0.00251811 +4 *1888:14 0.00301579 +5 *41386:A *8395:DIODE 0 +6 *41386:A *40691:A 0 +7 *41386:A *3645:13 0 +8 *1888:14 *1889:25 0 +9 *1888:14 *2191:30 0 +10 *1888:14 *3222:37 0 +11 *1888:17 *37982:C 0 +12 *1888:17 *40450:A 0 +13 *1888:17 *40907:A 0 +14 *1888:17 *1890:42 0 +15 *1888:17 *2170:32 0 +16 *1888:17 *3643:29 0 +17 *1888:17 *3645:13 0 +18 *1888:17 *4464:24 0 +19 *1888:17 *4464:26 0 +20 *1387:70 *1888:17 0 +21 *1806:35 *1888:14 0 +22 *1887:14 *1888:14 0 +*RES +1 *38890:HI[101] *1888:14 10.5556 +2 *1888:14 *1888:17 49.0179 +3 *1888:17 *41386:A 12.7107 +*END + +*D_NET *1889 0.0164268 +*CONN +*I *41384:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8977:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[102] O *D mprj_logic_high +*CAP +1 *41384:A 0.000188001 +2 *8977:DIODE 0 +3 *38890:HI[102] 0.0018389 +4 *1889:74 0.000877049 +5 *1889:70 0.00207913 +6 *1889:45 0.00341107 +7 *1889:34 0.00410738 +8 *1889:25 0.00392529 +9 *41384:A *3839:75 0 +10 *1889:25 *41380:A 0 +11 *1889:25 *41381:A 0 +12 *1889:25 *1890:19 0 +13 *1889:25 *2157:28 0 +14 *1889:25 *2177:17 0 +15 *1889:25 *3222:37 0 +16 *1889:25 *4395:20 0 +17 *1889:25 *4458:48 0 +18 *1889:34 *37970:A_N 0 +19 *1889:34 *37970:C 0 +20 *1889:34 *38000:B 0 +21 *1889:34 *41145:A 0 +22 *1889:34 *3886:12 0 +23 *1889:34 *4395:20 0 +24 *1889:34 *4395:37 0 +25 *1889:34 *4458:11 0 +26 *1889:34 *4458:17 0 +27 *1889:34 *4458:48 0 +28 *1889:34 *4871:11 0 +29 *1889:34 *4926:46 0 +30 *1889:45 *8337:DIODE 0 +31 *1889:45 *8398:DIODE 0 +32 *1889:45 *37978:C 0 +33 *1889:45 *38000:B 0 +34 *1889:45 *3886:19 0 +35 *1889:45 *4168:26 0 +36 *1889:45 *4457:61 0 +37 *1889:45 *4926:46 0 +38 *1889:70 *6356:DIODE 0 +39 *1889:70 *38248:B 0 +40 *1889:70 *41157:A 0 +41 *1889:70 *3886:41 0 +42 *1889:70 *4146:21 0 +43 *1889:70 *4168:26 0 +44 *1889:74 *3886:41 0 +45 *37595:A *1889:45 0 +46 *301:31 *1889:25 0 +47 *1374:8 *1889:74 0 +48 *1386:16 *1889:25 0 +49 *1395:44 *1889:25 0 +50 *1396:43 *1889:45 0 +51 *1490:33 *1889:74 0 +52 *1521:23 *1889:70 0 +53 *1528:17 *1889:70 0 +54 *1675:66 *1889:45 0 +55 *1683:15 *1889:45 0 +56 *1888:14 *1889:25 0 +*RES +1 *38890:HI[102] *1889:25 37.1863 +2 *1889:25 *1889:34 48.0089 +3 *1889:34 *1889:45 46.8036 +4 *1889:45 *1889:70 47.5536 +5 *1889:70 *1889:74 19.0893 +6 *1889:74 *8977:DIODE 13.8 +7 *1889:74 *41384:A 18.0143 +*END + +*D_NET *1890 0.00788111 +*CONN +*I *41383:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[103] O *D mprj_logic_high +*CAP +1 *41383:A 0.000537333 +2 *38890:HI[103] 0.00269959 +3 *1890:42 0.00124097 +4 *1890:19 0.00340322 +5 *41383:A *3645:29 0 +6 *41383:A *4380:21 0 +7 *1890:19 *8407:DIODE 0 +8 *1890:19 *41381:A 0 +9 *1890:19 *2161:63 0 +10 *1890:19 *2859:18 0 +11 *1890:19 *3222:37 0 +12 *1890:19 *3596:72 0 +13 *1890:19 *3642:21 0 +14 *1890:19 *3646:53 0 +15 *1890:19 *4380:19 0 +16 *1890:19 *4380:21 0 +17 *1890:42 *3645:13 0 +18 *1890:42 *3839:35 0 +19 *1890:42 *4380:21 0 +20 *1387:70 *1890:42 0 +21 *1888:17 *1890:42 0 +22 *1889:25 *1890:19 0 +*RES +1 *38890:HI[103] *1890:19 48.3859 +2 *1890:19 *1890:42 42.3214 +3 *1890:42 *41383:A 20.5143 +*END + +*D_NET *1891 0.0027901 +*CONN +*I *41381:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[104] O *D mprj_logic_high +*CAP +1 *41381:A 0.00139505 +2 *38890:HI[104] 0.00139505 +3 *41381:A *8592:DIODE 0 +4 *41381:A *41380:A 0 +5 *41381:A *2747:24 0 +6 *41381:A *2859:18 0 +7 *40012:A *41381:A 0 +8 *1386:16 *41381:A 0 +9 *1523:21 *41381:A 0 +10 *1889:25 *41381:A 0 +11 *1890:19 *41381:A 0 +*RES +1 *38890:HI[104] *41381:A 33.1451 +*END + +*D_NET *1892 0.00266092 +*CONN +*I *41380:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[105] O *D mprj_logic_high +*CAP +1 *41380:A 0.00133046 +2 *38890:HI[105] 0.00133046 +3 *41380:A *8568:DIODE 0 +4 *41380:A *8592:DIODE 0 +5 *41380:A *1893:26 0 +6 *41380:A *2747:24 0 +7 *41380:A *3857:19 0 +8 *40012:A *41380:A 0 +9 *41381:A *41380:A 0 +10 *1889:25 *41380:A 0 +*RES +1 *38890:HI[105] *41380:A 34.3728 +*END + +*D_NET *1893 0.00720428 +*CONN +*I *41379:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[106] O *D mprj_logic_high +*CAP +1 *41379:A 0 +2 *38890:HI[106] 0.0023121 +3 *1893:39 0.00129004 +4 *1893:26 0.00360214 +5 *1893:26 *1894:36 0 +6 *1893:26 *1894:39 0 +7 *1893:26 *2057:58 0 +8 *1893:26 *2747:24 0 +9 *1893:26 *4157:10 0 +10 *1893:26 *4882:25 0 +11 *1893:39 *37958:A_N 0 +12 *1893:39 *2166:39 0 +13 *1893:39 *2166:61 0 +14 *1893:39 *3331:93 0 +15 *1893:39 *3331:94 0 +16 *1893:39 *3876:62 0 +17 *40039:A *1893:39 0 +18 *41380:A *1893:26 0 +19 *1196:10 *1893:26 0 +20 *1384:23 *1893:26 0 +21 *1384:33 *1893:26 0 +22 *1393:64 *1893:39 0 +23 *1804:8 *1893:26 0 +*RES +1 *38890:HI[106] *1893:26 48.363 +2 *1893:26 *1893:39 40.7679 +3 *1893:39 *41379:A 9.3 +*END + +*D_NET *1894 0.0149595 +*CONN +*I *8975:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41378:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[107] O *D mprj_logic_high +*CAP +1 *8975:DIODE 0 +2 *41378:A 0.000202715 +3 *38890:HI[107] 0.00153939 +4 *1894:89 0.00196486 +5 *1894:66 0.00293896 +6 *1894:48 0.00326171 +7 *1894:39 0.0027987 +8 *1894:36 0.00225319 +9 *41378:A *3897:48 0 +10 *1894:36 *8833:DIODE 0 +11 *1894:36 *1895:18 0 +12 *1894:36 *1895:69 0 +13 *1894:36 *2181:33 0 +14 *1894:36 *3857:19 0 +15 *1894:36 *3861:70 0 +16 *1894:36 *4948:22 0 +17 *1894:39 *38190:B 0 +18 *1894:48 *38190:A 0 +19 *1894:48 *38190:B 0 +20 *1894:48 *4132:15 0 +21 *1894:48 *4157:10 0 +22 *1894:48 *4395:37 0 +23 *1894:48 *4457:28 0 +24 *1894:66 *38000:A_N 0 +25 *1894:66 *4136:25 0 +26 *1894:66 *4168:16 0 +27 *1894:66 *4457:48 0 +28 *1894:66 *4470:59 0 +29 *1894:66 *4470:77 0 +30 *1894:66 *5015:50 0 +31 *1894:89 *5972:DIODE 0 +32 *1894:89 *8599:DIODE 0 +33 *1894:89 *37994:C 0 +34 *1894:89 *40913:A 0 +35 *1894:89 *2741:14 0 +36 *1894:89 *3338:25 0 +37 *1894:89 *3343:17 0 +38 *1894:89 *3343:22 0 +39 *1894:89 *3876:93 0 +40 *1894:89 *3897:21 0 +41 *1894:89 *4136:41 0 +42 *1894:89 *4175:17 0 +43 *1894:89 *4206:27 0 +44 *1894:89 *4457:61 0 +45 *39468:A *1894:66 0 +46 *39898:A *1894:66 0 +47 *40010:A *1894:36 0 +48 *40012:A *1894:36 0 +49 *1196:10 *1894:36 0 +50 *1196:10 *1894:39 0 +51 *1384:33 *1894:36 0 +52 *1386:16 *1894:36 0 +53 *1398:20 *1894:89 0 +54 *1398:28 *1894:66 0 +55 *1399:25 *1894:48 0 +56 *1524:17 *1894:66 0 +57 *1524:24 *1894:48 0 +58 *1524:24 *1894:66 0 +59 *1672:43 *1894:66 0 +60 *1672:43 *1894:89 0 +61 *1893:26 *1894:36 0 +62 *1893:26 *1894:39 0 +*RES +1 *38890:HI[107] *1894:36 37.6123 +2 *1894:36 *1894:39 14.9286 +3 *1894:39 *1894:48 48.8036 +4 *1894:48 *1894:66 47.3393 +5 *1894:66 *1894:89 48.1651 +6 *1894:89 *41378:A 22.6393 +7 *1894:89 *8975:DIODE 9.3 +*END + +*D_NET *1895 0.0171598 +*CONN +*I *5873:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37950:B I *D sky130_fd_sc_hd__and3b_1 +*I *38890:HI[108] O *D mprj_logic_high +*CAP +1 *5873:DIODE 0 +2 *37950:B 0.000223318 +3 *38890:HI[108] 0.00261191 +4 *1895:69 3.97983e-05 +5 *1895:54 0.0015812 +6 *1895:52 0.0024255 +7 *1895:46 0.00268566 +8 *1895:25 0.00331919 +9 *1895:18 0.00427327 +10 *37950:B *2004:71 0 +11 *37950:B *4068:45 0 +12 *1895:18 *40355:A 0 +13 *1895:18 *1896:20 0 +14 *1895:18 *1896:37 0 +15 *1895:18 *1898:36 0 +16 *1895:18 *1901:32 0 +17 *1895:18 *2067:32 0 +18 *1895:18 *2181:33 0 +19 *1895:18 *2741:33 0 +20 *1895:18 *3861:70 0 +21 *1895:18 *3873:32 0 +22 *1895:18 *3898:39 0 +23 *1895:18 *3974:10 0 +24 *1895:18 *4152:14 0 +25 *1895:25 *5885:DIODE 0 +26 *1895:25 *1899:56 0 +27 *1895:25 *2067:32 0 +28 *1895:25 *3241:15 0 +29 *1895:25 *3888:51 0 +30 *1895:46 *6386:DIODE 0 +31 *1895:46 *37952:B 0 +32 *1895:46 *1896:69 0 +33 *1895:46 *1899:57 0 +34 *1895:46 *4068:37 0 +35 *1895:46 *4162:51 0 +36 *1895:46 *4165:20 0 +37 *1895:46 *4214:40 0 +38 *1895:46 *5152:51 0 +39 *1895:52 *2004:65 0 +40 *1895:52 *4068:43 0 +41 *1895:52 *4173:19 0 +42 *1895:52 *4461:56 0 +43 *1895:52 *4760:47 0 +44 *1895:54 *2004:71 0 +45 *1895:54 *4068:43 0 +46 *1895:54 *4068:45 0 +47 *1895:69 *1896:20 0 +48 *1376:23 *1895:52 0 +49 *1376:23 *1895:54 0 +50 *1393:45 *1895:46 0 +51 *1533:22 *1895:46 0 +52 *1796:20 *1895:46 0 +53 *1796:39 *1895:46 0 +54 *1894:36 *1895:18 0 +55 *1894:36 *1895:69 0 +*RES +1 *38890:HI[108] *1895:18 42.5034 +2 *1895:18 *1895:25 44.7411 +3 *1895:25 *1895:46 48.0893 +4 *1895:46 *1895:52 27.3571 +5 *1895:52 *1895:54 28.4643 +6 *1895:54 *37950:B 13.9607 +7 *1895:54 *5873:DIODE 9.3 +8 *38890:HI[108] *1895:69 0.167633 +*END + +*D_NET *1896 0.010866 +*CONN +*I *5876:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37952:B I *D sky130_fd_sc_hd__and3b_1 +*I *38890:HI[109] O *D mprj_logic_high +*CAP +1 *5876:DIODE 0 +2 *37952:B 0.000323135 +3 *38890:HI[109] 0.00176433 +4 *1896:69 0.000736855 +5 *1896:55 0.00193416 +6 *1896:37 0.0029318 +7 *1896:20 0.00317569 +8 *37952:B *1899:57 0 +9 *1896:20 *5910:DIODE 0 +10 *1896:20 *8808:DIODE 0 +11 *1896:20 *37972:A_N 0 +12 *1896:20 *41162:A 0 +13 *1896:20 *41171:A 0 +14 *1896:20 *1898:36 0 +15 *1896:20 *2741:33 0 +16 *1896:20 *3494:8 0 +17 *1896:20 *4143:40 0 +18 *1896:37 *40355:A 0 +19 *1896:37 *2061:49 0 +20 *1896:37 *3374:64 0 +21 *1896:37 *3857:46 0 +22 *1896:37 *4152:14 0 +23 *1896:37 *4162:11 0 +24 *1896:37 *4457:109 0 +25 *1896:55 *6287:DIODE 0 +26 *1896:55 *38196:A 0 +27 *1896:55 *1901:35 0 +28 *1896:55 *1901:69 0 +29 *1896:55 *3331:94 0 +30 *1896:55 *3374:60 0 +31 *1896:55 *3919:30 0 +32 *1896:55 *4123:28 0 +33 *1896:55 *4152:33 0 +34 *1896:55 *4457:28 0 +35 *1896:69 *1899:57 0 +36 *1896:69 *2067:46 0 +37 *1896:69 *5152:51 0 +38 *1393:86 *1896:37 0 +39 *1533:43 *1896:55 0 +40 *1533:57 *1896:37 0 +41 *1803:8 *1896:37 0 +42 *1895:18 *1896:20 0 +43 *1895:18 *1896:37 0 +44 *1895:46 *37952:B 0 +45 *1895:46 *1896:69 0 +46 *1895:69 *1896:20 0 +*RES +1 *38890:HI[109] *1896:20 43.0377 +2 *1896:20 *1896:37 48.1607 +3 *1896:37 *1896:55 48.1981 +4 *1896:55 *1896:69 16.9011 +5 *1896:69 *37952:B 25.4786 +6 *1896:69 *5876:DIODE 9.3 +*END + +*D_NET *1897 0.0141795 +*CONN +*I *41377:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8974:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[10] O *D mprj_logic_high +*CAP +1 *41377:A 5.73269e-05 +2 *8974:DIODE 0.000281241 +3 *38890:HI[10] 0.00675117 +4 *1897:26 0.00708974 +5 *8974:DIODE *37992:C 0 +6 *8974:DIODE *4380:68 0 +7 *8974:DIODE *4400:17 0 +8 *41377:A *3635:59 0 +9 *41377:A *3845:77 0 +10 *1897:26 *41368:A 0 +11 *1897:26 *1918:27 0 +12 *1897:26 *1919:23 0 +13 *1897:26 *2189:18 0 +14 *1897:26 *2189:23 0 +15 *1897:26 *2202:64 0 +16 *1897:26 *2205:22 0 +17 *1897:26 *2762:19 0 +18 *1897:26 *3554:29 0 +19 *1897:26 *3586:20 0 +20 *1897:26 *3587:33 0 +21 *1897:26 *3587:56 0 +22 *1897:26 *3615:19 0 +23 *1897:26 *3619:45 0 +24 *1897:26 *3633:85 0 +25 *1897:26 *3633:98 0 +26 *1897:26 *3829:20 0 +27 *1897:26 *3850:32 0 +28 *1897:26 *3856:54 0 +29 *1897:26 *4361:17 0 +30 *1897:26 *4361:30 0 +31 *1897:26 *4385:21 0 +32 *41389:A *1897:26 0 +33 *1195:28 *1897:26 0 +34 *1390:10 *1897:26 0 +35 *1396:43 *1897:26 0 +*RES +1 *38890:HI[10] *1897:26 48.5752 +2 *1897:26 *8974:DIODE 20.2821 +3 *1897:26 *41377:A 14.9964 +*END + +*D_NET *1898 0.0139428 +*CONN +*I *5879:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37954:B I *D sky130_fd_sc_hd__and3b_1 +*I *38890:HI[110] O *D mprj_logic_high +*CAP +1 *5879:DIODE 0 +2 *37954:B 0.000241696 +3 *38890:HI[110] 0.00597932 +4 *1898:45 0.000992101 +5 *1898:36 0.00672973 +6 *37954:B *4173:19 0 +7 *1898:36 *41216:A 0 +8 *1898:36 *1901:32 0 +9 *1898:36 *2061:49 0 +10 *1898:36 *2061:56 0 +11 *1898:36 *2074:30 0 +12 *1898:36 *3058:28 0 +13 *1898:36 *3325:37 0 +14 *1898:36 *3325:44 0 +15 *1898:36 *3596:56 0 +16 *1898:36 *3876:93 0 +17 *1898:36 *3895:49 0 +18 *1898:36 *3898:39 0 +19 *1898:36 *4162:35 0 +20 *1898:36 *4178:25 0 +21 *1898:36 *4206:27 0 +22 *1898:36 *4384:56 0 +23 *1898:36 *4392:49 0 +24 *1898:45 *2004:65 0 +25 *1898:45 *4173:19 0 +26 *1398:50 *1898:36 0 +27 *1895:18 *1898:36 0 +28 *1896:20 *1898:36 0 +*RES +1 *38890:HI[110] *1898:36 46.4751 +2 *1898:36 *1898:45 29.3571 +3 *1898:45 *37954:B 23.4786 +4 *1898:45 *5879:DIODE 9.3 +*END + +*D_NET *1899 0.0103571 +*CONN +*I *5882:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37956:B I *D sky130_fd_sc_hd__and3b_1 +*I *38890:HI[111] O *D mprj_logic_high +*CAP +1 *5882:DIODE 0 +2 *37956:B 0.000136295 +3 *38890:HI[111] 0.00146026 +4 *1899:57 0.000575231 +5 *1899:56 0.00157085 +6 *1899:41 0.00314306 +7 *1899:38 0.00347141 +8 *37956:B *4162:51 0 +9 *1899:38 *38214:B 0 +10 *1899:38 *41166:A 0 +11 *1899:38 *1900:28 0 +12 *1899:38 *1901:32 0 +13 *1899:38 *2061:21 0 +14 *1899:38 *3876:62 0 +15 *1899:38 *3898:39 0 +16 *1899:38 *4068:9 0 +17 *1899:38 *4173:12 0 +18 *1899:38 *4176:32 0 +19 *1899:41 *41395:A 0 +20 *1899:41 *3246:19 0 +21 *1899:41 *4068:9 0 +22 *1899:41 *4173:12 0 +23 *1899:41 *4410:5 0 +24 *1899:41 *4448:16 0 +25 *1899:56 *37784:A 0 +26 *1899:56 *37784:B 0 +27 *1899:56 *41395:A 0 +28 *1899:56 *3241:15 0 +29 *1899:56 *3246:19 0 +30 *1899:56 *3246:27 0 +31 *1899:56 *3888:51 0 +32 *1899:56 *4068:9 0 +33 *1899:56 *4068:37 0 +34 *1899:56 *4462:26 0 +35 *1899:56 *5152:51 0 +36 *1899:57 *4162:51 0 +37 *37952:B *1899:57 0 +38 *1402:20 *1899:56 0 +39 *1895:25 *1899:56 0 +40 *1895:46 *1899:57 0 +41 *1896:69 *1899:57 0 +*RES +1 *38890:HI[111] *1899:38 43.994 +2 *1899:38 *1899:41 42.0357 +3 *1899:41 *1899:56 41.9821 +4 *1899:56 *1899:57 9.16071 +5 *1899:57 *37956:B 21.2286 +6 *1899:57 *5882:DIODE 9.3 +*END + +*D_NET *1900 0.00576313 +*CONN +*I *37958:B I *D sky130_fd_sc_hd__and3b_1 +*I *38890:HI[112] O *D mprj_logic_high +*CAP +1 *37958:B 0.00177714 +2 *38890:HI[112] 0.00110443 +3 *1900:28 0.00288156 +4 *37958:B *1901:35 0 +5 *37958:B *2741:33 0 +6 *37958:B *3331:82 0 +7 *1900:28 *5910:DIODE 0 +8 *1900:28 *37972:A_N 0 +9 *1900:28 *1901:32 0 +10 *1900:28 *2061:21 0 +11 *1900:28 *2166:39 0 +12 *1900:28 *3325:37 0 +13 *1900:28 *3596:34 0 +14 *1900:28 *4176:32 0 +15 *301:31 *1900:28 0 +16 *1395:44 *1900:28 0 +17 *1524:35 *1900:28 0 +18 *1899:38 *1900:28 0 +*RES +1 *38890:HI[112] *1900:28 32.1833 +2 *1900:28 *37958:B 46.3893 +*END + +*D_NET *1901 0.0142727 +*CONN +*I *5888:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37960:B I *D sky130_fd_sc_hd__and3b_1 +*I *38890:HI[113] O *D mprj_logic_high +*CAP +1 *5888:DIODE 0 +2 *37960:B 0.000203639 +3 *38890:HI[113] 0.00159777 +4 *1901:77 0.00150136 +5 *1901:69 0.00316421 +6 *1901:35 0.00403722 +7 *1901:32 0.00376849 +8 *37960:B *4159:49 0 +9 *1901:32 *2061:49 0 +10 *1901:32 *2074:30 0 +11 *1901:32 *3325:37 0 +12 *1901:32 *3873:32 0 +13 *1901:32 *3876:62 0 +14 *1901:32 *4176:32 0 +15 *1901:32 *4212:43 0 +16 *1901:35 *5906:DIODE 0 +17 *1901:35 *2057:49 0 +18 *1901:35 *2166:39 0 +19 *1901:35 *3331:82 0 +20 *1901:35 *3331:93 0 +21 *1901:35 *3331:94 0 +22 *1901:35 *3642:48 0 +23 *1901:69 *6314:DIODE 0 +24 *1901:69 *8800:DIODE 0 +25 *1901:69 *8810:DIODE 0 +26 *1901:69 *38006:A_N 0 +27 *1901:69 *41169:A 0 +28 *1901:69 *3331:94 0 +29 *1901:69 *3374:31 0 +30 *1901:69 *3374:43 0 +31 *1901:69 *3876:93 0 +32 *1901:69 *4132:44 0 +33 *1901:69 *4159:29 0 +34 *1901:69 *4159:49 0 +35 *1901:69 *4162:35 0 +36 *1901:69 *4162:51 0 +37 *1901:69 *4457:28 0 +38 *1901:77 *3062:24 0 +39 *1901:77 *3374:31 0 +40 *1901:77 *3895:79 0 +41 *1901:77 *4159:49 0 +42 *1901:77 *4189:40 0 +43 *1901:77 *4454:39 0 +44 *37958:B *1901:35 0 +45 *1379:25 *37960:B 0 +46 *1379:25 *1901:77 0 +47 *1497:10 *1901:35 0 +48 *1502:28 *1901:69 0 +49 *1895:18 *1901:32 0 +50 *1896:55 *1901:35 0 +51 *1896:55 *1901:69 0 +52 *1898:36 *1901:32 0 +53 *1899:38 *1901:32 0 +54 *1900:28 *1901:32 0 +*RES +1 *38890:HI[113] *1901:32 32.7167 +2 *1901:32 *1901:35 49.8036 +3 *1901:35 *1901:69 44.4286 +4 *1901:69 *1901:77 36.2679 +5 *1901:77 *37960:B 13.55 +6 *1901:77 *5888:DIODE 9.3 +*END + +*D_NET *1902 0.0195328 +*CONN +*I *41376:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8973:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[114] O *D mprj_logic_high +*CAP +1 *41376:A 0.000119949 +2 *8973:DIODE 6.50276e-05 +3 *38890:HI[114] 0.00177307 +4 *1902:43 0.00167027 +5 *1902:22 0.00780837 +6 *1902:21 0.00632308 +7 *1902:19 0.00177307 +8 *8973:DIODE *3591:17 0 +9 *8973:DIODE *3839:49 0 +10 *41376:A *3591:17 0 +11 *41376:A *3839:49 0 +12 *1902:19 *8359:DIODE 0 +13 *1902:19 *1904:13 0 +14 *1902:19 *1930:17 0 +15 *1902:19 *2198:43 0 +16 *1902:19 *2736:18 0 +17 *1902:19 *2872:23 0 +18 *1902:19 *3581:58 0 +19 *1902:22 *1904:22 0 +20 *1902:22 *1905:55 0 +21 *1902:22 *1906:35 0 +22 *1902:22 *1908:22 0 +23 *1902:22 *1918:19 0 +24 *1902:22 *1943:14 0 +25 *1902:22 *1943:26 0 +26 *1902:22 *2699:27 0 +27 *1902:43 *1912:57 0 +28 *1902:43 *2489:33 0 +29 *1902:43 *2777:23 0 +30 *1902:43 *2888:18 0 +31 *1902:43 *3587:56 0 +32 *1902:43 *3831:26 0 +33 *1902:43 *3840:71 0 +34 *1902:43 *4385:38 0 +35 *37809:A *1902:22 0 +36 *1277:20 *1902:43 0 +37 *1887:34 *41376:A 0 +*RES +1 *38890:HI[114] *1902:19 48.6607 +2 *1902:19 *1902:21 4.5 +3 *1902:21 *1902:22 131.964 +4 *1902:22 *1902:43 46.1272 +5 *1902:43 *8973:DIODE 10.6571 +6 *1902:43 *41376:A 20.925 +*END + +*D_NET *1903 0.0220003 +*CONN +*I *41375:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8972:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[115] O *D mprj_logic_high +*CAP +1 *41375:A 0 +2 *8972:DIODE 0.000211625 +3 *38890:HI[115] 0.00163499 +4 *1903:44 0.00158837 +5 *1903:40 0.00201872 +6 *1903:32 0.00584931 +7 *1903:31 0.0071348 +8 *1903:25 0.00356245 +9 *8972:DIODE *3839:49 0 +10 *8972:DIODE *3868:25 0 +11 *8972:DIODE *4380:43 0 +12 *1903:25 *1905:34 0 +13 *1903:25 *2877:23 0 +14 *1903:25 *2885:19 0 +15 *1903:25 *2886:56 0 +16 *1903:25 *3562:49 0 +17 *1903:25 *3569:60 0 +18 *1903:25 *3850:20 0 +19 *1903:31 *1927:38 0 +20 *1903:31 *2160:33 0 +21 *1903:32 *38181:A 0 +22 *1903:32 *1906:38 0 +23 *1903:32 *1917:22 0 +24 *1903:32 *1927:38 0 +25 *1903:32 *1927:40 0 +26 *1903:32 *2160:46 0 +27 *1903:32 *2168:24 0 +28 *1903:32 *2769:13 0 +29 *1903:32 *2769:25 0 +30 *1903:32 *4938:7 0 +31 *1903:40 *1917:32 0 +32 *1903:44 *41369:A 0 +33 *1903:44 *2756:8 0 +34 *1903:44 *3839:49 0 +35 *1903:44 *4380:43 0 +36 *1903:44 *4381:11 0 +37 *1903:44 *4387:50 0 +38 *39472:B *1903:32 0 +39 *295:13 *1903:44 0 +40 *1385:22 *1903:32 0 +41 *1507:12 *1903:40 0 +42 *1524:47 *1903:25 0 +43 *1675:32 *8972:DIODE 0 +44 *1676:9 *1903:32 0 +45 *1676:9 *1903:40 0 +46 *1678:18 *1903:44 0 +47 *1804:7 *1903:32 0 +*RES +1 *38890:HI[115] *1903:25 30.8276 +2 *1903:25 *1903:31 49.2857 +3 *1903:31 *1903:32 108.554 +4 *1903:32 *1903:40 26.9821 +5 *1903:40 *1903:44 35.6429 +6 *1903:44 *8972:DIODE 23.3179 +7 *1903:44 *41375:A 9.3 +*END + +*D_NET *1904 0.0237494 +*CONN +*I *5899:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37966:B I *D sky130_fd_sc_hd__and3b_1 +*I *38890:HI[116] O *D mprj_logic_high +*CAP +1 *5899:DIODE 0 +2 *37966:B 0.000249133 +3 *38890:HI[116] 0.00171243 +4 *1904:35 0.00112041 +5 *1904:30 0.00251842 +6 *1904:22 0.00696957 +7 *1904:21 0.00538141 +8 *1904:16 0.00207228 +9 *1904:13 0.00372572 +10 *37966:B *37966:A_N 0 +11 *1904:13 *40914:A 0 +12 *1904:13 *1930:17 0 +13 *1904:13 *1944:42 0 +14 *1904:13 *2168:18 0 +15 *1904:13 *2173:25 0 +16 *1904:13 *2176:13 0 +17 *1904:13 *2180:13 0 +18 *1904:13 *2204:31 0 +19 *1904:13 *2598:10 0 +20 *1904:13 *3608:64 0 +21 *1904:16 *38183:A 0 +22 *1904:16 *1905:55 0 +23 *1904:16 *1908:15 0 +24 *1904:16 *2768:33 0 +25 *1904:16 *4939:11 0 +26 *1904:22 *40033:A 0 +27 *1904:22 *1908:22 0 +28 *1904:22 *1908:24 0 +29 *1904:22 *1930:58 0 +30 *1904:22 *2759:7 0 +31 *1904:22 *2777:23 0 +32 *1904:22 *2779:23 0 +33 *1904:22 *2874:18 0 +34 *1904:22 *2903:17 0 +35 *1904:30 *2753:25 0 +36 *1904:30 *2769:13 0 +37 *1904:35 *1918:27 0 +38 *1904:35 *2855:28 0 +39 *1904:35 *2874:8 0 +40 *1904:35 *3169:27 0 +41 *1904:35 *3853:52 0 +42 *1904:35 *4620:48 0 +43 *387:5 *1904:35 0 +44 *1388:16 *1904:30 0 +45 *1501:46 *1904:35 0 +46 *1676:9 *1904:30 0 +47 *1902:19 *1904:13 0 +48 *1902:22 *1904:22 0 +*RES +1 *38890:HI[116] *1904:13 47.1607 +2 *1904:13 *1904:16 46.5179 +3 *1904:16 *1904:21 10.3393 +4 *1904:21 *1904:22 111.018 +5 *1904:22 *1904:30 48.1071 +6 *1904:30 *1904:35 19.9107 +7 *1904:35 *37966:B 19.7107 +8 *1904:35 *5899:DIODE 13.8 +*END + +*D_NET *1905 0.0178908 +*CONN +*I *41374:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8971:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[117] O *D mprj_logic_high +*CAP +1 *41374:A 0 +2 *8971:DIODE 0.000189344 +3 *38890:HI[117] 0.00158414 +4 *1905:77 0.000432578 +5 *1905:74 0.00242516 +6 *1905:56 0.00462816 +7 *1905:55 0.00386576 +8 *1905:48 0.00230053 +9 *1905:34 0.00246514 +10 *8971:DIODE *3565:66 0 +11 *1905:34 *2194:26 0 +12 *1905:34 *2196:44 0 +13 *1905:34 *2852:21 0 +14 *1905:34 *2885:19 0 +15 *1905:34 *3200:11 0 +16 *1905:34 *4311:22 0 +17 *1905:34 *4317:13 0 +18 *1905:34 *4346:21 0 +19 *1905:48 *1908:15 0 +20 *1905:48 *1918:13 0 +21 *1905:48 *1918:19 0 +22 *1905:48 *1943:26 0 +23 *1905:48 *2196:44 0 +24 *1905:55 *1906:35 0 +25 *1905:55 *1908:15 0 +26 *1905:55 *1918:19 0 +27 *1905:55 *1943:14 0 +28 *1905:56 *1911:28 0 +29 *1905:56 *2864:53 0 +30 *1905:56 *2872:17 0 +31 *1905:56 *3195:48 0 +32 *1905:74 *2743:14 0 +33 *1905:74 *3552:51 0 +34 *1905:74 *3834:35 0 +35 *1905:74 *4403:31 0 +36 *300:10 *1905:74 0 +37 *1193:12 *1905:74 0 +38 *1281:15 *1905:74 0 +39 *1302:18 *1905:34 0 +40 *1494:18 *1905:74 0 +41 *1497:23 *1905:74 0 +42 *1679:5 *1905:56 0 +43 *1902:22 *1905:55 0 +44 *1903:25 *1905:34 0 +45 *1904:16 *1905:55 0 +*RES +1 *38890:HI[117] *1905:34 48.2514 +2 *1905:34 *1905:48 28.5714 +3 *1905:48 *1905:55 39.0536 +4 *1905:55 *1905:56 51.0536 +5 *1905:56 *1905:74 48.9859 +6 *1905:74 *1905:77 10.0893 +7 *1905:77 *8971:DIODE 17.8357 +8 *1905:77 *41374:A 13.8 +*END + +*D_NET *1906 0.0148567 +*CONN +*I *37970:B I *D sky130_fd_sc_hd__and3b_1 +*I *5906:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[118] O *D mprj_logic_high +*CAP +1 *37970:B 4.53482e-05 +2 *5906:DIODE 0.000354348 +3 *38890:HI[118] 0.0014916 +4 *1906:49 0.000962418 +5 *1906:47 0.00185626 +6 *1906:38 0.00346426 +7 *1906:35 0.00368081 +8 *1906:21 0.00300169 +9 *5906:DIODE *2057:49 0 +10 *5906:DIODE *2057:58 0 +11 *5906:DIODE *2166:39 0 +12 *1906:21 *5664:DIODE 0 +13 *1906:21 *40644:A 0 +14 *1906:21 *40667:A 0 +15 *1906:21 *1928:30 0 +16 *1906:21 *1947:19 0 +17 *1906:21 *2204:58 0 +18 *1906:21 *3558:50 0 +19 *1906:21 *3577:27 0 +20 *1906:21 *3598:18 0 +21 *1906:21 *3608:75 0 +22 *1906:35 *1918:19 0 +23 *1906:35 *1943:14 0 +24 *1906:38 *1927:40 0 +25 *1906:47 *1912:41 0 +26 *1906:47 *2743:14 0 +27 *1906:47 *2748:10 0 +28 *1906:47 *2855:69 0 +29 *1906:49 *2171:31 0 +30 *1906:49 *3151:24 0 +31 *1906:49 *3156:76 0 +32 *1906:49 *3865:20 0 +33 *318:28 *1906:35 0 +34 *339:35 *1906:35 0 +35 *346:66 *1906:35 0 +36 *1195:40 *1906:47 0 +37 *1279:10 *1906:47 0 +38 *1303:14 *1906:21 0 +39 *1524:35 *37970:B 0 +40 *1901:35 *5906:DIODE 0 +41 *1902:22 *1906:35 0 +42 *1903:32 *1906:38 0 +43 *1905:55 *1906:35 0 +*RES +1 *38890:HI[118] *1906:21 47.2143 +2 *1906:21 *1906:35 39.4531 +3 *1906:35 *1906:38 49.8036 +4 *1906:38 *1906:47 38.1786 +5 *1906:47 *1906:49 12.875 +6 *1906:49 *5906:DIODE 21.6929 +7 *1906:49 *37970:B 14.7464 +*END + +*D_NET *1907 0.0102781 +*CONN +*I *37972:B I *D sky130_fd_sc_hd__and3b_2 +*I *5910:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[119] O *D mprj_logic_high +*CAP +1 *37972:B 2.0535e-05 +2 *5910:DIODE 0.000612986 +3 *38890:HI[119] 0.00194095 +4 *1907:23 0.00110882 +5 *1907:20 0.0025646 +6 *1907:16 0.00403025 +7 *5910:DIODE *5407:DIODE 0 +8 *5910:DIODE *37972:A_N 0 +9 *5910:DIODE *41171:A 0 +10 *37972:B *5407:DIODE 0 +11 *1907:16 *41143:A 0 +12 *1907:16 *2741:69 0 +13 *1907:16 *2850:39 0 +14 *1907:16 *3876:23 0 +15 *1907:20 *41171:A 0 +16 *1907:20 *2177:14 0 +17 *1907:20 *2181:33 0 +18 *1907:20 *3596:34 0 +19 *1907:20 *3876:25 0 +20 *1907:23 *2028:27 0 +21 *1384:33 *1907:23 0 +22 *1385:13 *1907:23 0 +23 *1524:35 *1907:16 0 +24 *1530:15 *1907:20 0 +25 *1533:71 *1907:16 0 +26 *1896:20 *5910:DIODE 0 +27 *1900:28 *5910:DIODE 0 +*RES +1 *38890:HI[119] *1907:16 26.4903 +2 *1907:16 *1907:20 48.6071 +3 *1907:20 *1907:23 14.4821 +4 *1907:23 *5910:DIODE 40.6929 +5 *1907:23 *37972:B 9.72857 +*END + +*D_NET *1908 0.0181944 +*CONN +*I *5595:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37756:A I *D sky130_fd_sc_hd__and2_2 +*I *38890:HI[11] O *D mprj_logic_high +*CAP +1 *5595:DIODE 0.000222463 +2 *37756:A 0.000190833 +3 *38890:HI[11] 0.00126719 +4 *1908:31 0.00160512 +5 *1908:24 0.00418908 +6 *1908:22 0.00428412 +7 *1908:15 0.00322763 +8 *1908:9 0.00320797 +9 *5595:DIODE *3635:33 0 +10 *37756:A *2171:61 0 +11 *37756:A *3884:23 0 +12 *1908:9 *5662:DIODE 0 +13 *1908:9 *1928:45 0 +14 *1908:9 *2198:43 0 +15 *1908:9 *3552:22 0 +16 *1908:9 *3633:12 0 +17 *1908:9 *4368:22 0 +18 *1908:15 *1943:26 0 +19 *1908:15 *2174:41 0 +20 *1908:22 *2768:33 0 +21 *1908:22 *4939:11 0 +22 *1908:24 *40033:A 0 +23 *1908:24 *1930:58 0 +24 *1908:24 *2768:29 0 +25 *1908:24 *2768:33 0 +26 *1908:24 *2777:23 0 +27 *1908:24 *2884:19 0 +28 *1908:31 *2754:9 0 +29 *1908:31 *2755:20 0 +30 *1908:31 *2868:8 0 +31 *1908:31 *3450:49 0 +32 *1908:31 *3639:34 0 +33 *1908:31 *3856:54 0 +34 *1403:29 *5595:DIODE 0 +35 *1902:22 *1908:22 0 +36 *1904:16 *1908:15 0 +37 *1904:22 *1908:22 0 +38 *1904:22 *1908:24 0 +39 *1905:48 *1908:15 0 +40 *1905:55 *1908:15 0 +*RES +1 *38890:HI[11] *1908:9 33.2857 +2 *1908:9 *1908:15 49.5893 +3 *1908:15 *1908:22 26.9821 +4 *1908:22 *1908:24 62.5536 +5 *1908:24 *1908:31 31.6964 +6 *1908:31 *37756:A 18.0143 +7 *1908:31 *5595:DIODE 18.4429 +*END + +*D_NET *1909 0.0127424 +*CONN +*I *37974:B I *D sky130_fd_sc_hd__and3b_1 +*I *5914:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[120] O *D mprj_logic_high +*CAP +1 *37974:B 0 +2 *5914:DIODE 0.000111058 +3 *38890:HI[120] 0.00186743 +4 *1909:70 0.00205776 +5 *1909:47 0.00439272 +6 *1909:15 0.00431344 +7 *1909:15 *1933:15 0 +8 *1909:15 *2747:42 0 +9 *1909:15 *2866:23 0 +10 *1909:15 *2866:27 0 +11 *1909:15 *3569:60 0 +12 *1909:15 *3591:17 0 +13 *1909:47 *1916:42 0 +14 *1909:47 *1920:43 0 +15 *1909:47 *1949:34 0 +16 *1909:47 *2046:25 0 +17 *1909:47 *2046:27 0 +18 *1909:47 *2174:74 0 +19 *1909:47 *2864:44 0 +20 *1909:47 *2876:20 0 +21 *1909:47 *2885:19 0 +22 *1909:47 *2886:40 0 +23 *1909:47 *3562:61 0 +24 *1909:47 *3602:42 0 +25 *1909:47 *3607:23 0 +26 *1909:47 *3611:27 0 +27 *1909:47 *3833:19 0 +28 *1909:47 *3835:26 0 +29 *1909:70 *1919:37 0 +30 *1909:70 *1923:25 0 +31 *1909:70 *1923:39 0 +32 *1909:70 *1930:62 0 +33 *1909:70 *2182:61 0 +34 *1909:70 *2735:14 0 +35 *1909:70 *3580:81 0 +36 *1909:70 *3611:27 0 +37 *1909:70 *3828:98 0 +38 *1909:70 *3833:19 0 +39 *1909:70 *3895:18 0 +40 *40016:A *1909:70 0 +41 *1195:40 *1909:70 0 +42 *1385:22 *1909:47 0 +43 *1393:90 *1909:70 0 +44 *1401:40 *1909:47 0 +*RES +1 *38890:HI[120] *1909:15 49 +2 *1909:15 *1909:47 47.1935 +3 *1909:47 *1909:70 40.5972 +4 *1909:70 *5914:DIODE 20.8536 +5 *1909:70 *37974:B 9.3 +*END + +*D_NET *1910 0.00365255 +*CONN +*I *41373:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[121] O *D mprj_logic_high +*CAP +1 *41373:A 0.000195672 +2 *38890:HI[121] 0.0016306 +3 *1910:22 0.00182627 +4 *41373:A *1946:38 0 +5 *1910:22 *40875:A 0 +6 *1910:22 *40893:A 0 +7 *1910:22 *1914:29 0 +8 *1910:22 *1946:38 0 +9 *1910:22 *2192:27 0 +10 *1910:22 *2200:30 0 +11 *1910:22 *3185:9 0 +12 *1910:22 *3185:25 0 +13 *1910:22 *3587:33 0 +14 *1910:22 *3623:16 0 +15 *1198:42 *41373:A 0 +16 *1302:18 *1910:22 0 +*RES +1 *38890:HI[121] *1910:22 48.8571 +2 *1910:22 *41373:A 22.4429 +*END + +*D_NET *1911 0.0179104 +*CONN +*I *37978:B I *D sky130_fd_sc_hd__and3b_2 +*I *5921:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[122] O *D mprj_logic_high +*CAP +1 *37978:B 0 +2 *5921:DIODE 0.000232635 +3 *38890:HI[122] 0.00152081 +4 *1911:37 0.00320332 +5 *1911:28 0.00647961 +6 *1911:27 0.00423105 +7 *1911:16 0.00224294 +8 *1911:16 *1922:13 0 +9 *1911:16 *1922:17 0 +10 *1911:16 *1947:19 0 +11 *1911:16 *2864:53 0 +12 *1911:16 *2872:17 0 +13 *1911:16 *3598:19 0 +14 *1911:16 *3607:20 0 +15 *1911:27 *1953:11 0 +16 *1911:27 *2886:40 0 +17 *1911:27 *2886:50 0 +18 *1911:27 *3195:39 0 +19 *1911:37 *2770:47 0 +20 *1911:37 *3353:26 0 +21 *1911:37 *3552:51 0 +22 *1911:37 *3834:35 0 +23 *300:10 *1911:37 0 +24 *336:73 *1911:37 0 +25 *1279:18 *1911:28 0 +26 *1388:16 *1911:37 0 +27 *1679:5 *1911:28 0 +28 *1682:25 *1911:27 0 +29 *1682:43 *1911:27 0 +30 *1905:56 *1911:28 0 +*RES +1 *38890:HI[122] *1911:16 47.25 +2 *1911:16 *1911:27 33.4107 +3 *1911:27 *1911:28 73.2321 +4 *1911:28 *1911:37 43.9786 +5 *1911:37 *5921:DIODE 19.0857 +6 *1911:37 *37978:B 13.8 +*END + +*D_NET *1912 0.016976 +*CONN +*I *37980:B I *D sky130_fd_sc_hd__and3b_1 +*I *5925:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[123] O *D mprj_logic_high +*CAP +1 *37980:B 0.000566034 +2 *5925:DIODE 0.000177422 +3 *38890:HI[123] 0.00158087 +4 *1912:57 0.00184236 +5 *1912:41 0.00311038 +6 *1912:26 0.00506477 +7 *1912:25 0.00463417 +8 *5925:DIODE *3886:19 0 +9 *5925:DIODE *4133:5 0 +10 *37980:B *37980:A_N 0 +11 *37980:B *3596:73 0 +12 *37980:B *3841:9 0 +13 *37980:B *3886:19 0 +14 *37980:B *4133:5 0 +15 *1912:25 *1914:29 0 +16 *1912:25 *1922:13 0 +17 *1912:25 *1924:23 0 +18 *1912:25 *1946:15 0 +19 *1912:25 *1951:43 0 +20 *1912:25 *2159:23 0 +21 *1912:25 *2172:33 0 +22 *1912:25 *2201:26 0 +23 *1912:25 *2733:100 0 +24 *1912:25 *3565:17 0 +25 *1912:25 *3578:80 0 +26 *1912:25 *3861:20 0 +27 *1912:25 *3861:50 0 +28 *1912:26 *5657:DIODE 0 +29 *1912:26 *8553:DIODE 0 +30 *1912:26 *1921:22 0 +31 *1912:26 *1921:31 0 +32 *1912:26 *1921:49 0 +33 *1912:26 *1923:39 0 +34 *1912:26 *1924:27 0 +35 *1912:26 *2172:65 0 +36 *1912:26 *2190:44 0 +37 *1912:26 *3550:35 0 +38 *1912:41 *37588:A 0 +39 *1912:41 *37588:B 0 +40 *1912:41 *1921:49 0 +41 *1912:41 *1921:54 0 +42 *1912:41 *1923:39 0 +43 *1912:41 *1923:57 0 +44 *1912:41 *2206:50 0 +45 *1912:41 *2855:59 0 +46 *1912:41 *3580:102 0 +47 *1912:57 *1919:47 0 +48 *1912:57 *3619:45 0 +49 *1912:57 *3633:85 0 +50 *40038:A *5925:DIODE 0 +51 *40038:A *1912:57 0 +52 *346:78 *1912:57 0 +53 *1183:41 *1912:57 0 +54 *1192:14 *1912:41 0 +55 *1192:26 *1912:57 0 +56 *1198:24 *1912:25 0 +57 *1291:8 *1912:57 0 +58 *1387:84 *1912:26 0 +59 *1805:15 *1912:57 0 +60 *1805:34 *1912:41 0 +61 *1902:43 *1912:57 0 +62 *1906:47 *1912:41 0 +*RES +1 *38890:HI[123] *1912:25 47.3571 +2 *1912:25 *1912:26 63.7857 +3 *1912:26 *1912:41 46.9286 +4 *1912:41 *1912:57 42.4643 +5 *1912:57 *5925:DIODE 17.7107 +6 *1912:57 *37980:B 43.8714 +*END + +*D_NET *1913 0.006784 +*CONN +*I *37982:B I *D sky130_fd_sc_hd__and3b_1 +*I *38890:HI[124] O *D mprj_logic_high +*CAP +1 *37982:B 0.000712431 +2 *38890:HI[124] 0.000115257 +3 *1913:12 0.00327674 +4 *1913:10 0.00267957 +5 *37982:B *2177:17 0 +6 *37982:B *2847:5 0 +7 *37982:B *3385:28 0 +8 *37982:B *3643:29 0 +9 *37982:B *3873:25 0 +10 *1913:10 *3578:80 0 +11 *1913:12 *2847:5 0 +12 *1913:12 *2866:27 0 +13 *1913:12 *4458:55 0 +14 *1384:33 *37982:B 0 +15 *1390:10 *37982:B 0 +*RES +1 *38890:HI[124] *1913:10 7 +2 *1913:10 *1913:12 53.5179 +3 *1913:12 *37982:B 33.2286 +*END + +*D_NET *1914 0.0214216 +*CONN +*I *37984:B I *D sky130_fd_sc_hd__and3b_1 +*I *5931:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[125] O *D mprj_logic_high +*CAP +1 *37984:B 8.4707e-05 +2 *5931:DIODE 0.000323808 +3 *38890:HI[125] 0.0070471 +4 *1914:47 0.00366369 +5 *1914:29 0.0103023 +6 *5931:DIODE *3061:38 0 +7 *5931:DIODE *4133:27 0 +8 *37984:B *4380:68 0 +9 *1914:29 *1937:19 0 +10 *1914:29 *2188:39 0 +11 *1914:29 *2212:39 0 +12 *1914:29 *3151:50 0 +13 *1914:29 *3185:25 0 +14 *1914:29 *3200:16 0 +15 *1914:29 *3557:29 0 +16 *1914:29 *3558:50 0 +17 *1914:29 *3620:33 0 +18 *1914:29 *3640:43 0 +19 *1914:29 *3837:23 0 +20 *1914:29 *4384:28 0 +21 *1914:29 *4386:38 0 +22 *1914:29 *4458:74 0 +23 *1914:47 *2762:19 0 +24 *1914:47 *3564:16 0 +25 *1914:47 *3568:34 0 +26 *1914:47 *3598:50 0 +27 *1914:47 *3837:23 0 +28 *1914:47 *4361:17 0 +29 *1914:47 *4361:30 0 +30 *40028:A *1914:29 0 +31 *387:20 *1914:47 0 +32 *1192:26 *1914:47 0 +33 *1300:18 *1914:29 0 +34 *1677:33 *1914:47 0 +35 *1798:40 *1914:47 0 +36 *1910:22 *1914:29 0 +37 *1912:25 *1914:29 0 +*RES +1 *38890:HI[125] *1914:29 48.1957 +2 *1914:29 *1914:47 23.5181 +3 *1914:47 *5931:DIODE 20.9607 +4 *1914:47 *37984:B 15.5679 +*END + +*D_NET *1915 0.0148606 +*CONN +*I *41372:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8970:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[126] O *D mprj_logic_high +*CAP +1 *41372:A 0 +2 *8970:DIODE 0.000232732 +3 *38890:HI[126] 0.00149252 +4 *1915:46 0.000337118 +5 *1915:45 0.00149159 +6 *1915:30 0.00454189 +7 *1915:29 0.00421346 +8 *1915:18 0.00255128 +9 *8970:DIODE *3565:66 0 +10 *1915:18 *5664:DIODE 0 +11 *1915:18 *40675:A 0 +12 *1915:18 *40876:A 0 +13 *1915:18 *2199:58 0 +14 *1915:18 *2852:19 0 +15 *1915:18 *2852:21 0 +16 *1915:18 *3608:75 0 +17 *1915:29 *2174:74 0 +18 *1915:29 *2876:20 0 +19 *1915:29 *2885:19 0 +20 *1915:29 *3834:21 0 +21 *1915:30 *1920:44 0 +22 *1915:30 *2887:47 0 +23 *1915:45 *2187:19 0 +24 *1915:45 *2765:31 0 +25 *1915:45 *2884:11 0 +26 *1915:46 *3635:33 0 +27 *37599:A *1915:18 0 +28 *40005:A *1915:29 0 +29 *40029:A *1915:30 0 +30 *1281:15 *1915:45 0 +31 *1389:32 *1915:30 0 +32 *1397:27 *1915:46 0 +33 *1401:23 *1915:30 0 +34 *1401:40 *1915:30 0 +35 *1681:14 *1915:45 0 +36 *1681:35 *1915:30 0 +*RES +1 *38890:HI[126] *1915:18 46.3929 +2 *1915:18 *1915:29 20.631 +3 *1915:29 *1915:30 65.8393 +4 *1915:30 *1915:45 48.8036 +5 *1915:45 *1915:46 2.17857 +6 *1915:46 *8970:DIODE 23.4607 +7 *1915:46 *41372:A 9.3 +*END + +*D_NET *1916 0.00467059 +*CONN +*I *41371:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[127] O *D mprj_logic_high +*CAP +1 *41371:A 0 +2 *38890:HI[127] 0.00154275 +3 *1916:42 0.000792549 +4 *1916:16 0.0023353 +5 *1916:16 *2205:10 0 +6 *1916:16 *2747:63 0 +7 *1916:16 *2866:27 0 +8 *1916:16 *3602:23 0 +9 *1916:16 *3608:75 0 +10 *1916:42 *5410:DIODE 0 +11 *1916:42 *1945:34 0 +12 *1916:42 *1954:24 0 +13 *1916:42 *3602:23 0 +14 *1916:42 *3620:33 0 +15 *1198:24 *1916:42 0 +16 *1909:47 *1916:42 0 +*RES +1 *38890:HI[127] *1916:16 46.9643 +2 *1916:16 *1916:42 44.3616 +3 *1916:42 *41371:A 9.3 +*END + +*D_NET *1917 0.0209812 +*CONN +*I *41370:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8969:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[128] O *D mprj_logic_high +*CAP +1 *41370:A 0.000101392 +2 *8969:DIODE 0.000163425 +3 *38890:HI[128] 0.00208066 +4 *1917:37 0.00141977 +5 *1917:32 0.0047426 +6 *1917:31 0.00375122 +7 *1917:22 0.0023373 +8 *1917:19 0.00323896 +9 *1917:13 0.00314589 +10 *8969:DIODE *3614:30 0 +11 *8969:DIODE *4383:15 0 +12 *41370:A *3614:30 0 +13 *41370:A *3845:46 0 +14 *41370:A *3884:54 0 +15 *41370:A *4383:15 0 +16 *1917:13 *7827:DIODE 0 +17 *1917:13 *1948:29 0 +18 *1917:13 *2204:31 0 +19 *1917:13 *2886:56 0 +20 *1917:13 *3587:33 0 +21 *1917:13 *3828:68 0 +22 *1917:13 *4311:14 0 +23 *1917:13 *4342:28 0 +24 *1917:19 *1935:28 0 +25 *1917:19 *2187:16 0 +26 *1917:22 *38181:A 0 +27 *1917:22 *2160:46 0 +28 *1917:22 *2168:24 0 +29 *1917:22 *2769:25 0 +30 *1917:22 *4938:7 0 +31 *1917:31 *2193:50 0 +32 *1917:32 *2890:31 0 +33 *1917:37 *1927:51 0 +34 *1917:37 *2756:8 0 +35 *1917:37 *2778:51 0 +36 *39472:A *1917:22 0 +37 *39472:B *1917:32 0 +38 *315:24 *1917:31 0 +39 *319:12 *1917:31 0 +40 *326:14 *1917:13 0 +41 *331:11 *1917:37 0 +42 *339:36 *1917:22 0 +43 *342:61 *1917:13 0 +44 *1303:14 *1917:13 0 +45 *1385:22 *1917:22 0 +46 *1385:46 *1917:22 0 +47 *1676:9 *1917:32 0 +48 *1678:18 *1917:37 0 +49 *1804:7 *1917:32 0 +50 *1903:32 *1917:22 0 +51 *1903:40 *1917:32 0 +*RES +1 *38890:HI[128] *1917:13 44.6578 +2 *1917:13 *1917:19 31.6607 +3 *1917:19 *1917:22 49.8036 +4 *1917:22 *1917:31 12.888 +5 *1917:31 *1917:32 74.875 +6 *1917:32 *1917:37 35.2321 +7 *1917:37 *8969:DIODE 12.7107 +8 *1917:37 *41370:A 11.4786 +*END + +*D_NET *1918 0.0224789 +*CONN +*I *37992:B I *D sky130_fd_sc_hd__and3b_1 +*I *5947:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[129] O *D mprj_logic_high +*CAP +1 *37992:B 0 +2 *5947:DIODE 0.000179903 +3 *38890:HI[129] 0.00135163 +4 *1918:27 0.00139106 +5 *1918:20 0.00849852 +6 *1918:19 0.00849677 +7 *1918:13 0.00256103 +8 *5947:DIODE *3645:68 0 +9 *1918:13 *8357:DIODE 0 +10 *1918:13 *1920:23 0 +11 *1918:13 *1931:25 0 +12 *1918:20 *1927:48 0 +13 *1918:20 *1930:47 0 +14 *1918:20 *2502:27 0 +15 *1918:20 *2502:43 0 +16 *1918:20 *2778:39 0 +17 *1918:20 *2864:53 0 +18 *1918:27 *2855:41 0 +19 *1918:27 *4454:39 0 +20 *1918:27 *4944:10 0 +21 *312:38 *1918:20 0 +22 *1189:37 *1918:27 0 +23 *1279:18 *1918:20 0 +24 *1302:25 *1918:20 0 +25 *1303:14 *1918:13 0 +26 *1382:19 *1918:27 0 +27 *1385:46 *1918:20 0 +28 *1679:5 *1918:20 0 +29 *1897:26 *1918:27 0 +30 *1902:22 *1918:19 0 +31 *1904:35 *1918:27 0 +32 *1905:48 *1918:13 0 +33 *1905:48 *1918:19 0 +34 *1905:55 *1918:19 0 +35 *1906:35 *1918:19 0 +*RES +1 *38890:HI[129] *1918:13 35.2679 +2 *1918:13 *1918:19 34.6429 +3 *1918:19 *1918:20 152.089 +4 *1918:20 *1918:27 32.1071 +5 *1918:27 *5947:DIODE 17.8357 +6 *1918:27 *37992:B 13.8 +*END + +*D_NET *1919 0.0135902 +*CONN +*I *8968:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41369:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[12] O *D mprj_logic_high +*CAP +1 *8968:DIODE 0.000140547 +2 *41369:A 6.55964e-05 +3 *38890:HI[12] 0.0018012 +4 *1919:56 0.00193898 +5 *1919:47 0.00295574 +6 *1919:37 0.0030549 +7 *1919:23 0.0036332 +8 *8968:DIODE *3856:94 0 +9 *8968:DIODE *4381:11 0 +10 *41369:A *4381:11 0 +11 *1919:23 *40700:A 0 +12 *1919:23 *41368:A 0 +13 *1919:23 *1923:25 0 +14 *1919:23 *2194:26 0 +15 *1919:23 *2866:14 0 +16 *1919:23 *3561:28 0 +17 *1919:23 *3615:19 0 +18 *1919:23 *3856:37 0 +19 *1919:23 *3873:13 0 +20 *1919:37 *8557:DIODE 0 +21 *1919:37 *1952:49 0 +22 *1919:37 *2190:44 0 +23 *1919:37 *3151:50 0 +24 *1919:37 *3828:103 0 +25 *1919:47 *3619:31 0 +26 *1919:47 *3633:85 0 +27 *1919:47 *3828:103 0 +28 *1919:47 *4385:21 0 +29 *1919:56 *37996:B 0 +30 *1919:56 *1921:54 0 +31 *1919:56 *2855:51 0 +32 *1919:56 *3843:15 0 +33 *1919:56 *3858:25 0 +34 *1919:56 *4385:38 0 +35 *1192:26 *1919:47 0 +36 *1382:39 *1919:47 0 +37 *1382:45 *1919:47 0 +38 *1387:70 *1919:37 0 +39 *1395:44 *1919:23 0 +40 *1506:22 *1919:23 0 +41 *1507:12 *1919:56 0 +42 *1796:20 *1919:56 0 +43 *1805:15 *1919:56 0 +44 *1897:26 *1919:23 0 +45 *1903:44 *41369:A 0 +46 *1909:70 *1919:37 0 +47 *1912:57 *1919:47 0 +*RES +1 *38890:HI[12] *1919:23 46.9166 +2 *1919:23 *1919:37 48.2359 +3 *1919:37 *1919:47 39.7232 +4 *1919:47 *1919:56 37.6266 +5 *1919:56 *41369:A 15.2911 +6 *1919:56 *8968:DIODE 16.8625 +*END + +*D_NET *1920 0.0196943 +*CONN +*I *37994:B I *D sky130_fd_sc_hd__and3b_1 +*I *5951:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[130] O *D mprj_logic_high +*CAP +1 *37994:B 4.53482e-05 +2 *5951:DIODE 0.000443275 +3 *38890:HI[130] 0.00165268 +4 *1920:61 0.00282101 +5 *1920:44 0.00670721 +6 *1920:43 0.00537347 +7 *1920:23 0.00265133 +8 *5951:DIODE *4157:31 0 +9 *5951:DIODE *4183:21 0 +10 *5951:DIODE *4461:64 0 +11 *37994:B *3591:56 0 +12 *1920:23 *1932:19 0 +13 *1920:23 *1951:43 0 +14 *1920:23 *1952:33 0 +15 *1920:23 *2201:26 0 +16 *1920:23 *2201:35 0 +17 *1920:23 *3550:19 0 +18 *1920:23 *3580:28 0 +19 *1920:23 *3828:68 0 +20 *1920:23 *3833:19 0 +21 *1920:23 *4317:13 0 +22 *1920:43 *8342:DIODE 0 +23 *1920:43 *8378:DIODE 0 +24 *1920:43 *8551:DIODE 0 +25 *1920:43 *2751:11 0 +26 *1920:43 *2886:40 0 +27 *1920:61 *1925:50 0 +28 *1920:61 *2869:55 0 +29 *1920:61 *2876:20 0 +30 *1920:61 *3607:23 0 +31 *1920:61 *3845:46 0 +32 *1920:61 *3870:46 0 +33 *39477:B *1920:43 0 +34 *39914:A *1920:43 0 +35 *39916:A *5951:DIODE 0 +36 *39921:A *1920:44 0 +37 *331:11 *1920:61 0 +38 *336:73 *1920:61 0 +39 *1279:36 *1920:61 0 +40 *1303:14 *1920:23 0 +41 *1389:25 *1920:44 0 +42 *1389:32 *1920:44 0 +43 *1401:23 *1920:44 0 +44 *1498:45 *1920:44 0 +45 *1681:35 *1920:43 0 +46 *1681:49 *1920:43 0 +47 *1909:47 *1920:43 0 +48 *1915:30 *1920:44 0 +49 *1918:13 *1920:23 0 +*RES +1 *38890:HI[130] *1920:23 48.6132 +2 *1920:23 *1920:43 30.4286 +3 *1920:43 *1920:44 91.3036 +4 *1920:44 *1920:61 44.1323 +5 *1920:61 *5951:DIODE 23.6036 +6 *1920:61 *37994:B 14.7464 +*END + +*D_NET *1921 0.0153534 +*CONN +*I *5955:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37996:B I *D sky130_fd_sc_hd__and3b_1 +*I *38890:HI[131] O *D mprj_logic_high +*CAP +1 *5955:DIODE 0 +2 *37996:B 0.000375744 +3 *38890:HI[131] 0.000778961 +4 *1921:54 0.00182683 +5 *1921:49 0.00329433 +6 *1921:31 0.00386814 +7 *1921:22 0.00322769 +8 *1921:18 0.00198176 +9 *37996:B *2855:51 0 +10 *1921:18 *2163:14 0 +11 *1921:18 *3550:19 0 +12 *1921:18 *3550:35 0 +13 *1921:18 *3861:34 0 +14 *1921:22 *1932:19 0 +15 *1921:22 *2159:40 0 +16 *1921:22 *2190:29 0 +17 *1921:22 *2206:32 0 +18 *1921:22 *2866:23 0 +19 *1921:22 *3550:35 0 +20 *1921:22 *3828:74 0 +21 *1921:22 *3861:34 0 +22 *1921:31 *8553:DIODE 0 +23 *1921:31 *1923:25 0 +24 *1921:31 *2172:65 0 +25 *1921:31 *2206:32 0 +26 *1921:31 *2864:28 0 +27 *1921:49 *1923:39 0 +28 *1921:49 *1930:62 0 +29 *1921:49 *2206:48 0 +30 *1921:49 *2206:50 0 +31 *1921:49 *3829:20 0 +32 *1921:49 *3856:54 0 +33 *1921:54 *2855:51 0 +34 *1921:54 *2855:59 0 +35 *1921:54 *3580:102 0 +36 *1387:84 *1921:31 0 +37 *1397:55 *1921:31 0 +38 *1805:15 *1921:54 0 +39 *1912:26 *1921:22 0 +40 *1912:26 *1921:31 0 +41 *1912:26 *1921:49 0 +42 *1912:41 *1921:49 0 +43 *1912:41 *1921:54 0 +44 *1919:56 *37996:B 0 +45 *1919:56 *1921:54 0 +*RES +1 *38890:HI[131] *1921:18 30.4464 +2 *1921:18 *1921:22 25.1964 +3 *1921:22 *1921:31 47.7321 +4 *1921:31 *1921:49 49.5284 +5 *1921:49 *1921:54 34.9732 +6 *1921:54 *37996:B 26.4964 +7 *1921:54 *5955:DIODE 9.3 +*END + +*D_NET *1922 0.0245762 +*CONN +*I *37998:B I *D sky130_fd_sc_hd__and3b_1 +*I *5959:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[132] O *D mprj_logic_high +*CAP +1 *37998:B 4.53482e-05 +2 *5959:DIODE 0.000267846 +3 *38890:HI[132] 0.00123301 +4 *1922:47 0.00220942 +5 *1922:40 0.00378413 +6 *1922:30 0.00577074 +7 *1922:29 0.00390839 +8 *1922:26 0.00242234 +9 *1922:17 0.0030494 +10 *1922:13 0.00188563 +11 *5959:DIODE *3338:37 0 +12 *5959:DIODE *3875:25 0 +13 *5959:DIODE *4157:46 0 +14 *1922:13 *5410:DIODE 0 +15 *1922:13 *1927:23 0 +16 *1922:13 *2163:28 0 +17 *1922:13 *2733:86 0 +18 *1922:13 *3861:50 0 +19 *1922:17 *5410:DIODE 0 +20 *1922:17 *1943:23 0 +21 *1922:26 *39871:A 0 +22 *1922:26 *1925:36 0 +23 *1922:26 *1935:26 0 +24 *1922:26 *1935:28 0 +25 *1922:26 *1940:18 0 +26 *1922:26 *2187:16 0 +27 *1922:26 *2735:32 0 +28 *1922:30 *1925:38 0 +29 *1922:30 *1925:50 0 +30 *1922:30 *4934:5 0 +31 *1922:40 *2504:29 0 +32 *1922:40 *2894:34 0 +33 *1922:40 *2895:19 0 +34 *1922:40 *4917:17 0 +35 *1922:40 *4934:5 0 +36 *1922:40 *5046:9 0 +37 *1922:47 *37576:A 0 +38 *1922:47 *37984:A_N 0 +39 *1922:47 *2779:22 0 +40 *1922:47 *2780:27 0 +41 *1922:47 *2900:20 0 +42 *1922:47 *3061:38 0 +43 *1922:47 *3338:37 0 +44 *1922:47 *3597:10 0 +45 *1922:47 *3832:83 0 +46 *1922:47 *3833:24 0 +47 *1922:47 *3866:32 0 +48 *1922:47 *4381:20 0 +49 *1922:47 *4620:35 0 +50 *328:34 *1922:26 0 +51 *336:72 *1922:26 0 +52 *341:33 *1922:29 0 +53 *376:9 *1922:47 0 +54 *1277:38 *1922:47 0 +55 *1291:13 *1922:40 0 +56 *1385:52 *1922:26 0 +57 *1390:29 *1922:17 0 +58 *1494:24 *1922:30 0 +59 *1499:26 *1922:47 0 +60 *1501:46 *1922:47 0 +61 *1523:21 *5959:DIODE 0 +62 *1528:17 *5959:DIODE 0 +63 *1887:82 *37998:B 0 +64 *1911:16 *1922:13 0 +65 *1911:16 *1922:17 0 +66 *1912:25 *1922:13 0 +*RES +1 *38890:HI[132] *1922:13 36.0982 +2 *1922:13 *1922:17 19.3482 +3 *1922:17 *1922:26 49.4333 +4 *1922:26 *1922:29 5.08036 +5 *1922:29 *1922:30 81.0357 +6 *1922:30 *1922:40 48.7679 +7 *1922:40 *1922:47 47.6964 +8 *1922:47 *5959:DIODE 19.7821 +9 *1922:47 *37998:B 14.7464 +*END + +*D_NET *1923 0.0135908 +*CONN +*I *5963:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38000:B I *D sky130_fd_sc_hd__and3b_1 +*I *38890:HI[133] O *D mprj_logic_high +*CAP +1 *5963:DIODE 0 +2 *38000:B 0.000212993 +3 *38890:HI[133] 0.00203007 +4 *1923:57 0.00230432 +5 *1923:39 0.00339855 +6 *1923:25 0.00246099 +7 *1923:8 0.00318384 +8 *38000:B *4394:20 0 +9 *38000:B *4457:28 0 +10 *1923:8 *1947:19 0 +11 *1923:8 *2733:72 0 +12 *1923:8 *2862:15 0 +13 *1923:8 *3598:19 0 +14 *1923:25 *41350:A 0 +15 *1923:25 *1952:49 0 +16 *1923:25 *2163:46 0 +17 *1923:25 *2206:32 0 +18 *1923:25 *3561:28 0 +19 *1923:25 *4361:17 0 +20 *1923:25 *4384:28 0 +21 *1923:39 *8557:DIODE 0 +22 *1923:39 *2206:50 0 +23 *1923:39 *3580:102 0 +24 *1923:57 *1926:66 0 +25 *1923:57 *2890:20 0 +26 *1923:57 *3151:50 0 +27 *1923:57 *3557:29 0 +28 *1923:57 *3565:66 0 +29 *1923:57 *3568:22 0 +30 *1923:57 *3854:17 0 +31 *37595:A *38000:B 0 +32 *40032:A *1923:8 0 +33 *1382:45 *1923:25 0 +34 *1396:43 *38000:B 0 +35 *1675:66 *38000:B 0 +36 *1889:34 *38000:B 0 +37 *1889:45 *38000:B 0 +38 *1909:70 *1923:25 0 +39 *1909:70 *1923:39 0 +40 *1912:26 *1923:39 0 +41 *1912:41 *1923:39 0 +42 *1912:41 *1923:57 0 +43 *1919:23 *1923:25 0 +44 *1921:31 *1923:25 0 +45 *1921:49 *1923:39 0 +*RES +1 *38890:HI[133] *1923:8 47.625 +2 *1923:8 *1923:25 47.375 +3 *1923:25 *1923:39 49.9821 +4 *1923:39 *1923:57 38.3894 +5 *1923:57 *38000:B 23.05 +6 *1923:57 *5963:DIODE 9.3 +*END + +*D_NET *1924 0.00823167 +*CONN +*I *41368:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[134] O *D mprj_logic_high +*CAP +1 *41368:A 0.00133908 +2 *38890:HI[134] 0.000744233 +3 *1924:27 0.0033716 +4 *1924:23 0.00277675 +5 *41368:A *2161:63 0 +6 *41368:A *2194:26 0 +7 *41368:A *3200:16 0 +8 *41368:A *3222:37 0 +9 *41368:A *3642:21 0 +10 *1924:23 *2192:41 0 +11 *1924:23 *3565:17 0 +12 *1924:27 *1952:35 0 +13 *1924:27 *2190:44 0 +14 *1924:27 *3550:35 0 +15 *1295:31 *41368:A 0 +16 *1387:70 *41368:A 0 +17 *1897:26 *41368:A 0 +18 *1912:25 *1924:23 0 +19 *1912:26 *1924:27 0 +20 *1919:23 *41368:A 0 +*RES +1 *38890:HI[134] *1924:23 30.4821 +2 *1924:23 *1924:27 46.9911 +3 *1924:27 *41368:A 35.0349 +*END + +*D_NET *1925 0.0219158 +*CONN +*I *38004:B I *D sky130_fd_sc_hd__and3b_1 +*I *5971:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[135] O *D mprj_logic_high +*CAP +1 *38004:B 0.000199236 +2 *5971:DIODE 0 +3 *38890:HI[135] 0.00267787 +4 *1925:51 0.00121254 +5 *1925:50 0.002805 +6 *1925:38 0.00567004 +7 *1925:36 0.00527579 +8 *1925:31 0.00407532 +9 *38004:B *38004:A_N 0 +10 *38004:B *3591:56 0 +11 *38004:B *4387:75 0 +12 *1925:31 *1926:33 0 +13 *1925:31 *1952:33 0 +14 *1925:31 *2739:20 0 +15 *1925:31 *2744:9 0 +16 *1925:31 *3578:89 0 +17 *1925:31 *3587:33 0 +18 *1925:36 *2735:32 0 +19 *1925:38 *1926:56 0 +20 *1925:38 *2187:16 0 +21 *1925:38 *2735:32 0 +22 *1925:38 *2743:26 0 +23 *1925:38 *2888:26 0 +24 *1925:38 *2902:21 0 +25 *1925:38 *2902:30 0 +26 *1925:50 *2876:20 0 +27 *1925:50 *2902:21 0 +28 *1925:50 *3607:23 0 +29 *1925:50 *3863:74 0 +30 *1925:50 *3866:32 0 +31 *1925:50 *4934:5 0 +32 *1925:51 *3587:56 0 +33 *39920:A *1925:38 0 +34 *40028:A *1925:31 0 +35 *339:35 *1925:31 0 +36 *1018:44 *1925:31 0 +37 *1027:39 *1925:31 0 +38 *1377:14 *1925:50 0 +39 *1401:12 *1925:51 0 +40 *1494:24 *1925:38 0 +41 *1498:36 *1925:51 0 +42 *1507:12 *1925:50 0 +43 *1920:61 *1925:50 0 +44 *1922:26 *1925:36 0 +45 *1922:30 *1925:38 0 +46 *1922:30 *1925:50 0 +*RES +1 *38890:HI[135] *1925:31 49.2138 +2 *1925:31 *1925:36 33.6964 +3 *1925:36 *1925:38 81.0357 +4 *1925:38 *1925:50 30.9104 +5 *1925:50 *1925:51 23.0446 +6 *1925:51 *5971:DIODE 13.8 +7 *1925:51 *38004:B 18.3714 +*END + +*D_NET *1926 0.0206688 +*CONN +*I *5975:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38006:B I *D sky130_fd_sc_hd__and3b_1 +*I *38890:HI[136] O *D mprj_logic_high +*CAP +1 *5975:DIODE 0 +2 *38006:B 0.000220139 +3 *38890:HI[136] 0.00314175 +4 *1926:66 0.00162171 +5 *1926:56 0.00323826 +6 *1926:47 0.00352685 +7 *1926:40 0.00373425 +8 *1926:33 0.00518584 +9 *38006:B *38006:A_N 0 +10 *38006:B *38006:C 0 +11 *38006:B *2166:75 0 +12 *1926:33 *1952:33 0 +13 *1926:33 *2197:71 0 +14 *1926:33 *2880:34 0 +15 *1926:33 *3587:33 0 +16 *1926:33 *3829:20 0 +17 *1926:33 *4939:14 0 +18 *1926:40 *2170:10 0 +19 *1926:40 *2170:28 0 +20 *1926:40 *2182:60 0 +21 *1926:40 *2564:49 0 +22 *1926:40 *2748:15 0 +23 *1926:40 *2868:24 0 +24 *1926:47 *37927:A 0 +25 *1926:47 *2158:53 0 +26 *1926:47 *2702:27 0 +27 *1926:47 *2748:15 0 +28 *1926:47 *2755:29 0 +29 *1926:47 *2777:31 0 +30 *1926:47 *2896:14 0 +31 *1926:47 *4655:13 0 +32 *1926:56 *1927:48 0 +33 *1926:56 *2187:16 0 +34 *1926:56 *2489:33 0 +35 *1926:56 *2743:14 0 +36 *1926:56 *2777:23 0 +37 *1926:56 *2779:26 0 +38 *1926:56 *2869:55 0 +39 *1926:56 *2888:26 0 +40 *1926:56 *2902:30 0 +41 *1926:56 *3151:50 0 +42 *1926:56 *3557:29 0 +43 *1926:56 *3840:71 0 +44 *1926:56 *3863:60 0 +45 *1926:66 *3241:15 0 +46 *1926:66 *3374:60 0 +47 *1926:66 *4156:37 0 +48 *1926:66 *4162:35 0 +49 *311:34 *1926:47 0 +50 *337:36 *1926:40 0 +51 *337:36 *1926:47 0 +52 *338:82 *1926:47 0 +53 *1012:10 *1926:33 0 +54 *1022:10 *1926:33 0 +55 *1402:20 *38006:B 0 +56 *1503:19 *1926:33 0 +57 *1533:22 *38006:B 0 +58 *1923:57 *1926:66 0 +59 *1925:31 *1926:33 0 +60 *1925:38 *1926:56 0 +*RES +1 *38890:HI[136] *1926:33 42.8286 +2 *1926:33 *1926:40 42.7857 +3 *1926:40 *1926:47 44.875 +4 *1926:47 *1926:56 49.2366 +5 *1926:56 *1926:66 18.6803 +6 *1926:66 *38006:B 23.2866 +7 *1926:66 *5975:DIODE 9.3 +*END + +*D_NET *1927 0.0189176 +*CONN +*I *38008:B I *D sky130_fd_sc_hd__and3b_1 +*I *5979:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[137] O *D mprj_logic_high +*CAP +1 *38008:B 0.000196236 +2 *5979:DIODE 0.000104386 +3 *38890:HI[137] 0.00141698 +4 *1927:51 0.00120163 +5 *1927:48 0.00244343 +6 *1927:40 0.00573713 +7 *1927:38 0.00529778 +8 *1927:23 0.00252005 +9 *5979:DIODE *3856:94 0 +10 *38008:B *3580:138 0 +11 *38008:B *3845:46 0 +12 *38008:B *3856:94 0 +13 *1927:23 *37808:A 0 +14 *1927:23 *40902:A 0 +15 *1927:23 *40909:A 0 +16 *1927:23 *1932:19 0 +17 *1927:23 *1934:25 0 +18 *1927:23 *1946:38 0 +19 *1927:23 *2862:33 0 +20 *1927:23 *3587:33 0 +21 *1927:23 *3602:23 0 +22 *1927:23 *3829:20 0 +23 *1927:38 *1930:47 0 +24 *1927:38 *2160:33 0 +25 *1927:38 *2168:24 0 +26 *1927:40 *2769:13 0 +27 *1927:48 *2502:43 0 +28 *1927:48 *2766:5 0 +29 *1927:48 *2777:15 0 +30 *331:11 *1927:51 0 +31 *1279:18 *1927:48 0 +32 *1903:31 *1927:38 0 +33 *1903:32 *1927:38 0 +34 *1903:32 *1927:40 0 +35 *1906:38 *1927:40 0 +36 *1917:37 *1927:51 0 +37 *1918:20 *1927:48 0 +38 *1922:13 *1927:23 0 +39 *1926:56 *1927:48 0 +*RES +1 *38890:HI[137] *1927:23 46.3689 +2 *1927:23 *1927:38 36.8036 +3 *1927:38 *1927:40 87.6071 +4 *1927:40 *1927:48 45.9464 +5 *1927:48 *1927:51 24.9643 +6 *1927:51 *5979:DIODE 11.4786 +7 *1927:51 *38008:B 22.5857 +*END + +*D_NET *1928 0.00911379 +*CONN +*I *5983:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38010:B I *D sky130_fd_sc_hd__and3b_1 +*I *38890:HI[138] O *D mprj_logic_high +*CAP +1 *5983:DIODE 0.000116327 +2 *38010:B 0.000269672 +3 *38890:HI[138] 0.00161937 +4 *1928:58 0.00164999 +5 *1928:45 0.00255152 +6 *1928:30 0.0029069 +7 *38010:B *3640:19 0 +8 *1928:30 *5664:DIODE 0 +9 *1928:30 *1951:43 0 +10 *1928:30 *2188:27 0 +11 *1928:30 *2205:10 0 +12 *1928:30 *2866:27 0 +13 *1928:30 *3558:50 0 +14 *1928:30 *3620:33 0 +15 *1928:45 *8349:DIODE 0 +16 *1928:45 *2196:23 0 +17 *1928:45 *2200:23 0 +18 *1928:45 *2200:30 0 +19 *1928:45 *4346:21 0 +20 *1928:45 *4458:74 0 +21 *1928:58 *37596:A 0 +22 *1928:58 *2184:17 0 +23 *1928:58 *2196:23 0 +24 *1928:58 *2200:23 0 +25 *1928:58 *3578:58 0 +26 *1928:58 *3671:27 0 +27 *1928:58 *3831:14 0 +28 *1928:58 *4317:13 0 +29 *1928:58 *4346:37 0 +30 *1302:18 *1928:30 0 +31 *1906:21 *1928:30 0 +32 *1908:9 *1928:45 0 +*RES +1 *38890:HI[138] *1928:30 45.7063 +2 *1928:30 *1928:45 45.3393 +3 *1928:45 *1928:58 34.293 +4 *1928:58 *38010:B 19.7018 +5 *1928:58 *5983:DIODE 16.5054 +*END + +*D_NET *1929 0.0131102 +*CONN +*I *38012:B I *D sky130_fd_sc_hd__and3b_1 +*I *5985:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[139] O *D mprj_logic_high +*CAP +1 *38012:B 2.56688e-05 +2 *5985:DIODE 0.000408329 +3 *38890:HI[139] 0.0061211 +4 *1929:18 0.0065551 +5 *5985:DIODE *38012:A_N 0 +6 *5985:DIODE *3934:30 0 +7 *5985:DIODE *4155:29 0 +8 *38012:B *3132:15 0 +9 *1929:18 *40952:A 0 +10 *1929:18 *2070:23 0 +11 *1929:18 *2083:34 0 +12 *1929:18 *2114:10 0 +13 *1929:18 *2186:18 0 +14 *1929:18 *2850:39 0 +15 *1929:18 *3683:12 0 +*RES +1 *38890:HI[139] *1929:18 48.1099 +2 *1929:18 *5985:DIODE 31.8357 +3 *1929:18 *38012:B 14.3357 +*END + +*D_NET *1930 0.0151937 +*CONN +*I *5601:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37760:A I *D sky130_fd_sc_hd__and2_1 +*I *38890:HI[13] O *D mprj_logic_high +*CAP +1 *5601:DIODE 0 +2 *37760:A 0.000400154 +3 *38890:HI[13] 0.0012546 +4 *1930:62 0.00143953 +5 *1930:58 0.00210768 +6 *1930:47 0.00353169 +7 *1930:33 0.0038344 +8 *1930:17 0.00262563 +9 *37760:A *8566:DIODE 0 +10 *37760:A *2182:61 0 +11 *1930:17 *40914:A 0 +12 *1930:17 *41326:A 0 +13 *1930:17 *2173:25 0 +14 *1930:17 *2204:31 0 +15 *1930:17 *2740:24 0 +16 *1930:17 *2886:65 0 +17 *1930:17 *3581:58 0 +18 *1930:17 *3612:78 0 +19 *1930:33 *39788:A 0 +20 *1930:33 *1934:25 0 +21 *1930:33 *1934:45 0 +22 *1930:33 *1944:35 0 +23 *1930:33 *2174:60 0 +24 *1930:33 *2198:44 0 +25 *1930:33 *2864:53 0 +26 *1930:33 *2864:64 0 +27 *1930:33 *5136:65 0 +28 *1930:47 *2854:14 0 +29 *1930:47 *2878:14 0 +30 *1930:58 *2187:16 0 +31 *1930:62 *2193:50 0 +32 *1930:62 *2735:14 0 +33 *1930:62 *2745:39 0 +34 *1930:62 *3580:81 0 +35 *301:12 *1930:58 0 +36 *315:24 *1930:33 0 +37 *319:12 *1930:47 0 +38 *1029:70 *1930:47 0 +39 *1302:25 *1930:33 0 +40 *1302:25 *1930:47 0 +41 *1529:52 *1930:33 0 +42 *1810:21 *1930:17 0 +43 *1902:19 *1930:17 0 +44 *1904:13 *1930:17 0 +45 *1904:22 *1930:58 0 +46 *1908:24 *1930:58 0 +47 *1909:70 *1930:62 0 +48 *1918:20 *1930:47 0 +49 *1921:49 *1930:62 0 +50 *1927:38 *1930:47 0 +*RES +1 *38890:HI[13] *1930:17 46.0893 +2 *1930:17 *1930:33 47.5124 +3 *1930:33 *1930:47 46.2376 +4 *1930:47 *1930:58 40.4286 +5 *1930:58 *1930:62 27.9464 +6 *1930:62 *37760:A 27.6393 +7 *1930:62 *5601:DIODE 9.3 +*END + +*D_NET *1931 0.0121052 +*CONN +*I *38014:B I *D sky130_fd_sc_hd__and3b_1 +*I *5988:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[140] O *D mprj_logic_high +*CAP +1 *38014:B 0.000194227 +2 *5988:DIODE 0 +3 *38890:HI[140] 0.00104397 +4 *1931:42 0.000649266 +5 *1931:36 0.00333422 +6 *1931:35 0.00435935 +7 *1931:25 0.00252414 +8 *38014:B *38014:A_N 0 +9 *38014:B *2215:13 0 +10 *38014:B *3624:58 0 +11 *1931:25 *1933:16 0 +12 *1931:25 *1936:8 0 +13 *1931:25 *2176:14 0 +14 *1931:25 *3587:33 0 +15 *1931:25 *3643:9 0 +16 *1931:25 *3829:20 0 +17 *1931:35 *2169:12 0 +18 *1931:35 *2188:13 0 +19 *1931:35 *2190:8 0 +20 *1931:35 *2733:106 0 +21 *1931:35 *3861:10 0 +22 *1931:36 *2192:14 0 +23 *1931:36 *2732:14 0 +24 *1931:36 *2733:110 0 +25 *1931:36 *3185:9 0 +26 *1931:36 *3550:18 0 +27 *1931:42 *8951:DIODE 0 +28 *1931:42 *2213:23 0 +29 *1931:42 *2215:13 0 +30 *1931:42 *3624:58 0 +31 *1918:13 *1931:25 0 +*RES +1 *38890:HI[140] *1931:25 47.8204 +2 *1931:25 *1931:35 40.1607 +3 *1931:35 *1931:36 60.0893 +4 *1931:36 *1931:42 18.9286 +5 *1931:42 *5988:DIODE 9.3 +6 *1931:42 *38014:B 13.6929 +*END + +*D_NET *1932 0.0233533 +*CONN +*I *41367:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8967:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[141] O *D mprj_logic_high +*CAP +1 *41367:A 0.000485032 +2 *8967:DIODE 0 +3 *38890:HI[141] 0.0016372 +4 *1932:44 0.00176342 +5 *1932:30 0.00813966 +6 *1932:28 0.00827604 +7 *1932:19 0.00305198 +8 *41367:A *5682:DIODE 0 +9 *41367:A *2271:26 0 +10 *41367:A *2275:26 0 +11 *41367:A *2948:37 0 +12 *41367:A *5136:18 0 +13 *1932:19 *8550:DIODE 0 +14 *1932:19 *37808:A 0 +15 *1932:19 *1934:25 0 +16 *1932:19 *1944:35 0 +17 *1932:19 *1952:33 0 +18 *1932:19 *2747:63 0 +19 *1932:19 *3550:35 0 +20 *1932:19 *4317:13 0 +21 *1932:28 *1935:28 0 +22 *1932:28 *2174:41 0 +23 *1932:30 *1935:28 0 +24 *1932:30 *2242:23 0 +25 *1932:30 *2265:20 0 +26 *1932:30 *2265:35 0 +27 *1932:30 *2570:13 0 +28 *1932:30 *2744:22 0 +29 *1932:30 *2875:24 0 +30 *1932:30 *2875:25 0 +31 *1932:30 *5132:7 0 +32 *1932:44 *2259:26 0 +33 *1932:44 *2265:35 0 +34 *1932:44 *2275:26 0 +35 *1932:44 *2279:28 0 +36 *1932:44 *2695:21 0 +37 *1932:44 *2875:25 0 +38 *1932:44 *3581:19 0 +39 *1932:44 *4685:13 0 +40 *1932:44 *5136:18 0 +41 *1932:44 *5140:22 0 +42 *37815:A *1932:30 0 +43 *39480:A *1932:30 0 +44 *347:50 *1932:30 0 +45 *1385:52 *1932:28 0 +46 *1508:28 *1932:30 0 +47 *1920:23 *1932:19 0 +48 *1921:22 *1932:19 0 +49 *1927:23 *1932:19 0 +*RES +1 *38890:HI[141] *1932:19 45.8571 +2 *1932:19 *1932:28 34.1518 +3 *1932:28 *1932:30 143.259 +4 *1932:30 *1932:44 37.3929 +5 *1932:44 *8967:DIODE 9.3 +6 *1932:44 *41367:A 28.6571 +*END + +*D_NET *1933 0.00852448 +*CONN +*I *8966:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41366:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[142] O *D mprj_logic_high +*CAP +1 *8966:DIODE 7.12284e-05 +2 *41366:A 6.58832e-05 +3 *38890:HI[142] 0.000338395 +4 *1933:19 0.000690434 +5 *1933:16 0.00378673 +6 *1933:15 0.00357181 +7 *41366:A *3608:51 0 +8 *1933:15 *2866:23 0 +9 *1933:16 *2162:13 0 +10 *1933:16 *2176:14 0 +11 *1933:16 *2201:8 0 +12 *1933:16 *3643:9 0 +13 *1933:16 *3646:9 0 +14 *1933:19 *2185:22 0 +15 *1933:19 *2189:18 0 +16 *1933:19 *3624:71 0 +17 *1909:15 *1933:15 0 +18 *1931:25 *1933:16 0 +*RES +1 *38890:HI[142] *1933:15 21.0179 +2 *1933:15 *1933:16 67.4821 +3 *1933:16 *1933:19 17.0714 +4 *1933:19 *41366:A 15.175 +5 *1933:19 *8966:DIODE 15.3714 +*END + +*D_NET *1934 0.0135312 +*CONN +*I *41364:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8964:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[143] O *D mprj_logic_high +*CAP +1 *41364:A 0.000186843 +2 *8964:DIODE 0 +3 *38890:HI[143] 0.00165052 +4 *1934:54 0.00159895 +5 *1934:45 0.00350729 +6 *1934:33 0.00351616 +7 *1934:25 0.0030715 +8 *41364:A *2209:16 0 +9 *1934:25 *1946:38 0 +10 *1934:25 *1951:18 0 +11 *1934:25 *2174:60 0 +12 *1934:25 *2876:20 0 +13 *1934:25 *2879:14 0 +14 *1934:25 *3565:17 0 +15 *1934:25 *3643:15 0 +16 *1934:33 *1935:28 0 +17 *1934:33 *2160:25 0 +18 *1934:33 *2187:16 0 +19 *1934:33 *2598:10 0 +20 *1934:45 *2184:17 0 +21 *1934:45 *2740:27 0 +22 *1934:45 *2864:64 0 +23 *1934:45 *2864:65 0 +24 *1934:45 *3195:26 0 +25 *1934:54 *2199:14 0 +26 *1934:54 *2209:16 0 +27 *1934:54 *2857:53 0 +28 *1934:54 *4368:42 0 +29 *40030:A *1934:25 0 +30 *1529:52 *1934:45 0 +31 *1813:23 *1934:54 0 +32 *1927:23 *1934:25 0 +33 *1930:33 *1934:25 0 +34 *1930:33 *1934:45 0 +35 *1932:19 *1934:25 0 +*RES +1 *38890:HI[143] *1934:25 46.4464 +2 *1934:25 *1934:33 43.7321 +3 *1934:33 *1934:45 48.9286 +4 *1934:45 *1934:54 43.375 +5 *1934:54 *8964:DIODE 9.3 +6 *1934:54 *41364:A 22.4607 +*END + +*D_NET *1935 0.0172925 +*CONN +*I *8963:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41363:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[144] O *D mprj_logic_high +*CAP +1 *8963:DIODE 4.62038e-05 +2 *41363:A 0.000411873 +3 *38890:HI[144] 0.00117583 +4 *1935:35 0.00112132 +5 *1935:28 0.00631422 +6 *1935:26 0.00634912 +7 *1935:20 0.00187397 +8 *8963:DIODE *3578:37 0 +9 *8963:DIODE *3578:52 0 +10 *41363:A *37602:B 0 +11 *41363:A *40692:A 0 +12 *41363:A *3630:14 0 +13 *1935:20 *1940:18 0 +14 *1935:20 *2202:39 0 +15 *1935:20 *3550:36 0 +16 *1935:20 *3633:26 0 +17 *1935:20 *3873:13 0 +18 *1935:26 *1940:18 0 +19 *1935:26 *2187:16 0 +20 *1935:28 *2174:41 0 +21 *1935:28 *2187:14 0 +22 *1935:28 *2187:16 0 +23 *1935:28 *2744:17 0 +24 *1935:28 *5132:14 0 +25 *37815:A *1935:28 0 +26 *39480:B *1935:28 0 +27 *39481:A *1935:35 0 +28 *40032:A *1935:20 0 +29 *339:35 *1935:26 0 +30 *348:73 *1935:35 0 +31 *1385:52 *1935:28 0 +32 *1390:22 *1935:26 0 +33 *1681:35 *1935:20 0 +34 *1917:19 *1935:28 0 +35 *1922:26 *1935:26 0 +36 *1922:26 *1935:28 0 +37 *1932:28 *1935:28 0 +38 *1932:30 *1935:28 0 +39 *1934:33 *1935:28 0 +*RES +1 *38890:HI[144] *1935:20 44.1429 +2 *1935:20 *1935:26 20.0893 +3 *1935:26 *1935:28 118 +4 *1935:28 *1935:35 19.6607 +5 *1935:35 *41363:A 23.0321 +6 *1935:35 *8963:DIODE 14.7643 +*END + +*D_NET *1936 0.0156438 +*CONN +*I *41361:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8961:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[145] O *D mprj_logic_high +*CAP +1 *41361:A 0.000356856 +2 *8961:DIODE 0 +3 *38890:HI[145] 3.61606e-05 +4 *1936:14 0.0010297 +5 *1936:8 0.00742887 +6 *1936:7 0.00679218 +7 *41361:A *40017:A 0 +8 *41361:A *2234:24 0 +9 *1936:7 *3633:20 0 +10 *1936:8 *2161:10 0 +11 *1936:8 *2161:12 0 +12 *1936:8 *2164:23 0 +13 *1936:8 *2195:10 0 +14 *1936:8 *2201:8 0 +15 *1936:8 *2323:28 0 +16 *1936:8 *2328:14 0 +17 *1936:8 *2328:20 0 +18 *1936:8 *3578:80 0 +19 *1936:8 *3599:35 0 +20 *1936:14 *2217:19 0 +21 *1936:14 *2239:7 0 +22 *1936:14 *2866:39 0 +23 *1936:14 *4321:8 0 +24 *1936:14 *4346:84 0 +25 *1931:25 *1936:8 0 +*RES +1 *38890:HI[145] *1936:7 5.32143 +2 *1936:7 *1936:8 141 +3 *1936:8 *1936:14 24.2321 +4 *1936:14 *8961:DIODE 9.3 +5 *1936:14 *41361:A 25.9429 +*END + +*D_NET *1937 0.00941364 +*CONN +*I *8959:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41359:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[146] O *D mprj_logic_high +*CAP +1 *8959:DIODE 0.000291685 +2 *41359:A 0 +3 *38890:HI[146] 0.00296557 +4 *1937:23 0.00174125 +5 *1937:19 0.00441514 +6 *8959:DIODE *8370:DIODE 0 +7 *8959:DIODE *8399:DIODE 0 +8 *8959:DIODE *2323:29 0 +9 *8959:DIODE *3633:8 0 +10 *8959:DIODE *4346:37 0 +11 *1937:19 *37806:B 0 +12 *1937:19 *1945:34 0 +13 *1937:19 *2046:27 0 +14 *1937:19 *2212:39 0 +15 *1937:19 *2885:19 0 +16 *1937:19 *2885:51 0 +17 *1937:19 *3185:25 0 +18 *1937:19 *3200:11 0 +19 *1937:19 *3558:50 0 +20 *1937:19 *3624:71 0 +21 *1937:19 *4384:28 0 +22 *1937:23 *1942:26 0 +23 *1937:23 *2204:8 0 +24 *1937:23 *2211:12 0 +25 *1937:23 *2212:33 0 +26 *40028:A *1937:19 0 +27 *1914:29 *1937:19 0 +*RES +1 *38890:HI[146] *1937:19 45.2408 +2 *1937:19 *1937:23 34.9107 +3 *1937:23 *41359:A 13.8 +4 *1937:23 *8959:DIODE 20.3714 +*END + +*D_NET *1938 0.00432662 +*CONN +*I *41357:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[147] O *D mprj_logic_high +*CAP +1 *41357:A 0.00216331 +2 *38890:HI[147] 0.00216331 +3 *41357:A *40457:A 0 +4 *41357:A *41326:A 0 +5 *41357:A *1939:12 0 +6 *41357:A *2191:20 0 +7 *41357:A *2204:31 0 +8 *41357:A *3620:33 0 +9 *41357:A *3640:43 0 +10 *41357:A *3850:32 0 +11 *1503:19 *41357:A 0 +12 *1809:19 *41357:A 0 +*RES +1 *38890:HI[147] *41357:A 39.1378 +*END + +*D_NET *1939 0.0107446 +*CONN +*I *41355:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8956:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[148] O *D mprj_logic_high +*CAP +1 *41355:A 0.000212599 +2 *8956:DIODE 4.53482e-05 +3 *38890:HI[148] 0.00511435 +4 *1939:12 0.0053723 +5 *8956:DIODE *3176:37 0 +6 *41355:A *37810:A 0 +7 *41355:A *2199:14 0 +8 *41355:A *3176:37 0 +9 *41355:A *4333:26 0 +10 *1939:12 *2186:18 0 +11 *1939:12 *2191:20 0 +12 *1939:12 *2197:11 0 +13 *1939:12 *2198:10 0 +14 *1939:12 *2199:14 0 +15 *1939:12 *3555:19 0 +16 *1939:12 *3569:60 0 +17 *1939:12 *3630:14 0 +18 *1939:12 *3636:38 0 +19 *1939:12 *3671:27 0 +20 *1939:12 *3694:19 0 +21 *1939:12 *3850:20 0 +22 *1939:12 *3850:32 0 +23 *1939:12 *4325:17 0 +24 *1939:12 *4480:35 0 +25 *41357:A *1939:12 0 +*RES +1 *38890:HI[148] *1939:12 34.2755 +2 *1939:12 *8956:DIODE 14.7464 +3 *1939:12 *41355:A 18.3536 +*END + +*D_NET *1940 0.014106 +*CONN +*I *8953:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41352:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[149] O *D mprj_logic_high +*CAP +1 *8953:DIODE 2.56688e-05 +2 *41352:A 9.80097e-05 +3 *38890:HI[149] 0.00189258 +4 *1940:47 0.00184767 +5 *1940:36 0.00381599 +6 *1940:33 0.00331277 +7 *1940:18 0.00311334 +8 *41352:A *2178:19 0 +9 *1940:18 *8547:DIODE 0 +10 *1940:18 *2187:16 0 +11 *1940:18 *2735:32 0 +12 *1940:18 *2862:15 0 +13 *1940:18 *3861:50 0 +14 *1940:18 *3873:13 0 +15 *1940:33 *40902:A 0 +16 *1940:33 *2744:9 0 +17 *1940:36 *2187:16 0 +18 *1940:47 *2198:22 0 +19 *1940:47 *2735:39 0 +20 *1940:47 *3552:22 0 +21 *1940:47 *3553:35 0 +22 *1940:47 *3612:67 0 +23 *1940:47 *3899:29 0 +24 *1940:47 *4363:28 0 +25 *1940:47 *5057:11 0 +26 *1940:47 *5058:16 0 +27 *1940:47 *5071:20 0 +28 *40032:A *1940:18 0 +29 *312:35 *1940:18 0 +30 *315:17 *1940:47 0 +31 *344:50 *1940:33 0 +32 *1497:49 *1940:18 0 +33 *1922:26 *1940:18 0 +34 *1935:20 *1940:18 0 +35 *1935:26 *1940:18 0 +*RES +1 *38890:HI[149] *1940:18 46.8036 +2 *1940:18 *1940:33 43.9286 +3 *1940:33 *1940:36 48.1607 +4 *1940:36 *1940:47 46.8929 +5 *1940:47 *41352:A 15.9786 +6 *1940:47 *8953:DIODE 14.3357 +*END + +*D_NET *1941 0.00442314 +*CONN +*I *41350:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[14] O *D mprj_logic_high +*CAP +1 *41350:A 0.000317564 +2 *38890:HI[14] 0.00189401 +3 *1941:12 0.00221157 +4 *41350:A *2206:32 0 +5 *1941:12 *1952:35 0 +6 *1941:12 *3598:19 0 +7 *1941:12 *3828:77 0 +8 *1923:25 *41350:A 0 +*RES +1 *38890:HI[14] *1941:12 49.2857 +2 *1941:12 *41350:A 20.7107 +*END + +*D_NET *1942 0.0121642 +*CONN +*I *41349:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8951:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[150] O *D mprj_logic_high +*CAP +1 *41349:A 0 +2 *8951:DIODE 0.000217637 +3 *38890:HI[150] 0.00175338 +4 *1942:31 0.000289958 +5 *1942:26 0.00411109 +6 *1942:24 0.00579215 +7 *8951:DIODE *37602:B 0 +8 *8951:DIODE *2209:16 0 +9 *1942:24 *1952:35 0 +10 *1942:24 *2188:27 0 +11 *1942:24 *2212:33 0 +12 *1942:24 *3574:20 0 +13 *1942:24 *3598:19 0 +14 *1942:24 *3828:68 0 +15 *1942:24 *3828:77 0 +16 *1942:24 *3850:32 0 +17 *1942:26 *2185:22 0 +18 *1942:26 *2188:27 0 +19 *1942:26 *2200:15 0 +20 *1942:26 *2211:12 0 +21 *1942:26 *2212:10 0 +22 *1942:26 *2212:33 0 +23 *1942:26 *3578:64 0 +24 *1942:26 *3828:68 0 +25 *1931:42 *8951:DIODE 0 +26 *1937:23 *1942:26 0 +*RES +1 *38890:HI[150] *1942:24 47.316 +2 *1942:24 *1942:26 84.3214 +3 *1942:26 *1942:31 10.6429 +4 *1942:31 *8951:DIODE 23.05 +5 *1942:31 *41349:A 9.3 +*END + +*D_NET *1943 0.0244922 +*CONN +*I *8948:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41346:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[151] O *D mprj_logic_high +*CAP +1 *8948:DIODE 0 +2 *41346:A 0.000613438 +3 *38890:HI[151] 0.00161582 +4 *1943:37 0.000936976 +5 *1943:34 0.00278945 +6 *1943:33 0.00252488 +7 *1943:28 0.00419913 +8 *1943:26 0.00564114 +9 *1943:23 0.00302828 +10 *1943:14 0.00314311 +11 *41346:A *40838:A 0 +12 *41346:A *2271:26 0 +13 *41346:A *2276:11 0 +14 *41346:A *3581:19 0 +15 *1943:14 *6321:DIODE 0 +16 *1943:14 *8387:DIODE 0 +17 *1943:14 *40888:A 0 +18 *1943:14 *1944:19 0 +19 *1943:14 *1945:34 0 +20 *1943:14 *1955:16 0 +21 *1943:14 *2188:39 0 +22 *1943:23 *2751:22 0 +23 *1943:26 *2174:41 0 +24 *1943:26 *2699:27 0 +25 *1943:26 *4350:23 0 +26 *1943:28 *37823:A 0 +27 *1943:28 *2729:11 0 +28 *1943:28 *2950:59 0 +29 *1943:28 *4350:23 0 +30 *1943:33 *2232:15 0 +31 *1943:33 *2699:18 0 +32 *1943:34 *2225:28 0 +33 *1943:34 *2324:60 0 +34 *1943:34 *2740:38 0 +35 *1943:37 *2270:21 0 +36 *1943:37 *2276:11 0 +37 *37809:A *1943:26 0 +38 *327:22 *1943:23 0 +39 *1027:39 *1943:23 0 +40 *1201:16 *41346:A 0 +41 *1385:46 *1943:14 0 +42 *1508:19 *1943:26 0 +43 *1508:19 *1943:28 0 +44 *1902:22 *1943:14 0 +45 *1902:22 *1943:26 0 +46 *1905:48 *1943:26 0 +47 *1905:55 *1943:14 0 +48 *1906:35 *1943:14 0 +49 *1908:15 *1943:26 0 +50 *1922:17 *1943:23 0 +*RES +1 *38890:HI[151] *1943:14 45.1071 +2 *1943:14 *1943:23 27.5226 +3 *1943:23 *1943:26 31.3571 +4 *1943:26 *1943:28 86.375 +5 *1943:28 *1943:33 10.3393 +6 *1943:33 *1943:34 51.4643 +7 *1943:34 *1943:37 11.9107 +8 *1943:37 *41346:A 36.3179 +9 *1943:37 *8948:DIODE 13.8 +*END + +*D_NET *1944 0.0180886 +*CONN +*I *8945:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41343:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[152] O *D mprj_logic_high +*CAP +1 *8945:DIODE 3.52946e-05 +2 *41343:A 0.00022972 +3 *38890:HI[152] 0.00177983 +4 *1944:51 0.000806107 +5 *1944:42 0.00564105 +6 *1944:40 0.00518039 +7 *1944:35 0.00135839 +8 *1944:19 0.00305779 +9 *41343:A *2232:15 0 +10 *41343:A *3624:51 0 +11 *1944:19 *40888:A 0 +12 *1944:19 *3552:51 0 +13 *1944:19 *3834:21 0 +14 *1944:19 *3890:24 0 +15 *1944:35 *1948:29 0 +16 *1944:35 *2168:18 0 +17 *1944:35 *2168:24 0 +18 *1944:35 *2197:52 0 +19 *1944:35 *2864:53 0 +20 *1944:35 *2864:64 0 +21 *1944:35 *2886:50 0 +22 *1944:40 *2168:18 0 +23 *1944:42 *2168:18 0 +24 *1944:42 *2598:10 0 +25 *1944:42 *2725:33 0 +26 *1944:42 *2740:38 0 +27 *1944:42 *5071:13 0 +28 *1944:42 *5071:20 0 +29 *1944:51 *2232:15 0 +30 *40028:A *1944:35 0 +31 *315:24 *1944:19 0 +32 *318:28 *1944:19 0 +33 *346:66 *1944:19 0 +34 *350:23 *1944:51 0 +35 *1406:19 *41343:A 0 +36 *1510:20 *1944:19 0 +37 *1904:13 *1944:42 0 +38 *1930:33 *1944:35 0 +39 *1932:19 *1944:35 0 +40 *1943:14 *1944:19 0 +*RES +1 *38890:HI[152] *1944:19 44.7178 +2 *1944:19 *1944:35 49.5714 +3 *1944:35 *1944:40 6.17857 +4 *1944:40 *1944:42 106.5 +5 *1944:42 *1944:51 25.7321 +6 *1944:51 *41343:A 18.8893 +7 *1944:51 *8945:DIODE 14.6036 +*END + +*D_NET *1945 0.00365083 +*CONN +*I *41340:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[153] O *D mprj_logic_high +*CAP +1 *41340:A 0 +2 *38890:HI[153] 0.00182542 +3 *1945:34 0.00182542 +4 *1945:34 *5411:DIODE 0 +5 *1945:34 *37808:A 0 +6 *1945:34 *37808:B 0 +7 *1945:34 *40909:A 0 +8 *1945:34 *1951:43 0 +9 *1945:34 *1951:52 0 +10 *1945:34 *1954:24 0 +11 *1945:34 *1955:16 0 +12 *1945:34 *2201:35 0 +13 *1945:34 *3185:25 0 +14 *1945:34 *3602:23 0 +15 *1945:34 *3620:33 0 +16 *1945:34 *3683:25 0 +17 *1916:42 *1945:34 0 +18 *1937:19 *1945:34 0 +19 *1943:14 *1945:34 0 +*RES +1 *38890:HI[153] *1945:34 44.6964 +2 *1945:34 *41340:A 9.3 +*END + +*D_NET *1946 0.00593185 +*CONN +*I *41338:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[154] O *D mprj_logic_high +*CAP +1 *41338:A 0 +2 *38890:HI[154] 0.0014822 +3 *1946:38 0.00148372 +4 *1946:15 0.00296593 +5 *1946:15 *2192:51 0 +6 *1946:15 *2202:39 0 +7 *1946:15 *3565:17 0 +8 *1946:15 *3633:26 0 +9 *1946:15 *3861:50 0 +10 *1946:38 *40893:A 0 +11 *1946:38 *1955:32 0 +12 *1946:38 *2180:29 0 +13 *1946:38 *2204:31 0 +14 *1946:38 *2204:58 0 +15 *1946:38 *4386:18 0 +16 *41373:A *1946:38 0 +17 *1198:24 *1946:15 0 +18 *1198:42 *1946:38 0 +19 *1910:22 *1946:38 0 +20 *1912:25 *1946:15 0 +21 *1927:23 *1946:38 0 +22 *1934:25 *1946:38 0 +*RES +1 *38890:HI[154] *1946:15 40.5089 +2 *1946:15 *1946:38 45.8125 +3 *1946:38 *41338:A 9.3 +*END + +*D_NET *1947 0.00413323 +*CONN +*I *41334:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[155] O *D mprj_logic_high +*CAP +1 *41334:A 0.000163425 +2 *38890:HI[155] 0.00190319 +3 *1947:19 0.00206661 +4 *41334:A *1951:52 0 +5 *41334:A *4350:13 0 +6 *1947:19 *2188:27 0 +7 *1947:19 *2190:29 0 +8 *1947:19 *3598:19 0 +9 *40028:A *41334:A 0 +10 *1198:42 *1947:19 0 +11 *1906:21 *1947:19 0 +12 *1911:16 *1947:19 0 +13 *1923:8 *1947:19 0 +*RES +1 *38890:HI[155] *1947:19 49.9464 +2 *1947:19 *41334:A 17.2107 +*END + +*D_NET *1948 0.00988805 +*CONN +*I *8935:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41330:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[156] O *D mprj_logic_high +*CAP +1 *8935:DIODE 4.23535e-05 +2 *41330:A 0.000163429 +3 *38890:HI[156] 0.00150326 +4 *1948:42 0.00180369 +5 *1948:29 0.00323499 +6 *1948:19 0.00314034 +7 *8935:DIODE *2200:23 0 +8 *41330:A *2178:19 0 +9 *1948:19 *8551:DIODE 0 +10 *1948:19 *1949:34 0 +11 *1948:19 *1955:16 0 +12 *1948:19 *2733:72 0 +13 *1948:19 *2733:86 0 +14 *1948:19 *2747:42 0 +15 *1948:19 *2886:50 0 +16 *1948:19 *3835:26 0 +17 *1948:19 *3844:14 0 +18 *1948:29 *2168:24 0 +19 *1948:29 *2886:56 0 +20 *1948:29 *3195:39 0 +21 *1948:42 *2198:43 0 +22 *1948:42 *2200:23 0 +23 *1948:42 *2857:42 0 +24 *1948:42 *2857:52 0 +25 *1948:42 *3195:33 0 +26 *1948:42 *3195:39 0 +27 *38223:A *1948:29 0 +28 *39475:A *1948:29 0 +29 *39478:B *1948:42 0 +30 *1682:43 *1948:29 0 +31 *1917:13 *1948:29 0 +32 *1944:35 *1948:29 0 +*RES +1 *38890:HI[156] *1948:19 46.7857 +2 *1948:19 *1948:29 48.375 +3 *1948:29 *1948:42 47.3214 +4 *1948:42 *41330:A 21.9964 +5 *1948:42 *8935:DIODE 10.2464 +*END + +*D_NET *1949 0.00894758 +*CONN +*I *41326:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[157] O *D mprj_logic_high +*CAP +1 *41326:A 0.00107176 +2 *38890:HI[157] 0.00196561 +3 *1949:62 0.00250818 +4 *1949:34 0.00340203 +5 *41326:A *40634:A 0 +6 *41326:A *40657:A 0 +7 *41326:A *40896:A 0 +8 *41326:A *2178:19 0 +9 *41326:A *2180:29 0 +10 *41326:A *2204:31 0 +11 *41326:A *2740:24 0 +12 *41326:A *3608:55 0 +13 *41326:A *3612:78 0 +14 *41326:A *4350:13 0 +15 *1949:34 *8342:DIODE 0 +16 *1949:34 *2733:72 0 +17 *1949:34 *2852:19 0 +18 *1949:34 *2876:20 0 +19 *1949:34 *3552:51 0 +20 *1949:34 *3558:66 0 +21 *1949:34 *3580:28 0 +22 *1949:62 *8357:DIODE 0 +23 *1949:62 *39904:A 0 +24 *1949:62 *1951:52 0 +25 *1949:62 *2862:33 0 +26 *1949:62 *2876:20 0 +27 *1949:62 *4350:13 0 +28 *40028:A *1949:62 0 +29 *41357:A *41326:A 0 +30 *1387:93 *1949:34 0 +31 *1510:20 *1949:34 0 +32 *1681:49 *1949:34 0 +33 *1909:47 *1949:34 0 +34 *1930:17 *41326:A 0 +35 *1948:19 *1949:34 0 +*RES +1 *38890:HI[157] *1949:34 48.8228 +2 *1949:34 *1949:62 49.9909 +3 *1949:62 *41326:A 36.5857 +*END + +*D_NET *1950 0.0233239 +*CONN +*I *8928:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41322:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[158] O *D mprj_logic_high +*CAP +1 *8928:DIODE 2.56688e-05 +2 *41322:A 8.56136e-05 +3 *38890:HI[158] 0.000949697 +4 *1950:61 0.00105099 +5 *1950:60 0.00262301 +6 *1950:51 0.00216308 +7 *1950:36 0.00353458 +8 *1950:35 0.00352051 +9 *1950:26 0.00312842 +10 *1950:25 0.00397768 +11 *1950:12 0.00226467 +12 *8928:DIODE *2865:14 0 +13 *8928:DIODE *3578:37 0 +14 *1950:12 *8555:DIODE 0 +15 *1950:12 *1954:24 0 +16 *1950:12 *2192:51 0 +17 *1950:12 *2197:80 0 +18 *1950:12 *2202:39 0 +19 *1950:12 *3863:28 0 +20 *1950:12 *4386:18 0 +21 *1950:25 *1953:11 0 +22 *1950:25 *2168:27 0 +23 *1950:25 *2692:16 0 +24 *1950:25 *2748:37 0 +25 *1950:25 *2854:20 0 +26 *1950:25 *4942:16 0 +27 *1950:26 *39791:A 0 +28 *1950:26 *1953:40 0 +29 *1950:26 *2561:27 0 +30 *1950:26 *2748:37 0 +31 *1950:26 *2868:32 0 +32 *1950:26 *5135:61 0 +33 *1950:35 *1953:40 0 +34 *1950:35 *5039:16 0 +35 *1950:36 *39704:A 0 +36 *1950:51 *1953:64 0 +37 *1950:60 *2595:40 0 +38 *1950:60 *2602:39 0 +39 *1950:60 *2737:14 0 +40 *1950:61 *39744:A 0 +41 *1950:61 *2248:18 0 +42 *1950:61 *2322:60 0 +43 *1950:61 *2703:10 0 +44 *1950:61 *4678:10 0 +45 *1950:61 *4678:15 0 +46 *1950:61 *5117:36 0 +47 *337:36 *1950:60 0 +48 *338:48 *1950:36 0 +49 *338:52 *1950:26 0 +50 *340:62 *1950:36 0 +51 *340:62 *1950:51 0 +52 *1000:14 *1950:25 0 +*RES +1 *38890:HI[158] *1950:12 30.2679 +2 *1950:12 *1950:25 36.5175 +3 *1950:25 *1950:26 55.5714 +4 *1950:26 *1950:35 18.8036 +5 *1950:35 *1950:36 63.7857 +6 *1950:36 *1950:51 28.6429 +7 *1950:51 *1950:60 48.7679 +8 *1950:60 *1950:61 21.375 +9 *1950:61 *41322:A 15.7464 +10 *1950:61 *8928:DIODE 14.3357 +*END + +*D_NET *1951 0.0100956 +*CONN +*I *41319:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8925:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[159] O *D mprj_logic_high +*CAP +1 *41319:A 8.25679e-05 +2 *8925:DIODE 0.000328946 +3 *38890:HI[159] 0.00152603 +4 *1951:54 0.000553761 +5 *1951:52 0.0022965 +6 *1951:43 0.00296803 +7 *1951:18 0.00233981 +8 *8925:DIODE *2173:16 0 +9 *8925:DIODE *2174:25 0 +10 *8925:DIODE *3552:22 0 +11 *8925:DIODE *3828:32 0 +12 *8925:DIODE *3899:32 0 +13 *41319:A *2212:25 0 +14 *1951:18 *2162:36 0 +15 *1951:18 *2163:28 0 +16 *1951:18 *2733:86 0 +17 *1951:18 *2866:14 0 +18 *1951:18 *3565:17 0 +19 *1951:18 *3856:37 0 +20 *1951:43 *37808:A 0 +21 *1951:43 *37808:B 0 +22 *1951:43 *40909:A 0 +23 *1951:43 *2159:23 0 +24 *1951:43 *2163:28 0 +25 *1951:43 *3587:33 0 +26 *1951:52 *37806:B 0 +27 *1951:52 *39904:A 0 +28 *1951:52 *40647:A 0 +29 *1951:52 *2212:25 0 +30 *1951:52 *2740:24 0 +31 *1951:52 *3574:10 0 +32 *1951:52 *3828:52 0 +33 *1951:52 *4350:13 0 +34 *1951:54 *2212:25 0 +35 *39894:A *1951:52 0 +36 *40028:A *1951:52 0 +37 *41334:A *1951:52 0 +38 *1302:18 *1951:52 0 +39 *1303:14 *1951:43 0 +40 *1912:25 *1951:43 0 +41 *1920:23 *1951:43 0 +42 *1928:30 *1951:43 0 +43 *1934:25 *1951:18 0 +44 *1945:34 *1951:43 0 +45 *1945:34 *1951:52 0 +46 *1949:62 *1951:52 0 +*RES +1 *38890:HI[159] *1951:18 46.0536 +2 *1951:18 *1951:43 45.6017 +3 *1951:43 *1951:52 49.5536 +4 *1951:52 *1951:54 3 +5 *1951:54 *8925:DIODE 25.7107 +6 *1951:54 *41319:A 11.0857 +*END + +*D_NET *1952 0.0150429 +*CONN +*I *37764:A I *D sky130_fd_sc_hd__and2_1 +*I *5607:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[15] O *D mprj_logic_high +*CAP +1 *37764:A 0.000125746 +2 *5607:DIODE 4.53482e-05 +3 *38890:HI[15] 0.00245427 +4 *1952:59 0.000830705 +5 *1952:49 0.00245285 +6 *1952:35 0.00423648 +7 *1952:33 0.00489751 +8 *5607:DIODE *4403:31 0 +9 *1952:33 *2046:25 0 +10 *1952:33 *2202:23 0 +11 *1952:33 *2741:69 0 +12 *1952:33 *3550:35 0 +13 *1952:33 *3828:68 0 +14 *1952:33 *3856:20 0 +15 *1952:33 *3876:23 0 +16 *1952:35 *2163:46 0 +17 *1952:35 *2190:44 0 +18 *1952:35 *3550:35 0 +19 *1952:35 *3558:66 0 +20 *1952:35 *3561:28 0 +21 *1952:35 *3828:68 0 +22 *1952:35 *3828:77 0 +23 *1952:49 *2163:46 0 +24 *1952:49 *2864:28 0 +25 *1952:49 *3561:28 0 +26 *1952:49 *3828:103 0 +27 *1952:59 *3633:61 0 +28 *1952:59 *4403:31 0 +29 *40014:A *1952:49 0 +30 *40035:A *1952:49 0 +31 *1171:34 *5607:DIODE 0 +32 *1171:34 *1952:59 0 +33 *1382:45 *1952:49 0 +34 *1397:55 *1952:49 0 +35 *1503:19 *1952:33 0 +36 *1805:34 *1952:59 0 +37 *1919:37 *1952:49 0 +38 *1920:23 *1952:33 0 +39 *1923:25 *1952:49 0 +40 *1924:27 *1952:35 0 +41 *1925:31 *1952:33 0 +42 *1926:33 *1952:33 0 +43 *1932:19 *1952:33 0 +44 *1941:12 *1952:35 0 +45 *1942:24 *1952:35 0 +*RES +1 *38890:HI[15] *1952:33 38.9687 +2 *1952:33 *1952:35 51.0536 +3 *1952:35 *1952:49 46.5 +4 *1952:49 *1952:59 23.3036 +5 *1952:59 *5607:DIODE 10.2464 +6 *1952:59 *37764:A 21.1571 +*END + +*D_NET *1953 0.0223677 +*CONN +*I *8921:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41315:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[160] O *D mprj_logic_high +*CAP +1 *8921:DIODE 2.56688e-05 +2 *41315:A 0.000170399 +3 *38890:HI[160] 0.00210058 +4 *1953:65 0.00128407 +5 *1953:64 0.00227476 +6 *1953:61 0.00292405 +7 *1953:51 0.00286962 +8 *1953:40 0.00339246 +9 *1953:27 0.00374284 +10 *1953:11 0.00358328 +11 *8921:DIODE *2234:24 0 +12 *8921:DIODE *3578:37 0 +13 *41315:A *2235:25 0 +14 *41315:A *3608:24 0 +15 *41315:A *4346:84 0 +16 *1953:11 *2598:22 0 +17 *1953:11 *2733:72 0 +18 *1953:11 *2748:37 0 +19 *1953:11 *2857:20 0 +20 *1953:11 *3550:36 0 +21 *1953:11 *4939:14 0 +22 *1953:27 *2170:10 0 +23 *1953:27 *2868:32 0 +24 *1953:40 *39723:A 0 +25 *1953:51 *2867:11 0 +26 *1953:51 *5135:61 0 +27 *1953:61 *2595:40 0 +28 *1953:64 *37975:A 0 +29 *1953:64 *4684:5 0 +30 *1953:64 *5135:17 0 +31 *1953:65 *37967:A 0 +32 *1953:65 *2853:8 0 +33 *1953:65 *4679:11 0 +34 *314:19 *1953:51 0 +35 *338:36 *1953:64 0 +36 *338:48 *1953:40 0 +37 *338:52 *1953:40 0 +38 *340:62 *1953:61 0 +39 *340:62 *1953:64 0 +40 *347:50 *1953:51 0 +41 *350:23 *1953:65 0 +42 *1000:14 *1953:11 0 +43 *1000:14 *1953:51 0 +44 *1019:8 *1953:11 0 +45 *1019:8 *1953:51 0 +46 *1406:19 *41315:A 0 +47 *1911:27 *1953:11 0 +48 *1950:25 *1953:11 0 +49 *1950:26 *1953:40 0 +50 *1950:35 *1953:40 0 +51 *1950:51 *1953:64 0 +*RES +1 *38890:HI[160] *1953:11 49.6604 +2 *1953:11 *1953:27 45.3036 +3 *1953:27 *1953:40 47.7857 +4 *1953:40 *1953:51 21.9174 +5 *1953:51 *1953:61 45.4286 +6 *1953:61 *1953:64 29.2679 +7 *1953:64 *1953:65 24.7143 +8 *1953:65 *41315:A 17.5143 +9 *1953:65 *8921:DIODE 14.3357 +*END + +*D_NET *1954 0.00408823 +*CONN +*I *41311:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[161] O *D mprj_logic_high +*CAP +1 *41311:A 0.000171019 +2 *38890:HI[161] 0.0018731 +3 *1954:24 0.00204412 +4 *41311:A *2879:14 0 +5 *41311:A *3577:27 0 +6 *1954:24 *5411:DIODE 0 +7 *1954:24 *2188:39 0 +8 *1954:24 *2197:71 0 +9 *1954:24 *2197:80 0 +10 *1954:24 *2733:72 0 +11 *1954:24 *2733:86 0 +12 *1954:24 *3602:23 0 +13 *1954:24 *3619:18 0 +14 *1954:24 *3620:33 0 +15 *1954:24 *3844:14 0 +16 *1954:24 *3870:28 0 +17 *1916:42 *1954:24 0 +18 *1945:34 *1954:24 0 +19 *1950:12 *1954:24 0 +*RES +1 *38890:HI[161] *1954:24 47.5999 +2 *1954:24 *41311:A 21.1118 +*END + +*D_NET *1955 0.00596129 +*CONN +*I *41306:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[162] O *D mprj_logic_high +*CAP +1 *41306:A 0.000171114 +2 *38890:HI[162] 0.00152348 +3 *1955:32 0.00145716 +4 *1955:16 0.00280953 +5 *41306:A *8357:DIODE 0 +6 *41306:A *2180:29 0 +7 *41306:A *2204:58 0 +8 *1955:16 *8387:DIODE 0 +9 *1955:16 *2205:10 0 +10 *1955:16 *2733:86 0 +11 *1955:16 *2747:24 0 +12 *1955:16 *2866:23 0 +13 *1955:16 *3646:38 0 +14 *1955:16 *3832:18 0 +15 *1955:32 *40671:A 0 +16 *1955:32 *40675:A 0 +17 *1955:32 *40893:A 0 +18 *1955:32 *2196:44 0 +19 *1955:32 *3577:34 0 +20 *1955:32 *3607:20 0 +21 *1955:32 *3853:11 0 +22 *1955:32 *4386:18 0 +23 *1198:42 *1955:32 0 +24 *1943:14 *1955:16 0 +25 *1945:34 *1955:16 0 +26 *1946:38 *1955:32 0 +27 *1948:19 *1955:16 0 +*RES +1 *38890:HI[162] *1955:16 46.5536 +2 *1955:16 *1955:32 49.7679 +3 *1955:32 *41306:A 17.6036 +*END + +*D_NET *1956 0.0158656 +*CONN +*I *41303:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8911:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[163] O *D mprj_logic_high +*CAP +1 *41303:A 0.000147987 +2 *8911:DIODE 0.000109229 +3 *38890:HI[163] 0.00569618 +4 *1956:58 0.000635616 +5 *1956:54 0.00197942 +6 *1956:27 0.00729721 +7 *8911:DIODE *4296:32 0 +8 *41303:A *2050:30 0 +9 *1956:27 *40942:A 0 +10 *1956:27 *1998:51 0 +11 *1956:27 *2006:25 0 +12 *1956:27 *2043:7 0 +13 *1956:27 *2061:21 0 +14 *1956:27 *2086:33 0 +15 *1956:27 *2122:38 0 +16 *1956:27 *2128:12 0 +17 *1956:27 *2135:19 0 +18 *1956:27 *2136:17 0 +19 *1956:27 *2139:16 0 +20 *1956:27 *2145:58 0 +21 *1956:27 *3269:39 0 +22 *1956:27 *4090:21 0 +23 *1956:27 *4101:20 0 +24 *1956:27 *4243:21 0 +25 *1956:54 *40348:A 0 +26 *1956:54 *41082:A 0 +27 *1956:54 *41154:A 0 +28 *1956:54 *41184:A 0 +29 *1956:54 *41280:A 0 +30 *1956:54 *41287:A 0 +31 *1956:54 *1961:32 0 +32 *1956:54 *3223:23 0 +33 *1956:54 *4025:20 0 +34 *1956:54 *4283:10 0 +35 *1956:58 *8245:DIODE 0 +36 *1956:58 *41112:A 0 +37 *1956:58 *41141:A 0 +38 *1956:58 *41154:A 0 +39 *1956:58 *1961:32 0 +40 *1956:58 *3247:29 0 +*RES +1 *38890:HI[163] *1956:27 47.5392 +2 *1956:27 *1956:54 47.3036 +3 *1956:54 *1956:58 12.4286 +4 *1956:58 *8911:DIODE 16.2821 +5 *1956:58 *41303:A 17.1036 +*END + +*D_NET *1957 0.0057809 +*CONN +*I *41302:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[164] O *D mprj_logic_high +*CAP +1 *41302:A 0.0005337 +2 *38890:HI[164] 0.00235675 +3 *1957:20 0.00289045 +4 *41302:A *40332:A 0 +5 *41302:A *41154:A 0 +6 *41302:A *3214:11 0 +7 *41302:A *3247:29 0 +8 *1957:20 *1989:23 0 +9 *1957:20 *2020:24 0 +10 *1957:20 *2027:16 0 +11 *1957:20 *2084:7 0 +12 *1957:20 *3247:29 0 +13 *1957:20 *3269:39 0 +14 *1957:20 *3324:30 0 +15 *1957:20 *4239:10 0 +16 *1957:20 *4409:8 0 +17 *1957:20 *5137:11 0 +*RES +1 *38890:HI[164] *1957:20 47.1466 +2 *1957:20 *41302:A 29.7286 +*END + +*D_NET *1958 0.0171183 +*CONN +*I *41301:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8910:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[165] O *D mprj_logic_high +*CAP +1 *41301:A 0.000295081 +2 *8910:DIODE 0 +3 *38890:HI[165] 0.00309045 +4 *1958:31 0.0054687 +5 *1958:19 0.00826407 +6 *41301:A *41296:A 0 +7 *41301:A *2087:12 0 +8 *41301:A *3247:29 0 +9 *41301:A *3345:59 0 +10 *1958:19 *8839:DIODE 0 +11 *1958:19 *41136:A 0 +12 *1958:19 *1980:15 0 +13 *1958:19 *2001:11 0 +14 *1958:19 *2010:25 0 +15 *1958:19 *2071:11 0 +16 *1958:19 *2100:23 0 +17 *1958:19 *2135:19 0 +18 *1958:19 *3902:39 0 +19 *1958:19 *4118:21 0 +20 *1958:19 *4296:14 0 +21 *1958:19 *4462:55 0 +22 *1958:31 *1959:17 0 +23 *1958:31 *2073:20 0 +24 *1958:31 *2087:12 0 +25 *1958:31 *2115:24 0 +26 *1958:31 *2126:28 0 +27 *1958:31 *2844:27 0 +28 *1958:31 *3330:12 0 +29 *1958:31 *3727:23 0 +30 *1958:31 *4265:19 0 +31 *37372:A *1958:19 0 +*RES +1 *38890:HI[165] *1958:19 43.7043 +2 *1958:19 *1958:31 40.9497 +3 *1958:31 *8910:DIODE 9.3 +4 *1958:31 *41301:A 24.6929 +*END + +*D_NET *1959 0.0174589 +*CONN +*I *8909:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41300:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[166] O *D mprj_logic_high +*CAP +1 *8909:DIODE 4.28265e-05 +2 *41300:A 0.000478961 +3 *38890:HI[166] 0.00114711 +4 *1959:17 0.00226142 +5 *1959:10 0.00706055 +6 *1959:9 0.00646804 +7 *41300:A *3223:23 0 +8 *1959:9 *41143:A 0 +9 *1959:9 *1961:19 0 +10 *1959:9 *1962:13 0 +11 *1959:9 *1965:7 0 +12 *1959:9 *1989:7 0 +13 *1959:9 *2029:38 0 +14 *1959:9 *2132:21 0 +15 *1959:9 *2151:29 0 +16 *1959:9 *4130:10 0 +17 *1959:9 *4479:16 0 +18 *1959:9 *5081:14 0 +19 *1959:10 *40191:A 0 +20 *1959:10 *40455:A 0 +21 *1959:10 *40532:A 0 +22 *1959:10 *1962:21 0 +23 *1959:10 *2088:36 0 +24 *1959:10 *2143:32 0 +25 *1959:10 *2143:38 0 +26 *1959:10 *2143:40 0 +27 *1959:10 *3206:64 0 +28 *1959:17 *8245:DIODE 0 +29 *1959:17 *41103:A 0 +30 *1959:17 *41184:A 0 +31 *1959:17 *1980:15 0 +32 *1959:17 *2089:7 0 +33 *1959:17 *2143:32 0 +34 *1959:17 *3206:47 0 +35 *1959:17 *4302:26 0 +36 *37371:A *1959:10 0 +37 *1812:23 *1959:10 0 +38 *1818:32 *1959:17 0 +39 *1958:31 *1959:17 0 +*RES +1 *38890:HI[166] *1959:9 30.5536 +2 *1959:9 *1959:10 111.018 +3 *1959:10 *1959:17 41.8571 +4 *1959:17 *41300:A 32.9786 +5 *1959:17 *8909:DIODE 14.8357 +*END + +*D_NET *1960 0.0265112 +*CONN +*I *38068:B I *D sky130_fd_sc_hd__and3b_1 +*I *6081:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[167] O *D mprj_logic_high +*CAP +1 *38068:B 0.000238006 +2 *6081:DIODE 0 +3 *38890:HI[167] 0.0020339 +4 *1960:34 0.000796907 +5 *1960:22 0.0109837 +6 *1960:13 0.0124587 +7 *38068:B *3939:93 0 +8 *38068:B *4236:33 0 +9 *1960:13 *38240:A 0 +10 *1960:13 *2155:14 0 +11 *1960:13 *2372:8 0 +12 *1960:13 *3341:27 0 +13 *1960:13 *3351:26 0 +14 *1960:13 *3969:18 0 +15 *1960:13 *4076:32 0 +16 *1960:13 *4302:51 0 +17 *1960:22 *2106:23 0 +18 *1960:22 *2361:22 0 +19 *1960:22 *2409:22 0 +20 *1960:22 *3442:92 0 +21 *1960:22 *3927:66 0 +22 *1960:22 *4072:51 0 +23 *1960:22 *4490:55 0 +24 *1960:34 *3939:93 0 +25 *1960:34 *4053:92 0 +26 *1960:34 *4236:33 0 +27 *6553:DIODE *1960:13 0 +28 *6695:DIODE *1960:13 0 +29 *486:5 *1960:13 0 +30 *1519:19 *1960:13 0 +*RES +1 *38890:HI[167] *1960:13 49.6154 +2 *1960:13 *1960:22 48.6603 +3 *1960:22 *1960:34 17.1875 +4 *1960:34 *6081:DIODE 9.3 +5 *1960:34 *38068:B 23.3893 +*END + +*D_NET *1961 0.0198152 +*CONN +*I *41299:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8908:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[168] O *D mprj_logic_high +*CAP +1 *41299:A 8.25679e-05 +2 *8908:DIODE 0.000219166 +3 *38890:HI[168] 0.0013302 +4 *1961:32 0.00379098 +5 *1961:31 0.0036284 +6 *1961:26 0.00417942 +7 *1961:25 0.00464725 +8 *1961:19 0.00193718 +9 *41299:A *2093:43 0 +10 *1961:19 *40942:A 0 +11 *1961:19 *2021:16 0 +12 *1961:19 *2038:13 0 +13 *1961:19 *2061:21 0 +14 *1961:19 *2078:15 0 +15 *1961:19 *2140:27 0 +16 *1961:19 *2144:32 0 +17 *1961:19 *2165:22 0 +18 *1961:19 *3268:16 0 +19 *1961:19 *3907:36 0 +20 *1961:19 *4143:15 0 +21 *1961:25 *8781:DIODE 0 +22 *1961:25 *2045:17 0 +23 *1961:25 *2108:46 0 +24 *1961:25 *3373:31 0 +25 *1961:26 *37778:A 0 +26 *1961:26 *41012:A 0 +27 *1961:26 *41187:A 0 +28 *1961:26 *2014:35 0 +29 *1961:26 *2020:24 0 +30 *1961:26 *2078:16 0 +31 *1961:26 *2104:28 0 +32 *1961:26 *2107:28 0 +33 *1961:26 *3934:40 0 +34 *1961:26 *4155:29 0 +35 *1961:26 *4155:47 0 +36 *1961:26 *4296:23 0 +37 *1961:32 *41048:A 0 +38 *1961:32 *41073:A 0 +39 *1961:32 *41141:A 0 +40 *1961:32 *41154:A 0 +41 *1961:32 *41226:A 0 +42 *1961:32 *2093:43 0 +43 *1961:32 *3214:11 0 +44 *1961:32 *3247:29 0 +45 *1961:32 *3985:14 0 +46 *1961:32 *4025:20 0 +47 *1961:32 *4258:19 0 +48 *39998:A *1961:32 0 +49 *1956:54 *1961:32 0 +50 *1956:58 *1961:32 0 +51 *1959:9 *1961:19 0 +*RES +1 *38890:HI[168] *1961:19 45.7656 +2 *1961:19 *1961:25 22.1964 +3 *1961:25 *1961:26 84.3214 +4 *1961:26 *1961:31 12.1607 +5 *1961:31 *1961:32 72.8214 +6 *1961:32 *8908:DIODE 23.2821 +7 *1961:32 *41299:A 11.0857 +*END + +*D_NET *1962 0.0060097 +*CONN +*I *41298:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[169] O *D mprj_logic_high +*CAP +1 *41298:A 0 +2 *38890:HI[169] 0.00169971 +3 *1962:21 0.00130514 +4 *1962:13 0.00300485 +5 *1962:13 *8182:DIODE 0 +6 *1962:13 *41159:A 0 +7 *1962:13 *1973:13 0 +8 *1962:13 *1991:28 0 +9 *1962:13 *3373:31 0 +10 *1962:13 *4473:25 0 +11 *1962:13 *5081:14 0 +12 *1962:21 *40191:A 0 +13 *1962:21 *40455:A 0 +14 *1962:21 *40532:A 0 +15 *1962:21 *2010:25 0 +16 *1962:21 *2027:22 0 +17 *1962:21 *2033:47 0 +18 *1962:21 *2049:13 0 +19 *1962:21 *2088:36 0 +20 *1962:21 *2120:21 0 +21 *1962:21 *2126:13 0 +22 *1962:21 *3451:7 0 +23 *1809:20 *1962:13 0 +24 *1812:23 *1962:21 0 +25 *1959:9 *1962:13 0 +26 *1959:10 *1962:21 0 +*RES +1 *38890:HI[169] *1962:13 46.5893 +2 *1962:13 *1962:21 41.5357 +3 *1962:21 *41298:A 9.3 +*END + +*D_NET *1963 0.00303391 +*CONN +*I *37766:A I *D sky130_fd_sc_hd__and2_1 +*I *38890:HI[16] O *D mprj_logic_high +*CAP +1 *37766:A 0 +2 *38890:HI[16] 0.00151695 +3 *1963:16 0.00151695 +4 *1963:16 *40446:A 0 +5 *1963:16 *41123:A 0 +6 *1963:16 *41156:A 0 +7 *1963:16 *41280:A 0 +8 *1963:16 *1966:14 0 +9 *1963:16 *1972:7 0 +10 *1963:16 *2011:19 0 +11 *1963:16 *2084:7 0 +12 *1963:16 *2103:24 0 +13 *1963:16 *3214:11 0 +14 *1963:16 *3223:23 0 +15 *1963:16 *3345:30 0 +16 *1963:16 *4062:10 0 +17 *1963:16 *4186:8 0 +18 *1963:16 *5137:11 0 +19 *1405:30 *1963:16 0 +*RES +1 *38890:HI[16] *1963:16 46.5179 +2 *1963:16 *37766:A 9.3 +*END + +*D_NET *1964 0.00505793 +*CONN +*I *41296:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[170] O *D mprj_logic_high +*CAP +1 *41296:A 0.00123866 +2 *38890:HI[170] 0.0012903 +3 *1964:20 0.00252897 +4 *41296:A *8537:DIODE 0 +5 *41296:A *40442:A 0 +6 *41296:A *40845:A 0 +7 *41296:A *40858:A 0 +8 *41296:A *41097:A 0 +9 *41296:A *41230:A 0 +10 *41296:A *41281:A 0 +11 *41296:A *2034:18 0 +12 *41296:A *2050:30 0 +13 *41296:A *2123:24 0 +14 *41296:A *2133:28 0 +15 *41296:A *3153:72 0 +16 *41296:A *3223:23 0 +17 *41296:A *3814:17 0 +18 *41296:A *4227:23 0 +19 *41296:A *4303:14 0 +20 *41296:A *4303:30 0 +21 *1964:20 *2010:19 0 +22 *1964:20 *2025:17 0 +23 *1964:20 *2034:18 0 +24 *1964:20 *2050:30 0 +25 *1964:20 *3255:38 0 +26 *1964:20 *3989:14 0 +27 *41301:A *41296:A 0 +*RES +1 *38890:HI[170] *1964:20 41.875 +2 *1964:20 *41296:A 44.6929 +*END + +*D_NET *1965 0.0200827 +*CONN +*I *41295:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8906:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[171] O *D mprj_logic_high +*CAP +1 *41295:A 0.000467256 +2 *8906:DIODE 4.53482e-05 +3 *38890:HI[171] 0.000129738 +4 *1965:21 0.000997597 +5 *1965:14 0.00228874 +6 *1965:8 0.008914 +7 *1965:7 0.00723999 +8 *8906:DIODE *2065:35 0 +9 *8906:DIODE *3147:37 0 +10 *41295:A *2102:16 0 +11 *41295:A *2154:16 0 +12 *41295:A *4303:14 0 +13 *1965:7 *2078:15 0 +14 *1965:7 *4212:16 0 +15 *1965:8 *2026:8 0 +16 *1965:8 *2039:20 0 +17 *1965:8 *2076:8 0 +18 *1965:8 *2076:17 0 +19 *1965:8 *2181:17 0 +20 *1965:8 *4096:11 0 +21 *1965:8 *4108:9 0 +22 *1965:8 *4110:11 0 +23 *1965:14 *1967:16 0 +24 *1965:14 *2015:12 0 +25 *1965:14 *2112:10 0 +26 *1965:14 *4258:19 0 +27 *1965:14 *4283:11 0 +28 *1965:21 *3969:28 0 +29 *1959:9 *1965:7 0 +*RES +1 *38890:HI[171] *1965:7 7.44643 +2 *1965:7 *1965:8 148.393 +3 *1965:8 *1965:14 46.8036 +4 *1965:14 *1965:21 15.6071 +5 *1965:21 *8906:DIODE 14.7464 +6 *1965:21 *41295:A 23.8893 +*END + +*D_NET *1966 0.0105707 +*CONN +*I *41294:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8905:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[172] O *D mprj_logic_high +*CAP +1 *41294:A 0.000134074 +2 *8905:DIODE 0 +3 *38890:HI[172] 0.00284444 +4 *1966:44 0.001297 +5 *1966:31 0.00230685 +6 *1966:14 0.00398837 +7 *41294:A *2069:9 0 +8 *41294:A *2080:12 0 +9 *41294:A *3223:23 0 +10 *1966:14 *1972:7 0 +11 *1966:14 *2035:15 0 +12 *1966:14 *2062:15 0 +13 *1966:14 *2095:7 0 +14 *1966:14 *2145:38 0 +15 *1966:14 *3152:8 0 +16 *1966:14 *3153:89 0 +17 *1966:14 *3243:65 0 +18 *1966:14 *4056:17 0 +19 *1966:14 *4280:20 0 +20 *1966:31 *40998:A 0 +21 *1966:31 *2141:14 0 +22 *1966:31 *3132:10 0 +23 *1966:31 *3147:55 0 +24 *1966:31 *3255:49 0 +25 *1966:31 *3372:24 0 +26 *1966:31 *3373:83 0 +27 *1966:31 *4042:14 0 +28 *1966:31 *4086:20 0 +29 *1966:31 *4253:10 0 +30 *1966:31 *4305:12 0 +31 *1966:44 *3223:23 0 +32 *1966:44 *4296:35 0 +33 *1815:42 *1966:14 0 +34 *1963:16 *1966:14 0 +*RES +1 *38890:HI[172] *1966:14 47.2331 +2 *1966:14 *1966:31 47.0536 +3 *1966:31 *1966:44 38.2857 +4 *1966:44 *8905:DIODE 9.3 +5 *1966:44 *41294:A 21.3179 +*END + +*D_NET *1967 0.0116007 +*CONN +*I *41293:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8904:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[173] O *D mprj_logic_high +*CAP +1 *41293:A 4.23535e-05 +2 *8904:DIODE 0.00045818 +3 *38890:HI[173] 0.00228102 +4 *1967:58 0.00120398 +5 *1967:37 0.0030188 +6 *1967:16 0.00459637 +7 *8904:DIODE *2077:62 0 +8 *8904:DIODE *4485:45 0 +9 *8904:DIODE *4489:43 0 +10 *1967:16 *40454:A 0 +11 *1967:16 *41275:A 0 +12 *1967:16 *1978:25 0 +13 *1967:16 *1997:8 0 +14 *1967:16 *2051:9 0 +15 *1967:16 *2112:10 0 +16 *1967:16 *2116:19 0 +17 *1967:16 *3330:12 0 +18 *1967:16 *3969:28 0 +19 *1967:16 *4002:23 0 +20 *1967:16 *4283:11 0 +21 *1967:37 *2040:11 0 +22 *1967:37 *2431:112 0 +23 *1967:37 *3140:84 0 +24 *1967:37 *3140:97 0 +25 *1967:37 *3322:18 0 +26 *1967:37 *3328:43 0 +27 *1967:37 *3444:5 0 +28 *1967:37 *3794:16 0 +29 *1967:37 *3814:30 0 +30 *1967:37 *4489:26 0 +31 *1967:37 *4497:9 0 +32 *1967:58 *37632:B 0 +33 *1967:58 *2053:54 0 +34 *1967:58 *2121:45 0 +35 *1967:58 *3206:25 0 +36 *1967:58 *3316:7 0 +37 *1967:58 *3438:25 0 +38 *1967:58 *4135:45 0 +39 *1965:14 *1967:16 0 +*RES +1 *38890:HI[173] *1967:16 46.7083 +2 *1967:16 *1967:37 49.865 +3 *1967:37 *1967:58 29.5625 +4 *1967:58 *8904:DIODE 37.1036 +5 *1967:58 *41293:A 10.2464 +*END + +*D_NET *1968 0.00481348 +*CONN +*I *41292:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[174] O *D mprj_logic_high +*CAP +1 *41292:A 0 +2 *38890:HI[174] 0.00084539 +3 *1968:23 0.00156135 +4 *1968:17 0.00240674 +5 *1968:17 *1989:8 0 +6 *1968:17 *2002:8 0 +7 *1968:17 *2078:15 0 +8 *1968:17 *2147:7 0 +9 *1968:17 *3242:13 0 +10 *1968:17 *4137:9 0 +11 *1968:23 *2100:8 0 +12 *1968:23 *2144:25 0 +13 *1968:23 *2145:60 0 +14 *1968:23 *2150:20 0 +*RES +1 *38890:HI[174] *1968:17 31.9464 +2 *1968:17 *1968:23 41.7679 +3 *1968:23 *41292:A 9.3 +*END + +*D_NET *1969 0.0113802 +*CONN +*I *8902:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41290:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[175] O *D mprj_logic_high +*CAP +1 *8902:DIODE 0.000101392 +2 *41290:A 0.000430318 +3 *38890:HI[175] 0.00103913 +4 *1969:40 0.000636096 +5 *1969:37 0.00118253 +6 *1969:12 0.00401487 +7 *1969:10 0.00397585 +8 *8902:DIODE *3952:52 0 +9 *41290:A *8693:DIODE 0 +10 *41290:A *41268:A 0 +11 *41290:A *2069:29 0 +12 *1969:10 *1990:16 0 +13 *1969:10 *2136:45 0 +14 *1969:12 *1979:57 0 +15 *1969:12 *2133:56 0 +16 *1969:12 *3153:39 0 +17 *1969:12 *3219:66 0 +18 *1969:12 *3219:73 0 +19 *1969:12 *3236:98 0 +20 *1969:12 *3258:27 0 +21 *1969:12 *3355:69 0 +22 *1969:12 *4046:36 0 +23 *1969:12 *4301:24 0 +24 *1969:37 *40187:A 0 +25 *1969:37 *1979:77 0 +26 *1969:37 *3236:53 0 +27 *1969:37 *3243:27 0 +28 *1969:37 *3320:82 0 +29 *1969:37 *4072:51 0 +30 *1969:40 *3952:52 0 +*RES +1 *38890:HI[175] *1969:10 27 +2 *1969:10 *1969:12 61.3214 +3 *1969:12 *1969:37 47.4659 +4 *1969:37 *1969:40 6.67857 +5 *1969:40 *41290:A 28.1214 +6 *1969:40 *8902:DIODE 11.4786 +*END + +*D_NET *1970 0.00455013 +*CONN +*I *41289:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[176] O *D mprj_logic_high +*CAP +1 *41289:A 0.000419257 +2 *38890:HI[176] 0.00185581 +3 *1970:11 0.00227506 +4 *41289:A *38012:C 0 +5 *41289:A *40952:A 0 +6 *41289:A *3132:15 0 +7 *41289:A *3156:28 0 +8 *1970:11 *1977:12 0 +9 *1970:11 *2003:7 0 +10 *1970:11 *2030:15 0 +11 *1970:11 *2038:8 0 +12 *1970:11 *2083:17 0 +13 *1970:11 *2112:10 0 +14 *1970:11 *2144:25 0 +15 *1970:11 *4280:10 0 +*RES +1 *38890:HI[176] *1970:11 48.8929 +2 *1970:11 *41289:A 22.55 +*END + +*D_NET *1971 0.0148353 +*CONN +*I *8900:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41287:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[177] O *D mprj_logic_high +*CAP +1 *8900:DIODE 0 +2 *41287:A 0.000256953 +3 *38890:HI[177] 0.00106567 +4 *1971:35 0.00159023 +5 *1971:20 0.0032355 +6 *1971:14 0.00476173 +7 *1971:13 0.00392518 +8 *41287:A *41082:A 0 +9 *41287:A *2029:16 0 +10 *41287:A *3985:14 0 +11 *41287:A *4287:35 0 +12 *41287:A *4290:20 0 +13 *1971:13 *1980:10 0 +14 *1971:13 *1992:9 0 +15 *1971:13 *2074:10 0 +16 *1971:13 *2088:17 0 +17 *1971:13 *2148:8 0 +18 *1971:13 *3246:9 0 +19 *1971:14 *40942:A 0 +20 *1971:14 *41136:A 0 +21 *1971:14 *1973:13 0 +22 *1971:14 *2122:30 0 +23 *1971:14 *2132:21 0 +24 *1971:14 *2144:25 0 +25 *1971:14 *3137:11 0 +26 *1971:14 *3373:43 0 +27 *1971:14 *4122:13 0 +28 *1971:14 *4212:10 0 +29 *1971:20 *41284:A 0 +30 *1971:20 *2054:20 0 +31 *1971:20 *2079:27 0 +32 *1971:20 *2145:58 0 +33 *1971:20 *3258:56 0 +34 *1971:20 *4287:15 0 +35 *1971:35 *1984:12 0 +36 *1971:35 *2017:14 0 +37 *1971:35 *2029:16 0 +38 *1971:35 *2084:11 0 +39 *1971:35 *2103:24 0 +40 *1971:35 *2114:26 0 +41 *1971:35 *3227:14 0 +42 *1971:35 *3985:14 0 +43 *1956:54 *41287:A 0 +*RES +1 *38890:HI[177] *1971:13 36.6071 +2 *1971:13 *1971:14 59.6786 +3 *1971:14 *1971:20 48.8571 +4 *1971:20 *1971:35 46.3 +5 *1971:35 *41287:A 19.4429 +6 *1971:35 *8900:DIODE 13.8 +*END + +*D_NET *1972 0.0176029 +*CONN +*I *41285:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8898:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[178] O *D mprj_logic_high +*CAP +1 *41285:A 0.000201286 +2 *8898:DIODE 0.000526332 +3 *38890:HI[178] 0.000209865 +4 *1972:32 0.00192147 +5 *1972:20 0.00291972 +6 *1972:12 0.00437798 +7 *1972:10 0.00494423 +8 *1972:7 0.00250198 +9 *8898:DIODE *3316:7 0 +10 *41285:A *3952:26 0 +11 *41285:A *4061:24 0 +12 *41285:A *4303:81 0 +13 *1972:7 *2095:7 0 +14 *1972:7 *2103:24 0 +15 *1972:10 *2001:8 0 +16 *1972:10 *2005:8 0 +17 *1972:10 *3152:9 0 +18 *1972:10 *3365:13 0 +19 *1972:10 *4143:11 0 +20 *1972:10 *4176:11 0 +21 *1972:12 *2001:8 0 +22 *1972:12 *2025:17 0 +23 *1972:12 *2097:8 0 +24 *1972:12 *3365:13 0 +25 *1972:12 *3969:33 0 +26 *1972:12 *4262:15 0 +27 *1972:20 *3947:9 0 +28 *1972:20 *4053:38 0 +29 *1972:20 *4096:11 0 +30 *1972:20 *4273:31 0 +31 *1972:32 *2097:12 0 +32 *1972:32 *4039:18 0 +33 *1972:32 *4061:24 0 +34 *1972:32 *4253:11 0 +35 *1963:16 *1972:7 0 +36 *1966:14 *1972:7 0 +*RES +1 *38890:HI[178] *1972:7 9.26786 +2 *1972:7 *1972:10 47.8839 +3 *1972:10 *1972:12 55.3661 +4 *1972:12 *1972:20 49.75 +5 *1972:20 *1972:32 39.5 +6 *1972:32 *8898:DIODE 29.925 +7 *1972:32 *41285:A 13.5321 +*END + +*D_NET *1973 0.00789117 +*CONN +*I *41284:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[179] O *D mprj_logic_high +*CAP +1 *41284:A 0.000195434 +2 *38890:HI[179] 0.00163376 +3 *1973:24 0.00231182 +4 *1973:13 0.00375015 +5 *41284:A *2122:30 0 +6 *41284:A *3373:43 0 +7 *41284:A *4122:13 0 +8 *1973:13 *8781:DIODE 0 +9 *1973:13 *40262:A 0 +10 *1973:13 *40380:A 0 +11 *1973:13 *40942:A 0 +12 *1973:13 *1998:29 0 +13 *1973:13 *2120:21 0 +14 *1973:13 *2132:21 0 +15 *1973:13 *2140:27 0 +16 *1973:13 *3137:11 0 +17 *1973:13 *3373:31 0 +18 *1973:24 *1983:22 0 +19 *1973:24 *1983:32 0 +20 *1973:24 *1994:18 0 +21 *1973:24 *2016:13 0 +22 *1973:24 *2024:25 0 +23 *1973:24 *2024:31 0 +24 *1973:24 *2090:8 0 +25 *1973:24 *2107:19 0 +26 *1973:24 *2145:58 0 +27 *1973:24 *2145:60 0 +28 *1962:13 *1973:13 0 +29 *1971:14 *1973:13 0 +30 *1971:20 *41284:A 0 +*RES +1 *38890:HI[179] *1973:13 48.8571 +2 *1973:13 *1973:24 48.8571 +3 *1973:24 *41284:A 18.2107 +*END + +*D_NET *1974 0.0178428 +*CONN +*I *41282:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8896:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[17] O *D mprj_logic_high +*CAP +1 *41282:A 0.000314833 +2 *8896:DIODE 2.56688e-05 +3 *38890:HI[17] 0.00223173 +4 *1974:44 0.00138991 +5 *1974:24 0.00634916 +6 *1974:23 0.00529975 +7 *1974:21 0.00223173 +8 *8896:DIODE *4152:91 0 +9 *41282:A *4168:54 0 +10 *41282:A *4211:23 0 +11 *41282:A *4392:80 0 +12 *41282:A *4395:71 0 +13 *1974:21 *41002:A 0 +14 *1974:21 *2004:29 0 +15 *1974:21 *2007:13 0 +16 *1974:21 *2144:61 0 +17 *1974:21 *3152:30 0 +18 *1974:21 *3494:8 0 +19 *1974:21 *3660:43 0 +20 *1974:21 *4144:12 0 +21 *1974:21 *4148:5 0 +22 *1974:21 *4165:20 0 +23 *1974:21 *4893:24 0 +24 *1974:24 *40944:A 0 +25 *1974:24 *2142:26 0 +26 *1974:24 *3910:10 0 +27 *1974:24 *4151:9 0 +28 *1974:24 *4151:23 0 +29 *1974:44 *40939:A 0 +30 *1974:44 *2907:20 0 +31 *1974:44 *3136:14 0 +32 *1974:44 *3333:27 0 +33 *1974:44 *3350:28 0 +34 *1974:44 *3454:48 0 +35 *1974:44 *3918:25 0 +36 *1974:44 *4152:91 0 +37 *282:35 *1974:44 0 +38 *1787:15 *1974:24 0 +39 *1787:22 *1974:24 0 +*RES +1 *38890:HI[17] *1974:21 49.8568 +2 *1974:21 *1974:23 4.5 +3 *1974:23 *1974:24 110.607 +4 *1974:24 *1974:44 41.4643 +5 *1974:44 *8896:DIODE 9.83571 +6 *1974:44 *41282:A 25.4696 +*END + +*D_NET *1975 0.00729694 +*CONN +*I *41281:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[180] O *D mprj_logic_high +*CAP +1 *41281:A 0.00114269 +2 *38890:HI[180] 0.00123017 +3 *1975:37 0.0024183 +4 *1975:25 0.00250578 +5 *41281:A *40978:A 0 +6 *41281:A *1996:41 0 +7 *41281:A *2123:24 0 +8 *41281:A *3814:17 0 +9 *41281:A *3934:77 0 +10 *41281:A *3934:88 0 +11 *41281:A *4076:63 0 +12 *41281:A *4300:10 0 +13 *1975:25 *8073:DIODE 0 +14 *1975:25 *1995:21 0 +15 *1975:25 *2010:19 0 +16 *1975:25 *2065:18 0 +17 *1975:25 *2076:23 0 +18 *1975:25 *2131:48 0 +19 *1975:25 *3133:70 0 +20 *1975:25 *3147:37 0 +21 *1975:25 *3255:38 0 +22 *1975:25 *4129:24 0 +23 *1975:25 *4258:19 0 +24 *1975:37 *5645:DIODE 0 +25 *1975:37 *41165:A 0 +26 *1975:37 *41275:A 0 +27 *1975:37 *3228:69 0 +28 *1975:37 *3233:29 0 +29 *1975:37 *3800:9 0 +30 *1975:37 *3934:59 0 +31 *1975:37 *3934:77 0 +32 *41296:A *41281:A 0 +*RES +1 *38890:HI[180] *1975:25 49.8929 +2 *1975:25 *1975:37 39.177 +3 *1975:37 *41281:A 33.55 +*END + +*D_NET *1976 0.00824655 +*CONN +*I *41280:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[181] O *D mprj_logic_high +*CAP +1 *41280:A 0.00145919 +2 *38890:HI[181] 0.00113797 +3 *1976:31 0.0029853 +4 *1976:22 0.00266408 +5 *41280:A *41082:A 0 +6 *41280:A *41123:A 0 +7 *41280:A *3223:23 0 +8 *41280:A *3705:11 0 +9 *1976:22 *1989:16 0 +10 *1976:22 *2003:7 0 +11 *1976:22 *2012:17 0 +12 *1976:22 *2018:7 0 +13 *1976:22 *2024:25 0 +14 *1976:22 *2030:15 0 +15 *1976:22 *2127:9 0 +16 *1976:22 *3373:66 0 +17 *1976:31 *40452:A 0 +18 *1976:31 *2042:7 0 +19 *1976:31 *2048:9 0 +20 *1976:31 *2091:19 0 +21 *1976:31 *2127:9 0 +22 *1976:31 *2127:21 0 +23 *1956:54 *41280:A 0 +24 *1963:16 *41280:A 0 +*RES +1 *38890:HI[181] *1976:22 43.0893 +2 *1976:22 *1976:31 41.5804 +3 *1976:31 *41280:A 45.0054 +*END + +*D_NET *1977 0.00589523 +*CONN +*I *41278:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[182] O *D mprj_logic_high +*CAP +1 *41278:A 0 +2 *38890:HI[182] 0.00161885 +3 *1977:25 0.00132877 +4 *1977:12 0.00294761 +5 *1977:12 *1983:22 0 +6 *1977:12 *2038:8 0 +7 *1977:12 *2049:13 0 +8 *1977:12 *2068:7 0 +9 *1977:12 *2112:10 0 +10 *1977:12 *2151:19 0 +11 *1977:25 *8619:DIODE 0 +12 *1977:25 *1983:32 0 +13 *1977:25 *2000:11 0 +14 *1977:25 *2030:15 0 +15 *1977:25 *2099:39 0 +16 *1977:25 *3214:13 0 +17 *1970:11 *1977:12 0 +*RES +1 *38890:HI[182] *1977:12 42.9286 +2 *1977:12 *1977:25 42.6429 +3 *1977:25 *41278:A 9.3 +*END + +*D_NET *1978 0.0130305 +*CONN +*I *41275:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8892:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[183] O *D mprj_logic_high +*CAP +1 *41275:A 0.000403567 +2 *8892:DIODE 4.53482e-05 +3 *38890:HI[183] 0.0011421 +4 *1978:25 0.00251786 +5 *1978:21 0.00226155 +6 *1978:16 0.00285531 +7 *1978:15 0.00380481 +8 *8892:DIODE *2121:18 0 +9 *1978:15 *41081:A 0 +10 *1978:15 *1981:12 0 +11 *1978:15 *2026:8 0 +12 *1978:15 *2103:24 0 +13 *1978:15 *2119:11 0 +14 *1978:15 *3242:8 0 +15 *1978:15 *3345:30 0 +16 *1978:15 *4062:10 0 +17 *1978:15 *4176:15 0 +18 *1978:16 *1993:16 0 +19 *1978:16 *1993:29 0 +20 *1978:16 *2111:26 0 +21 *1978:16 *2111:28 0 +22 *1978:16 *3355:7 0 +23 *1978:25 *2051:9 0 +24 *1978:25 *2143:32 0 +25 *1978:25 *3140:98 0 +26 *1978:25 *3206:47 0 +27 *1978:25 *3216:25 0 +28 *1978:25 *4858:32 0 +29 *1967:16 *41275:A 0 +30 *1967:16 *1978:25 0 +31 *1975:37 *41275:A 0 +*RES +1 *38890:HI[183] *1978:15 39.1429 +2 *1978:15 *1978:16 55.5714 +3 *1978:16 *1978:21 13.375 +4 *1978:21 *1978:25 48.1071 +5 *1978:25 *8892:DIODE 14.7464 +6 *1978:25 *41275:A 23.0321 +*END + +*D_NET *1979 0.0144673 +*CONN +*I *41273:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8890:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[184] O *D mprj_logic_high +*CAP +1 *41273:A 0 +2 *8890:DIODE 0.00043429 +3 *38890:HI[184] 0.00226849 +4 *1979:77 0.00181963 +5 *1979:57 0.00329019 +6 *1979:42 0.00314555 +7 *1979:24 0.00350918 +8 *8890:DIODE *4012:18 0 +9 *8890:DIODE *4025:61 0 +10 *8890:DIODE *4294:47 0 +11 *8890:DIODE *4305:19 0 +12 *1979:24 *1999:29 0 +13 *1979:24 *2113:14 0 +14 *1979:24 *2128:12 0 +15 *1979:24 *2229:18 0 +16 *1979:24 *3243:51 0 +17 *1979:24 *3345:78 0 +18 *1979:24 *3358:23 0 +19 *1979:24 *3985:23 0 +20 *1979:24 *4110:28 0 +21 *1979:24 *4231:43 0 +22 *1979:42 *3147:36 0 +23 *1979:42 *3219:73 0 +24 *1979:42 *3243:50 0 +25 *1979:42 *3243:51 0 +26 *1979:42 *3355:69 0 +27 *1979:42 *4046:12 0 +28 *1979:57 *3153:39 0 +29 *1979:57 *3219:66 0 +30 *1979:57 *3327:31 0 +31 *1979:57 *3355:69 0 +32 *1979:57 *3952:52 0 +33 *1979:57 *4046:24 0 +34 *1979:57 *4128:13 0 +35 *1979:57 *4301:24 0 +36 *1979:57 *4301:36 0 +37 *1979:77 *6459:DIODE 0 +38 *1979:77 *38320:B 0 +39 *1979:77 *3054:24 0 +40 *1979:77 *3158:29 0 +41 *1979:77 *4025:61 0 +42 *1979:77 *4072:51 0 +43 *1979:77 *4221:21 0 +44 *1979:77 *4253:39 0 +45 *1979:77 *4253:56 0 +46 *1979:77 *4294:17 0 +47 *1979:77 *4298:20 0 +48 *1235:15 *8890:DIODE 0 +49 *1290:25 *1979:42 0 +50 *1969:12 *1979:57 0 +51 *1969:37 *1979:77 0 +*RES +1 *38890:HI[184] *1979:24 47.2945 +2 *1979:24 *1979:42 49.125 +3 *1979:42 *1979:57 49.1786 +4 *1979:57 *1979:77 32.5692 +5 *1979:77 *8890:DIODE 27.6036 +6 *1979:77 *41273:A 9.3 +*END + +*D_NET *1980 0.0179837 +*CONN +*I *41271:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8888:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[185] O *D mprj_logic_high +*CAP +1 *41271:A 0.000286418 +2 *8888:DIODE 0.000104386 +3 *38890:HI[185] 0.00219736 +4 *1980:15 0.00679447 +5 *1980:10 0.00860103 +6 *8888:DIODE *3247:29 0 +7 *41271:A *41184:A 0 +8 *41271:A *2050:30 0 +9 *41271:A *2089:7 0 +10 *41271:A *3147:60 0 +11 *1980:10 *2027:40 0 +12 *1980:10 *2078:15 0 +13 *1980:10 *2082:12 0 +14 *1980:10 *2104:26 0 +15 *1980:10 *2108:26 0 +16 *1980:10 *2142:7 0 +17 *1980:10 *2741:69 0 +18 *1980:10 *3902:48 0 +19 *1980:15 *1991:28 0 +20 *1980:15 *1998:29 0 +21 *1980:15 *2010:25 0 +22 *1980:15 *2089:7 0 +23 *1980:15 *2104:26 0 +24 *1980:15 *2126:13 0 +25 *1980:15 *2126:28 0 +26 *1980:15 *3147:60 0 +27 *1980:15 *3156:47 0 +28 *1980:15 *3232:24 0 +29 *1980:15 *3902:48 0 +30 *1980:15 *4118:21 0 +31 *1980:15 *4265:19 0 +32 *1980:15 *4481:14 0 +33 *1806:35 *1980:10 0 +34 *1807:17 *1980:10 0 +35 *1958:19 *1980:15 0 +36 *1959:17 *1980:15 0 +37 *1971:13 *1980:10 0 +*RES +1 *38890:HI[185] *1980:10 28.285 +2 *1980:10 *1980:15 29.8975 +3 *1980:15 *8888:DIODE 15.9786 +4 *1980:15 *41271:A 20.0679 +*END + +*D_NET *1981 0.0278409 +*CONN +*I *8885:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41268:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[186] O *D mprj_logic_high +*CAP +1 *8885:DIODE 2.26741e-05 +2 *41268:A 0.000544187 +3 *38890:HI[186] 0.000840869 +4 *1981:65 0.00106304 +5 *1981:62 0.00257073 +6 *1981:41 0.00416279 +7 *1981:16 0.00813581 +8 *1981:15 0.00785373 +9 *1981:12 0.00264703 +10 *8885:DIODE *4025:61 0 +11 *8885:DIODE *4253:39 0 +12 *41268:A *8693:DIODE 0 +13 *41268:A *8984:DIODE 0 +14 *41268:A *2069:29 0 +15 *41268:A *4001:20 0 +16 *41268:A *4020:39 0 +17 *41268:A *4025:61 0 +18 *41268:A *4253:39 0 +19 *41268:A *4308:40 0 +20 *41268:A *4408:15 0 +21 *1981:12 *1984:12 0 +22 *1981:12 *2103:24 0 +23 *1981:12 *4056:17 0 +24 *1981:15 *41012:A 0 +25 *1981:15 *2014:17 0 +26 *1981:15 *2023:15 0 +27 *1981:15 *2035:15 0 +28 *1981:15 *4108:8 0 +29 *1981:15 *4176:14 0 +30 *1981:15 *4484:8 0 +31 *1981:15 *5159:20 0 +32 *1981:16 *2051:21 0 +33 *1981:16 *2072:14 0 +34 *1981:16 *2129:14 0 +35 *1981:16 *2372:11 0 +36 *1981:16 *4494:9 0 +37 *1981:16 *4857:30 0 +38 *1981:16 *4864:20 0 +39 *1981:41 *1987:36 0 +40 *1981:41 *2069:10 0 +41 *1981:41 *2080:16 0 +42 *1981:41 *2383:26 0 +43 *1981:41 *4490:55 0 +44 *1981:41 *4501:20 0 +45 *1981:41 *4869:18 0 +46 *1981:41 *5170:45 0 +47 *1981:62 *8221:DIODE 0 +48 *1981:62 *8319:DIODE 0 +49 *1981:62 *40427:A 0 +50 *1981:62 *40599:A 0 +51 *1981:62 *3133:40 0 +52 *1981:62 *3228:37 0 +53 *1981:62 *3771:23 0 +54 *1981:62 *4246:27 0 +55 *1981:62 *4250:23 0 +56 *1981:62 *4288:52 0 +57 *1981:62 *4295:26 0 +58 *1981:62 *4490:73 0 +59 *1981:62 *4490:78 0 +60 *1981:65 *8093:DIODE 0 +61 *1981:65 *2115:70 0 +62 la_data_in_mprj[68] *1981:15 0 +63 la_data_in_mprj[82] *1981:41 0 +64 *6829:DIODE *1981:15 0 +65 *7008:DIODE *1981:15 0 +66 *41290:A *41268:A 0 +67 *480:9 *1981:15 0 +68 *863:5 *1981:15 0 +69 *1216:17 *1981:41 0 +70 *1815:42 *1981:12 0 +71 *1978:15 *1981:12 0 +*RES +1 *38890:HI[186] *1981:12 12.7548 +2 *1981:12 *1981:15 45.4554 +3 *1981:15 *1981:16 126.214 +4 *1981:16 *1981:41 49.4856 +5 *1981:41 *1981:62 39.1537 +6 *1981:62 *1981:65 15.7054 +7 *1981:65 *41268:A 39.3357 +8 *1981:65 *8885:DIODE 9.83571 +*END + +*D_NET *1982 0.0233423 +*CONN +*I *41266:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8883:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[187] O *D mprj_logic_high +*CAP +1 *41266:A 0.0002077 +2 *8883:DIODE 4.53482e-05 +3 *38890:HI[187] 0.00133896 +4 *1982:39 0.00122791 +5 *1982:31 0.00257564 +6 *1982:20 0.00841584 +7 *1982:19 0.00750349 +8 *1982:15 0.00202739 +9 *8883:DIODE *4236:33 0 +10 *41266:A *4001:28 0 +11 *41266:A *4408:39 0 +12 *1982:15 *41165:A 0 +13 *1982:15 *1987:13 0 +14 *1982:15 *2076:23 0 +15 *1982:15 *2077:23 0 +16 *1982:15 *3934:59 0 +17 *1982:15 *4120:14 0 +18 *1982:19 *39117:A 0 +19 *1982:19 *1987:13 0 +20 *1982:19 *4851:10 0 +21 *1982:19 *4858:48 0 +22 *1982:20 *2040:28 0 +23 *1982:20 *2051:22 0 +24 *1982:20 *2069:10 0 +25 *1982:20 *2069:19 0 +26 *1982:20 *2089:8 0 +27 *1982:20 *2089:14 0 +28 *1982:20 *2129:14 0 +29 *1982:20 *2383:20 0 +30 *1982:20 *4857:26 0 +31 *1982:31 *2008:28 0 +32 *1982:31 *2040:28 0 +33 *1982:31 *2129:29 0 +34 *1982:31 *3442:66 0 +35 *1982:31 *3517:20 0 +36 *1982:31 *3949:16 0 +37 *1982:31 *4004:18 0 +38 *1982:31 *4509:22 0 +39 *1982:39 *3154:97 0 +40 *1982:39 *3224:46 0 +41 *1982:39 *4294:49 0 +42 *6836:DIODE *1982:19 0 +43 *614:5 *1982:19 0 +44 *870:5 *1982:19 0 +45 *1235:15 *1982:39 0 +*RES +1 *38890:HI[187] *1982:15 39.2143 +2 *1982:15 *1982:19 20.1071 +3 *1982:19 *1982:20 142.232 +4 *1982:20 *1982:31 48.9375 +5 *1982:31 *1982:39 30.8304 +6 *1982:39 *8883:DIODE 14.7464 +7 *1982:39 *41266:A 18.425 +*END + +*D_NET *1983 0.00562483 +*CONN +*I *41264:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[188] O *D mprj_logic_high +*CAP +1 *41264:A 8.56922e-05 +2 *38890:HI[188] 0.00104216 +3 *1983:32 0.00177026 +4 *1983:22 0.00272672 +5 *41264:A *40360:A 0 +6 *41264:A *2018:7 0 +7 *1983:22 *2016:13 0 +8 *1983:22 *2024:31 0 +9 *1983:22 *2045:17 0 +10 *1983:22 *2068:7 0 +11 *1983:22 *2112:9 0 +12 *1983:22 *2145:60 0 +13 *1983:22 *4143:15 0 +14 *1983:32 *1994:18 0 +15 *1983:32 *2000:11 0 +16 *1983:32 *2016:13 0 +17 *1983:32 *2024:25 0 +18 *1983:32 *2107:19 0 +19 *1983:32 *2122:30 0 +20 *1983:32 *3373:43 0 +21 *1983:32 *4143:15 0 +22 *1973:24 *1983:22 0 +23 *1973:24 *1983:32 0 +24 *1977:12 *1983:22 0 +25 *1977:25 *1983:32 0 +*RES +1 *38890:HI[188] *1983:22 36.2321 +2 *1983:22 *1983:32 49.125 +3 *1983:32 *41264:A 15.7464 +*END + +*D_NET *1984 0.00418969 +*CONN +*I *41261:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[189] O *D mprj_logic_high +*CAP +1 *41261:A 0.000548958 +2 *38890:HI[189] 0.00154589 +3 *1984:12 0.00209485 +4 *41261:A *3365:10 0 +5 *41261:A *3934:41 0 +6 *41261:A *4262:14 0 +7 *1984:12 *1993:13 0 +8 *1984:12 *2084:11 0 +9 *1984:12 *2114:26 0 +10 *1984:12 *2119:11 0 +11 *1984:12 *2155:29 0 +12 *1984:12 *3243:51 0 +13 *1971:35 *1984:12 0 +14 *1981:12 *1984:12 0 +*RES +1 *38890:HI[189] *1984:12 42 +2 *1984:12 *41261:A 26.2464 +*END + +*D_NET *1985 0.0257807 +*CONN +*I *37770:A I *D sky130_fd_sc_hd__and2_1 +*I *5615:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[18] O *D mprj_logic_high +*CAP +1 *37770:A 0 +2 *5615:DIODE 0.000138123 +3 *38890:HI[18] 0.00184228 +4 *1985:16 0.000262188 +5 *1985:13 0.00206791 +6 *1985:10 0.0107859 +7 *1985:9 0.0106843 +8 *5615:DIODE *4168:55 0 +9 *5615:DIODE *4183:39 0 +10 *1985:9 *39096:A 0 +11 *1985:9 *2086:21 0 +12 *1985:9 *2104:26 0 +13 *1985:9 *2107:33 0 +14 *1985:9 *2138:17 0 +15 *1985:9 *3062:8 0 +16 *1985:9 *3347:10 0 +17 *1985:9 *3572:18 0 +18 *1985:9 *3908:18 0 +19 *1985:9 *4470:10 0 +20 *1985:9 *4993:10 0 +21 *1985:9 *5048:54 0 +22 *1985:10 *2007:14 0 +23 *1985:10 *2013:10 0 +24 *1985:10 *2049:14 0 +25 *1985:10 *3346:9 0 +26 *1985:10 *5201:11 0 +27 *1985:13 *37534:B 0 +28 *1985:13 *39075:A 0 +29 *1985:13 *2013:13 0 +30 *1985:13 *4141:26 0 +31 *1985:13 *4154:50 0 +32 *1985:16 *4140:88 0 +33 *1985:16 *4214:73 0 +34 *1985:16 *4392:89 0 +35 la_data_in_mprj[35] *1985:13 0 +36 la_data_in_mprj[54] *1985:9 0 +37 *444:5 *1985:13 0 +38 *848:9 *1985:9 0 +*RES +1 *38890:HI[18] *1985:9 46.3393 +2 *1985:9 *1985:10 184.536 +3 *1985:10 *1985:13 48.6429 +4 *1985:13 *1985:16 7.08929 +5 *1985:16 *5615:DIODE 21.3893 +6 *1985:16 *37770:A 9.3 +*END + +*D_NET *1986 0.0154353 +*CONN +*I *41258:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8877:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[190] O *D mprj_logic_high +*CAP +1 *41258:A 0.000174727 +2 *8877:DIODE 0 +3 *38890:HI[190] 0.00102209 +4 *1986:27 0.000588938 +5 *1986:10 0.00652081 +6 *1986:9 0.0071287 +7 *1986:9 *1998:29 0 +8 *1986:9 *2017:14 0 +9 *1986:9 *2061:21 0 +10 *1986:9 *2063:22 0 +11 *1986:9 *2067:7 0 +12 *1986:9 *2151:19 0 +13 *1986:9 *3156:47 0 +14 *1986:9 *3172:33 0 +15 *1986:9 *3269:39 0 +16 *1986:9 *4212:10 0 +17 *1986:10 *1992:10 0 +18 *1986:10 *2003:8 0 +19 *1986:10 *2070:24 0 +20 *1986:10 *2126:28 0 +21 *1986:27 *1993:29 0 +22 *1986:27 *2077:23 0 +23 *1986:27 *2111:43 0 +24 *1986:27 *3228:85 0 +25 *1288:17 *1986:9 0 +*RES +1 *38890:HI[190] *1986:9 27.7143 +2 *1986:9 *1986:10 127.446 +3 *1986:10 *1986:27 31.5179 +4 *1986:27 *8877:DIODE 13.8 +5 *1986:27 *41258:A 17.8 +*END + +*D_NET *1987 0.0234909 +*CONN +*I *41255:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8874:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[191] O *D mprj_logic_high +*CAP +1 *41255:A 0.000256855 +2 *8874:DIODE 2.56688e-05 +3 *38890:HI[191] 0.00189948 +4 *1987:63 0.000881563 +5 *1987:61 0.00188526 +6 *1987:36 0.00896442 +7 *1987:13 0.00957768 +8 *8874:DIODE *4305:55 0 +9 *41255:A *8522:DIODE 0 +10 *41255:A *4053:66 0 +11 *41255:A *4269:36 0 +12 *1987:13 *1995:21 0 +13 *1987:13 *2010:19 0 +14 *1987:13 *2034:18 0 +15 *1987:13 *2080:15 0 +16 *1987:13 *2093:43 0 +17 *1987:13 *3133:70 0 +18 *1987:13 *4120:14 0 +19 *1987:13 *4258:19 0 +20 *1987:13 *4273:22 0 +21 *1987:13 *4491:16 0 +22 *1987:13 *4851:10 0 +23 *1987:36 *2008:13 0 +24 *1987:36 *2008:27 0 +25 *1987:36 *2106:23 0 +26 *1987:36 *2115:49 0 +27 *1987:36 *2115:66 0 +28 *1987:36 *2124:23 0 +29 *1987:36 *2361:16 0 +30 *1987:36 *2405:40 0 +31 *1987:36 *2516:26 0 +32 *1987:36 *3207:83 0 +33 *1987:36 *3248:42 0 +34 *1987:36 *3320:54 0 +35 *1987:36 *3782:61 0 +36 *1987:36 *3807:40 0 +37 *1987:36 *4253:87 0 +38 *1987:36 *4496:33 0 +39 *1987:36 *5170:45 0 +40 *1987:61 *37674:B 0 +41 *1987:61 *2418:36 0 +42 *1987:61 *2560:15 0 +43 *1987:61 *3270:42 0 +44 *1987:61 *3529:13 0 +45 *1987:61 *3785:16 0 +46 *1987:61 *4506:29 0 +47 *1987:61 *4875:47 0 +48 la_data_in_mprj[74] *1987:13 0 +49 *6554:DIODE *1987:13 0 +50 *6571:DIODE *1987:36 0 +51 *6571:DIODE *1987:61 0 +52 *6696:DIODE *1987:13 0 +53 *503:10 *1987:36 0 +54 *1216:17 *1987:36 0 +55 *1227:26 *1987:61 0 +56 *1981:41 *1987:36 0 +57 *1982:15 *1987:13 0 +58 *1982:19 *1987:13 0 +*RES +1 *38890:HI[191] *1987:13 46.6154 +2 *1987:13 *1987:36 48.6044 +3 *1987:36 *1987:61 43.1696 +4 *1987:61 *1987:63 13.6339 +5 *1987:63 *8874:DIODE 14.3357 +6 *1987:63 *41255:A 28.5679 +*END + +*D_NET *1988 0.0116674 +*CONN +*I *41253:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8872:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[192] O *D mprj_logic_high +*CAP +1 *41253:A 0.000425479 +2 *8872:DIODE 0.000352954 +3 *38890:HI[192] 0.000263349 +4 *1988:12 0.00316563 +5 *1988:10 0.00240773 +6 *1988:8 0.00240474 +7 *1988:7 0.00264755 +8 *8872:DIODE *2118:11 0 +9 *8872:DIODE *2121:9 0 +10 *41253:A *3157:5 0 +11 *41253:A *3255:49 0 +12 *1988:7 *1994:18 0 +13 *1988:7 *2039:26 0 +14 *1988:7 *2046:25 0 +15 *1988:7 *2091:19 0 +16 *1988:8 *1989:8 0 +17 *1988:8 *2001:8 0 +18 *1988:8 *3242:11 0 +19 *1988:8 *3242:13 0 +20 *1988:8 *4239:16 0 +21 *1988:12 *1996:10 0 +22 *1988:12 *2001:8 0 +23 *1988:12 *2023:15 0 +24 *1988:12 *2056:17 0 +25 *1988:12 *3157:5 0 +26 *1988:12 *3255:49 0 +27 *1988:12 *4239:16 0 +*RES +1 *38890:HI[192] *1988:7 10.4821 +2 *1988:7 *1988:8 49.8214 +3 *1988:8 *1988:10 0.428571 +4 *1988:10 *1988:12 49.8214 +5 *1988:12 *8872:DIODE 26.3179 +6 *1988:12 *41253:A 27.6214 +*END + +*D_NET *1989 0.0114604 +*CONN +*I *41250:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8869:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[193] O *D mprj_logic_high +*CAP +1 *41250:A 8.17123e-05 +2 *8869:DIODE 4.53482e-05 +3 *38890:HI[193] 0.000276838 +4 *1989:23 0.0010478 +5 *1989:16 0.00266361 +6 *1989:8 0.00440558 +7 *1989:7 0.00293955 +8 *8869:DIODE *3214:11 0 +9 *41250:A *3214:11 0 +10 *1989:7 *40942:A 0 +11 *1989:8 *2039:26 0 +12 *1989:8 *3242:13 0 +13 *1989:16 *2005:8 0 +14 *1989:16 *2024:25 0 +15 *1989:16 *2060:16 0 +16 *1989:16 *2076:8 0 +17 *1989:16 *2131:21 0 +18 *1989:23 *37766:B 0 +19 *1989:23 *2005:8 0 +20 *1989:23 *2076:8 0 +21 *1989:23 *2106:15 0 +22 *1989:23 *3324:30 0 +23 *1989:23 *4062:11 0 +24 *39998:A *41250:A 0 +25 *1282:17 *1989:23 0 +26 *1957:20 *1989:23 0 +27 *1959:9 *1989:7 0 +28 *1968:17 *1989:8 0 +29 *1976:22 *1989:16 0 +30 *1988:8 *1989:8 0 +*RES +1 *38890:HI[193] *1989:7 10.7857 +2 *1989:7 *1989:8 55.5714 +3 *1989:8 *1989:16 45.5804 +4 *1989:16 *1989:23 29.2946 +5 *1989:23 *8869:DIODE 10.2464 +6 *1989:23 *41250:A 11.0679 +*END + +*D_NET *1990 0.00497824 +*CONN +*I *41247:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[194] O *D mprj_logic_high +*CAP +1 *41247:A 0.000600156 +2 *38890:HI[194] 0.00188897 +3 *1990:16 0.00248912 +4 *41247:A *8640:DIODE 0 +5 *41247:A *41060:A 0 +6 *41247:A *2136:45 0 +7 *41247:A *3328:43 0 +8 *41247:A *3992:14 0 +9 *41247:A *4076:63 0 +10 *41247:A *4300:13 0 +11 *1990:16 *41057:A 0 +12 *1990:16 *2070:56 0 +13 *1990:16 *2102:16 0 +14 *1990:16 *2134:21 0 +15 *1990:16 *3947:8 0 +16 *1990:16 *4083:11 0 +17 *1990:16 *4116:15 0 +18 *1990:16 *4227:23 0 +19 *1969:10 *1990:16 0 +*RES +1 *38890:HI[194] *1990:16 45.2267 +2 *1990:16 *41247:A 26.7286 +*END + +*D_NET *1991 0.0105259 +*CONN +*I *41244:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8864:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[195] O *D mprj_logic_high +*CAP +1 *41244:A 0.000371609 +2 *8864:DIODE 0 +3 *38890:HI[195] 0.00227957 +4 *1991:42 0.00128285 +5 *1991:39 0.00261175 +6 *1991:28 0.00398008 +7 *41244:A *1998:51 0 +8 *41244:A *2042:7 0 +9 *41244:A *2048:9 0 +10 *41244:A *2091:19 0 +11 *41244:A *3132:15 0 +12 *1991:28 *1992:9 0 +13 *1991:28 *1998:29 0 +14 *1991:28 *2104:28 0 +15 *1991:28 *2107:33 0 +16 *1991:28 *3156:54 0 +17 *1991:28 *3232:24 0 +18 *1991:28 *3902:49 0 +19 *1991:28 *3908:9 0 +20 *1991:28 *3912:14 0 +21 *1991:28 *3919:19 0 +22 *1991:28 *4165:20 0 +23 *1991:39 *41174:A 0 +24 *1991:39 *2030:15 0 +25 *1991:39 *2143:45 0 +26 *1991:39 *3214:13 0 +27 *1991:39 *4155:46 0 +28 *1991:42 *2104:28 0 +29 *1962:13 *1991:28 0 +30 *1980:15 *1991:28 0 +*RES +1 *38890:HI[195] *1991:28 46.6432 +2 *1991:28 *1991:39 48.3118 +3 *1991:39 *1991:42 23.5179 +4 *1991:42 *8864:DIODE 9.3 +5 *1991:42 *41244:A 26.6393 +*END + +*D_NET *1992 0.0112814 +*CONN +*I *41240:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8860:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[196] O *D mprj_logic_high +*CAP +1 *41240:A 0.000175463 +2 *8860:DIODE 0 +3 *38890:HI[196] 0.00104893 +4 *1992:13 0.000354713 +5 *1992:10 0.00441631 +6 *1992:9 0.00528599 +7 *41240:A *4145:8 0 +8 *1992:9 *2100:7 0 +9 *1992:9 *2138:23 0 +10 *1992:9 *2148:7 0 +11 *1992:9 *3907:36 0 +12 *1992:9 *3908:9 0 +13 *1992:10 *2003:8 0 +14 *1992:10 *2018:8 0 +15 *1992:10 *2070:24 0 +16 *1992:10 *2126:28 0 +17 *1992:10 *2138:24 0 +18 *1992:10 *2138:28 0 +19 *1992:10 *3366:26 0 +20 *1992:13 *2091:31 0 +21 *1992:13 *4145:8 0 +22 *1992:13 *4481:14 0 +23 *1971:13 *1992:9 0 +24 *1986:10 *1992:10 0 +25 *1991:28 *1992:9 0 +*RES +1 *38890:HI[196] *1992:9 28.3214 +2 *1992:9 *1992:10 88.4286 +3 *1992:10 *1992:13 8.57143 +4 *1992:13 *8860:DIODE 13.8 +5 *1992:13 *41240:A 17.8 +*END + +*D_NET *1993 0.0126497 +*CONN +*I *41237:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8857:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[197] O *D mprj_logic_high +*CAP +1 *41237:A 0.000361706 +2 *8857:DIODE 0 +3 *38890:HI[197] 0.00104911 +4 *1993:35 0.000840667 +5 *1993:29 0.00256681 +6 *1993:16 0.00443505 +7 *1993:13 0.00339631 +8 *41237:A *8183:DIODE 0 +9 *41237:A *2008:13 0 +10 *41237:A *2102:16 0 +11 *41237:A *4234:11 0 +12 *1993:13 *1996:10 0 +13 *1993:13 *2092:7 0 +14 *1993:13 *3934:40 0 +15 *1993:16 *3355:7 0 +16 *1993:29 *2077:23 0 +17 *1993:29 *2111:28 0 +18 *1993:29 *3228:85 0 +19 *1993:29 *3355:25 0 +20 *1993:35 *1996:27 0 +21 *1993:35 *3813:14 0 +22 *1993:35 *4485:32 0 +23 *1978:16 *1993:16 0 +24 *1978:16 *1993:29 0 +25 *1984:12 *1993:13 0 +26 *1986:27 *1993:29 0 +*RES +1 *38890:HI[197] *1993:13 28.3929 +2 *1993:13 *1993:16 49.0179 +3 *1993:16 *1993:29 48.5536 +4 *1993:29 *1993:35 19.1786 +5 *1993:35 *8857:DIODE 13.8 +6 *1993:35 *41237:A 21.9607 +*END + +*D_NET *1994 0.00390291 +*CONN +*I *41234:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[198] O *D mprj_logic_high +*CAP +1 *41234:A 0.000339642 +2 *38890:HI[198] 0.00161181 +3 *1994:18 0.00195146 +4 *41234:A *2135:19 0 +5 *41234:A *3223:35 0 +6 *41234:A *4231:26 0 +7 *1994:18 *2046:25 0 +8 *1994:18 *2099:39 0 +9 *1994:18 *2107:19 0 +10 *1994:18 *2145:58 0 +11 *1994:18 *4122:13 0 +12 *1994:18 *4122:31 0 +13 *1994:18 *4287:15 0 +14 *1973:24 *1994:18 0 +15 *1983:32 *1994:18 0 +16 *1988:7 *1994:18 0 +*RES +1 *38890:HI[198] *1994:18 47.8929 +2 *1994:18 *41234:A 26.0143 +*END + +*D_NET *1995 0.00416813 +*CONN +*I *41230:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[199] O *D mprj_logic_high +*CAP +1 *41230:A 0.000589718 +2 *38890:HI[199] 0.00149435 +3 *1995:21 0.00208407 +4 *41230:A *40845:A 0 +5 *41230:A *3153:72 0 +6 *41230:A *3247:27 0 +7 *41230:A *3247:29 0 +8 *1995:21 *5646:DIODE 0 +9 *1995:21 *2001:7 0 +10 *1995:21 *2050:55 0 +11 *1995:21 *2110:23 0 +12 *1995:21 *2133:28 0 +13 *1995:21 *2154:16 0 +14 *1995:21 *3147:37 0 +15 *1995:21 *3255:38 0 +16 *1995:21 *3345:59 0 +17 *1995:21 *4053:24 0 +18 *1995:21 *4258:19 0 +19 *41296:A *41230:A 0 +20 *1975:25 *1995:21 0 +21 *1987:13 *1995:21 0 +*RES +1 *38890:HI[199] *1995:21 46.3571 +2 *1995:21 *41230:A 30.6929 +*END + +*D_NET *1996 0.0137973 +*CONN +*I *5618:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37772:A I *D sky130_fd_sc_hd__and2_1 +*I *38890:HI[19] O *D mprj_logic_high +*CAP +1 *5618:DIODE 0.000104386 +2 *37772:A 0 +3 *38890:HI[19] 0.00125343 +4 *1996:41 0.000986528 +5 *1996:27 0.00285801 +6 *1996:16 0.00389161 +7 *1996:13 0.00268285 +8 *1996:10 0.00202053 +9 *5618:DIODE *41080:A 0 +10 *5618:DIODE *4083:11 0 +11 *1996:10 *2001:8 0 +12 *1996:10 *2002:7 0 +13 *1996:10 *3324:30 0 +14 *1996:13 *2050:17 0 +15 *1996:13 *2053:7 0 +16 *1996:13 *2091:31 0 +17 *1996:13 *4064:24 0 +18 *1996:13 *4176:11 0 +19 *1996:13 *4262:14 0 +20 *1996:16 *40434:A 0 +21 *1996:16 *2070:24 0 +22 *1996:16 *2070:37 0 +23 *1996:16 *2081:8 0 +24 *1996:16 *2138:34 0 +25 *1996:27 *8536:DIODE 0 +26 *1996:27 *2008:13 0 +27 *1996:27 *2070:37 0 +28 *1996:27 *2077:36 0 +29 *1996:27 *2081:8 0 +30 *1996:27 *2087:12 0 +31 *1996:27 *3228:69 0 +32 *1996:27 *3813:14 0 +33 *1996:41 *37772:B 0 +34 *1996:41 *2050:55 0 +35 *1996:41 *3172:13 0 +36 *1996:41 *3233:29 0 +37 *1996:41 *3807:17 0 +38 *1996:41 *3992:14 0 +39 *1996:41 *4300:10 0 +40 *1996:41 *4306:35 0 +41 *41281:A *1996:41 0 +42 *1988:12 *1996:10 0 +43 *1993:13 *1996:10 0 +44 *1993:35 *1996:27 0 +*RES +1 *38890:HI[19] *1996:10 35.6429 +2 *1996:10 *1996:13 21.9286 +3 *1996:13 *1996:16 39.9821 +4 *1996:16 *1996:27 49.4904 +5 *1996:27 *1996:41 29.8339 +6 *1996:41 *37772:A 9.3 +7 *1996:41 *5618:DIODE 11.4786 +*END + +*D_NET *1997 0.0108245 +*CONN +*I *5570:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37736:A I *D sky130_fd_sc_hd__and2_2 +*I *38890:HI[1] O *D mprj_logic_high +*CAP +1 *5570:DIODE 0.000615409 +2 *37736:A 1.54013e-05 +3 *38890:HI[1] 4.95513e-05 +4 *1997:30 0.000955734 +5 *1997:21 0.00199045 +6 *1997:8 0.00440695 +7 *1997:7 0.00279098 +8 *5570:DIODE *4236:30 0 +9 *1997:8 *2112:10 0 +10 *1997:8 *2112:30 0 +11 *1997:8 *3989:21 0 +12 *1997:8 *4053:38 0 +13 *1997:8 *4283:11 0 +14 *1997:21 *38020:B 0 +15 *1997:21 *4239:17 0 +16 *1997:21 *4283:20 0 +17 *1997:30 *8859:DIODE 0 +18 *1997:30 *37736:B 0 +19 *1997:30 *41239:A 0 +20 *1997:30 *4236:30 0 +21 *1997:30 *4283:20 0 +22 *1967:16 *1997:8 0 +*RES +1 *38890:HI[1] *1997:7 5.625 +2 *1997:7 *1997:8 57.2143 +3 *1997:8 *1997:21 48.5179 +4 *1997:21 *1997:30 11.3571 +5 *1997:30 *37736:A 9.72857 +6 *1997:30 *5570:DIODE 22.3 +*END + +*D_NET *1998 0.0110878 +*CONN +*I *8848:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41226:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[200] O *D mprj_logic_high +*CAP +1 *8848:DIODE 2.56688e-05 +2 *41226:A 0.000313729 +3 *38890:HI[200] 0.00194389 +4 *1998:51 0.00360003 +5 *1998:29 0.00520453 +6 *41226:A *2011:19 0 +7 *41226:A *3214:11 0 +8 *1998:29 *8804:DIODE 0 +9 *1998:29 *2031:28 0 +10 *1998:29 *2045:17 0 +11 *1998:29 *2049:13 0 +12 *1998:29 *2126:13 0 +13 *1998:29 *3232:24 0 +14 *1998:29 *3373:31 0 +15 *1998:29 *3902:48 0 +16 *1998:29 *4179:20 0 +17 *1998:51 *39105:A 0 +18 *1998:51 *2037:13 0 +19 *1998:51 *2048:9 0 +20 *1998:51 *2083:34 0 +21 *1998:51 *2099:39 0 +22 *1998:51 *2150:20 0 +23 *1998:51 *3232:24 0 +24 *1998:51 *3926:13 0 +25 *1998:51 *4076:32 0 +26 *1998:51 *4243:21 0 +27 *1998:51 *4302:26 0 +28 *37370:A *1998:29 0 +29 *41244:A *1998:51 0 +30 *1956:27 *1998:51 0 +31 *1961:32 *41226:A 0 +32 *1973:13 *1998:29 0 +33 *1980:15 *1998:29 0 +34 *1986:9 *1998:29 0 +35 *1991:28 *1998:29 0 +*RES +1 *38890:HI[200] *1998:29 48.5802 +2 *1998:29 *1998:51 37.5393 +3 *1998:51 *41226:A 20.4071 +4 *1998:51 *8848:DIODE 14.3357 +*END + +*D_NET *1999 0.0226544 +*CONN +*I *8844:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41222:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[201] O *D mprj_logic_high +*CAP +1 *8844:DIODE 0.00027126 +2 *41222:A 0.00023938 +3 *38890:HI[201] 0.00650124 +4 *1999:57 0.000921557 +5 *1999:52 0.00431533 +6 *1999:29 0.0104057 +7 *8844:DIODE *3438:71 0 +8 *8844:DIODE *3747:45 0 +9 *1999:29 *2052:21 0 +10 *1999:29 *2228:22 0 +11 *1999:29 *2229:18 0 +12 *1999:29 *2850:39 0 +13 *1999:29 *3985:23 0 +14 *1999:29 *3992:14 0 +15 *1999:29 *4009:38 0 +16 *1999:29 *4063:16 0 +17 *1999:29 *4063:22 0 +18 *1999:29 *4086:20 0 +19 *1999:29 *4110:28 0 +20 *1999:29 *4129:24 0 +21 *1999:29 *4222:22 0 +22 *1999:29 *4290:20 0 +23 *1999:29 *4376:19 0 +24 *1999:29 *4376:49 0 +25 *1999:29 *5150:21 0 +26 *1999:52 *2073:72 0 +27 *1999:52 *2073:80 0 +28 *1999:52 *2272:78 0 +29 *1999:52 *2295:28 0 +30 *1999:52 *2439:14 0 +31 *1999:52 *3201:26 0 +32 *1999:52 *3320:129 0 +33 *1999:52 *3947:27 0 +34 *1999:52 *4063:22 0 +35 *1999:52 *4064:40 0 +36 *1999:52 *4096:44 0 +37 *1999:52 *4128:22 0 +38 *1999:52 *5150:21 0 +39 *1999:57 *2073:80 0 +40 *1999:57 *3323:30 0 +41 *1999:57 *4113:37 0 +42 *1438:55 *8844:DIODE 0 +43 *1519:19 *1999:29 0 +44 *1979:24 *1999:29 0 +*RES +1 *38890:HI[201] *1999:29 49.3431 +2 *1999:29 *1999:52 47.6748 +3 *1999:52 *1999:57 8.30833 +4 *1999:57 *41222:A 19.2375 +5 *1999:57 *8844:DIODE 19.5411 +*END + +*D_NET *2000 0.0217126 +*CONN +*I *8841:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41219:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[202] O *D mprj_logic_high +*CAP +1 *8841:DIODE 0 +2 *41219:A 0.000237225 +3 *38890:HI[202] 0.000962671 +4 *2000:32 0.00253953 +5 *2000:20 0.0055554 +6 *2000:18 0.00508413 +7 *2000:14 0.00410102 +8 *2000:11 0.00323265 +9 *41219:A *2166:75 0 +10 *41219:A *4462:26 0 +11 *2000:11 *2006:25 0 +12 *2000:11 *2030:15 0 +13 *2000:11 *2055:10 0 +14 *2000:11 *2099:39 0 +15 *2000:14 *2029:32 0 +16 *2000:14 *2042:8 0 +17 *2000:14 *4145:9 0 +18 *2000:18 *8227:DIODE 0 +19 *2000:18 *2042:8 0 +20 *2000:18 *2138:23 0 +21 *2000:18 *4145:9 0 +22 *2000:20 *2042:8 0 +23 *2000:20 *2042:15 0 +24 *2000:20 *2045:24 0 +25 *2000:20 *2045:45 0 +26 *2000:20 *4145:9 0 +27 *2000:20 *4831:15 0 +28 *2000:32 *2022:31 0 +29 *2000:32 *2031:48 0 +30 *2000:32 *2045:45 0 +31 *2000:32 *2067:46 0 +32 *2000:32 *3572:38 0 +33 *2000:32 *3642:48 0 +34 *2000:32 *4144:12 0 +35 *2000:32 *4152:33 0 +36 *2000:32 *4462:26 0 +37 *2000:32 *5198:33 0 +38 *40040:A *2000:32 0 +39 *1395:23 *2000:20 0 +40 *1395:32 *2000:20 0 +41 *1977:25 *2000:11 0 +42 *1983:32 *2000:11 0 +*RES +1 *38890:HI[202] *2000:11 26.4286 +2 *2000:11 *2000:14 47.375 +3 *2000:14 *2000:18 38.3393 +4 *2000:18 *2000:20 67.8929 +5 *2000:20 *2000:32 42.4276 +6 *2000:32 *41219:A 23.5589 +7 *2000:32 *8841:DIODE 9.3 +*END + +*D_NET *2001 0.0137379 +*CONN +*I *41217:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8839:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[203] O *D mprj_logic_high +*CAP +1 *41217:A 0 +2 *8839:DIODE 0.000144431 +3 *38890:HI[203] 0.000250116 +4 *2001:11 0.000374471 +5 *2001:8 0.0064744 +6 *2001:7 0.00649447 +7 *8839:DIODE *2071:11 0 +8 *8839:DIODE *3132:15 0 +9 *2001:7 *2005:7 0 +10 *2001:7 *2010:19 0 +11 *2001:7 *4258:19 0 +12 *2001:8 *2002:8 0 +13 *2001:8 *2039:26 0 +14 *2001:8 *2056:17 0 +15 *2001:8 *2095:18 0 +16 *2001:8 *3152:9 0 +17 *2001:8 *3255:49 0 +18 *2001:8 *4176:11 0 +19 *2001:8 *4262:15 0 +20 *2001:11 *2071:11 0 +21 *1958:19 *8839:DIODE 0 +22 *1958:19 *2001:11 0 +23 *1972:10 *2001:8 0 +24 *1972:12 *2001:8 0 +25 *1988:8 *2001:8 0 +26 *1988:12 *2001:8 0 +27 *1995:21 *2001:7 0 +28 *1996:10 *2001:8 0 +*RES +1 *38890:HI[203] *2001:7 10.1786 +2 *2001:7 *2001:8 130.321 +3 *2001:8 *2001:11 9.78571 +4 *2001:11 *8839:DIODE 16.9964 +5 *2001:11 *41217:A 13.8 +*END + +*D_NET *2002 0.0125574 +*CONN +*I *41214:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8836:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[204] O *D mprj_logic_high +*CAP +1 *41214:A 2.56688e-05 +2 *8836:DIODE 0.000204164 +3 *38890:HI[204] 0.000236647 +4 *2002:11 0.000486458 +5 *2002:8 0.0058122 +6 *2002:7 0.00579223 +7 *8836:DIODE *2094:24 0 +8 *8836:DIODE *4148:5 0 +9 *41214:A *2006:28 0 +10 *41214:A *3137:11 0 +11 *2002:7 *2106:15 0 +12 *2002:8 *2017:14 0 +13 *2002:8 *2039:26 0 +14 *2002:8 *3152:9 0 +15 *2002:8 *4137:9 0 +16 *2002:11 *2088:17 0 +17 *2002:11 *2144:37 0 +18 *1968:17 *2002:8 0 +19 *1996:10 *2002:7 0 +20 *2001:8 *2002:8 0 +*RES +1 *38890:HI[204] *2002:7 9.875 +2 *2002:7 *2002:8 115.946 +3 *2002:8 *2002:11 10.3929 +4 *2002:11 *8836:DIODE 18.3179 +5 *2002:11 *41214:A 14.3357 +*END + +*D_NET *2003 0.0181045 +*CONN +*I *41211:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8833:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[205] O *D mprj_logic_high +*CAP +1 *41211:A 0 +2 *8833:DIODE 0.000581978 +3 *38890:HI[205] 0.00101163 +4 *2003:17 0.00187495 +5 *2003:8 0.00745861 +6 *2003:7 0.00717727 +7 *8833:DIODE *8808:DIODE 0 +8 *8833:DIODE *37972:C 0 +9 *8833:DIODE *3873:32 0 +10 *8833:DIODE *4882:25 0 +11 *2003:7 *2030:15 0 +12 *2003:7 *2036:17 0 +13 *2003:7 *2060:15 0 +14 *2003:7 *2127:9 0 +15 *2003:7 *3222:14 0 +16 *2003:7 *4143:14 0 +17 *2003:7 *4296:14 0 +18 *2003:8 *40532:A 0 +19 *2003:8 *2018:8 0 +20 *2003:8 *2018:14 0 +21 *2003:8 *2018:16 0 +22 *2003:8 *2045:23 0 +23 *2003:8 *3336:30 0 +24 *2003:8 *3336:31 0 +25 *2003:8 *4948:11 0 +26 *2003:17 *2042:15 0 +27 *2003:17 *3331:60 0 +28 *2003:17 *4156:37 0 +29 *2003:17 *4948:22 0 +30 *40010:A *8833:DIODE 0 +31 *1533:57 *8833:DIODE 0 +32 *1894:36 *8833:DIODE 0 +33 *1970:11 *2003:7 0 +34 *1976:22 *2003:7 0 +35 *1986:10 *2003:8 0 +36 *1992:10 *2003:8 0 +*RES +1 *38890:HI[205] *2003:7 27.4821 +2 *2003:7 *2003:8 128.679 +3 *2003:8 *2003:17 38.4286 +4 *2003:17 *8833:DIODE 30.925 +5 *2003:17 *41211:A 9.3 +*END + +*D_NET *2004 0.0205318 +*CONN +*I *41208:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8830:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[206] O *D mprj_logic_high +*CAP +1 *41208:A 0.000246755 +2 *8830:DIODE 3.05566e-05 +3 *38890:HI[206] 0.00141092 +4 *2004:71 0.00156026 +5 *2004:65 0.00272795 +6 *2004:50 0.00283323 +7 *2004:30 0.00475939 +8 *2004:29 0.00446147 +9 *2004:19 0.00250123 +10 *8830:DIODE *4209:27 0 +11 *41208:A *38206:A 0 +12 *41208:A *4209:27 0 +13 *41208:A *4211:23 0 +14 *41208:A *4392:89 0 +15 *2004:19 *2013:9 0 +16 *2004:19 *2031:48 0 +17 *2004:19 *2063:22 0 +18 *2004:19 *2082:12 0 +19 *2004:19 *2186:18 0 +20 *2004:19 *2850:21 0 +21 *2004:19 *3846:10 0 +22 *2004:19 *3898:15 0 +23 *2004:19 *4144:12 0 +24 *2004:29 *8605:DIODE 0 +25 *2004:29 *2144:61 0 +26 *2004:29 *3131:18 0 +27 *2004:29 *4148:5 0 +28 *2004:29 *4447:69 0 +29 *2004:30 *4148:9 0 +30 *2004:30 *4151:7 0 +31 *2004:30 *4151:9 0 +32 *2004:50 *37956:A_N 0 +33 *2004:50 *3840:71 0 +34 *2004:50 *3851:16 0 +35 *2004:50 *4137:44 0 +36 *2004:50 *4137:59 0 +37 *2004:50 *4170:30 0 +38 *2004:50 *4209:19 0 +39 *2004:50 *4447:27 0 +40 *2004:50 *4462:26 0 +41 *2004:50 *4760:47 0 +42 *2004:50 *5015:41 0 +43 *2004:65 *2049:28 0 +44 *2004:65 *3888:53 0 +45 *2004:65 *4137:59 0 +46 *2004:65 *4760:47 0 +47 *2004:71 *38206:A 0 +48 *2004:71 *4132:58 0 +49 *37950:B *2004:71 0 +50 *1376:23 *2004:65 0 +51 *1379:25 *2004:65 0 +52 *1395:20 *2004:65 0 +53 *1395:32 *2004:29 0 +54 *1796:20 *2004:50 0 +55 *1796:39 *2004:50 0 +56 *1895:52 *2004:65 0 +57 *1895:54 *2004:71 0 +58 *1898:45 *2004:65 0 +59 *1974:21 *2004:29 0 +*RES +1 *38890:HI[206] *2004:19 35.6326 +2 *2004:19 *2004:29 32.5714 +3 *2004:29 *2004:30 70.3571 +4 *2004:30 *2004:50 34.5928 +5 *2004:50 *2004:65 48.4732 +6 *2004:65 *2004:71 31.6875 +7 *2004:71 *8830:DIODE 14.6839 +8 *2004:71 *41208:A 19.3804 +*END + +*D_NET *2005 0.0210596 +*CONN +*I *41206:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8828:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[207] O *D mprj_logic_high +*CAP +1 *41206:A 0 +2 *8828:DIODE 0.00023793 +3 *38890:HI[207] 0.000183261 +4 *2005:41 0.000559475 +5 *2005:35 0.00212479 +6 *2005:21 0.00360759 +7 *2005:14 0.00288234 +8 *2005:8 0.00617945 +9 *2005:7 0.00528472 +10 *8828:DIODE *3131:10 0 +11 *2005:7 *2010:19 0 +12 *2005:8 *2083:34 0 +13 *2005:8 *3365:13 0 +14 *2005:8 *4062:11 0 +15 *2005:8 *4143:11 0 +16 *2005:14 *2006:25 0 +17 *2005:14 *2060:16 0 +18 *2005:14 *2083:17 0 +19 *2005:14 *2083:34 0 +20 *2005:14 *3152:9 0 +21 *2005:14 *3325:11 0 +22 *2005:14 *4410:23 0 +23 *2005:21 *2016:14 0 +24 *2005:21 *3325:11 0 +25 *2005:21 *4410:23 0 +26 *2005:35 *2068:8 0 +27 *2005:35 *2074:10 0 +28 *2005:35 *2076:8 0 +29 *2005:35 *2108:26 0 +30 *2005:35 *2181:17 0 +31 *2005:35 *2186:29 0 +32 *2005:35 *3246:18 0 +33 *2005:41 *2142:17 0 +34 *2005:41 *2148:8 0 +35 *1972:10 *2005:8 0 +36 *1989:16 *2005:8 0 +37 *1989:23 *2005:8 0 +38 *2001:7 *2005:7 0 +*RES +1 *38890:HI[207] *2005:7 8.66071 +2 *2005:7 *2005:8 106.5 +3 *2005:8 *2005:14 22.5446 +4 *2005:14 *2005:21 46.8125 +5 *2005:21 *2005:35 47.7321 +6 *2005:35 *2005:41 15.8929 +7 *2005:41 *8828:DIODE 23.6214 +8 *2005:41 *41206:A 9.3 +*END + +*D_NET *2006 0.00854054 +*CONN +*I *41203:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8825:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[208] O *D mprj_logic_high +*CAP +1 *41203:A 3.82786e-05 +2 *8825:DIODE 0.000122568 +3 *38890:HI[208] 0.00381379 +4 *2006:28 0.000456476 +5 *2006:25 0.00410942 +6 *8825:DIODE *3137:11 0 +7 *8825:DIODE *3373:31 0 +8 *8825:DIODE *3374:104 0 +9 *41203:A *3137:11 0 +10 *2006:25 *2055:10 0 +11 *2006:25 *2061:21 0 +12 *2006:25 *2083:17 0 +13 *2006:25 *2086:33 0 +14 *2006:25 *2088:17 0 +15 *2006:25 *2099:39 0 +16 *2006:25 *2107:19 0 +17 *2006:25 *3268:16 0 +18 *2006:25 *3269:39 0 +19 *2006:25 *3907:21 0 +20 *2006:25 *3907:36 0 +21 *2006:25 *4090:21 0 +22 *2006:25 *4101:20 0 +23 *2006:25 *4170:19 0 +24 *2006:25 *4410:31 0 +25 *2006:28 *3137:11 0 +26 *2006:28 *3373:31 0 +27 *41214:A *2006:28 0 +28 *1503:19 *2006:25 0 +29 *1956:27 *2006:25 0 +30 *2000:11 *2006:25 0 +31 *2005:14 *2006:25 0 +*RES +1 *38890:HI[208] *2006:25 45.6505 +2 *2006:25 *2006:28 6.30357 +3 *2006:28 *8825:DIODE 11.8893 +4 *2006:28 *41203:A 10.3893 +*END + +*D_NET *2007 0.026479 +*CONN +*I *8822:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41200:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[209] O *D mprj_logic_high +*CAP +1 *8822:DIODE 0.00014075 +2 *41200:A 1.79681e-05 +3 *38890:HI[209] 0.00195051 +4 *2007:21 0.000158719 +5 *2007:19 0.0019537 +6 *2007:14 0.0111303 +7 *2007:13 0.0111271 +8 *8822:DIODE *4140:88 0 +9 *8822:DIODE *4392:89 0 +10 *41200:A *4140:88 0 +11 *41200:A *4392:89 0 +12 *2007:13 *8614:DIODE 0 +13 *2007:13 *39094:A 0 +14 *2007:13 *40534:A 0 +15 *2007:13 *40535:A 0 +16 *2007:13 *41002:A 0 +17 *2007:13 *2132:9 0 +18 *2007:13 *3660:33 0 +19 *2007:13 *3660:43 0 +20 *2007:13 *3909:8 0 +21 *2007:13 *4466:16 0 +22 *2007:14 *2012:18 0 +23 *2007:14 *2013:10 0 +24 *2007:14 *2353:11 0 +25 *2007:14 *3360:9 0 +26 *2007:14 *3371:11 0 +27 *2007:14 *4813:11 0 +28 *2007:14 *5201:11 0 +29 *2007:19 *3862:35 0 +30 *2007:19 *4430:97 0 +31 la_data_in_mprj[33] *2007:19 0 +32 *6966:DIODE *2007:19 0 +33 *442:5 *2007:19 0 +34 *463:5 *2007:13 0 +35 *591:9 *2007:13 0 +36 *825:5 *2007:19 0 +37 *1795:21 *2007:19 0 +38 *1974:21 *2007:13 0 +39 *1985:10 *2007:14 0 +*RES +1 *38890:HI[209] *2007:13 48.8571 +2 *2007:13 *2007:14 191.518 +3 *2007:14 *2007:19 48.875 +4 *2007:19 *2007:21 4.5 +5 *2007:21 *41200:A 9.675 +6 *2007:21 *8822:DIODE 12.3 +*END + +*D_NET *2008 0.026416 +*CONN +*I *5621:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37774:A I *D sky130_fd_sc_hd__and2_1 +*I *38890:HI[20] O *D mprj_logic_high +*CAP +1 *5621:DIODE 0.000128107 +2 *37774:A 0.000213649 +3 *38890:HI[20] 0.00242658 +4 *2008:67 0.000752324 +5 *2008:59 0.00245359 +6 *2008:48 0.00389888 +7 *2008:45 0.00207465 +8 *2008:28 0.00423639 +9 *2008:27 0.00591142 +10 *2008:13 0.0043204 +11 *5621:DIODE *4061:119 0 +12 *5621:DIODE *4119:70 0 +13 *37774:A *5990:DIODE 0 +14 *37774:A *37774:B 0 +15 *37774:A *4092:19 0 +16 *2008:13 *8183:DIODE 0 +17 *2008:13 *40381:A 0 +18 *2008:13 *2111:49 0 +19 *2008:13 *2143:23 0 +20 *2008:13 *2439:38 0 +21 *2008:13 *3814:17 0 +22 *2008:13 *3827:14 0 +23 *2008:13 *3947:8 0 +24 *2008:13 *4485:32 0 +25 *2008:13 *4495:16 0 +26 *2008:13 *4860:11 0 +27 *2008:27 *2141:26 0 +28 *2008:27 *2361:16 0 +29 *2008:27 *3320:54 0 +30 *2008:27 *3760:50 0 +31 *2008:27 *4496:33 0 +32 *2008:27 *5170:45 0 +33 *2008:28 *2040:28 0 +34 *2008:28 *2040:48 0 +35 *2008:28 *2069:10 0 +36 *2008:28 *2069:19 0 +37 *2008:28 *2080:16 0 +38 *2008:28 *2080:26 0 +39 *2008:28 *2087:35 0 +40 *2008:28 *2124:26 0 +41 *2008:28 *2383:31 0 +42 *2008:28 *2415:29 0 +43 *2008:45 *2040:48 0 +44 *2008:45 *2051:33 0 +45 *2008:45 *2124:36 0 +46 *2008:45 *2390:49 0 +47 *2008:45 *2424:50 0 +48 *2008:45 *3444:17 0 +49 *2008:45 *3444:27 0 +50 *2008:48 *2124:36 0 +51 *2008:48 *2124:55 0 +52 *2008:48 *2390:49 0 +53 *2008:48 *2424:50 0 +54 *2008:48 *2727:34 0 +55 *2008:48 *2749:56 0 +56 *2008:48 *4875:42 0 +57 *2008:48 *4884:17 0 +58 *2008:59 *2727:34 0 +59 *2008:59 *3442:99 0 +60 *2008:59 *3523:54 0 +61 *2008:59 *4039:112 0 +62 *2008:67 *3055:104 0 +63 *2008:67 *3518:122 0 +64 *2008:67 *4061:119 0 +65 la_data_in_mprj[77] *2008:13 0 +66 *6557:DIODE *2008:13 0 +67 *38704:A *2008:13 0 +68 *41237:A *2008:13 0 +69 *490:5 *2008:13 0 +70 *1216:17 *2008:13 0 +71 *1216:18 *2008:59 0 +72 *1226:53 *5621:DIODE 0 +73 *1226:53 *37774:A 0 +74 *1226:53 *2008:67 0 +75 *1236:19 *2008:59 0 +76 *1982:31 *2008:28 0 +77 *1987:36 *2008:13 0 +78 *1987:36 *2008:27 0 +79 *1996:27 *2008:13 0 +*RES +1 *38890:HI[20] *2008:13 49.8511 +2 *2008:13 *2008:27 32.846 +3 *2008:27 *2008:28 83.9107 +4 *2008:28 *2008:45 13.9643 +5 *2008:45 *2008:48 43.2321 +6 *2008:48 *2008:59 46.5625 +7 *2008:59 *2008:67 9.61607 +8 *2008:67 *37774:A 18.9964 +9 *2008:67 *5621:DIODE 16.7286 +*END + +*D_NET *2009 0.013995 +*CONN +*I *41198:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8820:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[210] O *D mprj_logic_high +*CAP +1 *41198:A 0.000175404 +2 *8820:DIODE 0 +3 *38890:HI[210] 0.000879777 +4 *2009:31 0.00109349 +5 *2009:16 0.00594232 +6 *2009:15 0.00590401 +7 *41198:A *3056:20 0 +8 *2009:15 *2064:14 0 +9 *2009:15 *2067:20 0 +10 *2009:15 *2741:48 0 +11 *2009:15 *4176:19 0 +12 *2009:16 *2142:26 0 +13 *2009:16 *3131:18 0 +14 *2009:16 *4151:7 0 +15 *2009:16 *4410:19 0 +16 *2009:31 *2066:23 0 +17 *2009:31 *3056:20 0 +18 *2009:31 *3137:32 0 +19 *2009:31 *3170:27 0 +20 *2009:31 *3268:29 0 +21 *2009:31 *3925:7 0 +22 *2009:31 *4200:24 0 +23 *1800:18 *2009:31 0 +*RES +1 *38890:HI[210] *2009:15 33 +2 *2009:15 *2009:16 104.857 +3 *2009:16 *2009:31 42.75 +4 *2009:31 *8820:DIODE 13.8 +5 *2009:31 *41198:A 17.8 +*END + +*D_NET *2010 0.0174688 +*CONN +*I *41196:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8818:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[211] O *D mprj_logic_high +*CAP +1 *41196:A 0.000122993 +2 *8818:DIODE 0 +3 *38890:HI[211] 0.00242895 +4 *2010:25 0.00630545 +5 *2010:19 0.00861141 +6 *41196:A *2049:13 0 +7 *41196:A *2126:13 0 +8 *2010:19 *41175:A 0 +9 *2010:19 *2025:17 0 +10 *2010:19 *2080:15 0 +11 *2010:19 *2081:8 0 +12 *2010:19 *2138:34 0 +13 *2010:19 *3330:12 0 +14 *2010:19 *3355:18 0 +15 *2010:19 *3727:23 0 +16 *2010:19 *3989:14 0 +17 *2010:19 *4002:23 0 +18 *2010:19 *4258:19 0 +19 *2010:25 *2049:13 0 +20 *2010:25 *2091:31 0 +21 *2010:25 *2100:23 0 +22 *2010:25 *2844:27 0 +23 *2010:25 *3156:47 0 +24 *2010:25 *3330:12 0 +25 *2010:25 *3359:20 0 +26 *2010:25 *4002:13 0 +27 *2010:25 *4118:21 0 +28 *1810:21 *2010:25 0 +29 *1958:19 *2010:25 0 +30 *1962:21 *2010:25 0 +31 *1964:20 *2010:19 0 +32 *1975:25 *2010:19 0 +33 *1980:15 *2010:25 0 +34 *1987:13 *2010:19 0 +35 *2001:7 *2010:19 0 +36 *2005:7 *2010:19 0 +*RES +1 *38890:HI[211] *2010:19 49.9282 +2 *2010:19 *2010:25 34.5469 +3 *2010:25 *8818:DIODE 13.8 +4 *2010:25 *41196:A 16.6571 +*END + +*D_NET *2011 0.00368213 +*CONN +*I *41193:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[212] O *D mprj_logic_high +*CAP +1 *41193:A 0 +2 *38890:HI[212] 0.00184107 +3 *2011:19 0.00184107 +4 *2011:19 *8681:DIODE 0 +5 *2011:19 *2014:17 0 +6 *2011:19 *2106:15 0 +7 *2011:19 *3214:11 0 +8 *2011:19 *4047:33 0 +9 *2011:19 *4302:26 0 +10 *41226:A *2011:19 0 +11 *1963:16 *2011:19 0 +*RES +1 *38890:HI[212] *2011:19 46.8122 +2 *2011:19 *41193:A 13.8 +*END + +*D_NET *2012 0.0267894 +*CONN +*I *41190:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8813:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[213] O *D mprj_logic_high +*CAP +1 *41190:A 0.000143745 +2 *8813:DIODE 0 +3 *38890:HI[213] 0.00196604 +4 *2012:37 0.00188756 +5 *2012:26 0.00220671 +6 *2012:18 0.00954108 +7 *2012:17 0.0110442 +8 *41190:A *2741:15 0 +9 *41190:A *4183:7 0 +10 *41190:A *4214:24 0 +11 *2012:17 *2018:7 0 +12 *2012:17 *2055:10 0 +13 *2012:17 *2107:19 0 +14 *2012:17 *2111:13 0 +15 *2012:17 *3258:56 0 +16 *2012:17 *3671:14 0 +17 *2012:17 *4287:8 0 +18 *2012:17 *4479:10 0 +19 *2012:17 *5104:10 0 +20 *2012:18 *2013:10 0 +21 *2012:18 *2048:10 0 +22 *2012:18 *2049:14 0 +23 *2012:18 *3146:9 0 +24 *2012:18 *4456:14 0 +25 *2012:18 *4476:13 0 +26 *2012:18 *4477:14 0 +27 *2012:26 *39084:A 0 +28 *2012:26 *3461:33 0 +29 *2012:26 *4825:33 0 +30 *2012:37 *39084:A 0 +31 *2012:37 *2150:47 0 +32 *2012:37 *3451:38 0 +33 *2012:37 *3583:32 0 +34 *2012:37 *3879:22 0 +35 *2012:37 *4165:20 0 +36 *2012:37 *4189:40 0 +37 *2012:37 *4859:21 0 +38 *2012:37 *5152:44 0 +39 la_data_in_mprj[43] *2012:26 0 +40 *6823:DIODE *2012:17 0 +41 *38545:A *2012:17 0 +42 *38865:A *2012:17 0 +43 *453:5 *2012:26 0 +44 *474:5 *2012:17 0 +45 *602:5 *2012:17 0 +46 *858:5 *2012:17 0 +47 *1377:14 *2012:37 0 +48 *1796:39 *2012:37 0 +49 *1800:18 *2012:37 0 +50 *1811:25 *2012:37 0 +51 *1976:22 *2012:17 0 +52 *2007:14 *2012:18 0 +*RES +1 *38890:HI[213] *2012:17 49.2143 +2 *2012:17 *2012:18 189.464 +3 *2012:18 *2012:26 23.7143 +4 *2012:26 *2012:37 44.5 +5 *2012:37 *8813:DIODE 9.3 +6 *2012:37 *41190:A 12.3 +*END + +*D_NET *2013 0.0265954 +*CONN +*I *41188:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8811:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[214] O *D mprj_logic_high +*CAP +1 *41188:A 0.000207761 +2 *8811:DIODE 4.53482e-05 +3 *38890:HI[214] 0.00182903 +4 *2013:13 0.00237078 +5 *2013:12 0.00211767 +6 *2013:10 0.00909787 +7 *2013:9 0.0109269 +8 *41188:A *4154:50 0 +9 *41188:A *4185:21 0 +10 *41188:A *4208:21 0 +11 *2013:9 *2035:41 0 +12 *2013:9 *2094:24 0 +13 *2013:9 *2108:26 0 +14 *2013:9 *2850:21 0 +15 *2013:9 *3061:19 0 +16 *2013:9 *3062:8 0 +17 *2013:9 *3331:50 0 +18 *2013:9 *3908:18 0 +19 *2013:9 *4993:10 0 +20 *2013:9 *5070:30 0 +21 *2013:10 *2049:14 0 +22 *2013:13 *3415:18 0 +23 *2013:13 *4141:26 0 +24 *6671:DIODE *2013:9 0 +25 *6992:DIODE *2013:9 0 +26 *444:5 *2013:13 0 +27 *465:10 *2013:9 0 +28 *593:8 *2013:9 0 +29 *1985:10 *2013:10 0 +30 *1985:13 *2013:13 0 +31 *2004:19 *2013:9 0 +32 *2007:14 *2013:10 0 +33 *2012:18 *2013:10 0 +*RES +1 *38890:HI[214] *2013:9 46.0357 +2 *2013:9 *2013:10 189.875 +3 *2013:10 *2013:12 4.5 +4 *2013:12 *2013:13 48.0893 +5 *2013:13 *8811:DIODE 14.7464 +6 *2013:13 *41188:A 23.6338 +*END + +*D_NET *2014 0.00757583 +*CONN +*I *41187:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[215] O *D mprj_logic_high +*CAP +1 *41187:A 0.00122809 +2 *38890:HI[215] 0.00169287 +3 *2014:35 0.00209505 +4 *2014:17 0.00255982 +5 *41187:A *4155:40 0 +6 *41187:A *4296:21 0 +7 *2014:17 *41012:A 0 +8 *2014:17 *2017:14 0 +9 *2014:17 *2111:26 0 +10 *2014:17 *2111:28 0 +11 *2014:35 *4076:32 0 +12 *2014:35 *4296:23 0 +13 *2014:35 *4302:26 0 +14 *1961:26 *41187:A 0 +15 *1961:26 *2014:35 0 +16 *1981:15 *2014:17 0 +17 *2011:19 *2014:17 0 +*RES +1 *38890:HI[215] *2014:17 46.375 +2 *2014:17 *2014:35 36.6744 +3 *2014:35 *41187:A 44.2643 +*END + +*D_NET *2015 0.0057906 +*CONN +*I *41184:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[216] O *D mprj_logic_high +*CAP +1 *41184:A 0.00139453 +2 *38890:HI[216] 0.00150077 +3 *2015:12 0.0028953 +4 *41184:A *40348:A 0 +5 *41184:A *41073:A 0 +6 *41184:A *2050:30 0 +7 *41184:A *2065:15 0 +8 *41184:A *2097:7 0 +9 *41184:A *2155:14 0 +10 *41184:A *3223:23 0 +11 *41184:A *4025:20 0 +12 *2015:12 *2095:8 0 +13 *2015:12 *2112:10 0 +14 *41271:A *41184:A 0 +15 *1956:54 *41184:A 0 +16 *1959:17 *41184:A 0 +17 *1965:14 *2015:12 0 +*RES +1 *38890:HI[216] *2015:12 40.4643 +2 *2015:12 *41184:A 44.0857 +*END + +*D_NET *2016 0.0106984 +*CONN +*I *8806:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41181:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[217] O *D mprj_logic_high +*CAP +1 *8806:DIODE 0.000311808 +2 *41181:A 6.50276e-05 +3 *38890:HI[217] 0.00117127 +4 *2016:14 0.00417795 +5 *2016:13 0.00497239 +6 *8806:DIODE *37792:B 0 +7 *8806:DIODE *2107:45 0 +8 *8806:DIODE *4143:36 0 +9 *8806:DIODE *4447:69 0 +10 *41181:A *37792:A 0 +11 *41181:A *3898:39 0 +12 *2016:13 *41136:A 0 +13 *2016:13 *2024:25 0 +14 *2016:13 *2144:25 0 +15 *2016:14 *2024:37 0 +16 *2016:14 *2068:8 0 +17 *2016:14 *3152:30 0 +18 *2016:14 *3325:11 0 +19 *2016:14 *3660:43 0 +20 *2016:14 *4410:23 0 +21 *301:36 *2016:14 0 +22 *1973:24 *2016:13 0 +23 *1983:22 *2016:13 0 +24 *1983:32 *2016:13 0 +25 *2005:21 *2016:14 0 +*RES +1 *38890:HI[217] *2016:13 38.8571 +2 *2016:13 *2016:14 79.3929 +3 *2016:14 *41181:A 10.6571 +4 *2016:14 *8806:DIODE 25.3357 +*END + +*D_NET *2017 0.0108987 +*CONN +*I *8804:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41179:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[218] O *D mprj_logic_high +*CAP +1 *8804:DIODE 0.000322792 +2 *41179:A 0 +3 *38890:HI[218] 0.00488189 +4 *2017:19 0.000567481 +5 *2017:14 0.00512658 +6 *8804:DIODE *2037:22 0 +7 *8804:DIODE *2045:17 0 +8 *8804:DIODE *2132:21 0 +9 *8804:DIODE *3373:31 0 +10 *2017:14 *2020:24 0 +11 *2017:14 *2024:25 0 +12 *2017:14 *2039:26 0 +13 *2017:14 *2061:21 0 +14 *2017:14 *3227:14 0 +15 *2017:14 *3898:15 0 +16 *2017:14 *4122:31 0 +17 *2017:14 *4186:12 0 +18 *2017:14 *4239:16 0 +19 *2017:14 *4280:20 0 +20 *2017:14 *4290:20 0 +21 *2017:19 *41107:A 0 +22 *2017:19 *2045:17 0 +23 *1971:35 *2017:14 0 +24 *1986:9 *2017:14 0 +25 *1998:29 *8804:DIODE 0 +26 *2002:8 *2017:14 0 +27 *2014:17 *2017:14 0 +*RES +1 *38890:HI[218] *2017:14 47.2223 +2 *2017:14 *2017:19 14.5893 +3 *2017:19 *41179:A 9.3 +4 *2017:19 *8804:DIODE 25.55 +*END + +*D_NET *2018 0.022925 +*CONN +*I *8802:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41177:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[219] O *D mprj_logic_high +*CAP +1 *8802:DIODE 0.00019268 +2 *41177:A 0.000202783 +3 *38890:HI[219] 0.00103841 +4 *2018:35 0.00155673 +5 *2018:30 0.00314681 +6 *2018:16 0.00428418 +7 *2018:14 0.00282185 +8 *2018:8 0.00458316 +9 *2018:7 0.00509836 +10 *41177:A *41148:A 0 +11 *41177:A *2741:15 0 +12 *41177:A *4136:25 0 +13 *41177:A *4392:26 0 +14 *2018:7 *41132:A 0 +15 *2018:7 *2111:13 0 +16 *2018:7 *2145:58 0 +17 *2018:7 *4118:21 0 +18 *2018:7 *4481:25 0 +19 *2018:8 *40437:A 0 +20 *2018:8 *2138:24 0 +21 *2018:8 *3336:30 0 +22 *2018:8 *3908:9 0 +23 *2018:8 *5104:11 0 +24 *2018:14 *40437:A 0 +25 *2018:14 *3333:7 0 +26 *2018:14 *3908:9 0 +27 *2018:14 *3908:18 0 +28 *2018:16 *3333:9 0 +29 *2018:16 *4948:11 0 +30 *2018:16 *5198:33 0 +31 *2018:30 *3339:53 0 +32 *2018:30 *3846:16 0 +33 *2018:30 *4130:13 0 +34 *2018:30 *4145:20 0 +35 *2018:30 *4154:24 0 +36 *2018:30 *4458:11 0 +37 *2018:35 *38006:C 0 +38 *2018:35 *3057:12 0 +39 *2018:35 *3350:11 0 +40 *2018:35 *3918:8 0 +41 *2018:35 *5048:75 0 +42 *41264:A *2018:7 0 +43 *1395:23 *2018:30 0 +44 *1402:20 *2018:35 0 +45 *1804:8 *2018:30 0 +46 *1976:22 *2018:7 0 +47 *1992:10 *2018:8 0 +48 *2003:8 *2018:8 0 +49 *2003:8 *2018:14 0 +50 *2003:8 *2018:16 0 +51 *2012:17 *2018:7 0 +*RES +1 *38890:HI[219] *2018:7 28.0893 +2 *2018:7 *2018:8 84.7321 +3 *2018:8 *2018:14 11.0446 +4 *2018:14 *2018:16 47.9732 +5 *2018:16 *2018:30 44.6757 +6 *2018:30 *2018:35 26.4375 +7 *2018:35 *41177:A 18.0321 +8 *2018:35 *8802:DIODE 18.175 +*END + +*D_NET *2019 0.00689021 +*CONN +*I *41175:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[21] O *D mprj_logic_high +*CAP +1 *41175:A 0.00344511 +2 *38890:HI[21] 0.00344511 +3 *41175:A *3345:78 0 +4 *41175:A *4039:18 0 +5 *41175:A *4056:17 0 +6 *41175:A *4116:15 0 +7 *41175:A *4166:35 0 +8 *41175:A *4227:23 0 +9 *41175:A *4258:34 0 +10 *41175:A *4307:11 0 +11 *41175:A *4307:21 0 +12 *2010:19 *41175:A 0 +*RES +1 *38890:HI[21] *41175:A 46.9615 +*END + +*D_NET *2020 0.00798528 +*CONN +*I *41174:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[220] O *D mprj_logic_high +*CAP +1 *41174:A 0.000165839 +2 *38890:HI[220] 0.0038268 +3 *2020:24 0.00399264 +4 *41174:A *2091:19 0 +5 *41174:A *2143:45 0 +6 *41174:A *3258:56 0 +7 *2020:24 *37778:A 0 +8 *2020:24 *2023:15 0 +9 *2020:24 *2119:11 0 +10 *2020:24 *2128:12 0 +11 *2020:24 *3232:24 0 +12 *2020:24 *3269:39 0 +13 *2020:24 *3985:14 0 +14 *2020:24 *4231:26 0 +15 *2020:24 *4290:20 0 +16 *2020:24 *4302:26 0 +17 *37372:A *2020:24 0 +18 *1957:20 *2020:24 0 +19 *1961:26 *2020:24 0 +20 *1991:39 *41174:A 0 +21 *2017:14 *2020:24 0 +*RES +1 *38890:HI[220] *2020:24 49.5251 +2 *2020:24 *41174:A 22.0679 +*END + +*D_NET *2021 0.00671554 +*CONN +*I *41171:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[221] O *D mprj_logic_high +*CAP +1 *41171:A 0.00125107 +2 *38890:HI[221] 0.00210671 +3 *2021:16 0.00335777 +4 *41171:A *37972:A_N 0 +5 *41171:A *41162:A 0 +6 *41171:A *41166:A 0 +7 *41171:A *2061:21 0 +8 *41171:A *2181:33 0 +9 *41171:A *3152:43 0 +10 *41171:A *3325:37 0 +11 *41171:A *4156:37 0 +12 *2021:16 *2022:10 0 +13 *2021:16 *2076:7 0 +14 *2021:16 *2088:17 0 +15 *2021:16 *2090:8 0 +16 *2021:16 *2144:32 0 +17 *2021:16 *2210:21 0 +18 *2021:16 *3170:15 0 +19 *2021:16 *4143:15 0 +20 *5910:DIODE *41171:A 0 +21 *1533:71 *41171:A 0 +22 *1896:20 *41171:A 0 +23 *1907:20 *41171:A 0 +24 *1961:19 *2021:16 0 +*RES +1 *38890:HI[221] *2021:16 48.8434 +2 *2021:16 *41171:A 40.8804 +*END + +*D_NET *2022 0.0123753 +*CONN +*I *41169:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8797:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[222] O *D mprj_logic_high +*CAP +1 *41169:A 0.00016428 +2 *8797:DIODE 0 +3 *38890:HI[222] 0.0019302 +4 *2022:31 0.000528896 +5 *2022:23 0.0022628 +6 *2022:17 0.00372856 +7 *2022:10 0.00376059 +8 *41169:A *4159:29 0 +9 *2022:10 *2064:14 0 +10 *2022:10 *2066:8 0 +11 *2022:10 *2082:12 0 +12 *2022:10 *2090:8 0 +13 *2022:10 *2142:7 0 +14 *2022:10 *4143:15 0 +15 *2022:17 *2066:8 0 +16 *2022:17 *2144:61 0 +17 *2022:17 *2148:8 0 +18 *2022:23 *3131:19 0 +19 *2022:23 *3137:21 0 +20 *2022:23 *3170:27 0 +21 *2022:23 *4152:33 0 +22 *2022:31 *3384:51 0 +23 *2022:31 *3642:48 0 +24 *2022:31 *3974:23 0 +25 *40040:A *2022:31 0 +26 *1502:28 *41169:A 0 +27 *1502:28 *2022:31 0 +28 *1805:38 *2022:17 0 +29 *1901:69 *41169:A 0 +30 *2000:32 *2022:31 0 +31 *2021:16 *2022:10 0 +*RES +1 *38890:HI[222] *2022:10 49.8929 +2 *2022:10 *2022:17 47.5179 +3 *2022:17 *2022:23 49.0179 +4 *2022:23 *2022:31 21.6607 +5 *2022:31 *8797:DIODE 9.3 +6 *2022:31 *41169:A 12.7286 +*END + +*D_NET *2023 0.0247901 +*CONN +*I *41167:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8795:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[223] O *D mprj_logic_high +*CAP +1 *41167:A 0.000300965 +2 *8795:DIODE 0 +3 *38890:HI[223] 0.00150395 +4 *2023:41 0.00168889 +5 *2023:32 0.0026478 +6 *2023:24 0.00764348 +7 *2023:22 0.00794235 +8 *2023:15 0.00306269 +9 *41167:A *3156:76 0 +10 *2023:15 *2131:45 0 +11 *2023:15 *3157:5 0 +12 *2023:15 *3157:10 0 +13 *2023:15 *3232:14 0 +14 *2023:15 *3985:14 0 +15 *2023:15 *4108:8 0 +16 *2023:15 *4239:16 0 +17 *2023:15 *4290:20 0 +18 *2023:22 *2033:14 0 +19 *2023:22 *2033:27 0 +20 *2023:22 *2120:56 0 +21 *2023:22 *3139:11 0 +22 *2023:24 *39098:A 0 +23 *2023:24 *40444:A 0 +24 *2023:24 *2033:31 0 +25 *2023:24 *2082:25 0 +26 *2023:24 *2088:30 0 +27 *2023:24 *2098:29 0 +28 *2023:24 *2120:35 0 +29 *2023:24 *3139:23 0 +30 *2023:24 *3139:27 0 +31 *2023:24 *3331:50 0 +32 *2023:24 *3366:7 0 +33 *2023:24 *3366:19 0 +34 *2023:24 *4465:32 0 +35 *2023:24 *4477:24 0 +36 *2023:24 *4838:21 0 +37 *2023:24 *4843:16 0 +38 *2023:24 *5197:27 0 +39 *2023:32 *3347:11 0 +40 *2023:32 *3572:26 0 +41 *2023:32 *4838:8 0 +42 *2023:41 *3156:76 0 +43 *2023:41 *3384:27 0 +44 *2023:41 *4448:37 0 +45 *2023:41 *4458:11 0 +46 *2023:41 *4882:25 0 +47 *2023:41 *4926:17 0 +48 *38689:A *2023:22 0 +49 *1981:15 *2023:15 0 +50 *1988:12 *2023:15 0 +51 *2020:24 *2023:15 0 +*RES +1 *38890:HI[223] *2023:15 47.4286 +2 *2023:15 *2023:22 32.625 +3 *2023:22 *2023:24 133.196 +4 *2023:24 *2023:32 40 +5 *2023:32 *2023:41 31.9107 +6 *2023:41 *8795:DIODE 13.8 +7 *2023:41 *41167:A 20.7643 +*END + +*D_NET *2024 0.0139659 +*CONN +*I *8794:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41166:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[224] O *D mprj_logic_high +*CAP +1 *8794:DIODE 0.000270444 +2 *41166:A 0.000242318 +3 *38890:HI[224] 0.00196711 +4 *2024:51 0.00174913 +5 *2024:37 0.00305913 +6 *2024:31 0.00326672 +7 *2024:25 0.00341107 +8 *8794:DIODE *37792:A 0 +9 *8794:DIODE *37792:B 0 +10 *8794:DIODE *41002:A 0 +11 *8794:DIODE *2096:17 0 +12 *41166:A *2061:21 0 +13 *41166:A *3325:37 0 +14 *41166:A *4212:43 0 +15 *2024:25 *2079:19 0 +16 *2024:25 *2144:25 0 +17 *2024:25 *3227:14 0 +18 *2024:31 *41143:A 0 +19 *2024:31 *2078:15 0 +20 *2024:31 *2144:32 0 +21 *2024:31 *4143:15 0 +22 *2024:37 *3325:11 0 +23 *2024:51 *41002:A 0 +24 *2024:51 *2026:25 0 +25 *2024:51 *3242:27 0 +26 *2024:51 *3246:19 0 +27 *2024:51 *4176:32 0 +28 *2024:51 *4410:23 0 +29 *41171:A *41166:A 0 +30 *1899:38 *41166:A 0 +31 *1973:24 *2024:25 0 +32 *1973:24 *2024:31 0 +33 *1976:22 *2024:25 0 +34 *1983:22 *2024:31 0 +35 *1983:32 *2024:25 0 +36 *1989:16 *2024:25 0 +37 *2016:13 *2024:25 0 +38 *2016:14 *2024:37 0 +39 *2017:14 *2024:25 0 +*RES +1 *38890:HI[224] *2024:25 43.4907 +2 *2024:25 *2024:31 39.3929 +3 *2024:31 *2024:37 47.125 +4 *2024:37 *2024:51 39.6607 +5 *2024:51 *41166:A 19.3179 +6 *2024:51 *8794:DIODE 19.55 +*END + +*D_NET *2025 0.00471598 +*CONN +*I *41165:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[225] O *D mprj_logic_high +*CAP +1 *41165:A 0.000531372 +2 *38890:HI[225] 0.00182662 +3 *2025:17 0.00235799 +4 *41165:A *3934:59 0 +5 *2025:17 *3153:78 0 +6 *2025:17 *3219:80 0 +7 *2025:17 *3989:14 0 +8 *2025:17 *4262:15 0 +9 *1296:15 *2025:17 0 +10 *1964:20 *2025:17 0 +11 *1972:12 *2025:17 0 +12 *1975:37 *41165:A 0 +13 *1982:15 *41165:A 0 +14 *2010:19 *2025:17 0 +*RES +1 *38890:HI[225] *2025:17 48.8929 +2 *2025:17 *41165:A 34.1214 +*END + +*D_NET *2026 0.0187672 +*CONN +*I *41162:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8791:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[226] O *D mprj_logic_high +*CAP +1 *41162:A 0.000422502 +2 *8791:DIODE 0 +3 *38890:HI[226] 0.000102957 +4 *2026:25 0.00132418 +5 *2026:8 0.00885814 +6 *2026:7 0.00805942 +7 *41162:A *3596:34 0 +8 *41162:A *4464:12 0 +9 *2026:7 *2029:16 0 +10 *2026:7 *3985:14 0 +11 *2026:8 *2039:20 0 +12 *2026:8 *2067:20 0 +13 *2026:8 *2074:10 0 +14 *2026:8 *2092:8 0 +15 *2026:8 *2181:17 0 +16 *2026:8 *3246:19 0 +17 *2026:8 *4176:15 0 +18 *2026:8 *4176:19 0 +19 *2026:8 *4176:32 0 +20 *2026:8 *4410:31 0 +21 *2026:25 *37792:B 0 +22 *2026:25 *2064:14 0 +23 *2026:25 *2107:45 0 +24 *2026:25 *2165:29 0 +25 *2026:25 *3246:19 0 +26 *2026:25 *3890:24 0 +27 *2026:25 *4173:7 0 +28 *2026:25 *4173:12 0 +29 *41171:A *41162:A 0 +30 *301:39 *2026:25 0 +31 *1395:44 *2026:25 0 +32 *1896:20 *41162:A 0 +33 *1965:8 *2026:8 0 +34 *1978:15 *2026:8 0 +35 *2024:51 *2026:25 0 +*RES +1 *38890:HI[226] *2026:7 6.83929 +2 *2026:7 *2026:8 166.054 +3 *2026:8 *2026:25 46.5893 +4 *2026:25 *8791:DIODE 9.3 +5 *2026:25 *41162:A 27.6214 +*END + +*D_NET *2027 0.0155735 +*CONN +*I *41161:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8790:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[227] O *D mprj_logic_high +*CAP +1 *41161:A 0.000128268 +2 *8790:DIODE 0 +3 *38890:HI[227] 0.00201774 +4 *2027:43 0.000367589 +5 *2027:40 0.00272581 +6 *2027:22 0.00532631 +7 *2027:21 0.00291492 +8 *2027:16 0.00209283 +9 *41161:A *4148:5 0 +10 *41161:A *4154:12 0 +11 *2027:16 *37800:A 0 +12 *2027:16 *41394:A 0 +13 *2027:16 *2035:28 0 +14 *2027:16 *2098:30 0 +15 *2027:16 *2100:23 0 +16 *2027:16 *2139:16 0 +17 *2027:16 *3146:8 0 +18 *2027:16 *3694:19 0 +19 *2027:16 *4145:8 0 +20 *2027:16 *4409:8 0 +21 *2027:16 *4410:32 0 +22 *2027:16 *4474:26 0 +23 *2027:16 *4481:14 0 +24 *2027:21 *3366:26 0 +25 *2027:22 *2082:32 0 +26 *2027:22 *2088:36 0 +27 *2027:22 *2120:21 0 +28 *2027:22 *4459:45 0 +29 *2027:40 *40437:A 0 +30 *2027:40 *2033:47 0 +31 *2027:40 *2088:17 0 +32 *2027:40 *3056:15 0 +33 *2027:40 *3139:38 0 +34 *2027:40 *3332:16 0 +35 *2027:40 *3368:15 0 +36 *2027:40 *3450:13 0 +37 *2027:43 *2107:33 0 +38 *1806:35 *2027:40 0 +39 *1807:17 *2027:40 0 +40 *1811:30 *2027:40 0 +41 *1957:20 *2027:16 0 +42 *1962:21 *2027:22 0 +43 *1980:10 *2027:40 0 +*RES +1 *38890:HI[227] *2027:16 48.9821 +2 *2027:16 *2027:21 10.6429 +3 *2027:21 *2027:22 59.2679 +4 *2027:22 *2027:40 48.4018 +5 *2027:40 *2027:43 8.8475 +6 *2027:43 *8790:DIODE 13.8 +7 *2027:43 *41161:A 16.6929 +*END + +*D_NET *2028 0.00532699 +*CONN +*I *38190:B I *D sky130_fd_sc_hd__and2_1 +*I *38890:HI[228] O *D mprj_logic_high +*CAP +1 *38190:B 0.000757295 +2 *38890:HI[228] 0.0019062 +3 *2028:27 0.00266349 +4 *38190:B *2161:63 0 +5 *38190:B *3888:24 0 +6 *2028:27 *5407:DIODE 0 +7 *2028:27 *37972:C 0 +8 *2028:27 *3152:30 0 +9 *2028:27 *3152:43 0 +10 *2028:27 *3857:19 0 +11 *2028:27 *4882:25 0 +12 *40012:A *2028:27 0 +13 *1196:10 *38190:B 0 +14 *1384:23 *2028:27 0 +15 *1384:33 *2028:27 0 +16 *1399:25 *38190:B 0 +17 *1533:71 *2028:27 0 +18 *1894:39 *38190:B 0 +19 *1894:48 *38190:B 0 +20 *1907:23 *2028:27 0 +*RES +1 *38890:HI[228] *2028:27 49.5893 +2 *2028:27 *38190:B 29.7107 +*END + +*D_NET *2029 0.0138743 +*CONN +*I *8788:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41159:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[229] O *D mprj_logic_high +*CAP +1 *8788:DIODE 0 +2 *41159:A 0.000297722 +3 *38890:HI[229] 0.00184983 +4 *2029:38 0.000520136 +5 *2029:32 0.00396749 +6 *2029:31 0.00456717 +7 *2029:16 0.00267193 +8 *41159:A *2108:46 0 +9 *41159:A *4139:10 0 +10 *41159:A *4189:22 0 +11 *2029:16 *2032:13 0 +12 *2029:16 *2035:15 0 +13 *2029:16 *2070:24 0 +14 *2029:16 *2138:28 0 +15 *2029:16 *3143:31 0 +16 *2029:16 *3985:14 0 +17 *2029:16 *4063:16 0 +18 *2029:16 *4143:8 0 +19 *2029:31 *2070:24 0 +20 *2029:31 *2111:26 0 +21 *2029:31 *2138:28 0 +22 *2029:31 *3366:26 0 +23 *2029:31 *5137:11 0 +24 *2029:32 *2042:8 0 +25 *2029:32 *2091:31 0 +26 *2029:32 *2127:21 0 +27 *2029:32 *4145:9 0 +28 *2029:32 *4481:25 0 +29 *2029:38 *3902:48 0 +30 *2029:38 *3902:49 0 +31 *41287:A *2029:16 0 +32 *1809:20 *41159:A 0 +33 *1959:9 *2029:38 0 +34 *1962:13 *41159:A 0 +35 *1971:35 *2029:16 0 +36 *2000:14 *2029:32 0 +37 *2026:7 *2029:16 0 +*RES +1 *38890:HI[229] *2029:16 49.625 +2 *2029:16 *2029:31 40 +3 *2029:31 *2029:32 78.1607 +4 *2029:32 *2029:38 13.75 +5 *2029:38 *41159:A 24.9429 +6 *2029:38 *8788:DIODE 9.3 +*END + +*D_NET *2030 0.00552005 +*CONN +*I *37778:A I *D sky130_fd_sc_hd__and2_1 +*I *38890:HI[22] O *D mprj_logic_high +*CAP +1 *37778:A 0.00132018 +2 *38890:HI[22] 0.00143985 +3 *2030:15 0.00276003 +4 *37778:A *2037:13 0 +5 *37778:A *4155:47 0 +6 *2030:15 *40360:A 0 +7 *2030:15 *2079:19 0 +8 *2030:15 *3214:13 0 +9 *2030:15 *4280:10 0 +10 *1961:26 *37778:A 0 +11 *1970:11 *2030:15 0 +12 *1976:22 *2030:15 0 +13 *1977:25 *2030:15 0 +14 *1991:39 *2030:15 0 +15 *2000:11 *2030:15 0 +16 *2003:7 *2030:15 0 +17 *2020:24 *37778:A 0 +*RES +1 *38890:HI[22] *2030:15 45.1429 +2 *2030:15 *37778:A 46.2107 +*END + +*D_NET *2031 0.0215656 +*CONN +*I *41157:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8786:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[230] O *D mprj_logic_high +*CAP +1 *41157:A 0.000208724 +2 *8786:DIODE 0 +3 *38890:HI[230] 0.00242906 +4 *2031:51 0.00127939 +5 *2031:48 0.008145 +6 *2031:28 0.0095034 +7 *41157:A *4133:27 0 +8 *2031:28 *41094:A 0 +9 *2031:28 *41143:A 0 +10 *2031:28 *2078:15 0 +11 *2031:28 *2100:8 0 +12 *2031:28 *2108:26 0 +13 *2031:28 *2108:46 0 +14 *2031:28 *2120:21 0 +15 *2031:28 *2145:60 0 +16 *2031:28 *3222:37 0 +17 *2031:28 *4144:12 0 +18 *2031:28 *4170:19 0 +19 *2031:28 *4197:27 0 +20 *2031:48 *3170:27 0 +21 *2031:48 *3222:37 0 +22 *2031:48 *3329:43 0 +23 *2031:48 *3919:19 0 +24 *2031:48 *4144:12 0 +25 *2031:48 *4170:19 0 +26 *2031:48 *4197:27 0 +27 *2031:48 *4197:36 0 +28 *2031:51 *3343:14 0 +29 *2031:51 *4760:28 0 +30 *1395:20 *2031:51 0 +31 *1402:20 *2031:48 0 +32 *1513:15 *2031:51 0 +33 *1528:17 *41157:A 0 +34 *1672:43 *2031:51 0 +35 *1809:19 *2031:28 0 +36 *1889:70 *41157:A 0 +37 *1998:29 *2031:28 0 +38 *2000:32 *2031:48 0 +39 *2004:19 *2031:48 0 +*RES +1 *38890:HI[230] *2031:28 48.3994 +2 *2031:28 *2031:48 29.3023 +3 *2031:48 *2031:51 28.7589 +4 *2031:51 *8786:DIODE 9.3 +5 *2031:51 *41157:A 22.7643 +*END + +*D_NET *2032 0.00426216 +*CONN +*I *41156:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[231] O *D mprj_logic_high +*CAP +1 *41156:A 0.000518509 +2 *38890:HI[231] 0.00161257 +3 *2032:13 0.00213108 +4 *41156:A *3132:11 0 +5 *41156:A *3147:73 0 +6 *41156:A *3223:23 0 +7 *2032:13 *40938:A 0 +8 *2032:13 *2035:15 0 +9 *2032:13 *2079:27 0 +10 *2032:13 *2145:42 0 +11 *2032:13 *3705:11 0 +12 *2032:13 *4063:16 0 +13 *2032:13 *4116:15 0 +14 *1405:30 *41156:A 0 +15 *1963:16 *41156:A 0 +16 *2029:16 *2032:13 0 +*RES +1 *38890:HI[231] *2032:13 48.2679 +2 *2032:13 *41156:A 20.1214 +*END + +*D_NET *2033 0.018359 +*CONN +*I *41155:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8785:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[232] O *D mprj_logic_high +*CAP +1 *41155:A 0.000258218 +2 *8785:DIODE 0 +3 *38890:HI[232] 0.00133527 +4 *2033:47 0.00265933 +5 *2033:31 0.00455397 +6 *2033:27 0.00348475 +7 *2033:14 0.00303202 +8 *2033:7 0.0030354 +9 *41155:A *6303:DIODE 0 +10 *41155:A *2094:24 0 +11 *41155:A *2140:27 0 +12 *41155:A *4148:5 0 +13 *41155:A *4189:22 0 +14 *2033:7 *41048:A 0 +15 *2033:7 *2062:15 0 +16 *2033:7 *2065:15 0 +17 *2033:7 *2073:20 0 +18 *2033:7 *3216:32 0 +19 *2033:7 *4105:10 0 +20 *2033:7 *4122:40 0 +21 *2033:7 *4479:46 0 +22 *2033:14 *2120:56 0 +23 *2033:14 *4485:14 0 +24 *2033:27 *2048:9 0 +25 *2033:27 *2120:55 0 +26 *2033:27 *3146:8 0 +27 *2033:27 *4474:26 0 +28 *2033:27 *4479:35 0 +29 *2033:27 *5126:10 0 +30 *2033:31 *2082:31 0 +31 *2033:31 *2098:29 0 +32 *2033:31 *3139:27 0 +33 *2033:31 *3172:33 0 +34 *2033:31 *3366:19 0 +35 *2033:31 *3638:12 0 +36 *2033:31 *4474:22 0 +37 *2033:47 *2035:40 0 +38 *2033:47 *2088:17 0 +39 *2033:47 *2140:27 0 +40 *2033:47 *2149:14 0 +41 *2033:47 *3058:5 0 +42 *2033:47 *3359:20 0 +43 *2033:47 *3368:15 0 +44 *2033:47 *3451:7 0 +45 *2033:47 *3451:9 0 +46 *2033:47 *3908:9 0 +47 *1810:21 *2033:47 0 +48 *1811:30 *2033:47 0 +49 *1962:21 *2033:47 0 +50 *2023:22 *2033:14 0 +51 *2023:22 *2033:27 0 +52 *2023:24 *2033:31 0 +53 *2027:40 *2033:47 0 +*RES +1 *38890:HI[232] *2033:7 34.7679 +2 *2033:7 *2033:14 35.6071 +3 *2033:14 *2033:27 46.1607 +4 *2033:27 *2033:31 49.7232 +5 *2033:31 *2033:47 46.4693 +6 *2033:47 *8785:DIODE 13.8 +7 *2033:47 *41155:A 19.4964 +*END + +*D_NET *2034 0.00750717 +*CONN +*I *41154:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[233] O *D mprj_logic_high +*CAP +1 *41154:A 0.0015397 +2 *38890:HI[233] 0.00154924 +3 *2034:35 0.00220434 +4 *2034:18 0.00221389 +5 *41154:A *40332:A 0 +6 *41154:A *41048:A 0 +7 *41154:A *3214:11 0 +8 *41154:A *3247:29 0 +9 *41154:A *4025:20 0 +10 *2034:18 *5645:DIODE 0 +11 *2034:18 *38240:B 0 +12 *2034:18 *41141:A 0 +13 *2034:18 *2050:30 0 +14 *2034:18 *2069:9 0 +15 *2034:18 *2093:43 0 +16 *2034:18 *2119:11 0 +17 *2034:18 *3223:23 0 +18 *2034:18 *3943:17 0 +19 *2034:18 *3989:14 0 +20 *2034:35 *3223:23 0 +21 *2034:35 *4047:58 0 +22 *2034:35 *4250:23 0 +23 *39998:A *41154:A 0 +24 *41296:A *2034:18 0 +25 *41302:A *41154:A 0 +26 *1956:54 *41154:A 0 +27 *1956:58 *41154:A 0 +28 *1961:32 *41154:A 0 +29 *1964:20 *2034:18 0 +30 *1987:13 *2034:18 0 +*RES +1 *38890:HI[233] *2034:18 47.1786 +2 *2034:18 *2034:35 34.3574 +3 *2034:35 *41154:A 41.4964 +*END + +*D_NET *2035 0.017569 +*CONN +*I *41152:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8783:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[234] O *D mprj_logic_high +*CAP +1 *41152:A 8.18072e-05 +2 *8783:DIODE 2.56688e-05 +3 *38890:HI[234] 0.00178811 +4 *2035:41 0.000594239 +5 *2035:40 0.0019985 +6 *2035:28 0.00598495 +7 *2035:27 0.0048904 +8 *2035:15 0.0022053 +9 *8783:DIODE *2150:27 0 +10 *2035:15 *2088:46 0 +11 *2035:15 *2098:41 0 +12 *2035:15 *2106:15 0 +13 *2035:15 *3143:31 0 +14 *2035:15 *3243:65 0 +15 *2035:15 *4063:16 0 +16 *2035:15 *4116:15 0 +17 *2035:15 *4283:10 0 +18 *2035:27 *39111:A 0 +19 *2035:27 *2088:45 0 +20 *2035:27 *2135:30 0 +21 *2035:27 *2139:27 0 +22 *2035:27 *3705:11 0 +23 *2035:28 *2082:31 0 +24 *2035:28 *2135:28 0 +25 *2035:28 *2139:16 0 +26 *2035:28 *2139:27 0 +27 *2035:28 *3139:37 0 +28 *2035:28 *4474:26 0 +29 *2035:28 *4846:11 0 +30 *2035:40 *2082:12 0 +31 *2035:40 *3058:5 0 +32 *2035:40 *4473:25 0 +33 *2035:40 *4839:13 0 +34 *2035:41 *3336:30 0 +35 *1966:14 *2035:15 0 +36 *1981:15 *2035:15 0 +37 *2013:9 *2035:41 0 +38 *2027:16 *2035:28 0 +39 *2029:16 *2035:15 0 +40 *2032:13 *2035:15 0 +41 *2033:47 *2035:40 0 +*RES +1 *38890:HI[234] *2035:15 48.7321 +2 *2035:15 *2035:27 22.4107 +3 *2035:27 *2035:28 93.3571 +4 *2035:28 *2035:40 45.1964 +5 *2035:40 *2035:41 11.0536 +6 *2035:41 *8783:DIODE 14.3357 +7 *2035:41 *41152:A 15.675 +*END + +*D_NET *2036 0.0162243 +*CONN +*I *41151:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8782:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[235] O *D mprj_logic_high +*CAP +1 *41151:A 6.20329e-05 +2 *8782:DIODE 8.4707e-05 +3 *38890:HI[235] 0.00796539 +4 *2036:17 0.00811213 +5 *8782:DIODE *4162:11 0 +6 *41151:A *4162:11 0 +7 *2036:17 *2046:25 0 +8 *2036:17 *2060:15 0 +9 *2036:17 *2127:9 0 +10 *2036:17 *2166:16 0 +11 *2036:17 *2177:14 0 +12 *2036:17 *2181:16 0 +13 *2036:17 *2850:39 0 +14 *2036:17 *3242:36 0 +15 *2036:17 *3857:46 0 +16 *2036:17 *4152:33 0 +17 *2036:17 *4186:12 0 +18 *1517:14 *2036:17 0 +19 *1524:35 *2036:17 0 +20 *1533:57 *8782:DIODE 0 +21 *1533:57 *41151:A 0 +22 *1533:71 *2036:17 0 +23 *1805:38 *2036:17 0 +24 *1812:23 *2036:17 0 +25 *2003:7 *2036:17 0 +*RES +1 *38890:HI[235] *2036:17 47.8795 +2 *2036:17 *8782:DIODE 11.0679 +3 *2036:17 *41151:A 10.6571 +*END + +*D_NET *2037 0.00615574 +*CONN +*I *41150:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[236] O *D mprj_logic_high +*CAP +1 *41150:A 0.000162783 +2 *38890:HI[236] 0.00111872 +3 *2037:22 0.00195915 +4 *2037:13 0.00291509 +5 *41150:A *3132:23 0 +6 *41150:A *4189:22 0 +7 *2037:13 *38236:A 0 +8 *2037:13 *2091:19 0 +9 *2037:13 *2128:12 0 +10 *2037:13 *2181:16 0 +11 *2037:13 *3325:10 0 +12 *2037:13 *4296:14 0 +13 *2037:13 *4462:55 0 +14 *2037:22 *41094:A 0 +15 *2037:22 *2045:17 0 +16 *2037:22 *2104:28 0 +17 *2037:22 *3132:23 0 +18 *2037:22 *3902:48 0 +19 *2037:22 *4047:14 0 +20 *2037:22 *4189:22 0 +21 *8804:DIODE *2037:22 0 +22 *37778:A *2037:13 0 +23 *1517:14 *2037:13 0 +24 *1998:51 *2037:13 0 +*RES +1 *38890:HI[236] *2037:13 38.4286 +2 *2037:13 *2037:22 47.0893 +3 *2037:22 *41150:A 12.7286 +*END + +*D_NET *2038 0.0138733 +*CONN +*I *6304:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38208:B I *D sky130_fd_sc_hd__and2_1 +*I *38890:HI[237] O *D mprj_logic_high +*CAP +1 *6304:DIODE 0.000305536 +2 *38208:B 2.56688e-05 +3 *38890:HI[237] 6.28043e-05 +4 *2038:13 0.000767237 +5 *2038:8 0.00654263 +6 *2038:7 0.00616941 +7 *6304:DIODE *3137:11 0 +8 *6304:DIODE *3373:31 0 +9 *6304:DIODE *4148:5 0 +10 *6304:DIODE *4189:22 0 +11 *38208:B *3137:11 0 +12 *38208:B *3373:31 0 +13 *2038:8 *2043:8 0 +14 *2038:8 *2060:15 0 +15 *2038:8 *2067:8 0 +16 *2038:8 *2095:8 0 +17 *2038:8 *2112:10 0 +18 *2038:8 *2151:19 0 +19 *2038:8 *3876:23 0 +20 *2038:8 *4176:15 0 +21 *2038:8 *4176:19 0 +22 *2038:8 *4239:17 0 +23 *2038:13 *2088:17 0 +24 *2038:13 *2140:27 0 +25 *2038:13 *3374:104 0 +26 *1503:19 *2038:13 0 +27 *1961:19 *2038:13 0 +28 *1970:11 *2038:8 0 +29 *1977:12 *2038:8 0 +*RES +1 *38890:HI[237] *2038:7 5.92857 +2 *2038:7 *2038:8 127.446 +3 *2038:8 *2038:13 18.8393 +4 *2038:13 *38208:B 9.83571 +5 *2038:13 *6304:DIODE 24.9964 +*END + +*D_NET *2039 0.0190583 +*CONN +*I *8781:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41149:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[238] O *D mprj_logic_high +*CAP +1 *8781:DIODE 0.000293693 +2 *41149:A 4.53482e-05 +3 *38890:HI[238] 0.00226672 +4 *2039:31 0.000571814 +5 *2039:26 0.00214278 +6 *2039:20 0.00667841 +7 *2039:19 0.00478059 +8 *2039:14 0.00227892 +9 *8781:DIODE *2108:46 0 +10 *8781:DIODE *2120:21 0 +11 *8781:DIODE *2132:21 0 +12 *8781:DIODE *3214:13 0 +13 *41149:A *2132:21 0 +14 *2039:14 *2092:21 0 +15 *2039:14 *4056:17 0 +16 *2039:14 *4239:17 0 +17 *2039:14 *4273:29 0 +18 *2039:14 *4307:11 0 +19 *2039:20 *2076:17 0 +20 *2039:20 *2092:8 0 +21 *2039:26 *2091:19 0 +22 *2039:31 *2120:21 0 +23 *2039:31 *2151:19 0 +24 *1961:25 *8781:DIODE 0 +25 *1965:8 *2039:20 0 +26 *1973:13 *8781:DIODE 0 +27 *1988:7 *2039:26 0 +28 *1989:8 *2039:26 0 +29 *2001:8 *2039:26 0 +30 *2002:8 *2039:26 0 +31 *2017:14 *2039:26 0 +32 *2026:8 *2039:20 0 +*RES +1 *38890:HI[238] *2039:14 48.0412 +2 *2039:14 *2039:19 8.18679 +3 *2039:19 *2039:20 99.5179 +4 *2039:20 *2039:26 49.1429 +5 *2039:26 *2039:31 14.2857 +6 *2039:31 *41149:A 10.2464 +7 *2039:31 *8781:DIODE 24.8714 +*END + +*D_NET *2040 0.0221017 +*CONN +*I *38212:B I *D sky130_fd_sc_hd__and2_1 +*I *6309:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[239] O *D mprj_logic_high +*CAP +1 *38212:B 0.000243243 +2 *6309:DIODE 0 +3 *38890:HI[239] 0.00210292 +4 *2040:57 0.000243243 +5 *2040:55 0.0017792 +6 *2040:48 0.00276252 +7 *2040:28 0.00323063 +8 *2040:25 0.0027481 +9 *2040:14 0.00369485 +10 *2040:13 0.00319405 +11 *2040:11 0.00210292 +12 *38212:B *38212:A 0 +13 *38212:B *3939:93 0 +14 *38212:B *4305:55 0 +15 *2040:11 *2065:50 0 +16 *2040:11 *2092:25 0 +17 *2040:11 *2112:30 0 +18 *2040:11 *3794:16 0 +19 *2040:11 *3805:12 0 +20 *2040:11 *3807:17 0 +21 *2040:11 *3838:11 0 +22 *2040:11 *3998:19 0 +23 *2040:11 *4125:15 0 +24 *2040:11 *4863:14 0 +25 *2040:14 *2069:10 0 +26 *2040:14 *2129:14 0 +27 *2040:25 *39129:A 0 +28 *2040:25 *2072:34 0 +29 *2040:25 *2483:19 0 +30 *2040:25 *4495:40 0 +31 *2040:25 *4872:19 0 +32 *2040:28 *2051:22 0 +33 *2040:28 *2069:19 0 +34 *2040:28 *2080:26 0 +35 *2040:28 *2087:35 0 +36 *2040:28 *3971:12 0 +37 *2040:48 *2390:49 0 +38 *2040:48 *2418:28 0 +39 *2040:48 *2424:50 0 +40 *2040:48 *3444:17 0 +41 *2040:48 *3444:27 0 +42 *2040:48 *3971:12 0 +43 *2040:55 *5444:DIODE 0 +44 *2040:55 *2560:21 0 +45 *2040:55 *3813:54 0 +46 *2040:55 *4501:56 0 +47 *2040:55 *4506:29 0 +48 *618:5 *2040:11 0 +49 *874:12 *2040:11 0 +50 *1223:14 *2040:55 0 +51 *1967:37 *2040:11 0 +52 *1982:20 *2040:28 0 +53 *1982:31 *2040:28 0 +54 *2008:28 *2040:28 0 +55 *2008:28 *2040:48 0 +56 *2008:45 *2040:48 0 +*RES +1 *38890:HI[239] *2040:11 47.8214 +2 *2040:11 *2040:13 4.5 +3 *2040:13 *2040:14 66.6607 +4 *2040:14 *2040:25 28.9643 +5 *2040:25 *2040:28 46.9643 +6 *2040:28 *2040:48 43.5714 +7 *2040:48 *2040:55 49.1786 +8 *2040:55 *2040:57 4.5 +9 *2040:57 *6309:DIODE 9.3 +10 *2040:57 *38212:B 23.6571 +*END + +*D_NET *2041 0.00210495 +*CONN +*I *37780:A I *D sky130_fd_sc_hd__and2_1 +*I *38890:HI[23] O *D mprj_logic_high +*CAP +1 *37780:A 0.00105248 +2 *38890:HI[23] 0.00105248 +3 *37780:A *37780:B 0 +4 *37780:A *40275:A 0 +5 *37780:A *2050:17 0 +6 *37780:A *2132:28 0 +7 *37780:A *3147:63 0 +8 *37780:A *3373:76 0 +9 *37780:A *4105:10 0 +10 *37780:A *4122:40 0 +11 *37780:A *4283:10 0 +*RES +1 *38890:HI[23] *37780:A 36.7107 +*END + +*D_NET *2042 0.0168184 +*CONN +*I *38214:B I *D sky130_fd_sc_hd__and2_1 +*I *6312:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[240] O *D mprj_logic_high +*CAP +1 *38214:B 0.000428503 +2 *6312:DIODE 0 +3 *38890:HI[240] 0.000891412 +4 *2042:17 0.000428503 +5 *2042:15 0.00207716 +6 *2042:8 0.0070893 +7 *2042:7 0.00590355 +8 *38214:B *2166:39 0 +9 *38214:B *3898:39 0 +10 *2042:7 *40952:A 0 +11 *2042:7 *2048:9 0 +12 *2042:7 *2127:9 0 +13 *2042:7 *2131:21 0 +14 *2042:15 *3331:60 0 +15 *2042:15 *4466:16 0 +16 *41244:A *2042:7 0 +17 *1395:32 *2042:15 0 +18 *1506:13 *38214:B 0 +19 *1899:38 *38214:B 0 +20 *1976:31 *2042:7 0 +21 *2000:14 *2042:8 0 +22 *2000:18 *2042:8 0 +23 *2000:20 *2042:8 0 +24 *2000:20 *2042:15 0 +25 *2003:17 *2042:15 0 +26 *2029:32 *2042:8 0 +*RES +1 *38890:HI[240] *2042:7 24.75 +2 *2042:7 *2042:8 104.652 +3 *2042:8 *2042:15 49.0982 +4 *2042:15 *2042:17 4.5 +5 *2042:17 *6312:DIODE 9.3 +6 *2042:17 *38214:B 28.0143 +*END + +*D_NET *2043 0.0170917 +*CONN +*I *6314:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38216:B I *D sky130_fd_sc_hd__and2_1 +*I *38890:HI[241] O *D mprj_logic_high +*CAP +1 *6314:DIODE 0.000104386 +2 *38216:B 0.000255022 +3 *38890:HI[241] 4.95119e-05 +4 *2043:43 0.000543997 +5 *2043:40 0.00285125 +6 *2043:27 0.00507318 +7 *2043:8 0.0052857 +8 *2043:7 0.00292869 +9 *6314:DIODE *3374:31 0 +10 *2043:7 *2120:21 0 +11 *2043:8 *41002:A 0 +12 *2043:8 *2067:8 0 +13 *2043:8 *2067:20 0 +14 *2043:8 *2165:28 0 +15 *2043:8 *3876:23 0 +16 *2043:8 *3876:25 0 +17 *2043:8 *4176:32 0 +18 *2043:8 *4212:17 0 +19 *2043:27 *2061:21 0 +20 *2043:27 *2063:22 0 +21 *2043:27 *2067:26 0 +22 *2043:27 *2074:30 0 +23 *2043:27 *2210:25 0 +24 *2043:27 *3170:15 0 +25 *2043:27 *3268:29 0 +26 *2043:27 *3660:43 0 +27 *2043:27 *3921:41 0 +28 *2043:27 *4209:19 0 +29 *2043:27 *4410:19 0 +30 *2043:40 *2165:29 0 +31 *2043:40 *3213:18 0 +32 *2043:40 *3384:48 0 +33 *2043:40 *3890:50 0 +34 *2043:40 *4132:28 0 +35 *2043:40 *4137:15 0 +36 *2043:40 *4395:53 0 +37 *2043:43 *37956:A_N 0 +38 *2043:43 *4137:44 0 +39 *1376:23 *2043:40 0 +40 *1796:20 *38216:B 0 +41 *1796:39 *2043:43 0 +42 *1803:8 *2043:27 0 +43 *1901:69 *6314:DIODE 0 +44 *1956:27 *2043:7 0 +45 *2038:8 *2043:8 0 +*RES +1 *38890:HI[241] *2043:7 5.625 +2 *2043:7 *2043:8 60.0893 +3 *2043:8 *2043:27 40.7143 +4 *2043:27 *2043:40 47.4911 +5 *2043:40 *2043:43 7.63321 +6 *2043:43 *38216:B 19.6214 +7 *2043:43 *6314:DIODE 15.9786 +*END + +*D_NET *2044 0.0125844 +*CONN +*I *6316:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38218:B I *D sky130_fd_sc_hd__and2_1 +*I *38890:HI[242] O *D mprj_logic_high +*CAP +1 *6316:DIODE 0.000429995 +2 *38218:B 6.80223e-05 +3 *38890:HI[242] 0.00579419 +4 *2044:18 0.00629221 +5 *6316:DIODE *2174:25 0 +6 *6316:DIODE *3587:22 0 +7 *38218:B *3828:52 0 +8 *2044:18 *37602:B 0 +9 *2044:18 *38014:C 0 +10 *2044:18 *2228:22 0 +11 *2044:18 *2229:18 0 +12 *2044:18 *2323:21 0 +13 *2044:18 *2859:24 0 +14 *2044:18 *3587:22 0 +15 *2044:18 *3615:19 0 +16 *2044:18 *3630:14 0 +17 *2044:18 *3636:19 0 +18 *2044:18 *3861:10 0 +19 *2044:18 *3985:23 0 +20 *2044:18 *4063:16 0 +21 *39894:A *38218:B 0 +22 *1288:28 *2044:18 0 +23 *1289:20 *2044:18 0 +24 *1508:18 *6316:DIODE 0 +25 *1815:19 *2044:18 0 +*RES +1 *38890:HI[242] *2044:18 40.4175 +2 *2044:18 *38218:B 15.2196 +3 *2044:18 *6316:DIODE 23.6929 +*END + +*D_NET *2045 0.0176055 +*CONN +*I *41148:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8780:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[243] O *D mprj_logic_high +*CAP +1 *41148:A 0.000173994 +2 *8780:DIODE 2.56688e-05 +3 *38890:HI[243] 0.00114699 +4 *2045:47 0.000230455 +5 *2045:45 0.00251597 +6 *2045:24 0.00588438 +7 *2045:23 0.00494011 +8 *2045:17 0.00268791 +9 *41148:A *2741:15 0 +10 *41148:A *4392:26 0 +11 *2045:17 *2120:21 0 +12 *2045:17 *2149:19 0 +13 *2045:23 *2082:12 0 +14 *2045:24 *3329:9 0 +15 *2045:24 *4145:9 0 +16 *2045:45 *37958:C 0 +17 *2045:45 *3213:18 0 +18 *2045:45 *3329:9 0 +19 *2045:45 *3572:38 0 +20 *2045:45 *3605:36 0 +21 *2045:45 *4152:33 0 +22 *2045:45 *4392:26 0 +23 *2045:45 *4395:53 0 +24 *2045:45 *4456:24 0 +25 *2045:45 *4831:15 0 +26 *2045:45 *5048:82 0 +27 *2045:45 *5198:33 0 +28 *8804:DIODE *2045:17 0 +29 *41177:A *41148:A 0 +30 *1961:25 *2045:17 0 +31 *1983:22 *2045:17 0 +32 *1998:29 *2045:17 0 +33 *2000:20 *2045:24 0 +34 *2000:20 *2045:45 0 +35 *2000:32 *2045:45 0 +36 *2003:8 *2045:23 0 +37 *2017:19 *2045:17 0 +38 *2037:22 *2045:17 0 +*RES +1 *38890:HI[243] *2045:17 30.6786 +2 *2045:17 *2045:23 41.2679 +3 *2045:23 *2045:24 70.9732 +4 *2045:24 *2045:45 49.8511 +5 *2045:45 *2045:47 0.732143 +6 *2045:47 *8780:DIODE 14.3357 +7 *2045:47 *41148:A 17.6214 +*END + +*D_NET *2046 0.0111711 +*CONN +*I *6322:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38222:B I *D sky130_fd_sc_hd__and2_1 +*I *38890:HI[244] O *D mprj_logic_high +*CAP +1 *6322:DIODE 0.000281618 +2 *38222:B 0.000143745 +3 *38890:HI[244] 0.00490203 +4 *2046:27 0.000683541 +5 *2046:25 0.0051602 +6 *6322:DIODE *2852:19 0 +7 *38222:B *3577:34 0 +8 *38222:B *3602:42 0 +9 *2046:25 *2164:22 0 +10 *2046:25 *2166:16 0 +11 *2046:25 *2181:16 0 +12 *2046:25 *2202:23 0 +13 *2046:25 *2850:39 0 +14 *2046:25 *2866:23 0 +15 *2046:25 *3555:19 0 +16 *2046:25 *3636:38 0 +17 *2046:25 *3646:30 0 +18 *2046:25 *3856:20 0 +19 *2046:25 *3898:15 0 +20 *2046:27 *3890:24 0 +21 *1517:14 *2046:25 0 +22 *1533:74 *2046:25 0 +23 *1681:49 *6322:DIODE 0 +24 *1812:23 *2046:25 0 +25 *1909:47 *2046:25 0 +26 *1909:47 *2046:27 0 +27 *1937:19 *2046:27 0 +28 *1952:33 *2046:25 0 +29 *1988:7 *2046:25 0 +30 *1994:18 *2046:25 0 +31 *2036:17 *2046:25 0 +*RES +1 *38890:HI[244] *2046:25 47.5212 +2 *2046:25 *2046:27 5.89286 +3 *2046:27 *38222:B 16.8 +4 *2046:27 *6322:DIODE 20.1393 +*END + +*D_NET *2047 0.0172374 +*CONN +*I *38224:B I *D sky130_fd_sc_hd__and2_1 +*I *6324:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[245] O *D mprj_logic_high +*CAP +1 *38224:B 0 +2 *6324:DIODE 0.000453469 +3 *38890:HI[245] 5.1085e-05 +4 *2047:16 0.00128023 +5 *2047:10 0.00811413 +6 *2047:9 0.00733845 +7 *6324:DIODE *8950:DIODE 0 +8 *6324:DIODE *2270:60 0 +9 *6324:DIODE *3123:31 0 +10 *2047:10 *2113:22 0 +11 *2047:10 *4039:41 0 +12 *2047:10 *4105:11 0 +13 *2047:10 *4105:15 0 +14 *2047:10 *4308:15 0 +15 *2047:10 *4409:9 0 +16 *2047:16 *2270:60 0 +17 *2047:16 *2295:28 0 +18 *2047:16 *3123:39 0 +19 *2047:16 *3354:12 0 +20 *2047:16 *3961:30 0 +21 *2047:16 *4110:28 0 +22 *2047:16 *4128:22 0 +*RES +1 *38890:HI[245] *2047:9 5.66071 +2 *2047:9 *2047:10 152.089 +3 *2047:10 *2047:16 27.3393 +4 *2047:16 *6324:DIODE 28.3536 +5 *2047:16 *38224:B 9.3 +*END + +*D_NET *2048 0.0266052 +*CONN +*I *6326:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38226:B I *D sky130_fd_sc_hd__and2_1 +*I *38890:HI[246] O *D mprj_logic_high +*CAP +1 *6326:DIODE 0 +2 *38226:B 0.000143745 +3 *38890:HI[246] 0.00190727 +4 *2048:20 0.000807052 +5 *2048:15 0.00233084 +6 *2048:10 0.0105883 +7 *2048:9 0.010828 +8 *38226:B *38226:A 0 +9 *38226:B *2741:15 0 +10 *2048:9 *40339:A 0 +11 *2048:9 *40952:A 0 +12 *2048:9 *2131:21 0 +13 *2048:9 *2135:19 0 +14 *2048:9 *2139:16 0 +15 *2048:9 *3206:64 0 +16 *2048:9 *3366:26 0 +17 *2048:9 *3902:10 0 +18 *2048:9 *4474:26 0 +19 *2048:9 *5126:10 0 +20 *2048:10 *2071:14 0 +21 *2048:10 *3146:9 0 +22 *2048:10 *4477:14 0 +23 *2048:10 *4836:11 0 +24 *2048:15 *39086:A 0 +25 *2048:15 *3908:40 0 +26 *2048:15 *4139:26 0 +27 *2048:15 *4457:6 0 +28 *2048:15 *4871:10 0 +29 *2048:15 *4882:8 0 +30 *2048:20 *2741:15 0 +31 *2048:20 *4132:28 0 +32 *2048:20 *4871:10 0 +33 *2048:20 *4926:26 0 +34 *6684:DIODE *2048:9 0 +35 *6802:DIODE *2048:15 0 +36 *7004:DIODE *2048:9 0 +37 *41244:A *2048:9 0 +38 *301:40 *2048:10 0 +39 *455:7 *2048:15 0 +40 *476:5 *2048:9 0 +41 *583:8 *2048:15 0 +42 *604:5 *2048:9 0 +43 *839:5 *2048:15 0 +44 *1398:37 *38226:B 0 +45 *1398:37 *2048:20 0 +46 *1976:31 *2048:9 0 +47 *1998:51 *2048:9 0 +48 *2012:18 *2048:10 0 +49 *2033:27 *2048:9 0 +50 *2042:7 *2048:9 0 +*RES +1 *38890:HI[246] *2048:9 47.75 +2 *2048:9 *2048:10 186.179 +3 *2048:10 *2048:15 42.4018 +4 *2048:15 *2048:20 19.4732 +5 *2048:20 *38226:B 12.3 +6 *2048:20 *6326:DIODE 9.3 +*END + +*D_NET *2049 0.0258331 +*CONN +*I *6329:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38228:B I *D sky130_fd_sc_hd__and2_1 +*I *38890:HI[247] O *D mprj_logic_high +*CAP +1 *6329:DIODE 0 +2 *38228:B 0.000242206 +3 *38890:HI[247] 0.00190548 +4 *2049:28 0.0007303 +5 *2049:23 0.00210394 +6 *2049:14 0.0102808 +7 *2049:13 0.0105704 +8 *38228:B *38228:A 0 +9 *38228:B *4183:21 0 +10 *2049:13 *41107:A 0 +11 *2049:13 *41143:A 0 +12 *2049:13 *2060:24 0 +13 *2049:13 *2068:7 0 +14 *2049:13 *3139:37 0 +15 *2049:13 *3902:39 0 +16 *2049:14 *3057:9 0 +17 *2049:14 *3346:9 0 +18 *2049:14 *4476:13 0 +19 *2049:23 *3393:14 0 +20 *2049:23 *3426:20 0 +21 *2049:23 *4760:28 0 +22 *2049:23 *4834:15 0 +23 *2049:23 *4904:27 0 +24 *2049:23 *4959:44 0 +25 *2049:28 *3859:18 0 +26 *2049:28 *4214:40 0 +27 la_data_in_mprj[59] *2049:13 0 +28 *6818:DIODE *2049:13 0 +29 *6819:DIODE *2049:13 0 +30 *41196:A *2049:13 0 +31 *470:7 *2049:13 0 +32 *578:8 *2049:23 0 +33 *834:13 *2049:23 0 +34 *853:5 *2049:13 0 +35 *1395:20 *2049:23 0 +36 *1801:22 *2049:23 0 +37 *1801:22 *2049:28 0 +38 *1807:34 *2049:13 0 +39 *1811:30 *2049:13 0 +40 *1962:21 *2049:13 0 +41 *1977:12 *2049:13 0 +42 *1985:10 *2049:14 0 +43 *1998:29 *2049:13 0 +44 *2004:65 *2049:28 0 +45 *2010:25 *2049:13 0 +46 *2012:18 *2049:14 0 +47 *2013:10 *2049:14 0 +*RES +1 *38890:HI[247] *2049:13 47.7143 +2 *2049:13 *2049:14 180.839 +3 *2049:14 *2049:23 41.2946 +4 *2049:23 *2049:28 15.4554 +5 *2049:28 *38228:B 23.6393 +6 *2049:28 *6329:DIODE 9.3 +*END + +*D_NET *2050 0.0119825 +*CONN +*I *41147:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8779:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[248] O *D mprj_logic_high +*CAP +1 *41147:A 0.000184345 +2 *8779:DIODE 0.00019264 +3 *38890:HI[248] 0.00121016 +4 *2050:62 0.000610998 +5 *2050:55 0.00212045 +6 *2050:30 0.00417011 +7 *2050:17 0.00349382 +8 *8779:DIODE *8640:DIODE 0 +9 *8779:DIODE *41060:A 0 +10 *8779:DIODE *4306:35 0 +11 *41147:A *41060:A 0 +12 *41147:A *41080:A 0 +13 *41147:A *4083:11 0 +14 *41147:A *4135:21 0 +15 *2050:17 *2053:7 0 +16 *2050:17 *2081:7 0 +17 *2050:17 *2155:29 0 +18 *2050:17 *3243:51 0 +19 *2050:17 *3365:10 0 +20 *2050:17 *4176:11 0 +21 *2050:30 *8150:DIODE 0 +22 *2050:30 *38240:B 0 +23 *2050:30 *40974:A 0 +24 *2050:30 *41063:A 0 +25 *2050:30 *41141:A 0 +26 *2050:30 *3147:55 0 +27 *2050:30 *3223:23 0 +28 *2050:30 *3228:97 0 +29 *2050:30 *3989:14 0 +30 *2050:30 *4086:20 0 +31 *2050:30 *4273:13 0 +32 *2050:30 *4308:14 0 +33 *2050:55 *2070:56 0 +34 *2050:55 *2116:19 0 +35 *2050:55 *2143:23 0 +36 *2050:55 *3153:72 0 +37 *2050:55 *3233:29 0 +38 *2050:55 *3358:23 0 +39 *2050:55 *3814:17 0 +40 *2050:55 *4047:58 0 +41 *2050:55 *4243:21 0 +42 *2050:55 *4265:36 0 +43 *2050:55 *4287:49 0 +44 *2050:62 *40982:A 0 +45 *2050:62 *3992:14 0 +46 *2050:62 *4076:63 0 +47 *2050:62 *4284:21 0 +48 *2050:62 *4303:43 0 +49 *37780:A *2050:17 0 +50 *41184:A *2050:30 0 +51 *41271:A *2050:30 0 +52 *41296:A *2050:30 0 +53 *41303:A *2050:30 0 +54 *1818:31 *2050:17 0 +55 *1964:20 *2050:30 0 +56 *1995:21 *2050:55 0 +57 *1996:13 *2050:17 0 +58 *1996:41 *2050:55 0 +59 *2034:18 *2050:30 0 +*RES +1 *38890:HI[248] *2050:17 39.9821 +2 *2050:17 *2050:30 47.7857 +3 *2050:30 *2050:55 49.3826 +4 *2050:55 *2050:62 14.0089 +5 *2050:62 *8779:DIODE 18.175 +6 *2050:62 *41147:A 17.9384 +*END + +*D_NET *2051 0.0258411 +*CONN +*I *6335:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38232:B I *D sky130_fd_sc_hd__and2_2 +*I *38890:HI[249] O *D mprj_logic_high +*CAP +1 *6335:DIODE 0 +2 *38232:B 0.00017391 +3 *38890:HI[249] 0.00186879 +4 *2051:52 0.000278296 +5 *2051:49 0.00237173 +6 *2051:48 0.00380841 +7 *2051:33 0.00267502 +8 *2051:22 0.00580397 +9 *2051:21 0.00583111 +10 *2051:9 0.00302989 +11 *38232:B *4113:75 0 +12 *2051:9 *40454:A 0 +13 *2051:9 *2116:19 0 +14 *2051:9 *3140:98 0 +15 *2051:9 *3228:69 0 +16 *2051:9 *3358:23 0 +17 *2051:9 *4227:23 0 +18 *2051:9 *5148:20 0 +19 *2051:21 *2069:10 0 +20 *2051:21 *2129:14 0 +21 *2051:21 *2372:20 0 +22 *2051:21 *4494:9 0 +23 *2051:21 *4864:20 0 +24 *2051:22 *2072:34 0 +25 *2051:22 *2089:14 0 +26 *2051:22 *2089:16 0 +27 *2051:22 *2418:51 0 +28 *2051:22 *3207:95 0 +29 *2051:22 *3320:63 0 +30 *2051:22 *3949:16 0 +31 *2051:22 *3971:12 0 +32 *2051:33 *2089:16 0 +33 *2051:33 *2390:49 0 +34 *2051:33 *2407:13 0 +35 *2051:33 *3949:16 0 +36 *2051:33 *3971:12 0 +37 *2051:48 *2399:9 0 +38 *2051:48 *2401:13 0 +39 *2051:48 *2407:13 0 +40 *2051:48 *2418:28 0 +41 *2051:48 *2431:49 0 +42 *2051:49 *6095:DIODE 0 +43 *2051:49 *38078:A_N 0 +44 *2051:49 *3105:103 0 +45 *2051:49 *3187:50 0 +46 *2051:49 *3316:29 0 +47 *2051:49 *3511:72 0 +48 *2051:49 *4252:37 0 +49 *2051:49 *4516:8 0 +50 la_data_in_mprj[94] *2051:49 0 +51 *38562:A *2051:9 0 +52 *489:7 *2051:9 0 +53 *617:5 *2051:9 0 +54 *635:22 *2051:48 0 +55 *892:5 *2051:49 0 +56 *1222:14 *38232:B 0 +57 *1223:15 *2051:48 0 +58 *1224:42 *2051:52 0 +59 *1236:11 *2051:33 0 +60 *1236:11 *2051:48 0 +61 *1236:19 *2051:48 0 +62 *1967:16 *2051:9 0 +63 *1978:25 *2051:9 0 +64 *1981:16 *2051:21 0 +65 *1982:20 *2051:22 0 +66 *2008:45 *2051:33 0 +67 *2040:28 *2051:22 0 +*RES +1 *38890:HI[249] *2051:9 46.9464 +2 *2051:9 *2051:21 42.5714 +3 *2051:21 *2051:22 97.4643 +4 *2051:22 *2051:33 41.7857 +5 *2051:33 *2051:48 48.5526 +6 *2051:48 *2051:49 51.4286 +7 *2051:49 *2051:52 6.67857 +8 *2051:52 *38232:B 22.2643 +9 *2051:52 *6335:DIODE 9.3 +*END + +*D_NET *2052 0.0185629 +*CONN +*I *37782:A I *D sky130_fd_sc_hd__and2_1 +*I *5630:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[24] O *D mprj_logic_high +*CAP +1 *37782:A 0.000393967 +2 *5630:DIODE 1.21715e-05 +3 *38890:HI[24] 0.00885241 +4 *2052:26 0.000429044 +5 *2052:21 0.00887532 +6 *37782:A *8664:DIODE 0 +7 *37782:A *37782:B 0 +8 *37782:A *40438:A 0 +9 *37782:A *2139:67 0 +10 *37782:A *3255:27 0 +11 *37782:A *3952:40 0 +12 *37782:A *4032:37 0 +13 *37782:A *4121:27 0 +14 *2052:21 *2106:15 0 +15 *2052:21 *2228:22 0 +16 *2052:21 *2240:25 0 +17 *2052:21 *3569:21 0 +18 *2052:21 *3636:19 0 +19 *2052:21 *3792:37 0 +20 *2052:21 *3797:24 0 +21 *2052:21 *3943:17 0 +22 *2052:21 *3992:38 0 +23 *2052:21 *4063:22 0 +24 *2052:21 *4321:17 0 +25 *2052:21 *4321:26 0 +26 *2052:21 *4376:19 0 +27 *2052:21 *4376:49 0 +28 *2052:26 *4306:35 0 +29 *1290:25 *2052:21 0 +30 *1815:42 *2052:21 0 +31 *1817:20 *2052:21 0 +32 *1999:29 *2052:21 0 +*RES +1 *38890:HI[24] *2052:21 47.4036 +2 *2052:21 *2052:26 6.90303 +3 *2052:26 *5630:DIODE 14.0768 +4 *2052:26 *37782:A 22.7911 +*END + +*D_NET *2053 0.024812 +*CONN +*I *41146:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8778:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[250] O *D mprj_logic_high +*CAP +1 *41146:A 0 +2 *8778:DIODE 0.000789049 +3 *38890:HI[250] 0.00127887 +4 *2053:60 0.00189799 +5 *2053:54 0.00307863 +6 *2053:36 0.00441583 +7 *2053:22 0.00455783 +8 *2053:19 0.00373838 +9 *2053:13 0.00270161 +10 *2053:7 0.00235379 +11 *8778:DIODE *8854:DIODE 0 +12 *8778:DIODE *8984:DIODE 0 +13 *8778:DIODE *41128:A 0 +14 *8778:DIODE *2077:68 0 +15 *8778:DIODE *4006:23 0 +16 *8778:DIODE *4022:26 0 +17 *8778:DIODE *4113:37 0 +18 *8778:DIODE *4230:25 0 +19 *2053:7 *8089:DIODE 0 +20 *2053:7 *40332:A 0 +21 *2053:7 *40434:A 0 +22 *2053:7 *2056:17 0 +23 *2053:7 *3153:100 0 +24 *2053:7 *3216:32 0 +25 *2053:7 *3738:13 0 +26 *2053:7 *4176:11 0 +27 *2053:7 *4307:11 0 +28 *2053:7 *4479:46 0 +29 *2053:13 *2139:34 0 +30 *2053:19 *4489:15 0 +31 *2053:19 *4489:26 0 +32 *2053:22 *2118:28 0 +33 *2053:22 *2118:39 0 +34 *2053:22 *2135:50 0 +35 *2053:22 *2143:31 0 +36 *2053:22 *3140:97 0 +37 *2053:22 *4858:48 0 +38 *2053:36 *2106:23 0 +39 *2053:36 *2124:23 0 +40 *2053:36 *2461:20 0 +41 *2053:36 *3248:46 0 +42 *2053:36 *4864:12 0 +43 *2053:36 *5159:41 0 +44 *2053:54 *37632:B 0 +45 *2053:54 *40361:A 0 +46 *2053:54 *3248:46 0 +47 *2053:54 *3438:44 0 +48 *2053:54 *3893:22 0 +49 *2053:54 *4020:20 0 +50 *2053:54 *4062:19 0 +51 *2053:54 *4243:32 0 +52 *2053:54 *4246:27 0 +53 *2053:54 *4287:49 0 +54 *2053:54 *4306:62 0 +55 *2053:60 *2077:68 0 +56 *2053:60 *3365:30 0 +57 *2053:60 *4006:23 0 +58 *2053:60 *4128:22 0 +59 *2053:60 *4292:29 0 +60 *2053:60 *4409:15 0 +61 *6692:DIODE *2053:19 0 +62 *1967:58 *2053:54 0 +63 *1996:13 *2053:7 0 +64 *2050:17 *2053:7 0 +*RES +1 *38890:HI[250] *2053:7 33.5536 +2 *2053:7 *2053:13 31.5179 +3 *2053:13 *2053:19 43.1071 +4 *2053:19 *2053:22 48.5714 +5 *2053:22 *2053:36 44.8396 +6 *2053:36 *2053:54 46.6311 +7 *2053:54 *2053:60 33.0179 +8 *2053:60 *8778:DIODE 34.7643 +9 *2053:60 *41146:A 9.3 +*END + +*D_NET *2054 0.00404459 +*CONN +*I *38236:B I *D sky130_fd_sc_hd__and2_1 +*I *38890:HI[251] O *D mprj_logic_high +*CAP +1 *38236:B 0.000112415 +2 *38890:HI[251] 0.00190988 +3 *2054:20 0.0020223 +4 *38236:B *2107:19 0 +5 *2054:20 *2100:8 0 +6 *2054:20 *2135:19 0 +7 *2054:20 *2139:16 0 +8 *2054:20 *2145:58 0 +9 *2054:20 *2145:60 0 +10 *2054:20 *3246:9 0 +11 *1517:14 *38236:B 0 +12 *1971:20 *2054:20 0 +*RES +1 *38890:HI[251] *2054:20 49.875 +2 *2054:20 *38236:B 16.3536 +*END + +*D_NET *2055 0.0209873 +*CONN +*I *8777:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41145:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[252] O *D mprj_logic_high +*CAP +1 *8777:DIODE 0 +2 *41145:A 0.000143264 +3 *38890:HI[252] 0.00567631 +4 *2055:17 0.00196883 +5 *2055:14 0.00467409 +6 *2055:10 0.00852483 +7 *41145:A *38190:A 0 +8 *41145:A *3886:12 0 +9 *41145:A *4132:15 0 +10 *2055:10 *2088:30 0 +11 *2055:10 *2098:29 0 +12 *2055:10 *2145:58 0 +13 *2055:10 *2149:14 0 +14 *2055:10 *3172:29 0 +15 *2055:10 *3258:56 0 +16 *2055:10 *3332:16 0 +17 *2055:10 *3356:9 0 +18 *2055:10 *3368:15 0 +19 *2055:10 *3605:16 0 +20 *2055:10 *3671:14 0 +21 *2055:10 *4122:13 0 +22 *2055:10 *4410:31 0 +23 *2055:10 *4479:10 0 +24 *2055:14 *3064:17 0 +25 *2055:14 *3331:50 0 +26 *2055:14 *3356:9 0 +27 *2055:14 *3368:15 0 +28 *2055:14 *3538:13 0 +29 *2055:14 *4462:48 0 +30 *2055:14 *5070:39 0 +31 *2055:17 *3379:18 0 +32 *2055:17 *3451:20 0 +33 *6663:DIODE *2055:17 0 +34 *1524:24 *2055:17 0 +35 *1803:8 *2055:17 0 +36 *1889:34 *41145:A 0 +37 *2000:11 *2055:10 0 +38 *2006:25 *2055:10 0 +39 *2012:17 *2055:10 0 +*RES +1 *38890:HI[252] *2055:10 49.5605 +2 *2055:10 *2055:14 13.7265 +3 *2055:14 *2055:17 46.0625 +4 *2055:17 *41145:A 21.5679 +5 *2055:17 *8777:DIODE 9.3 +*END + +*D_NET *2056 0.00438261 +*CONN +*I *38240:B I *D sky130_fd_sc_hd__and2_1 +*I *38890:HI[253] O *D mprj_logic_high +*CAP +1 *38240:B 0.000744395 +2 *38890:HI[253] 0.00144691 +3 *2056:17 0.00219131 +4 *38240:B *3223:23 0 +5 *2056:17 *2062:15 0 +6 *2056:17 *2089:7 0 +7 *2056:17 *3227:8 0 +8 *2056:17 *3243:51 0 +9 *2056:17 *3372:24 0 +10 *2056:17 *4086:20 0 +11 *2056:17 *4105:10 0 +12 *2056:17 *4307:11 0 +13 *1988:12 *2056:17 0 +14 *2001:8 *2056:17 0 +15 *2034:18 *38240:B 0 +16 *2050:30 *38240:B 0 +17 *2053:7 *2056:17 0 +*RES +1 *38890:HI[253] *2056:17 49.3214 +2 *2056:17 *38240:B 29.6571 +*END + +*D_NET *2057 0.0134976 +*CONN +*I *41144:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8776:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[254] O *D mprj_logic_high +*CAP +1 *41144:A 0 +2 *8776:DIODE 0.000143745 +3 *38890:HI[254] 0.0012328 +4 *2057:58 0.00060271 +5 *2057:49 0.00322123 +6 *2057:18 0.00491331 +7 *2057:15 0.00338384 +8 *8776:DIODE *2741:15 0 +9 *8776:DIODE *4206:18 0 +10 *2057:15 *40942:A 0 +11 *2057:15 *41143:A 0 +12 *2057:15 *2063:22 0 +13 *2057:15 *2126:13 0 +14 *2057:15 *2136:17 0 +15 *2057:15 *3227:14 0 +16 *2057:18 *2090:8 0 +17 *2057:18 *2145:60 0 +18 *2057:49 *2063:22 0 +19 *2057:49 *2068:24 0 +20 *2057:49 *2166:39 0 +21 *2057:49 *3213:18 0 +22 *2057:49 *3331:93 0 +23 *2057:49 *3374:76 0 +24 *2057:49 *3873:32 0 +25 *2057:49 *3890:50 0 +26 *2057:49 *3898:15 0 +27 *2057:49 *4186:12 0 +28 *2057:58 *2741:15 0 +29 *2057:58 *3857:46 0 +30 *2057:58 *4458:11 0 +31 *5906:DIODE *2057:49 0 +32 *5906:DIODE *2057:58 0 +33 *1398:37 *8776:DIODE 0 +34 *1812:23 *2057:15 0 +35 *1893:26 *2057:58 0 +36 *1901:35 *2057:49 0 +*RES +1 *38890:HI[254] *2057:15 23.5003 +2 *2057:15 *2057:18 49.3929 +3 *2057:18 *2057:49 46.0464 +4 *2057:49 *2057:58 14.6339 +5 *2057:58 *8776:DIODE 12.3 +6 *2057:58 *41144:A 9.3 +*END + +*D_NET *2058 0.00316986 +*CONN +*I *41143:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[255] O *D mprj_logic_high +*CAP +1 *41143:A 0.00158493 +2 *38890:HI[255] 0.00158493 +3 *41143:A *8182:DIODE 0 +4 *41143:A *40380:A 0 +5 *41143:A *2122:13 0 +6 *41143:A *2850:39 0 +7 *41143:A *3876:23 0 +8 *1530:32 *41143:A 0 +9 *1533:71 *41143:A 0 +10 *1907:16 *41143:A 0 +11 *1959:9 *41143:A 0 +12 *2024:31 *41143:A 0 +13 *2031:28 *41143:A 0 +14 *2049:13 *41143:A 0 +15 *2057:15 *41143:A 0 +*RES +1 *38890:HI[255] *41143:A 41.6205 +*END + +*D_NET *2059 0.0190654 +*CONN +*I *6354:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38246:B I *D sky130_fd_sc_hd__and2_1 +*I *38890:HI[256] O *D mprj_logic_high +*CAP +1 *6354:DIODE 0 +2 *38246:B 0.000491974 +3 *38890:HI[256] 0.00681971 +4 *2059:53 0.00271299 +5 *2059:39 0.00904072 +6 *38246:B *2227:83 0 +7 *38246:B *2325:32 0 +8 *38246:B *3741:22 0 +9 *38246:B *4332:25 0 +10 *2059:39 *2226:33 0 +11 *2059:39 *2228:22 0 +12 *2059:39 *2235:25 0 +13 *2059:39 *2267:57 0 +14 *2059:39 *2278:16 0 +15 *2059:39 *2292:50 0 +16 *2059:39 *2304:32 0 +17 *2059:39 *2732:67 0 +18 *2059:39 *2846:8 0 +19 *2059:39 *2850:39 0 +20 *2059:39 *3787:22 0 +21 *2059:39 *3797:24 0 +22 *2059:39 *3808:19 0 +23 *2059:39 *3985:23 0 +24 *2059:39 *4064:24 0 +25 *2059:39 *4231:26 0 +26 *2059:39 *4342:38 0 +27 *2059:39 *4373:16 0 +28 *2059:53 *2250:55 0 +29 *2059:53 *2267:57 0 +30 *2059:53 *2336:25 0 +31 *2059:53 *2941:47 0 +32 *2059:53 *3083:60 0 +33 *2059:53 *3553:27 0 +34 *2059:53 *3659:14 0 +35 *2059:53 *3751:23 0 +36 *2059:53 *4067:17 0 +37 *2059:53 *4341:22 0 +38 *1511:10 *38246:B 0 +*RES +1 *38890:HI[256] *2059:39 48.1368 +2 *2059:39 *2059:53 29.2776 +3 *2059:53 *38246:B 28.8625 +4 *2059:53 *6354:DIODE 9.3 +*END + +*D_NET *2060 0.00828179 +*CONN +*I *8775:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41142:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[257] O *D mprj_logic_high +*CAP +1 *8775:DIODE 0.000171099 +2 *41142:A 9.42639e-05 +3 *38890:HI[257] 0.000681966 +4 *2060:24 0.00122917 +5 *2060:16 0.00319357 +6 *2060:15 0.00291173 +7 *8775:DIODE *2120:21 0 +8 *41142:A *2120:21 0 +9 *41142:A *2151:29 0 +10 *2060:15 *2112:10 0 +11 *2060:15 *2114:10 0 +12 *2060:15 *2127:9 0 +13 *2060:15 *2139:16 0 +14 *2060:16 *2076:8 0 +15 *2060:16 *2083:17 0 +16 *2060:16 *3325:11 0 +17 *2060:24 *2144:25 0 +18 *2060:24 *3919:19 0 +19 *2060:24 *4165:20 0 +20 *1989:16 *2060:16 0 +21 *2003:7 *2060:15 0 +22 *2005:14 *2060:16 0 +23 *2036:17 *2060:15 0 +24 *2038:8 *2060:15 0 +25 *2049:13 *2060:24 0 +*RES +1 *38890:HI[257] *2060:15 28.1071 +2 *2060:15 *2060:16 46.5357 +3 *2060:16 *2060:24 24.2299 +4 *2060:24 *41142:A 15.9875 +5 *2060:24 *8775:DIODE 17.7196 +*END + +*D_NET *2061 0.0186819 +*CONN +*I *38250:B I *D sky130_fd_sc_hd__and2_1 +*I *6360:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[258] O *D mprj_logic_high +*CAP +1 *38250:B 0 +2 *6360:DIODE 0.000123678 +3 *38890:HI[258] 0.00498732 +4 *2061:56 0.00220257 +5 *2061:49 0.00422995 +6 *2061:21 0.00713838 +7 *6360:DIODE *4470:59 0 +8 *2061:21 *2063:22 0 +9 *2061:21 *2136:17 0 +10 *2061:21 *2177:14 0 +11 *2061:21 *3152:30 0 +12 *2061:21 *3170:15 0 +13 *2061:21 *3222:14 0 +14 *2061:21 *3268:16 0 +15 *2061:21 *3876:62 0 +16 *2061:21 *3907:36 0 +17 *2061:21 *4176:32 0 +18 *2061:21 *4209:19 0 +19 *2061:49 *2074:30 0 +20 *2061:49 *3325:37 0 +21 *2061:49 *3596:56 0 +22 *2061:49 *4162:11 0 +23 *2061:49 *4457:109 0 +24 *2061:56 *3325:44 0 +25 *2061:56 *3895:49 0 +26 *2061:56 *4162:35 0 +27 *2061:56 *4392:49 0 +28 *2061:56 *4470:59 0 +29 *2061:56 *5026:42 0 +30 *40039:A *2061:49 0 +31 *41166:A *2061:21 0 +32 *41171:A *2061:21 0 +33 *301:31 *2061:21 0 +34 *1288:17 *2061:21 0 +35 *1393:86 *2061:49 0 +36 *1896:37 *2061:49 0 +37 *1898:36 *2061:49 0 +38 *1898:36 *2061:56 0 +39 *1899:38 *2061:21 0 +40 *1900:28 *2061:21 0 +41 *1901:32 *2061:49 0 +42 *1956:27 *2061:21 0 +43 *1961:19 *2061:21 0 +44 *1986:9 *2061:21 0 +45 *2006:25 *2061:21 0 +46 *2017:14 *2061:21 0 +47 *2043:27 *2061:21 0 +*RES +1 *38890:HI[258] *2061:21 49.3725 +2 *2061:21 *2061:49 48.0943 +3 *2061:49 *2061:56 19.9532 +4 *2061:56 *6360:DIODE 21.0143 +5 *2061:56 *38250:B 9.3 +*END + +*D_NET *2062 0.00535443 +*CONN +*I *41141:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[259] O *D mprj_logic_high +*CAP +1 *41141:A 0.00126252 +2 *38890:HI[259] 0.0014147 +3 *2062:15 0.00267721 +4 *41141:A *41034:A 0 +5 *41141:A *41073:A 0 +6 *41141:A *3247:29 0 +7 *41141:A *4273:13 0 +8 *2062:15 *41103:A 0 +9 *2062:15 *2065:15 0 +10 *2062:15 *2131:45 0 +11 *2062:15 *2132:42 0 +12 *2062:15 *2145:38 0 +13 *2062:15 *3153:89 0 +14 *2062:15 *3243:51 0 +15 *2062:15 *4105:10 0 +16 *1956:58 *41141:A 0 +17 *1961:32 *41141:A 0 +18 *1966:14 *2062:15 0 +19 *2033:7 *2062:15 0 +20 *2034:18 *41141:A 0 +21 *2050:30 *41141:A 0 +22 *2056:17 *2062:15 0 +*RES +1 *38890:HI[259] *2062:15 44.3571 +2 *2062:15 *41141:A 45.1214 +*END + +*D_NET *2063 0.0167384 +*CONN +*I *5633:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37784:A I *D sky130_fd_sc_hd__and2_1 +*I *38890:HI[25] O *D mprj_logic_high +*CAP +1 *5633:DIODE 0 +2 *37784:A 0.000374846 +3 *38890:HI[25] 0.00799436 +4 *2063:22 0.00836921 +5 *37784:A *3974:23 0 +6 *37784:A *4173:19 0 +7 *2063:22 *2210:21 0 +8 *2063:22 *2741:69 0 +9 *2063:22 *3152:30 0 +10 *2063:22 *3170:15 0 +11 *2063:22 *3227:14 0 +12 *2063:22 *3384:48 0 +13 *2063:22 *3642:48 0 +14 *2063:22 *3857:46 0 +15 *2063:22 *3890:24 0 +16 *2063:22 *3890:50 0 +17 *2063:22 *3898:15 0 +18 *2063:22 *3921:41 0 +19 *2063:22 *4173:19 0 +20 *1899:56 *37784:A 0 +21 *1986:9 *2063:22 0 +22 *2004:19 *2063:22 0 +23 *2043:27 *2063:22 0 +24 *2057:15 *2063:22 0 +25 *2057:49 *2063:22 0 +26 *2061:21 *2063:22 0 +*RES +1 *38890:HI[25] *2063:22 49.5584 +2 *2063:22 *37784:A 36.1929 +3 *2063:22 *5633:DIODE 9.3 +*END + +*D_NET *2064 0.020867 +*CONN +*I *38254:B I *D sky130_fd_sc_hd__and2_1 +*I *6366:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[260] O *D mprj_logic_high +*CAP +1 *38254:B 0.000291316 +2 *6366:DIODE 2.56688e-05 +3 *38890:HI[260] 0.00173132 +4 *2064:31 0.00145933 +5 *2064:22 0.004238 +6 *2064:21 0.00326161 +7 *2064:16 0.00414719 +8 *2064:14 0.00571255 +9 *6366:DIODE *3886:51 0 +10 *38254:B *3338:57 0 +11 *38254:B *3886:51 0 +12 *38254:B *4146:23 0 +13 *2064:14 *2066:7 0 +14 *2064:14 *2104:26 0 +15 *2064:14 *2165:29 0 +16 *2064:14 *3152:30 0 +17 *2064:14 *4143:15 0 +18 *2064:16 *2165:29 0 +19 *2064:16 *3384:51 0 +20 *2064:16 *3974:20 0 +21 *2064:16 *4137:44 0 +22 *2064:16 *5015:41 0 +23 *2064:16 *5152:44 0 +24 *2064:16 *5152:51 0 +25 *2064:21 *3137:32 0 +26 *2064:21 *3332:38 0 +27 *2064:21 *3840:71 0 +28 *2064:22 *40195:A 0 +29 *2064:22 *3131:28 0 +30 *2064:22 *3857:59 0 +31 *2064:22 *3889:15 0 +32 *2064:22 *3925:9 0 +33 *2064:31 *3131:38 0 +34 *2064:31 *4141:21 0 +35 *2064:31 *4141:26 0 +36 *2064:31 *4152:91 0 +37 *2064:31 *4154:50 0 +38 *2064:31 *4200:35 0 +39 *2064:31 *5152:15 0 +40 *1793:13 *2064:31 0 +41 *1800:36 *2064:22 0 +42 *2009:15 *2064:14 0 +43 *2022:10 *2064:14 0 +44 *2026:25 *2064:14 0 +*RES +1 *38890:HI[260] *2064:14 41.5536 +2 *2064:14 *2064:16 83.0893 +3 *2064:16 *2064:21 12.7679 +4 *2064:21 *2064:22 64.6071 +5 *2064:22 *2064:31 39.0357 +6 *2064:31 *6366:DIODE 14.3357 +7 *2064:31 *38254:B 19.9964 +*END + +*D_NET *2065 0.0122408 +*CONN +*I *41140:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8774:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[261] O *D mprj_logic_high +*CAP +1 *41140:A 0 +2 *8774:DIODE 0.000318155 +3 *38890:HI[261] 0.000788238 +4 *2065:53 0.00040778 +5 *2065:50 0.00160611 +6 *2065:35 0.00285204 +7 *2065:18 0.00340788 +8 *2065:15 0.00286056 +9 *8774:DIODE *41127:A 0 +10 *8774:DIODE *2121:30 0 +11 *8774:DIODE *4303:43 0 +12 *2065:15 *2093:22 0 +13 *2065:15 *2155:14 0 +14 *2065:15 *2155:29 0 +15 *2065:15 *4280:20 0 +16 *2065:15 *4307:11 0 +17 *2065:18 *41063:A 0 +18 *2065:18 *2085:13 0 +19 *2065:18 *2125:14 0 +20 *2065:18 *2131:48 0 +21 *2065:18 *2145:24 0 +22 *2065:18 *3133:70 0 +23 *2065:18 *3157:5 0 +24 *2065:18 *4305:13 0 +25 *2065:35 *40381:A 0 +26 *2065:35 *41090:A 0 +27 *2065:35 *2070:56 0 +28 *2065:35 *2092:25 0 +29 *2065:35 *3147:37 0 +30 *2065:35 *3219:80 0 +31 *2065:35 *3255:38 0 +32 *2065:35 *4277:33 0 +33 *2065:50 *3143:25 0 +34 *2065:50 *3147:36 0 +35 *2065:50 *3172:13 0 +36 *2065:50 *3223:19 0 +37 *2065:50 *3270:135 0 +38 *2065:50 *4277:33 0 +39 *8906:DIODE *2065:35 0 +40 *41184:A *2065:15 0 +41 *1975:25 *2065:18 0 +42 *2033:7 *2065:15 0 +43 *2040:11 *2065:50 0 +44 *2062:15 *2065:15 0 +*RES +1 *38890:HI[261] *2065:15 21.3798 +2 *2065:15 *2065:18 47.75 +3 *2065:18 *2065:35 46.4107 +4 *2065:35 *2065:50 47.4761 +5 *2065:50 *2065:53 5.50821 +6 *2065:53 *8774:DIODE 20.9429 +7 *2065:53 *41140:A 13.8 +*END + +*D_NET *2066 0.0179781 +*CONN +*I *38258:B I *D sky130_fd_sc_hd__and2_1 +*I *6372:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[262] O *D mprj_logic_high +*CAP +1 *38258:B 5.18105e-05 +2 *6372:DIODE 0.000281501 +3 *38890:HI[262] 0.000357064 +4 *2066:33 0.0012101 +5 *2066:23 0.00282181 +6 *2066:8 0.00742188 +7 *2066:7 0.00583393 +8 *6372:DIODE *4157:52 0 +9 *6372:DIODE *4169:27 0 +10 *2066:7 *2090:7 0 +11 *2066:8 *2090:8 0 +12 *2066:8 *2145:60 0 +13 *2066:8 *2148:8 0 +14 *2066:8 *2148:17 0 +15 *2066:8 *3059:17 0 +16 *2066:8 *3873:41 0 +17 *2066:23 *3056:20 0 +18 *2066:23 *3063:14 0 +19 *2066:23 *3131:28 0 +20 *2066:23 *3170:27 0 +21 *2066:23 *3268:29 0 +22 *2066:23 *3333:27 0 +23 *2066:23 *3347:41 0 +24 *2066:23 *3925:9 0 +25 *2066:23 *4170:30 0 +26 *2066:23 *4197:36 0 +27 *2066:33 *40261:A 0 +28 *2066:33 *3059:17 0 +29 *2066:33 *3333:30 0 +30 *2066:33 *3384:63 0 +31 *2066:33 *4130:17 0 +32 *2066:33 *4446:12 0 +33 *1672:21 *6372:DIODE 0 +34 *2009:31 *2066:23 0 +35 *2022:10 *2066:8 0 +36 *2022:17 *2066:8 0 +37 *2064:14 *2066:7 0 +*RES +1 *38890:HI[262] *2066:7 12.6071 +2 *2066:7 *2066:8 114.304 +3 *2066:8 *2066:23 48.587 +4 *2066:23 *2066:33 28.6786 +5 *2066:33 *6372:DIODE 19.675 +6 *2066:33 *38258:B 15.0232 +*END + +*D_NET *2067 0.0222715 +*CONN +*I *6375:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38260:B I *D sky130_fd_sc_hd__and2_2 +*I *38890:HI[263] O *D mprj_logic_high +*CAP +1 *6375:DIODE 0 +2 *38260:B 0.000224539 +3 *38890:HI[263] 7.62932e-05 +4 *2067:46 0.00214115 +5 *2067:32 0.00410787 +6 *2067:29 0.00309903 +7 *2067:26 0.00268405 +8 *2067:20 0.00272361 +9 *2067:8 0.00404299 +10 *2067:7 0.00317195 +11 *38260:B *4152:66 0 +12 *2067:7 *2151:19 0 +13 *2067:8 *2165:28 0 +14 *2067:8 *4176:19 0 +15 *2067:20 *4176:19 0 +16 *2067:20 *4176:32 0 +17 *2067:26 *2074:30 0 +18 *2067:26 *3056:15 0 +19 *2067:26 *3846:16 0 +20 *2067:26 *3921:11 0 +21 *2067:29 *2142:25 0 +22 *2067:29 *3374:76 0 +23 *2067:29 *3873:32 0 +24 *2067:29 *4410:19 0 +25 *2067:29 *5070:43 0 +26 *2067:32 *5885:DIODE 0 +27 *2067:32 *38196:B 0 +28 *2067:32 *3888:51 0 +29 *2067:32 *3974:10 0 +30 *2067:32 *4145:21 0 +31 *2067:32 *4448:38 0 +32 *2067:46 *3246:27 0 +33 *2067:46 *3374:43 0 +34 *2067:46 *3374:60 0 +35 *2067:46 *3876:93 0 +36 *2067:46 *3888:51 0 +37 *2067:46 *4123:32 0 +38 *2067:46 *4156:37 0 +39 *2067:46 *4156:52 0 +40 *2067:46 *4159:29 0 +41 *2067:46 *4159:49 0 +42 *301:39 *2067:26 0 +43 *1379:25 *2067:46 0 +44 *1395:44 *2067:26 0 +45 *1895:18 *2067:32 0 +46 *1895:25 *2067:32 0 +47 *1896:69 *2067:46 0 +48 *1986:9 *2067:7 0 +49 *2000:32 *2067:46 0 +50 *2009:15 *2067:20 0 +51 *2026:8 *2067:20 0 +52 *2038:8 *2067:8 0 +53 *2043:8 *2067:8 0 +54 *2043:8 *2067:20 0 +55 *2043:27 *2067:26 0 +*RES +1 *38890:HI[263] *2067:7 6.23214 +2 *2067:7 *2067:8 64.6071 +3 *2067:8 *2067:20 33.3929 +4 *2067:20 *2067:26 30.5819 +5 *2067:26 *2067:29 25.1161 +6 *2067:29 *2067:32 45.7321 +7 *2067:32 *2067:46 38.3638 +8 *2067:46 *38260:B 23.3179 +9 *2067:46 *6375:DIODE 9.3 +*END + +*D_NET *2068 0.00956363 +*CONN +*I *38262:B I *D sky130_fd_sc_hd__and2_1 +*I *6378:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[264] O *D mprj_logic_high +*CAP +1 *38262:B 3.50807e-05 +2 *6378:DIODE 0.000143745 +3 *38890:HI[264] 0.000156519 +4 *2068:24 0.000901397 +5 *2068:8 0.00444647 +6 *2068:7 0.00388042 +7 *6378:DIODE *3331:82 0 +8 *6378:DIODE *3331:93 0 +9 *2068:8 *37792:A 0 +10 *2068:8 *2076:8 0 +11 *2068:8 *3246:19 0 +12 *2068:8 *3325:11 0 +13 *2068:8 *3660:43 0 +14 *2068:24 *37792:A 0 +15 *2068:24 *3246:19 0 +16 *2068:24 *3331:82 0 +17 *2068:24 *4173:7 0 +18 *2068:24 *4186:12 0 +19 *301:36 *2068:8 0 +20 *1977:12 *2068:7 0 +21 *1983:22 *2068:7 0 +22 *2005:35 *2068:8 0 +23 *2016:14 *2068:8 0 +24 *2049:13 *2068:7 0 +25 *2057:49 *2068:24 0 +*RES +1 *38890:HI[264] *2068:7 8.05357 +2 *2068:7 *2068:8 77.75 +3 *2068:8 *2068:24 24.6648 +4 *2068:24 *6378:DIODE 12.3 +5 *2068:24 *38262:B 10.0321 +*END + +*D_NET *2069 0.0208832 +*CONN +*I *6381:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38264:B I *D sky130_fd_sc_hd__and2_1 +*I *38890:HI[265] O *D mprj_logic_high +*CAP +1 *6381:DIODE 0.000714548 +2 *38264:B 1.64089e-05 +3 *38890:HI[265] 0.00186401 +4 *2069:29 0.00178842 +5 *2069:19 0.0029995 +6 *2069:10 0.00678916 +7 *2069:9 0.00671113 +8 *6381:DIODE *8462:DIODE 0 +9 *6381:DIODE *8490:DIODE 0 +10 *6381:DIODE *40770:A 0 +11 *6381:DIODE *3201:19 0 +12 *6381:DIODE *3747:30 0 +13 *6381:DIODE *4113:37 0 +14 *6381:DIODE *4119:18 0 +15 *2069:9 *37379:A 0 +16 *2069:9 *2080:12 0 +17 *2069:9 *2080:15 0 +18 *2069:9 *2115:24 0 +19 *2069:9 *2121:15 0 +20 *2069:9 *2383:10 0 +21 *2069:9 *3228:85 0 +22 *2069:9 *3341:31 0 +23 *2069:9 *3359:29 0 +24 *2069:9 *3533:19 0 +25 *2069:9 *4490:16 0 +26 *2069:10 *2080:16 0 +27 *2069:10 *2129:14 0 +28 *2069:10 *2372:20 0 +29 *2069:10 *4857:30 0 +30 *2069:19 *2080:26 0 +31 *2069:19 *2080:33 0 +32 *2069:19 *2428:34 0 +33 *2069:19 *2505:15 0 +34 *2069:19 *3849:46 0 +35 *2069:19 *4029:29 0 +36 *2069:19 *4062:38 0 +37 *2069:19 *4873:10 0 +38 *2069:29 *8693:DIODE 0 +39 *2069:29 *3438:57 0 +40 *2069:29 *3526:40 0 +41 *2069:29 *4001:20 0 +42 la_data_in_mprj[86] *2069:19 0 +43 *6837:DIODE *2069:9 0 +44 *41268:A *2069:29 0 +45 *41290:A *2069:29 0 +46 *41294:A *2069:9 0 +47 *500:5 *2069:19 0 +48 *615:12 *2069:9 0 +49 *871:5 *2069:9 0 +50 *1981:41 *2069:10 0 +51 *1982:20 *2069:10 0 +52 *1982:20 *2069:19 0 +53 *2008:28 *2069:10 0 +54 *2008:28 *2069:19 0 +55 *2034:18 *2069:9 0 +56 *2040:14 *2069:10 0 +57 *2040:28 *2069:19 0 +58 *2051:21 *2069:10 0 +*RES +1 *38890:HI[265] *2069:9 46.8393 +2 *2069:9 *2069:10 101.161 +3 *2069:10 *2069:19 47.5446 +4 *2069:19 *2069:29 24.1429 +5 *2069:29 *38264:B 14.3804 +6 *2069:29 *6381:DIODE 29.4161 +*END + +*D_NET *2070 0.0163731 +*CONN +*I *41139:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8773:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[266] O *D mprj_logic_high +*CAP +1 *41139:A 0 +2 *8773:DIODE 0.000176045 +3 *38890:HI[266] 0.00132184 +4 *2070:56 0.00141458 +5 *2070:37 0.00290425 +6 *2070:24 0.00545014 +7 *2070:23 0.00510628 +8 *8773:DIODE *3147:36 0 +9 *8773:DIODE *3147:37 0 +10 *8773:DIODE *3153:45 0 +11 *2070:23 *37800:A 0 +12 *2070:23 *40952:A 0 +13 *2070:23 *3359:20 0 +14 *2070:23 *4047:33 0 +15 *2070:23 *4056:10 0 +16 *2070:23 *4480:35 0 +17 *2070:24 *2138:28 0 +18 *2070:24 *2138:34 0 +19 *2070:24 *3366:26 0 +20 *2070:37 *5638:DIODE 0 +21 *2070:37 *40263:A 0 +22 *2070:37 *2077:36 0 +23 *2070:37 *2081:8 0 +24 *2070:37 *2229:18 0 +25 *2070:37 *3800:9 0 +26 *2070:56 *41057:A 0 +27 *2070:56 *41090:A 0 +28 *2070:56 *3147:37 0 +29 *2070:56 *3153:45 0 +30 *2070:56 *4035:14 0 +31 *2070:56 *4072:20 0 +32 *2070:56 *4265:19 0 +33 *2070:56 *4287:49 0 +34 *2070:56 *4296:35 0 +35 *1929:18 *2070:23 0 +36 *1986:10 *2070:24 0 +37 *1990:16 *2070:56 0 +38 *1992:10 *2070:24 0 +39 *1996:16 *2070:24 0 +40 *1996:16 *2070:37 0 +41 *1996:27 *2070:37 0 +42 *2029:16 *2070:24 0 +43 *2029:31 *2070:24 0 +44 *2050:55 *2070:56 0 +45 *2065:35 *2070:56 0 +*RES +1 *38890:HI[266] *2070:23 39.8865 +2 *2070:23 *2070:24 78.9821 +3 *2070:24 *2070:37 48.8393 +4 *2070:37 *2070:56 40.9136 +5 *2070:56 *8773:DIODE 13.2821 +6 *2070:56 *41139:A 9.3 +*END + +*D_NET *2071 0.0259726 +*CONN +*I *38268:B I *D sky130_fd_sc_hd__and2_2 +*I *6387:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[267] O *D mprj_logic_high +*CAP +1 *38268:B 0.000147187 +2 *6387:DIODE 6.50276e-05 +3 *38890:HI[267] 0.00204428 +4 *2071:25 0.000289806 +5 *2071:23 0.00200582 +6 *2071:14 0.0106522 +7 *2071:13 0.00872396 +8 *2071:11 0.00204428 +9 *38268:B *4915:39 0 +10 *2071:11 *3057:8 0 +11 *2071:11 *3172:33 0 +12 *2071:11 *3269:39 0 +13 *2071:11 *3902:39 0 +14 *2071:11 *4212:10 0 +15 *2071:11 *4462:55 0 +16 *2071:11 *5070:8 0 +17 *2071:14 *3057:9 0 +18 *2071:14 *3062:9 0 +19 *2071:14 *4477:14 0 +20 *2071:23 *3329:43 0 +21 *2071:23 *3583:32 0 +22 *2071:23 *3840:71 0 +23 *2071:23 *4151:20 0 +24 *2071:23 *4447:17 0 +25 *2071:23 *5015:41 0 +26 *2071:25 *4915:39 0 +27 la_data_in_mprj[61] *2071:11 0 +28 *6977:DIODE *2071:23 0 +29 *6999:DIODE *2071:11 0 +30 *7000:DIODE *2071:11 0 +31 *8839:DIODE *2071:11 0 +32 *301:40 *2071:14 0 +33 *301:44 *2071:14 0 +34 *452:7 *2071:23 0 +35 *473:5 *2071:11 0 +36 *580:8 *2071:23 0 +37 *856:12 *2071:11 0 +38 *1787:22 *2071:23 0 +39 *1958:19 *2071:11 0 +40 *2001:11 *2071:11 0 +41 *2048:10 *2071:14 0 +*RES +1 *38890:HI[267] *2071:11 46.4821 +2 *2071:11 *2071:13 4.5 +3 *2071:13 *2071:14 182.071 +4 *2071:14 *2071:23 48.3839 +5 *2071:23 *2071:25 1.79464 +6 *2071:25 *6387:DIODE 15.1571 +7 *2071:25 *38268:B 17.425 +*END + +*D_NET *2072 0.0249062 +*CONN +*I *8772:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41138:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[268] O *D mprj_logic_high +*CAP +1 *8772:DIODE 0.000457509 +2 *41138:A 0.00020716 +3 *38890:HI[268] 0.00083814 +4 *2072:48 0.0030003 +5 *2072:34 0.00386498 +6 *2072:14 0.007203 +7 *2072:13 0.0070853 +8 *2072:10 0.00224978 +9 *8772:DIODE *8718:DIODE 0 +10 *8772:DIODE *38322:A 0 +11 *8772:DIODE *41011:A 0 +12 *8772:DIODE *3977:14 0 +13 *41138:A *38322:A 0 +14 *41138:A *3322:69 0 +15 *41138:A *3977:14 0 +16 *41138:A *4006:31 0 +17 *2072:10 *2113:13 0 +18 *2072:10 *2121:9 0 +19 *2072:10 *2132:42 0 +20 *2072:10 *3132:10 0 +21 *2072:10 *4086:20 0 +22 *2072:13 *8655:DIODE 0 +23 *2072:13 *41034:A 0 +24 *2072:13 *2120:65 0 +25 *2072:13 *2129:13 0 +26 *2072:13 *2141:14 0 +27 *2072:13 *3228:85 0 +28 *2072:13 *4857:30 0 +29 *2072:14 *2087:16 0 +30 *2072:14 *2428:39 0 +31 *2072:14 *4494:22 0 +32 *2072:14 *4864:20 0 +33 *2072:34 *2089:16 0 +34 *2072:34 *2433:22 0 +35 *2072:34 *5170:45 0 +36 *2072:48 *2106:23 0 +37 *2072:48 *2405:40 0 +38 *2072:48 *2538:19 0 +39 *2072:48 *3788:20 0 +40 *2072:48 *4079:57 0 +41 *2072:48 *4502:15 0 +42 *2072:48 *5192:9 0 +43 *6710:DIODE *2072:48 0 +44 *485:5 *2072:13 0 +45 *498:5 *2072:34 0 +46 *613:5 *2072:13 0 +47 *1216:17 *2072:34 0 +48 *1981:16 *2072:14 0 +49 *2040:25 *2072:34 0 +50 *2051:22 *2072:34 0 +*RES +1 *38890:HI[268] *2072:10 27.4464 +2 *2072:10 *2072:13 36.5 +3 *2072:13 *2072:14 118.411 +4 *2072:14 *2072:34 48.4681 +5 *2072:34 *2072:48 26.1888 +6 *2072:48 *41138:A 18.4875 +7 *2072:48 *8772:DIODE 23.5232 +*END + +*D_NET *2073 0.0233697 +*CONN +*I *6393:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38272:B I *D sky130_fd_sc_hd__and2_1 +*I *38890:HI[269] O *D mprj_logic_high +*CAP +1 *6393:DIODE 0.000363812 +2 *38272:B 9.10111e-05 +3 *38890:HI[269] 0.00481511 +4 *2073:80 0.00113658 +5 *2073:72 0.00259504 +6 *2073:60 0.00354454 +7 *2073:42 0.00381986 +8 *2073:20 0.00700371 +9 *6393:DIODE *6456:DIODE 0 +10 *6393:DIODE *40429:A 0 +11 *6393:DIODE *3322:69 0 +12 *6393:DIODE *3447:60 0 +13 *6393:DIODE *4124:18 0 +14 *6393:DIODE *4128:39 0 +15 *2073:20 *2077:11 0 +16 *2073:20 *2081:7 0 +17 *2073:20 *2110:23 0 +18 *2073:20 *2844:27 0 +19 *2073:20 *3330:12 0 +20 *2073:20 *4002:13 0 +21 *2073:20 *4002:23 0 +22 *2073:20 *4118:42 0 +23 *2073:20 *4122:40 0 +24 *2073:42 *8226:DIODE 0 +25 *2073:42 *8651:DIODE 0 +26 *2073:42 *8765:DIODE 0 +27 *2073:42 *2427:21 0 +28 *2073:42 *3255:27 0 +29 *2073:42 *3270:93 0 +30 *2073:42 *3330:12 0 +31 *2073:42 *3355:69 0 +32 *2073:42 *3447:25 0 +33 *2073:42 *4118:42 0 +34 *2073:60 *2097:31 0 +35 *2073:60 *2117:52 0 +36 *2073:60 *2117:64 0 +37 *2073:60 *2248:29 0 +38 *2073:60 *3243:50 0 +39 *2073:60 *3330:33 0 +40 *2073:60 *4039:32 0 +41 *2073:60 *4053:41 0 +42 *2073:60 *4108:20 0 +43 *2073:72 *2272:78 0 +44 *2073:72 *3123:31 0 +45 *2073:72 *3196:10 0 +46 *2073:72 *3201:19 0 +47 *2073:72 *3201:26 0 +48 *2073:72 *3330:37 0 +49 *2073:72 *3438:44 0 +50 *2073:72 *3702:14 0 +51 *2073:72 *3947:27 0 +52 *2073:72 *4128:22 0 +53 *2073:72 *5150:21 0 +54 *2073:80 *2439:14 0 +55 *2073:80 *3323:30 0 +56 *2073:80 *4064:40 0 +57 *2073:80 *4096:44 0 +58 *2073:80 *4113:37 0 +59 *1558:28 *6393:DIODE 0 +60 *1958:31 *2073:20 0 +61 *1999:52 *2073:72 0 +62 *1999:52 *2073:80 0 +63 *1999:57 *2073:80 0 +64 *2033:7 *2073:20 0 +*RES +1 *38890:HI[269] *2073:20 49.3736 +2 *2073:20 *2073:42 45.7316 +3 *2073:42 *2073:60 49.5714 +4 *2073:60 *2073:72 45.8036 +5 *2073:72 *2073:80 14.022 +6 *2073:80 *38272:B 15.8982 +7 *2073:80 *6393:DIODE 21.6839 +*END + +*D_NET *2074 0.00744792 +*CONN +*I *41137:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[26] O *D mprj_logic_high +*CAP +1 *41137:A 0 +2 *38890:HI[26] 0.00184787 +3 *2074:30 0.00187609 +4 *2074:10 0.00372396 +5 *2074:10 *2088:17 0 +6 *2074:10 *2181:17 0 +7 *2074:10 *3246:19 0 +8 *2074:30 *3325:37 0 +9 *2074:30 *3660:43 0 +10 *2074:30 *4162:11 0 +11 *1506:22 *2074:30 0 +12 *1533:57 *2074:30 0 +13 *1898:36 *2074:30 0 +14 *1901:32 *2074:30 0 +15 *1971:13 *2074:10 0 +16 *2005:35 *2074:10 0 +17 *2026:8 *2074:10 0 +18 *2043:27 *2074:30 0 +19 *2061:49 *2074:30 0 +20 *2067:26 *2074:30 0 +*RES +1 *38890:HI[26] *2074:10 47.8036 +2 *2074:10 *2074:30 46.802 +3 *2074:30 *41137:A 9.3 +*END + +*D_NET *2075 0.00237859 +*CONN +*I *41136:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[270] O *D mprj_logic_high +*CAP +1 *41136:A 0.00118929 +2 *38890:HI[270] 0.00118929 +3 *41136:A *2122:13 0 +4 *41136:A *2144:25 0 +5 *41136:A *3325:10 0 +6 *41136:A *3373:43 0 +7 *1517:14 *41136:A 0 +8 *1958:19 *41136:A 0 +9 *1971:14 *41136:A 0 +10 *2016:13 *41136:A 0 +*RES +1 *38890:HI[270] *41136:A 39.5857 +*END + +*D_NET *2076 0.0188994 +*CONN +*I *41134:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8770:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[271] O *D mprj_logic_high +*CAP +1 *41134:A 6.58832e-05 +2 *8770:DIODE 9.90435e-05 +3 *38890:HI[271] 0.000143128 +4 *2076:23 0.00105136 +5 *2076:17 0.00291074 +6 *2076:13 0.0020699 +7 *2076:8 0.00623092 +8 *2076:7 0.00632845 +9 *41134:A *3934:59 0 +10 *2076:7 *2088:17 0 +11 *2076:8 *2131:21 0 +12 *2076:8 *2181:17 0 +13 *2076:8 *3325:11 0 +14 *2076:8 *4062:11 0 +15 *2076:8 *4108:9 0 +16 *2076:17 *2092:8 0 +17 *2076:17 *4096:11 0 +18 *2076:23 *2092:21 0 +19 *2076:23 *4096:11 0 +20 *1965:8 *2076:8 0 +21 *1965:8 *2076:17 0 +22 *1975:25 *2076:23 0 +23 *1982:15 *2076:23 0 +24 *1989:16 *2076:8 0 +25 *1989:23 *2076:8 0 +26 *2005:35 *2076:8 0 +27 *2021:16 *2076:7 0 +28 *2039:20 *2076:17 0 +29 *2060:16 *2076:8 0 +30 *2068:8 *2076:8 0 +*RES +1 *38890:HI[271] *2076:7 7.75 +2 *2076:7 *2076:8 129.089 +3 *2076:8 *2076:13 10.0357 +4 *2076:13 *2076:17 46.8393 +5 *2076:17 *2076:23 28.9286 +6 *2076:23 *8770:DIODE 16.05 +7 *2076:23 *41134:A 15.175 +*END + +*D_NET *2077 0.0215408 +*CONN +*I *8769:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41133:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[272] O *D mprj_logic_high +*CAP +1 *8769:DIODE 0.000682024 +2 *41133:A 0 +3 *38890:HI[272] 0.000949162 +4 *2077:71 0.000913185 +5 *2077:68 0.00204847 +6 *2077:62 0.00319343 +7 *2077:53 0.00247149 +8 *2077:47 0.00207208 +9 *2077:36 0.00293802 +10 *2077:23 0.00364255 +11 *2077:11 0.00263041 +12 *8769:DIODE *8494:DIODE 0 +13 *8769:DIODE *3123:31 0 +14 *8769:DIODE *3201:19 0 +15 *8769:DIODE *3340:61 0 +16 *2077:11 *40348:A 0 +17 *2077:11 *2081:7 0 +18 *2077:11 *4110:11 0 +19 *2077:23 *2111:28 0 +20 *2077:23 *2111:43 0 +21 *2077:23 *3228:85 0 +22 *2077:23 *3355:18 0 +23 *2077:23 *4122:56 0 +24 *2077:36 *2081:8 0 +25 *2077:36 *2121:15 0 +26 *2077:36 *3359:29 0 +27 *2077:36 *3800:9 0 +28 *2077:36 *3813:14 0 +29 *2077:36 *3827:22 0 +30 *2077:47 *8705:DIODE 0 +31 *2077:47 *2081:32 0 +32 *2077:47 *2081:50 0 +33 *2077:47 *3800:9 0 +34 *2077:47 *3807:17 0 +35 *2077:47 *3827:22 0 +36 *2077:47 *4857:18 0 +37 *2077:53 *37380:A 0 +38 *2077:53 *2116:45 0 +39 *2077:53 *2121:45 0 +40 *2077:53 *2435:97 0 +41 *2077:53 *3228:47 0 +42 *2077:53 *3807:40 0 +43 *2077:62 *41131:A 0 +44 *2077:62 *3259:25 0 +45 *2077:62 *3259:44 0 +46 *2077:62 *3270:72 0 +47 *2077:62 *3518:23 0 +48 *2077:62 *3530:20 0 +49 *2077:62 *4485:41 0 +50 *2077:62 *4489:43 0 +51 *2077:68 *3133:61 0 +52 *2077:68 *3961:30 0 +53 *2077:68 *3965:20 0 +54 *2077:68 *4006:23 0 +55 *2077:68 *4096:44 0 +56 *2077:68 *4128:22 0 +57 *2077:68 *4409:15 0 +58 *2077:71 *40315:A 0 +59 *2077:71 *3123:31 0 +60 *8778:DIODE *2077:68 0 +61 *8904:DIODE *2077:62 0 +62 *1531:16 *8769:DIODE 0 +63 *1982:15 *2077:23 0 +64 *1986:27 *2077:23 0 +65 *1993:29 *2077:23 0 +66 *1996:27 *2077:36 0 +67 *2053:60 *2077:68 0 +68 *2070:37 *2077:36 0 +69 *2073:20 *2077:11 0 +*RES +1 *38890:HI[272] *2077:11 26.125 +2 *2077:11 *2077:23 48.9821 +3 *2077:23 *2077:36 45.5893 +4 *2077:36 *2077:47 29.9107 +5 *2077:47 *2077:53 32.0179 +6 *2077:53 *2077:62 42.3036 +7 *2077:62 *2077:68 49.1071 +8 *2077:68 *2077:71 5.25 +9 *2077:71 *41133:A 13.8 +10 *2077:71 *8769:DIODE 37.6571 +*END + +*D_NET *2078 0.00828011 +*CONN +*I *41132:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[273] O *D mprj_logic_high +*CAP +1 *41132:A 0.000125707 +2 *38890:HI[273] 0.00156811 +3 *2078:16 0.00257194 +4 *2078:15 0.00401435 +5 *41132:A *4118:21 0 +6 *2078:15 *40946:A 0 +7 *2078:15 *2100:7 0 +8 *2078:15 *2120:21 0 +9 *2078:15 *3139:38 0 +10 *2078:15 *3156:54 0 +11 *2078:15 *3902:48 0 +12 *2078:15 *4139:10 0 +13 *2078:15 *4212:16 0 +14 *2078:16 *2104:28 0 +15 *2078:16 *2107:28 0 +16 *1807:17 *2078:15 0 +17 *1809:20 *2078:15 0 +18 *1961:19 *2078:15 0 +19 *1961:26 *2078:16 0 +20 *1965:7 *2078:15 0 +21 *1968:17 *2078:15 0 +22 *1980:10 *2078:15 0 +23 *2018:7 *41132:A 0 +24 *2024:31 *2078:15 0 +25 *2031:28 *2078:15 0 +*RES +1 *38890:HI[273] *2078:15 38.6483 +2 *2078:15 *2078:16 51.0536 +3 *2078:16 *41132:A 21.1571 +*END + +*D_NET *2079 0.00603696 +*CONN +*I *41130:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[274] O *D mprj_logic_high +*CAP +1 *41130:A 0 +2 *38890:HI[274] 0.000921997 +3 *2079:27 0.00209648 +4 *2079:19 0.00301848 +5 *2079:19 *2136:17 0 +6 *2079:19 *2210:21 0 +7 *2079:19 *3258:56 0 +8 *2079:19 *4143:15 0 +9 *2079:27 *40938:A 0 +10 *2079:27 *2114:10 0 +11 *2079:27 *2114:26 0 +12 *2079:27 *2131:35 0 +13 *2079:27 *2145:42 0 +14 *2079:27 *2145:58 0 +15 *2079:27 *3223:35 0 +16 *2079:27 *4063:16 0 +17 *2079:27 *4116:15 0 +18 *2079:27 *4287:15 0 +19 *1971:20 *2079:27 0 +20 *2024:25 *2079:19 0 +21 *2030:15 *2079:19 0 +22 *2032:13 *2079:27 0 +*RES +1 *38890:HI[274] *2079:19 35.2866 +2 *2079:19 *2079:27 48.5 +3 *2079:27 *41130:A 13.8 +*END + +*D_NET *2080 0.0197036 +*CONN +*I *41128:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8766:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[275] O *D mprj_logic_high +*CAP +1 *41128:A 0.000459219 +2 *8766:DIODE 0 +3 *38890:HI[275] 0.00107694 +4 *2080:33 0.00225502 +5 *2080:26 0.00238898 +6 *2080:16 0.0055387 +7 *2080:15 0.00592665 +8 *2080:12 0.00205808 +9 *41128:A *8854:DIODE 0 +10 *41128:A *8859:DIODE 0 +11 *41128:A *4283:36 0 +12 *2080:12 *2111:43 0 +13 *2080:12 *2115:24 0 +14 *2080:12 *2121:18 0 +15 *2080:12 *3228:85 0 +16 *2080:12 *3258:39 0 +17 *2080:12 *4053:24 0 +18 *2080:12 *4298:20 0 +19 *2080:15 *2350:10 0 +20 *2080:15 *2383:10 0 +21 *2080:15 *3341:31 0 +22 *2080:15 *4491:16 0 +23 *2080:16 *2372:11 0 +24 *2080:16 *2372:20 0 +25 *2080:16 *2383:31 0 +26 *2080:16 *4494:9 0 +27 *2080:16 *4494:22 0 +28 *2080:16 *4857:30 0 +29 *2080:33 *39130:A 0 +30 *2080:33 *2118:65 0 +31 *2080:33 *2361:22 0 +32 *2080:33 *2404:16 0 +33 *2080:33 *3236:53 0 +34 *2080:33 *3849:46 0 +35 *2080:33 *4046:52 0 +36 la_data_in_mprj[86] *2080:33 0 +37 *6554:DIODE *2080:15 0 +38 *8778:DIODE *41128:A 0 +39 *41294:A *2080:12 0 +40 *487:5 *2080:15 0 +41 *615:12 *2080:15 0 +42 *882:9 *2080:26 0 +43 *1981:41 *2080:16 0 +44 *1987:13 *2080:15 0 +45 *2008:28 *2080:16 0 +46 *2008:28 *2080:26 0 +47 *2010:19 *2080:15 0 +48 *2040:28 *2080:26 0 +49 *2069:9 *2080:12 0 +50 *2069:9 *2080:15 0 +51 *2069:10 *2080:16 0 +52 *2069:19 *2080:26 0 +53 *2069:19 *2080:33 0 +*RES +1 *38890:HI[275] *2080:12 33.1964 +2 *2080:12 *2080:15 26.7857 +3 *2080:15 *2080:16 103.214 +4 *2080:16 *2080:26 25.9821 +5 *2080:26 *2080:33 49.5714 +6 *2080:33 *8766:DIODE 13.8 +7 *2080:33 *41128:A 24.2107 +*END + +*D_NET *2081 0.013037 +*CONN +*I *41127:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8765:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[276] O *D mprj_logic_high +*CAP +1 *41127:A 0.000238437 +2 *8765:DIODE 0.000124066 +3 *38890:HI[276] 0.00103859 +4 *2081:53 0.000434844 +5 *2081:50 0.00145053 +6 *2081:32 0.00281528 +7 *2081:8 0.00366686 +8 *2081:7 0.00326835 +9 *41127:A *8644:DIODE 0 +10 *41127:A *2139:67 0 +11 *41127:A *3330:12 0 +12 *41127:A *4039:18 0 +13 *41127:A *4284:21 0 +14 *2081:7 *40348:A 0 +15 *2081:7 *2085:13 0 +16 *2081:7 *4110:11 0 +17 *2081:8 *2121:15 0 +18 *2081:8 *2138:34 0 +19 *2081:32 *2111:43 0 +20 *2081:32 *2111:49 0 +21 *2081:32 *2121:18 0 +22 *2081:32 *3355:25 0 +23 *2081:32 *3355:42 0 +24 *2081:32 *3800:9 0 +25 *2081:32 *3813:14 0 +26 *2081:32 *3814:17 0 +27 *2081:32 *4118:42 0 +28 *2081:32 *4485:32 0 +29 *2081:32 *5148:21 0 +30 *2081:50 *8737:DIODE 0 +31 *2081:50 *2121:30 0 +32 *2081:50 *3800:20 0 +33 *2081:50 *3807:17 0 +34 *2081:50 *4485:41 0 +35 *2081:50 *5148:21 0 +36 *8774:DIODE *41127:A 0 +37 *1818:31 *2081:7 0 +38 *1818:31 *2081:8 0 +39 *1996:16 *2081:8 0 +40 *1996:27 *2081:8 0 +41 *2010:19 *2081:8 0 +42 *2050:17 *2081:7 0 +43 *2070:37 *2081:8 0 +44 *2073:20 *2081:7 0 +45 *2073:42 *8765:DIODE 0 +46 *2077:11 *2081:7 0 +47 *2077:36 *2081:8 0 +48 *2077:47 *2081:32 0 +49 *2077:47 *2081:50 0 +*RES +1 *38890:HI[276] *2081:7 28.0893 +2 *2081:7 *2081:8 46.5357 +3 *2081:8 *2081:32 48.9464 +4 *2081:32 *2081:50 47.4643 +5 *2081:50 *2081:53 6.14286 +6 *2081:53 *8765:DIODE 16.3893 +7 *2081:53 *41127:A 19.1571 +*END + +*D_NET *2082 0.0197258 +*CONN +*I *8763:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41125:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[277] O *D mprj_logic_high +*CAP +1 *8763:DIODE 0 +2 *41125:A 0.000230172 +3 *38890:HI[277] 0.00175786 +4 *2082:47 0.000703563 +5 *2082:44 0.00225053 +6 *2082:41 0.00202687 +7 *2082:32 0.00335523 +8 *2082:31 0.00426619 +9 *2082:25 0.00226912 +10 *2082:12 0.00286628 +11 *41125:A *40348:A 0 +12 *41125:A *3247:29 0 +13 *41125:A *4110:11 0 +14 *2082:12 *2098:13 0 +15 *2082:12 *2186:18 0 +16 *2082:12 *3058:5 0 +17 *2082:12 *3336:22 0 +18 *2082:25 *40437:A 0 +19 *2082:25 *2088:30 0 +20 *2082:25 *3332:16 0 +21 *2082:25 *3366:11 0 +22 *2082:25 *3450:10 0 +23 *2082:25 *4459:45 0 +24 *2082:25 *4477:24 0 +25 *2082:25 *4840:15 0 +26 *2082:25 *4843:16 0 +27 *2082:31 *3139:37 0 +28 *2082:31 *3351:7 0 +29 *2082:31 *3638:12 0 +30 *2082:31 *4846:11 0 +31 *2082:32 *2088:36 0 +32 *2082:32 *2135:28 0 +33 *2082:41 *39111:A 0 +34 *2082:41 *2088:36 0 +35 *2082:41 *3705:11 0 +36 *2082:44 *2135:30 0 +37 *2082:47 *2089:7 0 +38 *37368:A *2082:25 0 +39 *1818:31 *2082:47 0 +40 *1980:10 *2082:12 0 +41 *2004:19 *2082:12 0 +42 *2022:10 *2082:12 0 +43 *2023:24 *2082:25 0 +44 *2027:22 *2082:32 0 +45 *2033:31 *2082:31 0 +46 *2035:28 *2082:31 0 +47 *2035:40 *2082:12 0 +48 *2045:23 *2082:12 0 +*RES +1 *38890:HI[277] *2082:12 47.8929 +2 *2082:12 *2082:25 37.2321 +3 *2082:25 *2082:31 33.3571 +4 *2082:31 *2082:32 64.8125 +5 *2082:32 *2082:41 14.3839 +6 *2082:41 *2082:44 41.5893 +7 *2082:44 *2082:47 15.25 +8 *2082:47 *41125:A 23.3357 +9 *2082:47 *8763:DIODE 9.3 +*END + +*D_NET *2083 0.0080465 +*CONN +*I *41123:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[278] O *D mprj_logic_high +*CAP +1 *41123:A 0.000313352 +2 *38890:HI[278] 0.000907799 +3 *2083:34 0.00311545 +4 *2083:17 0.0037099 +5 *41123:A *41082:A 0 +6 *2083:17 *2107:19 0 +7 *2083:17 *2144:25 0 +8 *2083:17 *2150:20 0 +9 *2083:17 *3325:11 0 +10 *2083:17 *4410:31 0 +11 *2083:34 *2099:39 0 +12 *2083:34 *2114:10 0 +13 *2083:34 *3152:9 0 +14 *2083:34 *3345:30 0 +15 *2083:34 *4143:11 0 +16 *2083:34 *4243:21 0 +17 *2083:34 *4480:35 0 +18 *37372:A *2083:34 0 +19 *41280:A *41123:A 0 +20 *1929:18 *2083:34 0 +21 *1963:16 *41123:A 0 +22 *1970:11 *2083:17 0 +23 *1998:51 *2083:34 0 +24 *2005:8 *2083:34 0 +25 *2005:14 *2083:17 0 +26 *2005:14 *2083:34 0 +27 *2006:25 *2083:17 0 +28 *2060:16 *2083:17 0 +*RES +1 *38890:HI[278] *2083:17 32.9286 +2 *2083:17 *2083:34 49.112 +3 *2083:34 *41123:A 23.7725 +*END + +*D_NET *2084 0.0048271 +*CONN +*I *41120:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[279] O *D mprj_logic_high +*CAP +1 *41120:A 0 +2 *38890:HI[279] 0.000330047 +3 *2084:11 0.0020835 +4 *2084:7 0.00241355 +5 *2084:7 *4186:8 0 +6 *2084:11 *2114:10 0 +7 *2084:11 *2114:26 0 +8 *2084:11 *2131:45 0 +9 *2084:11 *2155:29 0 +10 *2084:11 *3153:100 0 +11 *2084:11 *4122:31 0 +12 *2084:11 *4239:16 0 +13 *1957:20 *2084:7 0 +14 *1963:16 *2084:7 0 +15 *1971:35 *2084:11 0 +16 *1984:12 *2084:11 0 +*RES +1 *38890:HI[279] *2084:7 12 +2 *2084:7 *2084:11 48.2321 +3 *2084:11 *41120:A 13.8 +*END + +*D_NET *2085 0.00589412 +*CONN +*I *37788:A I *D sky130_fd_sc_hd__and2_1 +*I *38890:HI[27] O *D mprj_logic_high +*CAP +1 *37788:A 0 +2 *38890:HI[27] 0.00155623 +3 *2085:25 0.00139083 +4 *2085:13 0.00294706 +5 *2085:13 *2089:7 0 +6 *2085:13 *2131:48 0 +7 *2085:13 *2155:29 0 +8 *2085:13 *3157:5 0 +9 *2085:13 *3227:8 0 +10 *2085:25 *2154:16 0 +11 *2085:25 *3147:37 0 +12 *2085:25 *3153:89 0 +13 *2085:25 *3243:51 0 +14 *2085:25 *3345:59 0 +15 *2085:25 *3969:28 0 +16 *1818:31 *2085:13 0 +17 *2065:18 *2085:13 0 +18 *2081:7 *2085:13 0 +*RES +1 *38890:HI[27] *2085:13 46.6964 +2 *2085:13 *2085:25 47.3929 +3 *2085:25 *37788:A 9.3 +*END + +*D_NET *2086 0.00641433 +*CONN +*I *41117:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[280] O *D mprj_logic_high +*CAP +1 *41117:A 0 +2 *38890:HI[280] 0.00146461 +3 *2086:33 0.00174255 +4 *2086:21 0.00320716 +5 *2086:21 *2094:24 0 +6 *2086:21 *2138:17 0 +7 *2086:21 *2210:21 0 +8 *2086:21 *3170:15 0 +9 *2086:21 *3268:16 0 +10 *2086:21 *4170:19 0 +11 *2086:33 *2122:13 0 +12 *2086:33 *2132:21 0 +13 *2086:33 *3246:9 0 +14 *1956:27 *2086:33 0 +15 *1985:9 *2086:21 0 +16 *2006:25 *2086:33 0 +*RES +1 *38890:HI[280] *2086:21 33.8163 +2 *2086:21 *2086:33 42.4742 +3 *2086:33 *41117:A 9.3 +*END + +*D_NET *2087 0.0229389 +*CONN +*I *8755:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41114:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[281] O *D mprj_logic_high +*CAP +1 *8755:DIODE 0.000133824 +2 *41114:A 0.000474088 +3 *38890:HI[281] 0.00140199 +4 *2087:41 0.000715368 +5 *2087:35 0.00349145 +6 *2087:16 0.00840823 +7 *2087:15 0.00596809 +8 *2087:12 0.00234584 +9 *8755:DIODE *3530:79 0 +10 *41114:A *3530:79 0 +11 *41114:A *3977:21 0 +12 *41114:A *4098:42 0 +13 *41114:A *4408:39 0 +14 *2087:12 *2102:16 0 +15 *2087:12 *2110:23 0 +16 *2087:12 *2115:24 0 +17 *2087:12 *3340:20 0 +18 *2087:15 *3139:10 0 +19 *2087:15 *3140:98 0 +20 *2087:15 *3816:20 0 +21 *2087:15 *4492:14 0 +22 *2087:15 *4494:8 0 +23 *2087:16 *2129:24 0 +24 *2087:16 *2428:39 0 +25 *2087:35 *2404:16 0 +26 *2087:35 *2433:22 0 +27 *2087:35 *3787:92 0 +28 *2087:35 *3788:40 0 +29 *2087:35 *3797:90 0 +30 *2087:35 *4253:87 0 +31 *2087:41 *3787:92 0 +32 *6697:DIODE *2087:15 0 +33 *6839:DIODE *2087:15 0 +34 *41301:A *2087:12 0 +35 *488:5 *2087:15 0 +36 *616:5 *2087:15 0 +37 *872:7 *2087:15 0 +38 *1958:31 *2087:12 0 +39 *1996:27 *2087:12 0 +40 *2008:28 *2087:35 0 +41 *2040:28 *2087:35 0 +42 *2072:14 *2087:16 0 +*RES +1 *38890:HI[281] *2087:12 40.1429 +2 *2087:12 *2087:15 25.875 +3 *2087:15 *2087:16 104.857 +4 *2087:16 *2087:35 49.9931 +5 *2087:35 *2087:41 3.8612 +6 *2087:41 *41114:A 26.9091 +7 *2087:41 *8755:DIODE 19.2318 +*END + +*D_NET *2088 0.0198317 +*CONN +*I *41112:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8753:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[282] O *D mprj_logic_high +*CAP +1 *41112:A 0.000193755 +2 *8753:DIODE 0 +3 *38890:HI[282] 0.00146129 +4 *2088:49 0.000600253 +5 *2088:46 0.00265594 +6 *2088:45 0.00230937 +7 *2088:36 0.00370661 +8 *2088:35 0.00377238 +9 *2088:30 0.00189825 +10 *2088:17 0.00323383 +11 *41112:A *3247:29 0 +12 *2088:17 *6303:DIODE 0 +13 *2088:17 *40437:A 0 +14 *2088:17 *3374:104 0 +15 *2088:17 *3594:26 0 +16 *2088:30 *39098:A 0 +17 *2088:30 *3351:7 0 +18 *2088:30 *3366:11 0 +19 *2088:30 *3368:15 0 +20 *2088:30 *3450:10 0 +21 *2088:30 *4838:21 0 +22 *2088:35 *3139:37 0 +23 *2088:36 *39111:A 0 +24 *2088:36 *2135:28 0 +25 *2088:36 *2143:40 0 +26 *2088:46 *8228:DIODE 0 +27 *2088:46 *2143:32 0 +28 *2088:46 *3216:32 0 +29 *2088:49 *2118:11 0 +30 *2088:49 *2121:9 0 +31 *2088:49 *3228:97 0 +32 *2088:49 *3355:18 0 +33 *2088:49 *3727:23 0 +34 *37371:A *2088:36 0 +35 *37376:A *2088:46 0 +36 *1809:20 *2088:30 0 +37 *1812:23 *2088:36 0 +38 *1956:58 *41112:A 0 +39 *1959:10 *2088:36 0 +40 *1962:21 *2088:36 0 +41 *1971:13 *2088:17 0 +42 *2002:11 *2088:17 0 +43 *2006:25 *2088:17 0 +44 *2021:16 *2088:17 0 +45 *2023:24 *2088:30 0 +46 *2027:22 *2088:36 0 +47 *2027:40 *2088:17 0 +48 *2033:47 *2088:17 0 +49 *2035:15 *2088:46 0 +50 *2035:27 *2088:45 0 +51 *2038:13 *2088:17 0 +52 *2055:10 *2088:30 0 +53 *2074:10 *2088:17 0 +54 *2076:7 *2088:17 0 +55 *2082:25 *2088:30 0 +56 *2082:32 *2088:36 0 +57 *2082:41 *2088:36 0 +*RES +1 *38890:HI[282] *2088:17 37.75 +2 *2088:17 *2088:30 47.6087 +3 *2088:30 *2088:35 11.8571 +4 *2088:35 *2088:36 76.1071 +5 *2088:36 *2088:45 10.5 +6 *2088:45 *2088:46 46.9464 +7 *2088:46 *2088:49 13.7321 +8 *2088:49 *8753:DIODE 13.8 +9 *2088:49 *41112:A 17.9607 +*END + +*D_NET *2089 0.0264138 +*CONN +*I *41109:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8750:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[283] O *D mprj_logic_high +*CAP +1 *41109:A 0 +2 *8750:DIODE 0.000321715 +3 *38890:HI[283] 0.00176045 +4 *2089:34 0.000981034 +5 *2089:25 0.00223566 +6 *2089:16 0.00621458 +7 *2089:14 0.00554061 +8 *2089:8 0.00425086 +9 *2089:7 0.00510894 +10 *8750:DIODE *41124:A 0 +11 *8750:DIODE *4006:36 0 +12 *8750:DIODE *4092:10 0 +13 *2089:7 *39112:A 0 +14 *2089:7 *39114:A 0 +15 *2089:7 *2093:22 0 +16 *2089:7 *2145:35 0 +17 *2089:7 *3147:60 0 +18 *2089:7 *3153:89 0 +19 *2089:7 *3227:8 0 +20 *2089:7 *3760:13 0 +21 *2089:7 *4025:20 0 +22 *2089:8 *2129:14 0 +23 *2089:8 *2383:20 0 +24 *2089:8 *3805:12 0 +25 *2089:8 *4857:26 0 +26 *2089:14 *2383:26 0 +27 *2089:14 *4857:18 0 +28 *2089:14 *4857:26 0 +29 *2089:16 *39125:A 0 +30 *2089:16 *2383:26 0 +31 *2089:16 *2399:9 0 +32 *2089:16 *2407:13 0 +33 *2089:16 *2418:51 0 +34 *2089:16 *2428:34 0 +35 *2089:16 *3207:95 0 +36 *2089:16 *3320:63 0 +37 *2089:16 *3782:61 0 +38 *2089:16 *3949:16 0 +39 *2089:16 *4490:55 0 +40 *2089:16 *4494:28 0 +41 *2089:16 *4869:18 0 +42 *2089:25 *37674:A 0 +43 *2089:25 *3444:17 0 +44 *2089:25 *3927:42 0 +45 *2089:25 *4075:76 0 +46 *2089:25 *4878:61 0 +47 *2089:34 *3518:72 0 +48 *2089:34 *4006:36 0 +49 la_data_in_mprj[71] *2089:7 0 +50 *37377:A *2089:7 0 +51 *41271:A *2089:7 0 +52 *631:8 *2089:25 0 +53 *867:8 *2089:7 0 +54 *1818:32 *2089:7 0 +55 *1959:17 *2089:7 0 +56 *1980:15 *2089:7 0 +57 *1982:20 *2089:8 0 +58 *1982:20 *2089:14 0 +59 *2051:22 *2089:14 0 +60 *2051:22 *2089:16 0 +61 *2051:33 *2089:16 0 +62 *2056:17 *2089:7 0 +63 *2072:34 *2089:16 0 +64 *2082:47 *2089:7 0 +65 *2085:13 *2089:7 0 +*RES +1 *38890:HI[283] *2089:7 44.4821 +2 *2089:7 *2089:8 69.9464 +3 *2089:8 *2089:14 18.8482 +4 *2089:14 *2089:16 96.8482 +5 *2089:16 *2089:25 40.3304 +6 *2089:25 *2089:34 19.4196 +7 *2089:34 *8750:DIODE 16.0143 +8 *2089:34 *41109:A 9.3 +*END + +*D_NET *2090 0.00631778 +*CONN +*I *41107:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[284] O *D mprj_logic_high +*CAP +1 *41107:A 0.000152488 +2 *38890:HI[284] 0.000343693 +3 *2090:8 0.0028152 +4 *2090:7 0.0030064 +5 *2090:7 *2132:9 0 +6 *2090:8 *2144:32 0 +7 *2090:8 *2145:60 0 +8 *1973:24 *2090:8 0 +9 *2017:19 *41107:A 0 +10 *2021:16 *2090:8 0 +11 *2022:10 *2090:8 0 +12 *2049:13 *41107:A 0 +13 *2057:18 *2090:8 0 +14 *2066:7 *2090:7 0 +15 *2066:8 *2090:8 0 +*RES +1 *38890:HI[284] *2090:7 12.3036 +2 *2090:7 *2090:8 55.5714 +3 *2090:8 *41107:A 21.7643 +*END + +*D_NET *2091 0.0125083 +*CONN +*I *8745:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41103:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[285] O *D mprj_logic_high +*CAP +1 *8745:DIODE 0 +2 *41103:A 0.000277212 +3 *38890:HI[285] 0.00209325 +4 *2091:36 0.00134974 +5 *2091:31 0.00388369 +6 *2091:19 0.00490442 +7 *41103:A *3345:30 0 +8 *41103:A *3727:23 0 +9 *2091:19 *2099:39 0 +10 *2091:19 *3132:15 0 +11 *2091:19 *3325:10 0 +12 *2091:19 *3919:19 0 +13 *2091:19 *4090:21 0 +14 *2091:31 *2098:41 0 +15 *2091:31 *2111:26 0 +16 *2091:31 *4002:13 0 +17 *2091:31 *4481:14 0 +18 *2091:36 *8155:DIODE 0 +19 *2091:36 *3233:41 0 +20 *2091:36 *3934:41 0 +21 *41174:A *2091:19 0 +22 *41244:A *2091:19 0 +23 *1959:17 *41103:A 0 +24 *1976:31 *2091:19 0 +25 *1988:7 *2091:19 0 +26 *1992:13 *2091:31 0 +27 *1996:13 *2091:31 0 +28 *2010:25 *2091:31 0 +29 *2029:32 *2091:31 0 +30 *2037:13 *2091:19 0 +31 *2039:26 *2091:19 0 +32 *2062:15 *41103:A 0 +*RES +1 *38890:HI[285] *2091:19 48.6304 +2 *2091:19 *2091:31 44.4059 +3 *2091:31 *2091:36 22.4464 +4 *2091:36 *41103:A 24.6571 +5 *2091:36 *8745:DIODE 9.3 +*END + +*D_NET *2092 0.0121983 +*CONN +*I *41100:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8742:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[286] O *D mprj_logic_high +*CAP +1 *41100:A 0 +2 *8742:DIODE 0.000276351 +3 *38890:HI[286] 8.96445e-05 +4 *2092:25 0.0013525 +5 *2092:21 0.00285546 +6 *2092:8 0.004657 +7 *2092:7 0.00296733 +8 *8742:DIODE *41060:A 0 +9 *8742:DIODE *3934:77 0 +10 *2092:7 *2119:11 0 +11 *2092:7 *4222:10 0 +12 *2092:8 *4176:15 0 +13 *2092:8 *4239:17 0 +14 *2092:21 *2116:19 0 +15 *2092:21 *4025:28 0 +16 *2092:21 *4053:38 0 +17 *2092:21 *4239:17 0 +18 *2092:21 *4273:29 0 +19 *2092:25 *4239:17 0 +20 *2092:25 *4273:31 0 +21 *1993:13 *2092:7 0 +22 *2026:8 *2092:8 0 +23 *2039:14 *2092:21 0 +24 *2039:20 *2092:8 0 +25 *2040:11 *2092:25 0 +26 *2065:35 *2092:25 0 +27 *2076:17 *2092:8 0 +28 *2076:23 *2092:21 0 +*RES +1 *38890:HI[286] *2092:7 6.53571 +2 *2092:7 *2092:8 60.0893 +3 *2092:8 *2092:21 46.4643 +4 *2092:21 *2092:25 27.9821 +5 *2092:25 *8742:DIODE 19.9607 +6 *2092:25 *41100:A 13.8 +*END + +*D_NET *2093 0.00689749 +*CONN +*I *41097:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[287] O *D mprj_logic_high +*CAP +1 *41097:A 0.000595979 +2 *38890:HI[287] 0.0017494 +3 *2093:43 0.00169935 +4 *2093:22 0.00285277 +5 *41097:A *2110:23 0 +6 *41097:A *2133:28 0 +7 *41097:A *3139:10 0 +8 *41097:A *3228:69 0 +9 *41097:A *3934:59 0 +10 *2093:22 *41073:A 0 +11 *2093:22 *2097:7 0 +12 *2093:22 *2123:24 0 +13 *2093:22 *2137:15 0 +14 *2093:22 *2145:24 0 +15 *2093:22 *2155:29 0 +16 *2093:22 *3153:89 0 +17 *2093:22 *3243:51 0 +18 *2093:22 *3258:39 0 +19 *2093:22 *4090:49 0 +20 *2093:22 *4262:14 0 +21 *2093:43 *2115:24 0 +22 *2093:43 *3247:29 0 +23 *2093:43 *4053:24 0 +24 *2093:43 *4120:14 0 +25 *2093:43 *4303:14 0 +26 *41296:A *41097:A 0 +27 *41299:A *2093:43 0 +28 *1961:32 *2093:43 0 +29 *1987:13 *2093:43 0 +30 *2034:18 *2093:43 0 +31 *2065:15 *2093:22 0 +32 *2089:7 *2093:22 0 +*RES +1 *38890:HI[287] *2093:22 47.2781 +2 *2093:22 *2093:43 49.8832 +3 *2093:43 *41097:A 31.3 +*END + +*D_NET *2094 0.0067303 +*CONN +*I *41094:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[288] O *D mprj_logic_high +*CAP +1 *41094:A 0.00170833 +2 *38890:HI[288] 0.00165682 +3 *2094:24 0.00336515 +4 *41094:A *2108:46 0 +5 *41094:A *3132:15 0 +6 *41094:A *3132:23 0 +7 *41094:A *3269:39 0 +8 *41094:A *3919:19 0 +9 *41094:A *4170:19 0 +10 *41094:A *4189:22 0 +11 *41094:A *4845:15 0 +12 *2094:24 *2108:26 0 +13 *2094:24 *2138:17 0 +14 *2094:24 *2850:21 0 +15 *2094:24 *3374:104 0 +16 *2094:24 *4148:5 0 +17 *7893:DIODE *2094:24 0 +18 *8836:DIODE *2094:24 0 +19 *37370:A *41094:A 0 +20 *41155:A *2094:24 0 +21 *2013:9 *2094:24 0 +22 *2031:28 *41094:A 0 +23 *2037:22 *41094:A 0 +24 *2086:21 *2094:24 0 +*RES +1 *38890:HI[288] *2094:24 49.5179 +2 *2094:24 *41094:A 44.5363 +*END + +*D_NET *2095 0.0117151 +*CONN +*I *41090:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8734:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[289] O *D mprj_logic_high +*CAP +1 *41090:A 0.000143745 +2 *8734:DIODE 0 +3 *38890:HI[289] 4.95513e-05 +4 *2095:23 0.000362931 +5 *2095:18 0.00242927 +6 *2095:17 0.00248718 +7 *2095:8 0.00323499 +8 *2095:7 0.00300745 +9 *41090:A *3153:45 0 +10 *41090:A *3255:38 0 +11 *2095:8 *2112:10 0 +12 *2095:8 *4239:17 0 +13 *2095:8 *4283:11 0 +14 *2095:17 *4308:14 0 +15 *2095:18 *3157:5 0 +16 *2095:18 *3255:49 0 +17 *2095:18 *4262:15 0 +18 *1966:14 *2095:7 0 +19 *1972:7 *2095:7 0 +20 *2001:8 *2095:18 0 +21 *2015:12 *2095:8 0 +22 *2038:8 *2095:8 0 +23 *2065:35 *41090:A 0 +24 *2070:56 *41090:A 0 +*RES +1 *38890:HI[289] *2095:7 5.625 +2 *2095:7 *2095:8 61.7321 +3 *2095:8 *2095:17 15.3571 +4 *2095:17 *2095:18 46.125 +5 *2095:18 *2095:23 13.9821 +6 *2095:23 *8734:DIODE 9.3 +7 *2095:23 *41090:A 12.3 +*END + +*D_NET *2096 0.00367813 +*CONN +*I *41087:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[28] O *D mprj_logic_high +*CAP +1 *41087:A 0 +2 *38890:HI[28] 0.00183906 +3 *2096:17 0.00183906 +4 *2096:17 *37792:A 0 +5 *2096:17 *38214:A 0 +6 *2096:17 *41002:A 0 +7 *2096:17 *3876:25 0 +8 *2096:17 *4212:17 0 +9 *2096:17 *4212:43 0 +10 *8794:DIODE *2096:17 0 +*RES +1 *38890:HI[28] *2096:17 47.6964 +2 *2096:17 *41087:A 13.8 +*END + +*D_NET *2097 0.0133568 +*CONN +*I *41086:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8731:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[290] O *D mprj_logic_high +*CAP +1 *41086:A 0 +2 *8731:DIODE 0.000413609 +3 *38890:HI[290] 0.000223276 +4 *2097:31 0.0014861 +5 *2097:12 0.00342118 +6 *2097:8 0.00496905 +7 *2097:7 0.00284363 +8 *8731:DIODE *37782:B 0 +9 *8731:DIODE *40361:A 0 +10 *8731:DIODE *4083:39 0 +11 *8731:DIODE *4489:43 0 +12 *2097:7 *41073:A 0 +13 *2097:8 *3365:13 0 +14 *2097:8 *3969:33 0 +15 *2097:8 *4253:11 0 +16 *2097:12 *3236:105 0 +17 *2097:12 *3330:33 0 +18 *2097:12 *3969:33 0 +19 *2097:12 *3969:35 0 +20 *2097:12 *4062:19 0 +21 *2097:12 *4253:11 0 +22 *2097:31 *40340:A 0 +23 *2097:31 *2117:64 0 +24 *2097:31 *3243:50 0 +25 *2097:31 *4025:29 0 +26 *2097:31 *4025:44 0 +27 *2097:31 *4053:41 0 +28 *2097:31 *4067:17 0 +29 *2097:31 *4108:9 0 +30 *41184:A *2097:7 0 +31 *1972:12 *2097:8 0 +32 *1972:32 *2097:12 0 +33 *2073:60 *2097:31 0 +34 *2093:22 *2097:7 0 +*RES +1 *38890:HI[290] *2097:7 9.57143 +2 *2097:7 *2097:8 54.75 +3 *2097:8 *2097:12 49.0179 +4 *2097:12 *2097:31 41.5893 +5 *2097:31 *8731:DIODE 27.6571 +6 *2097:31 *41086:A 9.3 +*END + +*D_NET *2098 0.0182981 +*CONN +*I *41083:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8728:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[291] O *D mprj_logic_high +*CAP +1 *41083:A 0.00040203 +2 *8728:DIODE 0 +3 *38890:HI[291] 0.00151573 +4 *2098:41 0.00241886 +5 *2098:30 0.00460082 +6 *2098:29 0.00521444 +7 *2098:13 0.00414618 +8 *41083:A *3365:10 0 +9 *2098:13 *40256:A 0 +10 *2098:13 *2186:18 0 +11 *2098:13 *2186:29 0 +12 *2098:13 *2850:21 0 +13 *2098:13 *3137:11 0 +14 *2098:13 *3336:22 0 +15 *2098:13 *3336:30 0 +16 *2098:13 *3374:104 0 +17 *2098:13 *4839:17 0 +18 *2098:29 *2120:35 0 +19 *2098:29 *3356:9 0 +20 *2098:29 *3366:15 0 +21 *2098:29 *3366:19 0 +22 *2098:29 *3605:16 0 +23 *2098:29 *3638:12 0 +24 *2098:29 *4468:33 0 +25 *2098:29 *4477:24 0 +26 *2098:30 *2139:16 0 +27 *2098:30 *3351:9 0 +28 *2098:30 *3351:15 0 +29 *2098:30 *4474:26 0 +30 *2098:41 *2135:28 0 +31 *2098:41 *2844:27 0 +32 *2098:41 *3359:20 0 +33 *2098:41 *4002:13 0 +34 *2098:41 *4481:14 0 +35 *5232:DIODE *2098:30 0 +36 *37369:A *2098:29 0 +37 *2023:24 *2098:29 0 +38 *2027:16 *2098:30 0 +39 *2033:31 *2098:29 0 +40 *2035:15 *2098:41 0 +41 *2055:10 *2098:29 0 +42 *2082:12 *2098:13 0 +43 *2091:31 *2098:41 0 +*RES +1 *38890:HI[291] *2098:13 46.5618 +2 *2098:13 *2098:29 47.4577 +3 *2098:29 *2098:30 53.9286 +4 *2098:30 *2098:41 30.9895 +5 *2098:41 *8728:DIODE 9.3 +6 *2098:41 *41083:A 27.1214 +*END + +*D_NET *2099 0.00822424 +*CONN +*I *41082:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[292] O *D mprj_logic_high +*CAP +1 *41082:A 0.000419257 +2 *38890:HI[292] 0.00369286 +3 *2099:39 0.00411212 +4 *41082:A *4290:20 0 +5 *2099:39 *37766:B 0 +6 *2099:39 *2100:23 0 +7 *2099:39 *3345:30 0 +8 *2099:39 *3919:19 0 +9 *2099:39 *4076:32 0 +10 *2099:39 *4090:21 0 +11 *2099:39 *4165:11 0 +12 *2099:39 *4243:21 0 +13 *41123:A *41082:A 0 +14 *41280:A *41082:A 0 +15 *41287:A *41082:A 0 +16 *1956:54 *41082:A 0 +17 *1977:25 *2099:39 0 +18 *1994:18 *2099:39 0 +19 *1998:51 *2099:39 0 +20 *2000:11 *2099:39 0 +21 *2006:25 *2099:39 0 +22 *2083:34 *2099:39 0 +23 *2091:19 *2099:39 0 +*RES +1 *38890:HI[292] *2099:39 48.9183 +2 *2099:39 *41082:A 18.05 +*END + +*D_NET *2100 0.0123378 +*CONN +*I *41081:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8727:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[293] O *D mprj_logic_high +*CAP +1 *41081:A 0.000246798 +2 *8727:DIODE 0 +3 *38890:HI[293] 0.000397059 +4 *2100:24 0.000843169 +5 *2100:23 0.00311815 +6 *2100:8 0.00492865 +7 *2100:7 0.00280393 +8 *41081:A *3247:29 0 +9 *2100:7 *2147:7 0 +10 *2100:7 *2148:7 0 +11 *2100:8 *2145:60 0 +12 *2100:8 *3246:9 0 +13 *2100:23 *38012:A_N 0 +14 *2100:23 *39105:A 0 +15 *2100:23 *2143:45 0 +16 *2100:23 *4118:21 0 +17 *2100:24 *3247:29 0 +18 *1958:19 *2100:23 0 +19 *1968:23 *2100:8 0 +20 *1978:15 *41081:A 0 +21 *1992:9 *2100:7 0 +22 *2010:25 *2100:23 0 +23 *2027:16 *2100:23 0 +24 *2031:28 *2100:8 0 +25 *2054:20 *2100:8 0 +26 *2078:15 *2100:7 0 +27 *2099:39 *2100:23 0 +*RES +1 *38890:HI[293] *2100:7 13.5179 +2 *2100:7 *2100:8 50.2321 +3 *2100:8 *2100:23 42.1508 +4 *2100:23 *2100:24 12.4464 +5 *2100:24 *8727:DIODE 9.3 +6 *2100:24 *41081:A 23.7464 +*END + +*D_NET *2101 0.0198807 +*CONN +*I *6462:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38322:B I *D sky130_fd_sc_hd__and2_1 +*I *38890:HI[294] O *D mprj_logic_high +*CAP +1 *6462:DIODE 0.000465527 +2 *38322:B 0.000270445 +3 *38890:HI[294] 0.00864734 +4 *2101:34 0.00129303 +5 *2101:27 0.0092044 +6 *6462:DIODE *40250:A 0 +7 *6462:DIODE *2254:87 0 +8 *6462:DIODE *3320:129 0 +9 *6462:DIODE *3322:82 0 +10 *6462:DIODE *4022:26 0 +11 *6462:DIODE *4110:57 0 +12 *6462:DIODE *4134:61 0 +13 *6462:DIODE *4230:25 0 +14 *38322:B *3989:67 0 +15 *38322:B *4006:23 0 +16 *38322:B *4022:26 0 +17 *2101:27 *2229:18 0 +18 *2101:27 *2272:46 0 +19 *2101:27 *2278:16 0 +20 *2101:27 *2280:30 0 +21 *2101:27 *2295:28 0 +22 *2101:27 *2342:13 0 +23 *2101:27 *2858:15 0 +24 *2101:27 *3340:20 0 +25 *2101:27 *3340:38 0 +26 *2101:27 *3372:24 0 +27 *2101:27 *3447:40 0 +28 *2101:27 *3797:30 0 +29 *2101:27 *3806:53 0 +30 *2101:27 *3956:50 0 +31 *2101:27 *3985:23 0 +32 *2101:27 *4129:41 0 +33 *2101:27 *4231:26 0 +34 *2101:27 *4365:34 0 +35 *2101:27 *4373:16 0 +36 *2101:27 *4379:47 0 +37 *2101:34 *2253:53 0 +38 *2101:34 *2254:87 0 +39 *2101:34 *3320:129 0 +40 *1535:14 *6462:DIODE 0 +*RES +1 *38890:HI[294] *2101:27 48.9254 +2 *2101:27 *2101:34 16.1868 +3 *2101:34 *38322:B 19.675 +4 *2101:34 *6462:DIODE 23.4964 +*END + +*D_NET *2102 0.0057778 +*CONN +*I *41080:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[295] O *D mprj_logic_high +*CAP +1 *41080:A 0.000672383 +2 *38890:HI[295] 0.00221652 +3 *2102:16 0.0028889 +4 *41080:A *8748:DIODE 0 +5 *41080:A *4039:18 0 +6 *41080:A *4046:12 0 +7 *41080:A *4083:11 0 +8 *41080:A *4284:21 0 +9 *2102:16 *41057:A 0 +10 *2102:16 *2110:23 0 +11 *2102:16 *2113:13 0 +12 *2102:16 *2125:33 0 +13 *2102:16 *2134:21 0 +14 *2102:16 *2136:17 0 +15 *2102:16 *2154:16 0 +16 *2102:16 *3223:19 0 +17 *2102:16 *3270:135 0 +18 *2102:16 *3345:59 0 +19 *2102:16 *4046:12 0 +20 *2102:16 *4083:11 0 +21 *2102:16 *4234:11 0 +22 *2102:16 *4299:23 0 +23 *5618:DIODE *41080:A 0 +24 *41147:A *41080:A 0 +25 *41237:A *2102:16 0 +26 *41295:A *2102:16 0 +27 *1990:16 *2102:16 0 +28 *2087:12 *2102:16 0 +*RES +1 *38890:HI[295] *2102:16 48.5462 +2 *2102:16 *41080:A 32.6036 +*END + +*D_NET *2103 0.0261735 +*CONN +*I *41078:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8725:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[296] O *D mprj_logic_high +*CAP +1 *41078:A 0.00048338 +2 *8725:DIODE 0 +3 *38890:HI[296] 0.00474639 +4 *2103:49 0.00121727 +5 *2103:48 0.00785699 +6 *2103:24 0.0118695 +7 *41078:A *2253:65 0 +8 *41078:A *3322:56 0 +9 *2103:24 *40446:A 0 +10 *2103:24 *2149:14 0 +11 *2103:24 *3351:26 0 +12 *2103:24 *3359:20 0 +13 *2103:24 *3359:29 0 +14 *2103:24 *4002:13 0 +15 *2103:24 *4468:37 0 +16 *2103:24 *5137:11 0 +17 *2103:48 *2149:14 0 +18 *2103:48 *2415:50 0 +19 *2103:48 *2428:46 0 +20 *2103:48 *2431:112 0 +21 *2103:48 *2437:40 0 +22 *2103:48 *3140:84 0 +23 *2103:48 *3158:29 0 +24 *2103:48 *3244:32 0 +25 *2103:48 *3314:28 0 +26 *2103:48 *3359:29 0 +27 *2103:48 *3792:37 0 +28 *2103:48 *3809:30 0 +29 *2103:48 *3973:21 0 +30 *2103:48 *4002:23 0 +31 *2103:48 *4122:65 0 +32 *2103:49 *3322:56 0 +33 *2103:49 *5192:15 0 +34 *1963:16 *2103:24 0 +35 *1971:35 *2103:24 0 +36 *1972:7 *2103:24 0 +37 *1978:15 *2103:24 0 +38 *1981:12 *2103:24 0 +*RES +1 *38890:HI[296] *2103:24 44.9495 +2 *2103:24 *2103:48 46.1524 +3 *2103:48 *2103:49 16.6696 +4 *2103:49 *8725:DIODE 13.8 +5 *2103:49 *41078:A 24.9071 +*END + +*D_NET *2104 0.0137164 +*CONN +*I *8723:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41076:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[297] O *D mprj_logic_high +*CAP +1 *8723:DIODE 0.000298186 +2 *41076:A 0 +3 *38890:HI[297] 0.00298946 +4 *2104:34 0.000633837 +5 *2104:28 0.00357056 +6 *2104:26 0.00622436 +7 *8723:DIODE *3214:11 0 +8 *8723:DIODE *3214:13 0 +9 *8723:DIODE *4239:10 0 +10 *2104:26 *2107:33 0 +11 *2104:26 *2741:48 0 +12 *2104:26 *3232:24 0 +13 *2104:26 *3594:26 0 +14 *2104:26 *4130:13 0 +15 *2104:28 *40946:A 0 +16 *2104:28 *2107:28 0 +17 *2104:28 *3934:30 0 +18 *2104:28 *4155:29 0 +19 *2104:34 *4047:33 0 +20 *1806:35 *2104:26 0 +21 *1961:26 *2104:28 0 +22 *1980:10 *2104:26 0 +23 *1980:15 *2104:26 0 +24 *1985:9 *2104:26 0 +25 *1991:28 *2104:28 0 +26 *1991:42 *2104:28 0 +27 *2037:22 *2104:28 0 +28 *2064:14 *2104:26 0 +29 *2078:16 *2104:28 0 +*RES +1 *38890:HI[297] *2104:26 41.5016 +2 *2104:26 *2104:28 67.4821 +3 *2104:28 *2104:34 16.2857 +4 *2104:34 *41076:A 9.3 +5 *2104:34 *8723:DIODE 15.5857 +*END + +*D_NET *2105 0.00403377 +*CONN +*I *41073:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[298] O *D mprj_logic_high +*CAP +1 *41073:A 0.00201688 +2 *38890:HI[298] 0.00201688 +3 *41073:A *41063:A 0 +4 *41073:A *2113:13 0 +5 *41073:A *3247:29 0 +6 *41073:A *4025:20 0 +7 *41073:A *4047:58 0 +8 *41073:A *4250:23 0 +9 *41073:A *4258:19 0 +10 *41073:A *4262:14 0 +11 *41141:A *41073:A 0 +12 *41184:A *41073:A 0 +13 *1961:32 *41073:A 0 +14 *2093:22 *41073:A 0 +15 *2097:7 *41073:A 0 +*RES +1 *38890:HI[298] *41073:A 49.2033 +*END + +*D_NET *2106 0.0291917 +*CONN +*I *8718:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41070:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[299] O *D mprj_logic_high +*CAP +1 *8718:DIODE 0.000658089 +2 *41070:A 0 +3 *38890:HI[299] 0.00201396 +4 *2106:32 0.000811917 +5 *2106:23 0.0119238 +6 *2106:15 0.0137839 +7 *8718:DIODE *8767:DIODE 0 +8 *8718:DIODE *3977:14 0 +9 *8718:DIODE *4001:23 0 +10 *8718:DIODE *4001:28 0 +11 *8718:DIODE *4107:23 0 +12 *8718:DIODE *4116:43 0 +13 *8718:DIODE *4408:15 0 +14 *2106:15 *37766:B 0 +15 *2106:15 *3324:14 0 +16 *2106:15 *4250:23 0 +17 *2106:23 *2124:23 0 +18 *2106:23 *2361:16 0 +19 *2106:23 *2361:22 0 +20 *2106:23 *2404:16 0 +21 *2106:23 *2409:22 0 +22 *2106:23 *2433:22 0 +23 *2106:23 *2844:41 0 +24 *2106:23 *3716:17 0 +25 *2106:23 *4079:57 0 +26 *2106:23 *4105:45 0 +27 *2106:23 *4490:55 0 +28 *2106:32 *4096:44 0 +29 *2106:32 *4107:23 0 +30 *2106:32 *4299:33 0 +31 *2106:32 *4408:15 0 +32 *6687:DIODE *2106:15 0 +33 *7007:DIODE *2106:15 0 +34 *8772:DIODE *8718:DIODE 0 +35 *479:5 *2106:15 0 +36 *607:7 *2106:15 0 +37 *1282:17 *2106:15 0 +38 *1960:22 *2106:23 0 +39 *1987:36 *2106:23 0 +40 *1989:23 *2106:15 0 +41 *2002:7 *2106:15 0 +42 *2011:19 *2106:15 0 +43 *2035:15 *2106:15 0 +44 *2052:21 *2106:15 0 +45 *2053:36 *2106:23 0 +46 *2072:48 *2106:23 0 +*RES +1 *38890:HI[299] *2106:15 49.1511 +2 *2106:15 *2106:23 47.0777 +3 *2106:23 *2106:32 13.9126 +4 *2106:32 *41070:A 9.3 +5 *2106:32 *8718:DIODE 32.3536 +*END + +*D_NET *2107 0.0153465 +*CONN +*I *37792:A I *D sky130_fd_sc_hd__and2_1 +*I *5643:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[29] O *D mprj_logic_high +*CAP +1 *37792:A 0.000370705 +2 *5643:DIODE 0 +3 *38890:HI[29] 0.00137911 +4 *2107:45 0.00242026 +5 *2107:33 0.00419939 +6 *2107:28 0.00387389 +7 *2107:19 0.00310316 +8 *37792:A *41002:A 0 +9 *37792:A *3898:39 0 +10 *37792:A *4173:7 0 +11 *2107:19 *38236:A 0 +12 *2107:19 *2122:13 0 +13 *2107:19 *2150:20 0 +14 *2107:19 *4122:13 0 +15 *2107:19 *4143:15 0 +16 *2107:19 *4410:31 0 +17 *2107:28 *2140:39 0 +18 *2107:28 *3214:13 0 +19 *2107:28 *3258:62 0 +20 *2107:28 *4155:47 0 +21 *2107:33 *3156:55 0 +22 *2107:33 *3902:49 0 +23 *2107:33 *3912:15 0 +24 *2107:45 *2144:61 0 +25 *2107:45 *3374:76 0 +26 *2107:45 *3846:16 0 +27 *2107:45 *3902:61 0 +28 *2107:45 *4130:13 0 +29 *2107:45 *4141:14 0 +30 *2107:45 *4143:36 0 +31 *2107:45 *4464:12 0 +32 *8794:DIODE *37792:A 0 +33 *8806:DIODE *2107:45 0 +34 *38236:B *2107:19 0 +35 *41181:A *37792:A 0 +36 *1517:14 *2107:19 0 +37 *1806:35 *2107:45 0 +38 *1961:26 *2107:28 0 +39 *1973:24 *2107:19 0 +40 *1983:32 *2107:19 0 +41 *1985:9 *2107:33 0 +42 *1991:28 *2107:33 0 +43 *1994:18 *2107:19 0 +44 *2006:25 *2107:19 0 +45 *2012:17 *2107:19 0 +46 *2026:25 *2107:45 0 +47 *2027:43 *2107:33 0 +48 *2068:8 *37792:A 0 +49 *2068:24 *37792:A 0 +50 *2078:16 *2107:28 0 +51 *2083:17 *2107:19 0 +52 *2096:17 *37792:A 0 +53 *2104:26 *2107:33 0 +54 *2104:28 *2107:28 0 +*RES +1 *38890:HI[29] *2107:19 43.6786 +2 *2107:19 *2107:28 45.1964 +3 *2107:28 *2107:33 49.5446 +4 *2107:33 *2107:45 32.7774 +5 *2107:45 *5643:DIODE 9.3 +6 *2107:45 *37792:A 26.1571 +*END + +*D_NET *2108 0.00598202 +*CONN +*I *41068:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[2] O *D mprj_logic_high +*CAP +1 *41068:A 0 +2 *38890:HI[2] 0.00152553 +3 *2108:46 0.00146548 +4 *2108:26 0.00299101 +5 *2108:26 *40256:A 0 +6 *2108:26 *2147:8 0 +7 *2108:26 *2741:69 0 +8 *2108:26 *3373:18 0 +9 *2108:26 *3919:19 0 +10 *2108:26 *4143:15 0 +11 *2108:26 *4144:12 0 +12 *2108:46 *3214:13 0 +13 *2108:46 *3214:21 0 +14 *2108:46 *3912:14 0 +15 *2108:46 *3919:19 0 +16 *2108:46 *4189:22 0 +17 *8781:DIODE *2108:46 0 +18 *41094:A *2108:46 0 +19 *41159:A *2108:46 0 +20 *1961:25 *2108:46 0 +21 *1980:10 *2108:26 0 +22 *2005:35 *2108:26 0 +23 *2013:9 *2108:26 0 +24 *2031:28 *2108:26 0 +25 *2031:28 *2108:46 0 +26 *2094:24 *2108:26 0 +*RES +1 *38890:HI[2] *2108:26 48.8073 +2 *2108:26 *2108:46 44.2928 +3 *2108:46 *41068:A 9.3 +*END + +*D_NET *2109 0.00235069 +*CONN +*I *41063:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[300] O *D mprj_logic_high +*CAP +1 *41063:A 0.00117534 +2 *38890:HI[300] 0.00117534 +3 *41063:A *2113:13 0 +4 *41063:A *3157:5 0 +5 *41063:A *3255:49 0 +6 *41063:A *4096:10 0 +7 *41063:A *4308:14 0 +8 *41073:A *41063:A 0 +9 *2050:30 *41063:A 0 +10 *2065:18 *41063:A 0 +*RES +1 *38890:HI[300] *41063:A 48.5679 +*END + +*D_NET *2110 0.00628754 +*CONN +*I *41060:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[301] O *D mprj_logic_high +*CAP +1 *41060:A 0.0010562 +2 *38890:HI[301] 0.00208757 +3 *2110:23 0.00314377 +4 *41060:A *8640:DIODE 0 +5 *41060:A *37772:B 0 +6 *41060:A *2136:45 0 +7 *41060:A *3128:39 0 +8 *41060:A *3247:22 0 +9 *41060:A *3934:77 0 +10 *41060:A *4300:13 0 +11 *41060:A *4863:14 0 +12 *2110:23 *2124:23 0 +13 *2110:23 *2137:27 0 +14 *2110:23 *2844:27 0 +15 *2110:23 *4122:65 0 +16 *2110:23 *4302:51 0 +17 *2110:23 *4306:35 0 +18 *8742:DIODE *41060:A 0 +19 *8779:DIODE *41060:A 0 +20 *41097:A *2110:23 0 +21 *41147:A *41060:A 0 +22 *41247:A *41060:A 0 +23 *1995:21 *2110:23 0 +24 *2073:20 *2110:23 0 +25 *2087:12 *2110:23 0 +26 *2102:16 *2110:23 0 +*RES +1 *38890:HI[301] *2110:23 43.4818 +2 *2110:23 *41060:A 40.4786 +*END + +*D_NET *2111 0.017121 +*CONN +*I *41057:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8708:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[302] O *D mprj_logic_high +*CAP +1 *41057:A 0.000314668 +2 *8708:DIODE 0 +3 *38890:HI[302] 0.00131272 +4 *2111:49 0.000891987 +5 *2111:43 0.00216858 +6 *2111:28 0.0045098 +7 *2111:26 0.00476451 +8 *2111:13 0.00315869 +9 *41057:A *3947:8 0 +10 *2111:13 *3246:8 0 +11 *2111:13 *4145:9 0 +12 *2111:13 *4481:25 0 +13 *2111:26 *40452:A 0 +14 *2111:26 *2127:21 0 +15 *2111:26 *2138:28 0 +16 *2111:26 *3355:7 0 +17 *2111:28 *3228:85 0 +18 *2111:28 *4122:56 0 +19 *2111:43 *2121:18 0 +20 *2111:43 *3228:85 0 +21 *2111:43 *3355:25 0 +22 *2111:49 *3355:25 0 +23 *1978:16 *2111:26 0 +24 *1978:16 *2111:28 0 +25 *1986:27 *2111:43 0 +26 *1990:16 *41057:A 0 +27 *1993:29 *2111:28 0 +28 *2008:13 *2111:49 0 +29 *2012:17 *2111:13 0 +30 *2014:17 *2111:26 0 +31 *2014:17 *2111:28 0 +32 *2018:7 *2111:13 0 +33 *2029:31 *2111:26 0 +34 *2070:56 *41057:A 0 +35 *2077:23 *2111:28 0 +36 *2077:23 *2111:43 0 +37 *2080:12 *2111:43 0 +38 *2081:32 *2111:43 0 +39 *2081:32 *2111:49 0 +40 *2091:31 *2111:26 0 +41 *2102:16 *41057:A 0 +*RES +1 *38890:HI[302] *2111:13 42.875 +2 *2111:13 *2111:26 47.9821 +3 *2111:26 *2111:28 60.9107 +4 *2111:28 *2111:43 47.0893 +5 *2111:43 *2111:49 21.2321 +6 *2111:49 *8708:DIODE 13.8 +7 *2111:49 *41057:A 20.8536 +*END + +*D_NET *2112 0.022027 +*CONN +*I *41054:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8705:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[303] O *D mprj_logic_high +*CAP +1 *41054:A 0 +2 *8705:DIODE 0.000516881 +3 *38890:HI[303] 6.44953e-05 +4 *2112:31 0.00110788 +5 *2112:30 0.00178839 +6 *2112:10 0.00984114 +7 *2112:9 0.00870824 +8 *8705:DIODE *3328:53 0 +9 *8705:DIODE *4246:27 0 +10 *8705:DIODE *4485:41 0 +11 *8705:DIODE *4857:18 0 +12 *2112:9 *3876:23 0 +13 *2112:10 *2151:19 0 +14 *2112:10 *3596:21 0 +15 *2112:10 *4212:16 0 +16 *2112:10 *4258:19 0 +17 *2112:10 *4409:9 0 +18 *2112:30 *3989:21 0 +19 *2112:30 *4053:38 0 +20 *2112:30 *4409:9 0 +21 *2112:31 *8748:DIODE 0 +22 *2112:31 *40371:A 0 +23 *2112:31 *2133:56 0 +24 *2112:31 *4089:17 0 +25 *1530:15 *2112:10 0 +26 *1965:14 *2112:10 0 +27 *1967:16 *2112:10 0 +28 *1970:11 *2112:10 0 +29 *1977:12 *2112:10 0 +30 *1983:22 *2112:9 0 +31 *1997:8 *2112:10 0 +32 *1997:8 *2112:30 0 +33 *2015:12 *2112:10 0 +34 *2038:8 *2112:10 0 +35 *2040:11 *2112:30 0 +36 *2060:15 *2112:10 0 +37 *2077:47 *8705:DIODE 0 +38 *2095:8 *2112:10 0 +*RES +1 *38890:HI[303] *2112:9 5.96429 +2 *2112:9 *2112:10 180.429 +3 *2112:10 *2112:30 39.5179 +4 *2112:30 *2112:31 13.4821 +5 *2112:31 *8705:DIODE 25.3536 +6 *2112:31 *41054:A 13.8 +*END + +*D_NET *2113 0.0149055 +*CONN +*I *8702:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41051:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[304] O *D mprj_logic_high +*CAP +1 *8702:DIODE 0.000498718 +2 *41051:A 0 +3 *38890:HI[304] 0.00215259 +4 *2113:28 0.00132684 +5 *2113:22 0.00253234 +6 *2113:14 0.00397335 +7 *2113:13 0.00442171 +8 *8702:DIODE *3316:7 0 +9 *8702:DIODE *4029:16 0 +10 *8702:DIODE *4061:53 0 +11 *8702:DIODE *4303:96 0 +12 *2113:13 *2136:17 0 +13 *2113:13 *2137:27 0 +14 *2113:13 *3258:39 0 +15 *2113:13 *4086:20 0 +16 *2113:13 *4116:15 0 +17 *2113:13 *4273:22 0 +18 *2113:14 *40978:A 0 +19 *2113:14 *2131:48 0 +20 *2113:14 *3133:65 0 +21 *2113:14 *3133:70 0 +22 *2113:14 *3243:51 0 +23 *2113:14 *4046:24 0 +24 *2113:22 *2131:60 0 +25 *2113:22 *3236:98 0 +26 *2113:22 *3961:8 0 +27 *2113:22 *4105:15 0 +28 *2113:28 *41131:A 0 +29 *2113:28 *2232:74 0 +30 *2113:28 *4061:53 0 +31 *2113:28 *4303:96 0 +32 *41063:A *2113:13 0 +33 *41073:A *2113:13 0 +34 *1979:24 *2113:14 0 +35 *2047:10 *2113:22 0 +36 *2072:10 *2113:13 0 +37 *2102:16 *2113:13 0 +*RES +1 *38890:HI[304] *2113:13 25.7816 +2 *2113:13 *2113:14 47.3571 +3 *2113:14 *2113:22 49.7679 +4 *2113:22 *2113:28 23.2143 +5 *2113:28 *41051:A 9.3 +6 *2113:28 *8702:DIODE 29.5857 +*END + +*D_NET *2114 0.00658067 +*CONN +*I *41048:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[305] O *D mprj_logic_high +*CAP +1 *41048:A 0.000349002 +2 *38890:HI[305] 0.00118998 +3 *2114:26 0.00210035 +4 *2114:10 0.00294133 +5 *2114:10 *8985:DIODE 0 +6 *2114:10 *2131:21 0 +7 *2114:10 *2139:16 0 +8 *2114:10 *4122:31 0 +9 *2114:10 *4287:15 0 +10 *2114:26 *2145:38 0 +11 *2114:26 *2145:42 0 +12 *2114:26 *3147:63 0 +13 *2114:26 *3243:51 0 +14 *2114:26 *4307:11 0 +15 *41154:A *41048:A 0 +16 *1929:18 *2114:10 0 +17 *1961:32 *41048:A 0 +18 *1971:35 *2114:26 0 +19 *1984:12 *2114:26 0 +20 *2033:7 *41048:A 0 +21 *2060:15 *2114:10 0 +22 *2079:27 *2114:10 0 +23 *2079:27 *2114:26 0 +24 *2083:34 *2114:10 0 +25 *2084:11 *2114:10 0 +26 *2084:11 *2114:26 0 +*RES +1 *38890:HI[305] *2114:10 30 +2 *2114:10 *2114:26 45.9643 +3 *2114:26 *41048:A 25.8893 +*END + +*D_NET *2115 0.0198277 +*CONN +*I *8697:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41045:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[306] O *D mprj_logic_high +*CAP +1 *8697:DIODE 4.23535e-05 +2 *41045:A 0.000576474 +3 *38890:HI[306] 0.00190815 +4 *2115:70 0.00210574 +5 *2115:66 0.0037906 +6 *2115:49 0.00589996 +7 *2115:24 0.00550442 +8 *41045:A *8854:DIODE 0 +9 *41045:A *38320:A 0 +10 *41045:A *38320:B 0 +11 *41045:A *3989:41 0 +12 *41045:A *4113:37 0 +13 *41045:A *4134:32 0 +14 *2115:24 *37379:A 0 +15 *2115:24 *2118:25 0 +16 *2115:24 *2121:15 0 +17 *2115:24 *2437:48 0 +18 *2115:24 *2439:38 0 +19 *2115:24 *3172:13 0 +20 *2115:24 *3359:29 0 +21 *2115:24 *3365:13 0 +22 *2115:24 *4053:24 0 +23 *2115:24 *4062:11 0 +24 *2115:24 *5159:20 0 +25 *2115:49 *2141:34 0 +26 *2115:49 *2405:24 0 +27 *2115:49 *2409:22 0 +28 *2115:49 *2437:48 0 +29 *2115:49 *2439:38 0 +30 *2115:49 *2461:20 0 +31 *2115:49 *3449:26 0 +32 *2115:49 *3523:32 0 +33 *2115:49 *3809:30 0 +34 *2115:49 *3816:33 0 +35 *2115:49 *3838:32 0 +36 *2115:49 *3860:37 0 +37 *2115:49 *3893:22 0 +38 *2115:49 *3905:22 0 +39 *2115:49 *4490:55 0 +40 *2115:49 *4501:20 0 +41 *2115:49 *4867:28 0 +42 *2115:49 *5159:20 0 +43 *2115:66 *2405:40 0 +44 *2115:66 *3807:40 0 +45 *2115:66 *4495:40 0 +46 *2115:66 *4496:33 0 +47 *2115:66 *4872:19 0 +48 *2115:66 *5192:9 0 +49 *2115:70 *2383:42 0 +50 *2115:70 *3144:50 0 +51 *2115:70 *3153:24 0 +52 *2115:70 *3244:32 0 +53 *2115:70 *3322:56 0 +54 *2115:70 *3530:41 0 +55 *2115:70 *4221:21 0 +56 *2115:70 *4253:39 0 +57 *2115:70 *4303:112 0 +58 la_data_in_mprj[82] *2115:49 0 +59 *6562:DIODE *2115:49 0 +60 *6704:DIODE *2115:49 0 +61 *37375:A *2115:24 0 +62 *495:8 *2115:49 0 +63 *626:5 *2115:66 0 +64 *879:8 *2115:49 0 +65 *1559:10 *41045:A 0 +66 *1958:31 *2115:24 0 +67 *1981:65 *2115:70 0 +68 *1987:36 *2115:49 0 +69 *1987:36 *2115:66 0 +70 *2069:9 *2115:24 0 +71 *2080:12 *2115:24 0 +72 *2087:12 *2115:24 0 +73 *2093:43 *2115:24 0 +*RES +1 *38890:HI[306] *2115:24 49.806 +2 *2115:24 *2115:49 48.5479 +3 *2115:49 *2115:66 35.7984 +4 *2115:66 *2115:70 38.1161 +5 *2115:70 *41045:A 31.5321 +6 *2115:70 *8697:DIODE 10.2464 +*END + +*D_NET *2116 0.00920391 +*CONN +*I *41043:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[307] O *D mprj_logic_high +*CAP +1 *41043:A 0.00119439 +2 *38890:HI[307] 0.00214488 +3 *2116:45 0.00245708 +4 *2116:19 0.00340757 +5 *41043:A *40520:A 0 +6 *41043:A *2435:68 0 +7 *41043:A *3055:33 0 +8 *41043:A *3816:53 0 +9 *41043:A *3838:32 0 +10 *41043:A *3939:24 0 +11 *41043:A *4061:51 0 +12 *41043:A *4284:51 0 +13 *41043:A *4303:81 0 +14 *2116:19 *2123:24 0 +15 *2116:19 *3330:12 0 +16 *2116:19 *3807:17 0 +17 *2116:19 *4002:23 0 +18 *2116:19 *4227:23 0 +19 *2116:19 *5148:21 0 +20 *2116:45 *8281:DIODE 0 +21 *2116:45 *8322:DIODE 0 +22 *2116:45 *40422:A 0 +23 *2116:45 *2435:97 0 +24 *2116:45 *2437:40 0 +25 *2116:45 *3330:12 0 +26 *2116:45 *3807:40 0 +27 *2116:45 *3934:88 0 +28 *2116:45 *4002:23 0 +29 *2116:45 *4489:26 0 +30 *2116:45 *4500:13 0 +31 *1967:16 *2116:19 0 +32 *2050:55 *2116:19 0 +33 *2051:9 *2116:19 0 +34 *2077:53 *2116:45 0 +35 *2092:21 *2116:19 0 +*RES +1 *38890:HI[307] *2116:19 47.6719 +2 *2116:19 *2116:45 48.1935 +3 *2116:45 *41043:A 44.0946 +*END + +*D_NET *2117 0.0118853 +*CONN +*I *8693:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41040:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[308] O *D mprj_logic_high +*CAP +1 *8693:DIODE 0.000578262 +2 *41040:A 0 +3 *38890:HI[308] 0.00247801 +4 *2117:68 0.000673236 +5 *2117:64 0.00202226 +6 *2117:52 0.0027914 +7 *2117:26 0.00334212 +8 *8693:DIODE *8894:DIODE 0 +9 *8693:DIODE *4012:18 0 +10 *8693:DIODE *4017:29 0 +11 *8693:DIODE *4236:30 0 +12 *8693:DIODE *4279:21 0 +13 *8693:DIODE *4283:36 0 +14 *2117:26 *41106:A 0 +15 *2117:26 *2128:12 0 +16 *2117:26 *2136:45 0 +17 *2117:26 *3219:73 0 +18 *2117:26 *3243:51 0 +19 *2117:26 *3965:20 0 +20 *2117:26 *4072:20 0 +21 *2117:26 *4090:49 0 +22 *2117:26 *4125:15 0 +23 *2117:26 *4277:33 0 +24 *2117:26 *4298:20 0 +25 *2117:52 *3243:50 0 +26 *2117:52 *3330:33 0 +27 *2117:52 *4039:18 0 +28 *2117:52 *4116:15 0 +29 *2117:52 *4116:43 0 +30 *2117:52 *4227:23 0 +31 *2117:52 *4280:20 0 +32 *2117:64 *38020:C 0 +33 *2117:64 *3320:96 0 +34 *2117:64 *4025:44 0 +35 *2117:64 *4025:61 0 +36 *2117:64 *4053:41 0 +37 *2117:64 *4053:57 0 +38 *2117:64 *4108:20 0 +39 *2117:64 *4236:30 0 +40 *2117:68 *4236:30 0 +41 *41268:A *8693:DIODE 0 +42 *41290:A *8693:DIODE 0 +43 *2069:29 *8693:DIODE 0 +44 *2073:60 *2117:52 0 +45 *2073:60 *2117:64 0 +46 *2097:31 *2117:64 0 +*RES +1 *38890:HI[308] *2117:26 49.5436 +2 *2117:26 *2117:52 32.0962 +3 *2117:52 *2117:64 49.625 +4 *2117:64 *2117:68 2.08929 +5 *2117:68 *41040:A 9.3 +6 *2117:68 *8693:DIODE 39.9071 +*END + +*D_NET *2118 0.0193406 +*CONN +*I *8690:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41037:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[309] O *D mprj_logic_high +*CAP +1 *8690:DIODE 2.26741e-05 +2 *41037:A 0.00052146 +3 *38890:HI[309] 0.0017353 +4 *2118:65 0.0018951 +5 *2118:56 0.00282694 +6 *2118:39 0.00357611 +7 *2118:28 0.00316818 +8 *2118:25 0.00246376 +9 *2118:11 0.00313103 +10 *8690:DIODE *3236:53 0 +11 *8690:DIODE *4046:52 0 +12 *41037:A *3157:5 0 +13 *41037:A *3236:53 0 +14 *41037:A *3969:72 0 +15 *41037:A *4012:18 0 +16 *41037:A *4046:52 0 +17 *41037:A *4253:39 0 +18 *2118:11 *2121:9 0 +19 *2118:11 *2129:13 0 +20 *2118:11 *2143:32 0 +21 *2118:11 *3216:32 0 +22 *2118:11 *3359:20 0 +23 *2118:25 *2135:30 0 +24 *2118:25 *3139:10 0 +25 *2118:25 *3330:12 0 +26 *2118:25 *4002:23 0 +27 *2118:25 *4122:65 0 +28 *2118:25 *4492:14 0 +29 *2118:25 *4858:32 0 +30 *2118:25 *4858:48 0 +31 *2118:28 *2139:46 0 +32 *2118:28 *2143:31 0 +33 *2118:28 *3140:97 0 +34 *2118:39 *2135:50 0 +35 *2118:39 *2139:46 0 +36 *2118:39 *2139:67 0 +37 *2118:39 *2424:74 0 +38 *2118:39 *3259:77 0 +39 *2118:39 *3305:15 0 +40 *2118:39 *4112:19 0 +41 *2118:39 *4492:19 0 +42 *2118:39 *5159:41 0 +43 *2118:56 *40414:A 0 +44 *2118:56 *2424:69 0 +45 *2118:56 *2435:68 0 +46 *2118:56 *3444:5 0 +47 *2118:56 *3800:43 0 +48 *2118:56 *3813:45 0 +49 *2118:56 *3893:22 0 +50 *2118:56 *4864:12 0 +51 *2118:56 *5159:41 0 +52 *2118:65 *3148:74 0 +53 *2118:65 *3316:7 0 +54 *2118:65 *4002:30 0 +55 *8872:DIODE *2118:11 0 +56 *1816:22 *2118:25 0 +57 *2053:22 *2118:28 0 +58 *2053:22 *2118:39 0 +59 *2080:33 *2118:65 0 +60 *2088:49 *2118:11 0 +61 *2115:24 *2118:25 0 +*RES +1 *38890:HI[309] *2118:11 47.4643 +2 *2118:11 *2118:25 45.6425 +3 *2118:25 *2118:28 22.3214 +4 *2118:28 *2118:39 49.0536 +5 *2118:39 *2118:56 49.0179 +6 *2118:56 *2118:65 43.2679 +7 *2118:65 *41037:A 29.7464 +8 *2118:65 *8690:DIODE 9.83571 +*END + +*D_NET *2119 0.00930773 +*CONN +*I *37794:A I *D sky130_fd_sc_hd__and2_1 +*I *5645:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[30] O *D mprj_logic_high +*CAP +1 *37794:A 4.53482e-05 +2 *5645:DIODE 0.00044649 +3 *38890:HI[30] 0.00416202 +4 *2119:11 0.00465386 +5 *5645:DIODE *3934:59 0 +6 *5645:DIODE *3989:14 0 +7 *37794:A *3147:37 0 +8 *2119:11 *2123:24 0 +9 *2119:11 *2128:12 0 +10 *2119:11 *2132:42 0 +11 *2119:11 *3727:23 0 +12 *2119:11 *3989:14 0 +13 *2119:11 *4025:20 0 +14 *2119:11 *4062:10 0 +15 *2119:11 *4090:21 0 +16 *2119:11 *4090:49 0 +17 *2119:11 *4122:31 0 +18 *1975:37 *5645:DIODE 0 +19 *1978:15 *2119:11 0 +20 *1984:12 *2119:11 0 +21 *2020:24 *2119:11 0 +22 *2034:18 *5645:DIODE 0 +23 *2034:18 *2119:11 0 +24 *2092:7 *2119:11 0 +*RES +1 *38890:HI[30] *2119:11 31.6266 +2 *2119:11 *5645:DIODE 23.8179 +3 *2119:11 *37794:A 14.7464 +*END + +*D_NET *2120 0.0183333 +*CONN +*I *41034:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8687:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[310] O *D mprj_logic_high +*CAP +1 *41034:A 0.000254063 +2 *8687:DIODE 2.56688e-05 +3 *38890:HI[310] 0.00155444 +4 *2120:65 0.00128333 +5 *2120:56 0.00321368 +6 *2120:55 0.00311135 +7 *2120:40 0.00329231 +8 *2120:35 0.00321752 +9 *2120:21 0.00238091 +10 *41034:A *8655:DIODE 0 +11 *41034:A *2141:14 0 +12 *41034:A *4273:13 0 +13 *2120:21 *40455:A 0 +14 *2120:21 *40532:A 0 +15 *2120:21 *40946:A 0 +16 *2120:21 *2144:32 0 +17 *2120:21 *2149:19 0 +18 *2120:21 *2151:29 0 +19 *2120:35 *3638:12 0 +20 *2120:35 *4474:22 0 +21 *2120:35 *4477:24 0 +22 *2120:40 *3139:23 0 +23 *2120:40 *3139:27 0 +24 *2120:40 *3335:5 0 +25 *2120:55 *3694:19 0 +26 *2120:55 *3705:11 0 +27 *2120:56 *3139:11 0 +28 *2120:56 *4485:14 0 +29 *2120:65 *2135:30 0 +30 *2120:65 *2141:14 0 +31 *2120:65 *3228:85 0 +32 *2120:65 *3727:23 0 +33 *2120:65 *4484:14 0 +34 *2120:65 *4485:14 0 +35 *6679:DIODE *2120:40 0 +36 *6682:DIODE *2120:40 0 +37 *6824:DIODE *2120:40 0 +38 *7003:DIODE *2120:40 0 +39 *7004:DIODE *2120:40 0 +40 *8775:DIODE *2120:21 0 +41 *8781:DIODE *2120:21 0 +42 *41141:A *41034:A 0 +43 *41142:A *2120:21 0 +44 *1807:34 *2120:21 0 +45 *1962:21 *2120:21 0 +46 *1973:13 *2120:21 0 +47 *2023:22 *2120:56 0 +48 *2023:24 *2120:35 0 +49 *2027:22 *2120:21 0 +50 *2031:28 *2120:21 0 +51 *2033:14 *2120:56 0 +52 *2033:27 *2120:55 0 +53 *2039:31 *2120:21 0 +54 *2043:7 *2120:21 0 +55 *2045:17 *2120:21 0 +56 *2072:13 *41034:A 0 +57 *2072:13 *2120:65 0 +58 *2078:15 *2120:21 0 +59 *2098:29 *2120:35 0 +*RES +1 *38890:HI[310] *2120:21 48.625 +2 *2120:21 *2120:35 26.6786 +3 *2120:35 *2120:40 49.9643 +4 *2120:40 *2120:55 37.1786 +5 *2120:55 *2120:56 46.125 +6 *2120:56 *2120:65 35.375 +7 *2120:65 *8687:DIODE 14.3357 +8 *2120:65 *41034:A 19.4429 +*END + +*D_NET *2121 0.0153618 +*CONN +*I *8684:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41031:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[311] O *D mprj_logic_high +*CAP +1 *8684:DIODE 0.000351961 +2 *41031:A 0.000200553 +3 *38890:HI[311] 0.00107823 +4 *2121:45 0.00180205 +5 *2121:30 0.00324785 +6 *2121:18 0.00336304 +7 *2121:15 0.00280228 +8 *2121:9 0.00251579 +9 *8684:DIODE *8897:DIODE 0 +10 *8684:DIODE *4286:11 0 +11 *2121:9 *3147:55 0 +12 *2121:15 *2138:34 0 +13 *2121:18 *5638:DIODE 0 +14 *2121:18 *8532:DIODE 0 +15 *2121:18 *3228:69 0 +16 *2121:18 *4485:32 0 +17 *2121:30 *5243:DIODE 0 +18 *2121:30 *8737:DIODE 0 +19 *2121:30 *40602:A 0 +20 *2121:30 *3270:118 0 +21 *2121:30 *3355:42 0 +22 *2121:30 *3530:20 0 +23 *2121:30 *3800:20 0 +24 *2121:30 *4303:43 0 +25 *2121:30 *4485:32 0 +26 *2121:30 *4485:41 0 +27 *2121:45 *5245:DIODE 0 +28 *2121:45 *2435:97 0 +29 *2121:45 *3206:46 0 +30 *2121:45 *3228:37 0 +31 *2121:45 *3316:7 0 +32 *2121:45 *3518:23 0 +33 *2121:45 *4284:51 0 +34 *2121:45 *4867:28 0 +35 *8774:DIODE *2121:30 0 +36 *8872:DIODE *2121:9 0 +37 *8892:DIODE *2121:18 0 +38 *1967:58 *2121:45 0 +39 *2069:9 *2121:15 0 +40 *2072:10 *2121:9 0 +41 *2077:36 *2121:15 0 +42 *2077:53 *2121:45 0 +43 *2080:12 *2121:18 0 +44 *2081:8 *2121:15 0 +45 *2081:32 *2121:18 0 +46 *2081:50 *2121:30 0 +47 *2088:49 *2121:9 0 +48 *2111:43 *2121:18 0 +49 *2115:24 *2121:15 0 +50 *2118:11 *2121:9 0 +*RES +1 *38890:HI[311] *2121:9 28.9286 +2 *2121:9 *2121:15 39.2857 +3 *2121:15 *2121:18 28.4821 +4 *2121:18 *2121:30 46.3929 +5 *2121:30 *2121:45 44.8661 +6 *2121:45 *41031:A 18.5589 +7 *2121:45 *8684:DIODE 21.5054 +*END + +*D_NET *2122 0.00843425 +*CONN +*I *41028:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8681:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[312] O *D mprj_logic_high +*CAP +1 *41028:A 0.000101392 +2 *8681:DIODE 0.000131021 +3 *38890:HI[312] 0.00146722 +4 *2122:38 0.001189 +5 *2122:30 0.0025175 +6 *2122:13 0.00302813 +7 *8681:DIODE *3214:11 0 +8 *2122:13 *2126:13 0 +9 *2122:13 *2144:25 0 +10 *2122:13 *2150:20 0 +11 *2122:13 *3373:43 0 +12 *2122:13 *4101:20 0 +13 *2122:30 *40339:A 0 +14 *2122:30 *40360:A 0 +15 *2122:30 *3373:43 0 +16 *2122:30 *3373:66 0 +17 *2122:38 *38012:C 0 +18 *2122:38 *40339:A 0 +19 *2122:38 *2139:16 0 +20 *2122:38 *3132:11 0 +21 *2122:38 *3132:15 0 +22 *2122:38 *5126:10 0 +23 *41136:A *2122:13 0 +24 *41143:A *2122:13 0 +25 *41284:A *2122:30 0 +26 *1299:21 *2122:30 0 +27 *1405:30 *41028:A 0 +28 *1405:30 *2122:38 0 +29 *1956:27 *2122:38 0 +30 *1971:14 *2122:30 0 +31 *1983:32 *2122:30 0 +32 *2011:19 *8681:DIODE 0 +33 *2086:33 *2122:13 0 +34 *2107:19 *2122:13 0 +*RES +1 *38890:HI[312] *2122:13 45.1071 +2 *2122:13 *2122:30 46.2857 +3 *2122:30 *2122:38 24.8571 +4 *2122:38 *8681:DIODE 21.1929 +5 *2122:38 *41028:A 11.4786 +*END + +*D_NET *2123 0.00691801 +*CONN +*I *41024:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[313] O *D mprj_logic_high +*CAP +1 *41024:A 0 +2 *38890:HI[313] 0.003459 +3 *2123:24 0.003459 +4 *2123:24 *40978:A 0 +5 *2123:24 *2128:12 0 +6 *2123:24 *2143:23 0 +7 *2123:24 *3269:19 0 +8 *2123:24 *3727:23 0 +9 *2123:24 *3934:77 0 +10 *2123:24 *4090:49 0 +11 *2123:24 *4096:10 0 +12 *2123:24 *4298:20 0 +13 *2123:24 *4303:30 0 +14 *41281:A *2123:24 0 +15 *41296:A *2123:24 0 +16 *1296:15 *2123:24 0 +17 *2093:22 *2123:24 0 +18 *2116:19 *2123:24 0 +19 *2119:11 *2123:24 0 +*RES +1 *38890:HI[313] *2123:24 48.7376 +2 *2123:24 *41024:A 9.3 +*END + +*D_NET *2124 0.0278366 +*CONN +*I *41021:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8675:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[314] O *D mprj_logic_high +*CAP +1 *41021:A 0.000152547 +2 *8675:DIODE 4.53482e-05 +3 *38890:HI[314] 0.00660725 +4 *2124:66 0.00186912 +5 *2124:55 0.00287853 +6 *2124:36 0.00284123 +7 *2124:26 0.0042346 +8 *2124:25 0.00260068 +9 *2124:23 0.00660725 +10 *41021:A *3977:91 0 +11 *41021:A *4886:21 0 +12 *2124:23 *2137:27 0 +13 *2124:23 *2433:22 0 +14 *2124:23 *4053:24 0 +15 *2124:23 *4053:38 0 +16 *2124:26 *2383:31 0 +17 *2124:26 *2415:29 0 +18 *2124:26 *2418:37 0 +19 *2124:26 *2424:54 0 +20 *2124:26 *2428:21 0 +21 *2124:36 *2390:49 0 +22 *2124:36 *2424:50 0 +23 *2124:36 *2428:21 0 +24 *2124:55 *2428:21 0 +25 *2124:55 *3248:42 0 +26 *2124:55 *3500:20 0 +27 *2124:55 *4508:23 0 +28 *2124:55 *4884:17 0 +29 *2124:66 *2424:19 0 +30 *2124:66 *3207:49 0 +31 *2124:66 *3309:16 0 +32 *2124:66 *3977:91 0 +33 *2124:66 *4001:74 0 +34 *2124:66 *4517:43 0 +35 *2124:66 *4880:27 0 +36 la_data_in_mprj[95] *2124:55 0 +37 *6579:DIODE *2124:55 0 +38 *6720:DIODE *2124:55 0 +39 *509:5 *2124:55 0 +40 *510:7 *2124:55 0 +41 *637:10 *2124:55 0 +42 *894:8 *2124:66 0 +43 *1216:17 *2124:23 0 +44 *1987:36 *2124:23 0 +45 *2008:28 *2124:26 0 +46 *2008:45 *2124:36 0 +47 *2008:48 *2124:36 0 +48 *2008:48 *2124:55 0 +49 *2053:36 *2124:23 0 +50 *2106:23 *2124:23 0 +51 *2110:23 *2124:23 0 +*RES +1 *38890:HI[314] *2124:23 46.8949 +2 *2124:23 *2124:25 4.5 +3 *2124:25 *2124:26 54.3393 +4 *2124:26 *2124:36 43.1607 +5 *2124:36 *2124:55 48.8345 +6 *2124:55 *2124:66 46.5536 +7 *2124:66 *8675:DIODE 10.2464 +8 *2124:66 *41021:A 21.7643 +*END + +*D_NET *2125 0.00591093 +*CONN +*I *41019:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[315] O *D mprj_logic_high +*CAP +1 *41019:A 0 +2 *38890:HI[315] 0.00158124 +3 *2125:33 0.00137422 +4 *2125:14 0.00295547 +5 *2125:14 *2131:48 0 +6 *2125:14 *2145:24 0 +7 *2125:14 *4009:14 0 +8 *2125:14 *4305:13 0 +9 *2125:33 *40978:A 0 +10 *2125:33 *2137:27 0 +11 *2125:33 *2143:23 0 +12 *2125:33 *3236:105 0 +13 *2125:33 *3243:51 0 +14 *2125:33 *3969:28 0 +15 *2125:33 *4053:24 0 +16 *2125:33 *4090:49 0 +17 *2065:18 *2125:14 0 +18 *2102:16 *2125:33 0 +*RES +1 *38890:HI[315] *2125:14 47.1429 +2 *2125:14 *2125:33 46.677 +3 *2125:33 *41019:A 13.8 +*END + +*D_NET *2126 0.0176193 +*CONN +*I *8671:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41016:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[316] O *D mprj_logic_high +*CAP +1 *8671:DIODE 0.000158269 +2 *41016:A 0.000265925 +3 *38890:HI[316] 0.0030798 +4 *2126:32 0.00103338 +5 *2126:28 0.00530566 +6 *2126:13 0.00777628 +7 *8671:DIODE *3233:41 0 +8 *8671:DIODE *4118:21 0 +9 *2126:13 *3170:15 0 +10 *2126:13 *3232:24 0 +11 *2126:13 *4076:32 0 +12 *2126:13 *4179:20 0 +13 *2126:13 *4265:19 0 +14 *2126:13 *4296:14 0 +15 *2126:28 *38012:A_N 0 +16 *2126:28 *2844:27 0 +17 *2126:28 *3146:8 0 +18 *2126:28 *4118:21 0 +19 *2126:32 *3233:41 0 +20 *2126:32 *3351:26 0 +21 *2126:32 *4118:21 0 +22 *41196:A *2126:13 0 +23 *1812:23 *2126:13 0 +24 *1958:31 *2126:28 0 +25 *1962:21 *2126:13 0 +26 *1980:15 *2126:13 0 +27 *1980:15 *2126:28 0 +28 *1986:10 *2126:28 0 +29 *1992:10 *2126:28 0 +30 *1998:29 *2126:13 0 +31 *2057:15 *2126:13 0 +32 *2122:13 *2126:13 0 +*RES +1 *38890:HI[316] *2126:13 41.897 +2 *2126:13 *2126:28 49.4203 +3 *2126:28 *2126:32 6.74209 +4 *2126:32 *41016:A 23.2546 +5 *2126:32 *8671:DIODE 18.8048 +*END + +*D_NET *2127 0.00614985 +*CONN +*I *41012:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[317] O *D mprj_logic_high +*CAP +1 *41012:A 0.000715114 +2 *38890:HI[317] 0.000986582 +3 *2127:21 0.00208834 +4 *2127:9 0.00235981 +5 *41012:A *3934:40 0 +6 *41012:A *4155:11 0 +7 *41012:A *4296:23 0 +8 *2127:9 *2131:21 0 +9 *2127:9 *3222:14 0 +10 *2127:9 *4143:14 0 +11 *2127:21 *40452:A 0 +12 *2127:21 *3705:11 0 +13 *2127:21 *4145:9 0 +14 *1961:26 *41012:A 0 +15 *1976:22 *2127:9 0 +16 *1976:31 *2127:9 0 +17 *1976:31 *2127:21 0 +18 *1981:15 *41012:A 0 +19 *2003:7 *2127:9 0 +20 *2014:17 *41012:A 0 +21 *2029:32 *2127:21 0 +22 *2036:17 *2127:9 0 +23 *2042:7 *2127:9 0 +24 *2060:15 *2127:9 0 +25 *2111:26 *2127:21 0 +*RES +1 *38890:HI[317] *2127:9 26.9107 +2 *2127:9 *2127:21 46.8929 +3 *2127:21 *41012:A 33.4071 +*END + +*D_NET *2128 0.021365 +*CONN +*I *41008:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8664:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[318] O *D mprj_logic_high +*CAP +1 *41008:A 4.23535e-05 +2 *8664:DIODE 0.000340375 +3 *38890:HI[318] 0.00958382 +4 *2128:28 0.0010987 +5 *2128:12 0.0102998 +6 *8664:DIODE *2139:67 0 +7 *8664:DIODE *3173:70 0 +8 *8664:DIODE *3530:20 0 +9 *8664:DIODE *4032:37 0 +10 *8664:DIODE *4284:51 0 +11 *41008:A *3247:17 0 +12 *41008:A *4083:11 0 +13 *2128:12 *2135:19 0 +14 *2128:12 *2136:17 0 +15 *2128:12 *2150:20 0 +16 *2128:12 *3269:39 0 +17 *2128:12 *3345:59 0 +18 *2128:12 *3345:78 0 +19 *2128:12 *4125:15 0 +20 *2128:12 *4298:20 0 +21 *2128:28 *2439:14 0 +22 *2128:28 *3143:25 0 +23 *2128:28 *3247:17 0 +24 *2128:28 *4039:18 0 +25 *2128:28 *4083:11 0 +26 *2128:28 *4121:27 0 +27 *2128:28 *4246:27 0 +28 *2128:28 *4277:33 0 +29 *37782:A *8664:DIODE 0 +30 *1517:14 *2128:12 0 +31 *1956:27 *2128:12 0 +32 *1979:24 *2128:12 0 +33 *2020:24 *2128:12 0 +34 *2037:13 *2128:12 0 +35 *2117:26 *2128:12 0 +36 *2119:11 *2128:12 0 +37 *2123:24 *2128:12 0 +*RES +1 *38890:HI[318] *2128:12 49.5266 +2 *2128:12 *2128:28 26.1388 +3 *2128:28 *8664:DIODE 25.9786 +4 *2128:28 *41008:A 10.2464 +*END + +*D_NET *2129 0.0236332 +*CONN +*I *41005:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8661:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[319] O *D mprj_logic_high +*CAP +1 *41005:A 0.000553147 +2 *8661:DIODE 0 +3 *38890:HI[319] 0.00188421 +4 *2129:29 0.00222751 +5 *2129:24 0.0034891 +6 *2129:14 0.00770487 +7 *2129:13 0.00777434 +8 *41005:A *3518:54 0 +9 *41005:A *4236:30 0 +10 *41005:A *4305:50 0 +11 *41005:A *4308:40 0 +12 *2129:13 *3322:18 0 +13 *2129:13 *3449:26 0 +14 *2129:13 *3727:23 0 +15 *2129:13 *4096:10 0 +16 *2129:13 *4489:15 0 +17 *2129:14 *4857:30 0 +18 *2129:24 *2418:37 0 +19 *2129:24 *2428:34 0 +20 *2129:24 *2428:39 0 +21 *2129:29 *2424:54 0 +22 *2129:29 *3518:54 0 +23 *2129:29 *3782:69 0 +24 *2129:29 *3939:62 0 +25 *2129:29 *4305:50 0 +26 la_data_in_mprj[72] *2129:13 0 +27 *6552:DIODE *2129:13 0 +28 *485:5 *2129:13 0 +29 *868:5 *2129:13 0 +30 *1981:16 *2129:14 0 +31 *1982:20 *2129:14 0 +32 *1982:31 *2129:29 0 +33 *2040:14 *2129:14 0 +34 *2051:21 *2129:14 0 +35 *2069:10 *2129:14 0 +36 *2072:13 *2129:13 0 +37 *2087:16 *2129:24 0 +38 *2089:8 *2129:14 0 +39 *2118:11 *2129:13 0 +*RES +1 *38890:HI[319] *2129:13 47.3036 +2 *2129:13 *2129:14 122.929 +3 *2129:14 *2129:24 47.1429 +4 *2129:24 *2129:29 42.5 +5 *2129:29 *8661:DIODE 13.8 +6 *2129:29 *41005:A 26.4607 +*END + +*D_NET *2130 0.00253737 +*CONN +*I *41002:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[31] O *D mprj_logic_high +*CAP +1 *41002:A 0.00126869 +2 *38890:HI[31] 0.00126869 +3 *41002:A *37792:B 0 +4 *41002:A *38214:A 0 +5 *41002:A *4176:32 0 +6 *41002:A *4212:17 0 +7 *8794:DIODE *41002:A 0 +8 *37792:A *41002:A 0 +9 *1295:31 *41002:A 0 +10 *1974:21 *41002:A 0 +11 *2007:13 *41002:A 0 +12 *2024:51 *41002:A 0 +13 *2043:8 *41002:A 0 +14 *2096:17 *41002:A 0 +*RES +1 *38890:HI[31] *41002:A 41.3 +*END + +*D_NET *2131 0.0214814 +*CONN +*I *41001:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8658:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[320] O *D mprj_logic_high +*CAP +1 *41001:A 0 +2 *8658:DIODE 0.00050388 +3 *38890:HI[320] 0.00116544 +4 *2131:78 0.00129337 +5 *2131:60 0.00305392 +6 *2131:48 0.00561592 +7 *2131:47 0.00335149 +8 *2131:45 0.00173022 +9 *2131:35 0.00266597 +10 *2131:21 0.00210119 +11 *8658:DIODE *37632:B 0 +12 *8658:DIODE *2415:50 0 +13 *8658:DIODE *3316:7 0 +14 *2131:21 *2139:16 0 +15 *2131:21 *4122:31 0 +16 *2131:21 *4287:15 0 +17 *2131:35 *40356:A 0 +18 *2131:35 *40938:A 0 +19 *2131:35 *2145:42 0 +20 *2131:35 *3147:73 0 +21 *2131:35 *3156:18 0 +22 *2131:35 *3373:76 0 +23 *2131:45 *2145:38 0 +24 *2131:45 *2155:29 0 +25 *2131:45 *3153:100 0 +26 *2131:45 *3157:5 0 +27 *2131:45 *3243:51 0 +28 *2131:45 *4239:16 0 +29 *2131:48 *2155:29 0 +30 *2131:48 *3243:51 0 +31 *2131:60 *3133:65 0 +32 *2131:60 *4125:15 0 +33 *2131:60 *4227:23 0 +34 *2131:60 *4305:13 0 +35 *2131:78 *3133:61 0 +36 *2131:78 *3355:69 0 +37 *2131:78 *4061:51 0 +38 *2131:78 *4125:15 0 +39 *2131:78 *4305:19 0 +40 *37372:A *2131:21 0 +41 *1975:25 *2131:48 0 +42 *1989:16 *2131:21 0 +43 *2023:15 *2131:45 0 +44 *2042:7 *2131:21 0 +45 *2048:9 *2131:21 0 +46 *2062:15 *2131:45 0 +47 *2065:18 *2131:48 0 +48 *2076:8 *2131:21 0 +49 *2079:27 *2131:35 0 +50 *2084:11 *2131:45 0 +51 *2085:13 *2131:48 0 +52 *2113:14 *2131:48 0 +53 *2113:22 *2131:60 0 +54 *2114:10 *2131:21 0 +55 *2125:14 *2131:48 0 +56 *2127:9 *2131:21 0 +*RES +1 *38890:HI[320] *2131:21 47.6429 +2 *2131:21 *2131:35 38.1429 +3 *2131:35 *2131:45 49.8036 +4 *2131:45 *2131:47 4.5 +5 *2131:47 *2131:48 69.9464 +6 *2131:48 *2131:60 48.2989 +7 *2131:60 *2131:78 37.3637 +8 *2131:78 *8658:DIODE 29.5143 +9 *2131:78 *41001:A 9.3 +*END + +*D_NET *2132 0.0211425 +*CONN +*I *40998:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8655:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[321] O *D mprj_logic_high +*CAP +1 *40998:A 0.000229084 +2 *8655:DIODE 0.000179328 +3 *38890:HI[321] 0.000612489 +4 *2132:42 0.001263 +5 *2132:28 0.00391088 +6 *2132:27 0.00310191 +7 *2132:22 0.00253121 +8 *2132:21 0.00336107 +9 *2132:10 0.00310823 +10 *2132:9 0.00284524 +11 *8655:DIODE *2141:14 0 +12 *40998:A *3132:10 0 +13 *2132:10 *8561:DIODE 0 +14 *2132:10 *2186:29 0 +15 *2132:10 *2850:21 0 +16 *2132:10 *3132:23 0 +17 *2132:10 *3906:8 0 +18 *2132:10 *4151:7 0 +19 *2132:21 *2144:25 0 +20 *2132:21 *2151:19 0 +21 *2132:21 *3373:31 0 +22 *2132:21 *3373:43 0 +23 *2132:22 *2145:58 0 +24 *2132:22 *3246:9 0 +25 *2132:27 *2139:16 0 +26 *2132:28 *8985:DIODE 0 +27 *2132:28 *37780:B 0 +28 *2132:28 *37800:A 0 +29 *2132:28 *37800:B 0 +30 *2132:28 *3156:18 0 +31 *2132:28 *3243:65 0 +32 *2132:28 *3255:49 0 +33 *2132:28 *3373:66 0 +34 *2132:28 *3373:76 0 +35 *2132:42 *2145:35 0 +36 *2132:42 *3132:10 0 +37 *2132:42 *3255:49 0 +38 *2132:42 *4025:20 0 +39 *2132:42 *4090:49 0 +40 *8781:DIODE *2132:21 0 +41 *8804:DIODE *2132:21 0 +42 *37780:A *2132:28 0 +43 *41034:A *8655:DIODE 0 +44 *41149:A *2132:21 0 +45 *1959:9 *2132:21 0 +46 *1966:31 *40998:A 0 +47 *1971:14 *2132:21 0 +48 *1973:13 *2132:21 0 +49 *2007:13 *2132:9 0 +50 *2062:15 *2132:42 0 +51 *2072:10 *2132:42 0 +52 *2072:13 *8655:DIODE 0 +53 *2086:33 *2132:21 0 +54 *2090:7 *2132:9 0 +55 *2119:11 *2132:42 0 +*RES +1 *38890:HI[321] *2132:9 18.4107 +2 *2132:9 *2132:10 46.5357 +3 *2132:10 *2132:21 36.5 +4 *2132:21 *2132:22 51.875 +5 *2132:22 *2132:27 10.0357 +6 *2132:27 *2132:28 63.7857 +7 *2132:28 *2132:42 34.7662 +8 *2132:42 *8655:DIODE 17.8714 +9 *2132:42 *40998:A 18.8357 +*END + +*D_NET *2133 0.0104495 +*CONN +*I *40994:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8651:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[322] O *D mprj_logic_high +*CAP +1 *40994:A 0 +2 *8651:DIODE 0.000376629 +3 *38890:HI[322] 0.00159184 +4 *2133:72 0.00107091 +5 *2133:56 0.00195895 +6 *2133:28 0.00256202 +7 *2133:14 0.00288919 +8 *8651:DIODE *41291:A 0 +9 *8651:DIODE *4039:18 0 +10 *8651:DIODE *4295:26 0 +11 *2133:14 *3147:37 0 +12 *2133:14 *3223:23 0 +13 *2133:28 *2154:16 0 +14 *2133:28 *3139:10 0 +15 *2133:28 *3147:37 0 +16 *2133:28 *3223:23 0 +17 *2133:56 *40293:A 0 +18 *2133:56 *2136:45 0 +19 *2133:56 *3147:36 0 +20 *2133:56 *3172:13 0 +21 *2133:56 *3255:27 0 +22 *2133:56 *3258:27 0 +23 *2133:56 *4089:17 0 +24 *2133:56 *4125:15 0 +25 *2133:56 *4227:23 0 +26 *2133:72 *3236:98 0 +27 *2133:72 *3255:27 0 +28 *2133:72 *3355:69 0 +29 *2133:72 *4046:12 0 +30 *2133:72 *4075:14 0 +31 *2133:72 *4284:21 0 +32 *2133:72 *4298:20 0 +33 *41097:A *2133:28 0 +34 *41296:A *2133:28 0 +35 *1969:12 *2133:56 0 +36 *1995:21 *2133:28 0 +37 *2073:42 *8651:DIODE 0 +38 *2112:31 *2133:56 0 +*RES +1 *38890:HI[322] *2133:14 47.7857 +2 *2133:14 *2133:28 49.7679 +3 *2133:28 *2133:56 47.0763 +4 *2133:56 *2133:72 25.3478 +5 *2133:72 *8651:DIODE 26.8536 +6 *2133:72 *40994:A 9.3 +*END + +*D_NET *2134 0.0059542 +*CONN +*I *40990:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[323] O *D mprj_logic_high +*CAP +1 *40990:A 0.000439792 +2 *38890:HI[323] 0.00253731 +3 *2134:21 0.0029771 +4 *40990:A *8644:DIODE 0 +5 *40990:A *41093:A 0 +6 *40990:A *2135:56 0 +7 *40990:A *4083:11 0 +8 *2134:21 *40371:A 0 +9 *2134:21 *2137:27 0 +10 *2134:21 *2149:14 0 +11 *2134:21 *3219:73 0 +12 *2134:21 *3243:51 0 +13 *2134:21 *3345:59 0 +14 *2134:21 *3345:78 0 +15 *2134:21 *4075:14 0 +16 *2134:21 *4116:15 0 +17 *2134:21 *4227:23 0 +18 *2134:21 *4258:34 0 +19 *1293:20 *2134:21 0 +20 *1990:16 *2134:21 0 +21 *2102:16 *2134:21 0 +*RES +1 *38890:HI[323] *2134:21 48.5577 +2 *2134:21 *40990:A 18.4786 +*END + +*D_NET *2135 0.0200086 +*CONN +*I *8644:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40986:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[324] O *D mprj_logic_high +*CAP +1 *8644:DIODE 0.000485547 +2 *40986:A 0 +3 *38890:HI[324] 0.00134502 +4 *2135:56 0.00119059 +5 *2135:50 0.00299769 +6 *2135:44 0.00252602 +7 *2135:30 0.00391641 +8 *2135:28 0.00494265 +9 *2135:19 0.00260463 +10 *8644:DIODE *3327:18 0 +11 *8644:DIODE *4083:11 0 +12 *8644:DIODE *4128:10 0 +13 *8644:DIODE *4284:21 0 +14 *2135:19 *37800:B 0 +15 *2135:19 *2139:16 0 +16 *2135:19 *3269:39 0 +17 *2135:19 *3934:30 0 +18 *2135:28 *2139:27 0 +19 *2135:28 *5137:11 0 +20 *2135:30 *2139:27 0 +21 *2135:30 *2139:34 0 +22 *2135:30 *2139:38 0 +23 *2135:30 *3351:26 0 +24 *2135:30 *3738:13 0 +25 *2135:30 *4858:48 0 +26 *2135:44 *2139:38 0 +27 *2135:44 *4858:48 0 +28 *2135:50 *4492:17 0 +29 *2135:50 *4492:19 0 +30 *2135:50 *4858:48 0 +31 *2135:56 *4083:11 0 +32 *37372:A *2135:19 0 +33 *37375:A *2135:50 0 +34 *40990:A *8644:DIODE 0 +35 *40990:A *2135:56 0 +36 *41127:A *8644:DIODE 0 +37 *41234:A *2135:19 0 +38 *1956:27 *2135:19 0 +39 *1958:19 *2135:19 0 +40 *2035:27 *2135:30 0 +41 *2035:28 *2135:28 0 +42 *2048:9 *2135:19 0 +43 *2053:22 *2135:50 0 +44 *2054:20 *2135:19 0 +45 *2082:32 *2135:28 0 +46 *2082:44 *2135:30 0 +47 *2088:36 *2135:28 0 +48 *2098:41 *2135:28 0 +49 *2118:25 *2135:30 0 +50 *2118:39 *2135:50 0 +51 *2120:65 *2135:30 0 +52 *2128:12 *2135:19 0 +*RES +1 *38890:HI[324] *2135:19 39.7809 +2 *2135:19 *2135:28 35.4464 +3 *2135:28 *2135:30 76.9286 +4 *2135:30 *2135:44 23.1071 +5 *2135:44 *2135:50 47.9107 +6 *2135:50 *2135:56 24.8571 +7 *2135:56 *40986:A 9.3 +8 *2135:56 *8644:DIODE 28.675 +*END + +*D_NET *2136 0.0211093 +*CONN +*I *40982:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8640:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[325] O *D mprj_logic_high +*CAP +1 *40982:A 0.000245992 +2 *8640:DIODE 0.000274459 +3 *38890:HI[325] 0.0080762 +4 *2136:45 0.00247847 +5 *2136:17 0.0100342 +6 *8640:DIODE *3328:43 0 +7 *8640:DIODE *3355:42 0 +8 *40982:A *4039:18 0 +9 *40982:A *4284:21 0 +10 *40982:A *4303:43 0 +11 *2136:17 *2210:21 0 +12 *2136:17 *3153:72 0 +13 *2136:17 *3222:14 0 +14 *2136:17 *3258:39 0 +15 *2136:17 *3269:39 0 +16 *2136:45 *3219:73 0 +17 *2136:45 *3258:27 0 +18 *2136:45 *3269:19 0 +19 *2136:45 *3270:135 0 +20 *2136:45 *4090:49 0 +21 *2136:45 *4298:20 0 +22 *8779:DIODE *8640:DIODE 0 +23 *41060:A *8640:DIODE 0 +24 *41060:A *2136:45 0 +25 *41247:A *8640:DIODE 0 +26 *41247:A *2136:45 0 +27 *1288:17 *2136:17 0 +28 *1812:23 *2136:17 0 +29 *1956:27 *2136:17 0 +30 *1969:10 *2136:45 0 +31 *2050:62 *40982:A 0 +32 *2057:15 *2136:17 0 +33 *2061:21 *2136:17 0 +34 *2079:19 *2136:17 0 +35 *2102:16 *2136:17 0 +36 *2113:13 *2136:17 0 +37 *2117:26 *2136:45 0 +38 *2128:12 *2136:17 0 +39 *2133:56 *2136:45 0 +*RES +1 *38890:HI[325] *2136:17 49.9021 +2 *2136:17 *2136:45 45.7363 +3 *2136:45 *8640:DIODE 20.0321 +4 *2136:45 *40982:A 18.9964 +*END + +*D_NET *2137 0.00678432 +*CONN +*I *40978:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[326] O *D mprj_logic_high +*CAP +1 *40978:A 0.00115905 +2 *38890:HI[326] 0.000852244 +3 *2137:27 0.00253992 +4 *2137:15 0.00223311 +5 *40978:A *2154:16 0 +6 *40978:A *3133:70 0 +7 *40978:A *4234:11 0 +8 *40978:A *4299:23 0 +9 *2137:15 *3243:51 0 +10 *2137:27 *3153:89 0 +11 *2137:27 *3219:80 0 +12 *2137:27 *4053:24 0 +13 *2137:27 *4116:15 0 +14 *41281:A *40978:A 0 +15 *1293:20 *2137:27 0 +16 *2093:22 *2137:15 0 +17 *2110:23 *2137:27 0 +18 *2113:13 *2137:27 0 +19 *2113:14 *40978:A 0 +20 *2123:24 *40978:A 0 +21 *2124:23 *2137:27 0 +22 *2125:33 *40978:A 0 +23 *2125:33 *2137:27 0 +24 *2134:21 *2137:27 0 +*RES +1 *38890:HI[326] *2137:15 32.125 +2 *2137:15 *2137:27 43.2095 +3 *2137:27 *40978:A 43.1929 +*END + +*D_NET *2138 0.022159 +*CONN +*I *40974:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8633:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[327] O *D mprj_logic_high +*CAP +1 *40974:A 6.28885e-05 +2 *8633:DIODE 8.4707e-05 +3 *38890:HI[327] 0.00105463 +4 *2138:41 0.00068214 +5 *2138:34 0.00262591 +6 *2138:28 0.0047299 +7 *2138:26 0.00265907 +8 *2138:24 0.0032933 +9 *2138:23 0.00459231 +10 *2138:17 0.00237417 +11 *2138:17 *2147:8 0 +12 *2138:17 *2741:69 0 +13 *2138:17 *4143:15 0 +14 *2138:23 *3908:9 0 +15 *2138:23 *4145:9 0 +16 *2138:24 *5104:11 0 +17 *2138:28 *3206:58 0 +18 *2138:28 *3366:26 0 +19 *2138:34 *40434:A 0 +20 *2138:41 *3355:18 0 +21 *1818:31 *2138:34 0 +22 *1985:9 *2138:17 0 +23 *1992:9 *2138:23 0 +24 *1992:10 *2138:24 0 +25 *1992:10 *2138:28 0 +26 *1996:16 *2138:34 0 +27 *2000:18 *2138:23 0 +28 *2010:19 *2138:34 0 +29 *2018:8 *2138:24 0 +30 *2029:16 *2138:28 0 +31 *2029:31 *2138:28 0 +32 *2050:30 *40974:A 0 +33 *2070:24 *2138:28 0 +34 *2070:24 *2138:34 0 +35 *2081:8 *2138:34 0 +36 *2086:21 *2138:17 0 +37 *2094:24 *2138:17 0 +38 *2111:26 *2138:28 0 +39 *2121:15 *2138:34 0 +*RES +1 *38890:HI[327] *2138:17 37.3214 +2 *2138:17 *2138:23 36.8214 +3 *2138:23 *2138:24 68.3036 +4 *2138:24 *2138:26 0.428571 +5 *2138:26 *2138:28 55.1607 +6 *2138:28 *2138:34 48.1786 +7 *2138:34 *2138:41 16.6429 +8 *2138:41 *8633:DIODE 11.0679 +9 *2138:41 *40974:A 10.675 +*END + +*D_NET *2139 0.0229613 +*CONN +*I *8629:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40970:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[328] O *D mprj_logic_high +*CAP +1 *8629:DIODE 0.000767564 +2 *40970:A 0 +3 *38890:HI[328] 0.00195563 +4 *2139:78 0.000928689 +5 *2139:67 0.00188889 +6 *2139:46 0.00408571 +7 *2139:38 0.0036001 +8 *2139:34 0.00307127 +9 *2139:27 0.00326844 +10 *2139:16 0.00339496 +11 *8629:DIODE *3147:19 0 +12 *8629:DIODE *3236:86 0 +13 *8629:DIODE *3255:13 0 +14 *8629:DIODE *3939:24 0 +15 *8629:DIODE *3965:20 0 +16 *8629:DIODE *4298:20 0 +17 *2139:16 *37800:B 0 +18 *2139:16 *3351:15 0 +19 *2139:16 *5126:10 0 +20 *2139:27 *3351:15 0 +21 *2139:27 *3351:26 0 +22 *2139:34 *40428:A 0 +23 *2139:34 *3351:26 0 +24 *2139:34 *3738:13 0 +25 *2139:38 *4851:10 0 +26 *2139:38 *4858:48 0 +27 *2139:46 *2143:31 0 +28 *2139:46 *2424:74 0 +29 *2139:46 *3322:18 0 +30 *2139:46 *4122:74 0 +31 *2139:46 *4858:48 0 +32 *2139:67 *3173:70 0 +33 *2139:67 *3236:86 0 +34 *2139:67 *3327:31 0 +35 *2139:67 *4046:24 0 +36 *2139:67 *4135:21 0 +37 *2139:67 *4306:35 0 +38 *2139:67 *4492:19 0 +39 *2139:78 *37782:B 0 +40 *2139:78 *3236:86 0 +41 *8664:DIODE *2139:67 0 +42 *37375:A *2139:38 0 +43 *37782:A *2139:67 0 +44 *41127:A *2139:67 0 +45 *1290:25 *2139:67 0 +46 *1956:27 *2139:16 0 +47 *2027:16 *2139:16 0 +48 *2035:27 *2139:27 0 +49 *2035:28 *2139:16 0 +50 *2035:28 *2139:27 0 +51 *2048:9 *2139:16 0 +52 *2053:13 *2139:34 0 +53 *2054:20 *2139:16 0 +54 *2060:15 *2139:16 0 +55 *2098:30 *2139:16 0 +56 *2114:10 *2139:16 0 +57 *2118:28 *2139:46 0 +58 *2118:39 *2139:46 0 +59 *2118:39 *2139:67 0 +60 *2122:38 *2139:16 0 +61 *2131:21 *2139:16 0 +62 *2132:27 *2139:16 0 +63 *2135:19 *2139:16 0 +64 *2135:28 *2139:27 0 +65 *2135:30 *2139:27 0 +66 *2135:30 *2139:34 0 +67 *2135:30 *2139:38 0 +68 *2135:44 *2139:38 0 +*RES +1 *38890:HI[328] *2139:16 47.9464 +2 *2139:16 *2139:27 39.1607 +3 *2139:27 *2139:34 38.4821 +4 *2139:34 *2139:38 26.0179 +5 *2139:38 *2139:46 49.7115 +6 *2139:46 *2139:67 49.5946 +7 *2139:67 *2139:78 8.34821 +8 *2139:78 *40970:A 9.3 +9 *2139:78 *8629:DIODE 35.8379 +*END + +*D_NET *2140 0.00697807 +*CONN +*I *40966:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[329] O *D mprj_logic_high +*CAP +1 *40966:A 0 +2 *38890:HI[329] 0.00175261 +3 *2140:39 0.00173642 +4 *2140:27 0.00348904 +5 *2140:27 *2150:20 0 +6 *2140:27 *3214:21 0 +7 *2140:27 *3908:9 0 +8 *2140:27 *3926:13 0 +9 *2140:27 *4131:7 0 +10 *2140:27 *4179:20 0 +11 *2140:27 *4189:22 0 +12 *2140:39 *2150:20 0 +13 *2140:39 *3214:13 0 +14 *2140:39 *3258:62 0 +15 *2140:39 *3902:39 0 +16 *41155:A *2140:27 0 +17 *1961:19 *2140:27 0 +18 *1973:13 *2140:27 0 +19 *2033:47 *2140:27 0 +20 *2038:13 *2140:27 0 +21 *2107:28 *2140:39 0 +*RES +1 *38890:HI[329] *2140:27 49.8211 +2 *2140:27 *2140:39 49.9107 +3 *2140:39 *40966:A 9.3 +*END + +*D_NET *2141 0.0224305 +*CONN +*I *5651:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37798:A I *D sky130_fd_sc_hd__and2_1 +*I *38890:HI[32] O *D mprj_logic_high +*CAP +1 *5651:DIODE 0.000101392 +2 *37798:A 0.000323155 +3 *38890:HI[32] 0.00191391 +4 *2141:64 0.000810926 +5 *2141:57 0.00273168 +6 *2141:34 0.00469485 +7 *2141:26 0.00507728 +8 *2141:25 0.00379554 +9 *2141:14 0.00298172 +10 *5651:DIODE *4025:61 0 +11 *5651:DIODE *4305:50 0 +12 *37798:A *37798:B 0 +13 *37798:A *4283:61 0 +14 *2141:14 *2145:24 0 +15 *2141:14 *2361:16 0 +16 *2141:14 *3341:27 0 +17 *2141:14 *3351:26 0 +18 *2141:14 *3782:8 0 +19 *2141:14 *4488:11 0 +20 *2141:25 *37379:A 0 +21 *2141:25 *2372:8 0 +22 *2141:25 *3782:9 0 +23 *2141:26 *39121:A 0 +24 *2141:26 *3760:35 0 +25 *2141:26 *3760:50 0 +26 *2141:26 *3782:9 0 +27 *2141:26 *3905:23 0 +28 *2141:26 *4861:13 0 +29 *2141:26 *4861:29 0 +30 *2141:34 *2405:40 0 +31 *2141:34 *2461:20 0 +32 *2141:34 *3442:39 0 +33 *2141:34 *3893:22 0 +34 *2141:34 *3905:23 0 +35 *2141:34 *4495:40 0 +36 *2141:34 *4872:19 0 +37 *2141:57 *39130:A 0 +38 *2141:57 *2383:48 0 +39 *2141:57 *2435:52 0 +40 *2141:57 *3270:62 0 +41 *2141:57 *3317:29 0 +42 *2141:57 *3792:41 0 +43 *2141:57 *4488:84 0 +44 *2141:64 *8847:DIODE 0 +45 *2141:64 *37672:B 0 +46 *2141:64 *40320:A 0 +47 *2141:64 *4025:61 0 +48 *2141:64 *4053:66 0 +49 *2141:64 *4305:50 0 +50 la_data_in_mprj[80] *2141:26 0 +51 *6564:DIODE *2141:34 0 +52 *6839:DIODE *2141:26 0 +53 *8655:DIODE *2141:14 0 +54 *38423:A *2141:26 0 +55 *38703:A *2141:26 0 +56 *41034:A *2141:14 0 +57 *488:5 *2141:25 0 +58 *492:8 *2141:26 0 +59 *494:16 *2141:26 0 +60 *494:16 *2141:34 0 +61 *613:5 *2141:14 0 +62 *624:11 *2141:34 0 +63 *869:5 *2141:14 0 +64 *1966:31 *2141:14 0 +65 *2008:27 *2141:26 0 +66 *2072:13 *2141:14 0 +67 *2115:49 *2141:34 0 +68 *2120:65 *2141:14 0 +*RES +1 *38890:HI[32] *2141:14 47.5 +2 *2141:14 *2141:25 40.4286 +3 *2141:25 *2141:26 56.8036 +4 *2141:26 *2141:34 49.1607 +5 *2141:34 *2141:57 48.323 +6 *2141:57 *2141:64 13.0357 +7 *2141:64 *37798:A 25.3 +8 *2141:64 *5651:DIODE 11.4786 +*END + +*D_NET *2142 0.0157243 +*CONN +*I *40961:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8621:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[330] O *D mprj_logic_high +*CAP +1 *40961:A 0.00017322 +2 *8621:DIODE 0 +3 *38890:HI[330] 0.000410371 +4 *2142:29 0.000459458 +5 *2142:26 0.00464137 +6 *2142:25 0.00503873 +7 *2142:17 0.0026372 +8 *2142:7 0.00236398 +9 *40961:A *3058:28 0 +10 *40961:A *3384:51 0 +11 *40961:A *3974:23 0 +12 *2142:17 *2144:40 0 +13 *2142:17 *2148:8 0 +14 *2142:17 *3232:40 0 +15 *2142:17 *3246:18 0 +16 *2142:17 *3268:16 0 +17 *2142:25 *40347:A 0 +18 *2142:25 *3231:5 0 +19 *2142:25 *4410:19 0 +20 *2142:26 *4151:7 0 +21 *2142:26 *4151:9 0 +22 *2142:29 *3058:28 0 +23 *2142:29 *3065:17 0 +24 *2142:29 *4760:28 0 +25 *1787:22 *2142:26 0 +26 *1800:25 *2142:29 0 +27 *1801:22 *2142:29 0 +28 *1974:24 *2142:26 0 +29 *1980:10 *2142:7 0 +30 *2005:41 *2142:17 0 +31 *2009:16 *2142:26 0 +32 *2022:10 *2142:7 0 +33 *2067:29 *2142:25 0 +*RES +1 *38890:HI[330] *2142:7 13.8214 +2 *2142:7 *2142:17 45.9643 +3 *2142:17 *2142:25 28.0714 +4 *2142:25 *2142:26 90.8929 +5 *2142:26 *2142:29 11 +6 *2142:29 *8621:DIODE 13.8 +7 *2142:29 *40961:A 17.5321 +*END + +*D_NET *2143 0.0183163 +*CONN +*I *8619:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40959:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[331] O *D mprj_logic_high +*CAP +1 *8619:DIODE 0.000124066 +2 *40959:A 0 +3 *38890:HI[331] 0.00181099 +4 *2143:45 0.000557285 +5 *2143:40 0.00282042 +6 *2143:38 0.00246035 +7 *2143:32 0.00389695 +8 *2143:31 0.00432952 +9 *2143:23 0.00231672 +10 *8619:DIODE *3214:13 0 +11 *8619:DIODE *3258:62 0 +12 *2143:23 *3335:5 0 +13 *2143:23 *4489:26 0 +14 *2143:23 *4860:11 0 +15 *2143:23 *5148:20 0 +16 *2143:31 *4858:32 0 +17 *2143:31 *4858:48 0 +18 *2143:32 *3206:47 0 +19 *2143:32 *3216:25 0 +20 *2143:32 *3216:32 0 +21 *2143:38 *39111:A 0 +22 *2143:40 *3206:64 0 +23 *2143:45 *39105:A 0 +24 *2143:45 *4479:10 0 +25 *37375:A *2143:31 0 +26 *37376:A *2143:38 0 +27 *41174:A *2143:45 0 +28 *1816:22 *2143:31 0 +29 *1959:10 *2143:32 0 +30 *1959:10 *2143:38 0 +31 *1959:10 *2143:40 0 +32 *1959:17 *2143:32 0 +33 *1977:25 *8619:DIODE 0 +34 *1978:25 *2143:32 0 +35 *1991:39 *2143:45 0 +36 *2008:13 *2143:23 0 +37 *2050:55 *2143:23 0 +38 *2053:22 *2143:31 0 +39 *2088:36 *2143:40 0 +40 *2088:46 *2143:32 0 +41 *2100:23 *2143:45 0 +42 *2118:11 *2143:32 0 +43 *2118:28 *2143:31 0 +44 *2123:24 *2143:23 0 +45 *2125:33 *2143:23 0 +46 *2139:46 *2143:31 0 +*RES +1 *38890:HI[331] *2143:23 49.6964 +2 *2143:23 *2143:31 24.2857 +3 *2143:31 *2143:32 79.8036 +4 *2143:32 *2143:38 1.80357 +5 *2143:38 *2143:40 49.8214 +6 *2143:40 *2143:45 18.8393 +7 *2143:45 *40959:A 9.3 +8 *2143:45 *8619:DIODE 11.8893 +*END + +*D_NET *2144 0.0181985 +*CONN +*I *8616:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40956:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[332] O *D mprj_logic_high +*CAP +1 *8616:DIODE 0 +2 *40956:A 0.000175934 +3 *38890:HI[332] 0.00144249 +4 *2144:62 0.00134001 +5 *2144:61 0.00370275 +6 *2144:40 0.00443388 +7 *2144:37 0.00199429 +8 *2144:32 0.00188287 +9 *2144:25 0.00322628 +10 *40956:A *2148:17 0 +11 *40956:A *3231:5 0 +12 *2144:25 *2150:20 0 +13 *2144:25 *3373:43 0 +14 *2144:25 *4212:10 0 +15 *2144:32 *3246:9 0 +16 *2144:32 *4143:15 0 +17 *2144:40 *3246:9 0 +18 *2144:40 *3246:18 0 +19 *2144:61 *3902:61 0 +20 *2144:61 *3921:11 0 +21 *2144:61 *3921:41 0 +22 *2144:61 *4141:14 0 +23 *2144:61 *4144:12 0 +24 *2144:61 *4165:20 0 +25 *2144:61 *4179:47 0 +26 *2144:61 *4926:17 0 +27 *2144:62 *2148:17 0 +28 *2144:62 *3231:5 0 +29 *41136:A *2144:25 0 +30 *301:39 *2144:61 0 +31 *1805:38 *2144:61 0 +32 *1961:19 *2144:32 0 +33 *1968:23 *2144:25 0 +34 *1970:11 *2144:25 0 +35 *1971:14 *2144:25 0 +36 *1974:21 *2144:61 0 +37 *2002:11 *2144:37 0 +38 *2004:29 *2144:61 0 +39 *2016:13 *2144:25 0 +40 *2021:16 *2144:32 0 +41 *2022:17 *2144:61 0 +42 *2024:25 *2144:25 0 +43 *2024:31 *2144:32 0 +44 *2060:24 *2144:25 0 +45 *2083:17 *2144:25 0 +46 *2090:8 *2144:32 0 +47 *2107:45 *2144:61 0 +48 *2120:21 *2144:32 0 +49 *2122:13 *2144:25 0 +50 *2132:21 *2144:25 0 +51 *2142:17 *2144:40 0 +*RES +1 *38890:HI[332] *2144:25 45.0179 +2 *2144:25 *2144:32 46.4107 +3 *2144:32 *2144:37 11.25 +4 *2144:37 *2144:40 44.0536 +5 *2144:40 *2144:61 45.035 +6 *2144:61 *2144:62 24.3571 +7 *2144:62 *40956:A 22.0321 +8 *2144:62 *8616:DIODE 9.3 +*END + +*D_NET *2145 0.0217606 +*CONN +*I *40954:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8614:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[333] O *D mprj_logic_high +*CAP +1 *40954:A 2.56688e-05 +2 *8614:DIODE 0.000285144 +3 *38890:HI[333] 0.00118556 +4 *2145:63 0.000433806 +5 *2145:60 0.00457503 +6 *2145:58 0.00565057 +7 *2145:42 0.00323086 +8 *2145:38 0.00304132 +9 *2145:35 0.00157806 +10 *2145:24 0.00175462 +11 *8614:DIODE *3846:10 0 +12 *8614:DIODE *3909:8 0 +13 *40954:A *3131:10 0 +14 *40954:A *3137:19 0 +15 *2145:24 *3157:5 0 +16 *2145:24 *3243:51 0 +17 *2145:24 *4086:20 0 +18 *2145:24 *4305:13 0 +19 *2145:35 *2155:14 0 +20 *2145:35 *3223:23 0 +21 *2145:38 *3243:51 0 +22 *2145:42 *40938:A 0 +23 *2145:42 *3223:35 0 +24 *2145:58 *3246:8 0 +25 *2145:58 *3269:39 0 +26 *2145:58 *4122:13 0 +27 *2145:60 *2148:8 0 +28 *2145:60 *3246:9 0 +29 *1956:27 *2145:58 0 +30 *1966:14 *2145:38 0 +31 *1968:23 *2145:60 0 +32 *1971:20 *2145:58 0 +33 *1973:24 *2145:58 0 +34 *1973:24 *2145:60 0 +35 *1983:22 *2145:60 0 +36 *1994:18 *2145:58 0 +37 *2007:13 *8614:DIODE 0 +38 *2018:7 *2145:58 0 +39 *2031:28 *2145:60 0 +40 *2032:13 *2145:42 0 +41 *2054:20 *2145:58 0 +42 *2054:20 *2145:60 0 +43 *2055:10 *2145:58 0 +44 *2057:18 *2145:60 0 +45 *2062:15 *2145:38 0 +46 *2065:18 *2145:24 0 +47 *2066:8 *2145:60 0 +48 *2079:27 *2145:42 0 +49 *2079:27 *2145:58 0 +50 *2089:7 *2145:35 0 +51 *2090:8 *2145:60 0 +52 *2093:22 *2145:24 0 +53 *2100:8 *2145:60 0 +54 *2114:26 *2145:38 0 +55 *2114:26 *2145:42 0 +56 *2125:14 *2145:24 0 +57 *2131:35 *2145:42 0 +58 *2131:45 *2145:38 0 +59 *2132:22 *2145:58 0 +60 *2132:42 *2145:35 0 +61 *2141:14 *2145:24 0 +*RES +1 *38890:HI[333] *2145:24 48.1786 +2 *2145:24 *2145:35 30.6607 +3 *2145:35 *2145:38 21.0893 +4 *2145:38 *2145:42 42.4464 +5 *2145:42 *2145:58 36.4824 +6 *2145:58 *2145:60 92.9464 +7 *2145:60 *2145:63 7.35714 +8 *2145:63 *8614:DIODE 20.2286 +9 *2145:63 *40954:A 14.3357 +*END + +*D_NET *2146 0.00243713 +*CONN +*I *40952:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[334] O *D mprj_logic_high +*CAP +1 *40952:A 0.00121857 +2 *38890:HI[334] 0.00121857 +3 *40952:A *3156:28 0 +4 *40952:A *3258:39 0 +5 *40952:A *4122:31 0 +6 *41289:A *40952:A 0 +7 *1299:21 *40952:A 0 +8 *1929:18 *40952:A 0 +9 *2042:7 *40952:A 0 +10 *2048:9 *40952:A 0 +11 *2070:23 *40952:A 0 +*RES +1 *38890:HI[334] *40952:A 49.3714 +*END + +*D_NET *2147 0.00635136 +*CONN +*I *40949:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[335] O *D mprj_logic_high +*CAP +1 *40949:A 0 +2 *38890:HI[335] 0.000276819 +3 *2147:8 0.00289886 +4 *2147:7 0.00317568 +5 *2147:8 *2165:29 0 +6 *2147:8 *3227:15 0 +7 *2147:8 *3242:19 0 +8 *2147:8 *3242:27 0 +9 *2147:8 *4137:15 0 +10 *2147:8 *4143:15 0 +11 *2147:8 *4143:36 0 +12 *1968:17 *2147:7 0 +13 *2100:7 *2147:7 0 +14 *2108:26 *2147:8 0 +15 *2138:17 *2147:8 0 +*RES +1 *38890:HI[335] *2147:7 10.7857 +2 *2147:7 *2147:8 60.5 +3 *2147:8 *40949:A 9.3 +*END + +*D_NET *2148 0.0147232 +*CONN +*I *8609:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40947:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[336] O *D mprj_logic_high +*CAP +1 *8609:DIODE 0 +2 *40947:A 0.000143745 +3 *38890:HI[336] 0.000383708 +4 *2148:18 0.00140922 +5 *2148:17 0.00273485 +6 *2148:8 0.00556868 +7 *2148:7 0.00448301 +8 *40947:A *3231:5 0 +9 *40947:A *4447:17 0 +10 *2148:8 *40347:A 0 +11 *2148:8 *3231:5 0 +12 *2148:8 *3232:40 0 +13 *2148:8 *3246:9 0 +14 *2148:8 *3246:18 0 +15 *2148:17 *3231:5 0 +16 *2148:17 *3873:41 0 +17 *2148:18 *3059:16 0 +18 *2148:18 *3231:5 0 +19 *2148:18 *3642:62 0 +20 *2148:18 *4152:33 0 +21 *2148:18 *4447:50 0 +22 *40956:A *2148:17 0 +23 *1971:13 *2148:8 0 +24 *1992:9 *2148:7 0 +25 *2005:41 *2148:8 0 +26 *2022:17 *2148:8 0 +27 *2066:8 *2148:8 0 +28 *2066:8 *2148:17 0 +29 *2100:7 *2148:7 0 +30 *2142:17 *2148:8 0 +31 *2144:62 *2148:17 0 +32 *2145:60 *2148:8 0 +*RES +1 *38890:HI[336] *2148:7 13.2143 +2 *2148:7 *2148:8 85.5536 +3 *2148:8 *2148:17 39.75 +4 *2148:17 *2148:18 26.4107 +5 *2148:18 *40947:A 12.3 +6 *2148:18 *8609:DIODE 9.3 +*END + +*D_NET *2149 0.0205922 +*CONN +*I *8608:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40946:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[337] O *D mprj_logic_high +*CAP +1 *8608:DIODE 0 +2 *40946:A 0.000407343 +3 *38890:HI[337] 0.00950221 +4 *2149:19 0.000793902 +5 *2149:14 0.00988877 +6 *40946:A *2151:19 0 +7 *40946:A *3156:54 0 +8 *2149:14 *2233:17 0 +9 *2149:14 *3172:29 0 +10 *2149:14 *3322:18 0 +11 *2149:14 *3351:26 0 +12 *2149:14 *3359:20 0 +13 *2149:14 *3359:29 0 +14 *2149:14 *3368:15 0 +15 *2149:14 *3671:14 0 +16 *2149:14 *3694:19 0 +17 *2149:14 *4480:35 0 +18 *2149:19 *40455:A 0 +19 *2149:19 *40532:A 0 +20 *2149:19 *3902:48 0 +21 *1293:20 *2149:14 0 +22 *1807:34 *2149:19 0 +23 *1811:30 *2149:19 0 +24 *1814:26 *2149:14 0 +25 *1816:22 *2149:14 0 +26 *2033:47 *2149:14 0 +27 *2045:17 *2149:19 0 +28 *2055:10 *2149:14 0 +29 *2078:15 *40946:A 0 +30 *2103:24 *2149:14 0 +31 *2103:48 *2149:14 0 +32 *2104:28 *40946:A 0 +33 *2120:21 *40946:A 0 +34 *2120:21 *2149:19 0 +35 *2134:21 *2149:14 0 +*RES +1 *38890:HI[337] *2149:14 49.7472 +2 *2149:14 *2149:19 16.6868 +3 *2149:19 *40946:A 27.6214 +4 *2149:19 *8608:DIODE 9.3 +*END + +*D_NET *2150 0.0202274 +*CONN +*I *8606:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40944:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[338] O *D mprj_logic_high +*CAP +1 *8606:DIODE 0 +2 *40944:A 0.000181821 +3 *38890:HI[338] 0.00251781 +4 *2150:47 0.00024081 +5 *2150:42 0.00297603 +6 *2150:40 0.0031266 +7 *2150:32 0.00230586 +8 *2150:27 0.00422847 +9 *2150:20 0.00464998 +10 *40944:A *4151:9 0 +11 *2150:20 *3214:21 0 +12 *2150:20 *3232:24 0 +13 *2150:20 *3926:13 0 +14 *2150:20 *4179:20 0 +15 *2150:20 *4296:14 0 +16 *2150:20 *4302:26 0 +17 *2150:27 *8604:DIODE 0 +18 *2150:27 *2151:29 0 +19 *2150:27 *3214:21 0 +20 *2150:27 *3594:26 0 +21 *2150:27 *4189:25 0 +22 *2150:32 *40533:A 0 +23 *2150:32 *3214:21 0 +24 *2150:32 *3214:30 0 +25 *2150:32 *3594:35 0 +26 *2150:32 *4189:27 0 +27 *2150:40 *40533:A 0 +28 *2150:40 *3906:11 0 +29 *2150:40 *4189:27 0 +30 *2150:42 *3059:10 0 +31 *2150:42 *3452:10 0 +32 *2150:42 *3906:11 0 +33 *2150:42 *3915:15 0 +34 *2150:42 *4148:15 0 +35 *2150:42 *4189:27 0 +36 *2150:42 *4970:37 0 +37 *2150:47 *4148:14 0 +38 *2150:47 *4165:20 0 +39 *7893:DIODE *2150:27 0 +40 *8783:DIODE *2150:27 0 +41 *1517:14 *2150:20 0 +42 *1968:23 *2150:20 0 +43 *1974:24 *40944:A 0 +44 *1998:51 *2150:20 0 +45 *2012:37 *2150:47 0 +46 *2083:17 *2150:20 0 +47 *2107:19 *2150:20 0 +48 *2122:13 *2150:20 0 +49 *2128:12 *2150:20 0 +50 *2140:27 *2150:20 0 +51 *2140:39 *2150:20 0 +52 *2144:25 *2150:20 0 +*RES +1 *38890:HI[338] *2150:20 39.0411 +2 *2150:20 *2150:27 49.3661 +3 *2150:27 *2150:32 48.4196 +4 *2150:32 *2150:40 13.4643 +5 *2150:40 *2150:42 60.9107 +6 *2150:42 *2150:47 10.3393 +7 *2150:47 *40944:A 13.1571 +8 *2150:47 *8606:DIODE 9.3 +*END + +*D_NET *2151 0.00891711 +*CONN +*I *40943:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8605:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[339] O *D mprj_logic_high +*CAP +1 *40943:A 0 +2 *8605:DIODE 0.000143745 +3 *38890:HI[339] 0.00178682 +4 *2151:35 0.000985093 +5 *2151:29 0.00252799 +6 *2151:19 0.00347346 +7 *8605:DIODE *4148:5 0 +8 *2151:19 *3876:23 0 +9 *2151:19 *3912:14 0 +10 *2151:19 *4137:9 0 +11 *2151:19 *4212:10 0 +12 *2151:29 *4130:10 0 +13 *2151:35 *3909:8 0 +14 *2151:35 *3919:19 0 +15 *2151:35 *4165:20 0 +16 *40946:A *2151:19 0 +17 *41142:A *2151:29 0 +18 *1530:15 *2151:19 0 +19 *1959:9 *2151:29 0 +20 *1977:12 *2151:19 0 +21 *1986:9 *2151:19 0 +22 *2004:29 *8605:DIODE 0 +23 *2038:8 *2151:19 0 +24 *2039:31 *2151:19 0 +25 *2067:7 *2151:19 0 +26 *2112:10 *2151:19 0 +27 *2120:21 *2151:29 0 +28 *2132:21 *2151:19 0 +29 *2150:27 *2151:29 0 +*RES +1 *38890:HI[339] *2151:19 47.7679 +2 *2151:19 *2151:29 47.8118 +3 *2151:29 *2151:35 11.9551 +4 *2151:35 *8605:DIODE 12.3 +5 *2151:35 *40943:A 9.3 +*END + +*D_NET *2152 0.0016764 +*CONN +*I *37800:A I *D sky130_fd_sc_hd__and2_1 +*I *38890:HI[33] O *D mprj_logic_high +*CAP +1 *37800:A 0.000838202 +2 *38890:HI[33] 0.000838202 +3 *37800:A *40270:A 0 +4 *37800:A *41394:A 0 +5 *37800:A *3258:39 0 +6 *37800:A *3373:66 0 +7 *37800:A *4056:10 0 +8 *37800:A *4410:32 0 +9 *1815:42 *37800:A 0 +10 *2027:16 *37800:A 0 +11 *2070:23 *37800:A 0 +12 *2132:28 *37800:A 0 +*RES +1 *38890:HI[33] *37800:A 32.175 +*END + +*D_NET *2153 0.00227333 +*CONN +*I *40942:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[340] O *D mprj_logic_high +*CAP +1 *40942:A 0.00113666 +2 *38890:HI[340] 0.00113666 +3 *40942:A *40262:A 0 +4 *40942:A *40380:A 0 +5 *40942:A *3137:11 0 +6 *40942:A *4137:9 0 +7 *1956:27 *40942:A 0 +8 *1961:19 *40942:A 0 +9 *1971:14 *40942:A 0 +10 *1973:13 *40942:A 0 +11 *1989:7 *40942:A 0 +12 *2057:15 *40942:A 0 +*RES +1 *38890:HI[340] *40942:A 38.4607 +*END + +*D_NET *2154 0.00304776 +*CONN +*I *40941:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[341] O *D mprj_logic_high +*CAP +1 *40941:A 0 +2 *38890:HI[341] 0.00152388 +3 *2154:16 0.00152388 +4 *2154:16 *40263:A 0 +5 *2154:16 *3139:10 0 +6 *2154:16 *3153:72 0 +7 *2154:16 *3223:19 0 +8 *2154:16 *3255:38 0 +9 *2154:16 *3345:59 0 +10 *2154:16 *4234:11 0 +11 *2154:16 *4299:23 0 +12 *2154:16 *4303:14 0 +13 *40978:A *2154:16 0 +14 *41295:A *2154:16 0 +15 *1995:21 *2154:16 0 +16 *2085:25 *2154:16 0 +17 *2102:16 *2154:16 0 +18 *2133:28 *2154:16 0 +*RES +1 *38890:HI[341] *2154:16 46.6429 +2 *2154:16 *40941:A 9.3 +*END + +*D_NET *2155 0.00780903 +*CONN +*I *40938:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[342] O *D mprj_logic_high +*CAP +1 *40938:A 0.00112136 +2 *38890:HI[342] 0.00148729 +3 *2155:29 0.00241723 +4 *2155:14 0.00278316 +5 *40938:A *3705:11 0 +6 *2155:14 *3153:89 0 +7 *2155:14 *3255:49 0 +8 *2155:14 *4009:14 0 +9 *2155:29 *3153:89 0 +10 *2155:29 *3153:100 0 +11 *2155:29 *3157:5 0 +12 *2155:29 *3243:51 0 +13 *41184:A *2155:14 0 +14 *1519:19 *2155:14 0 +15 *1960:13 *2155:14 0 +16 *1984:12 *2155:29 0 +17 *2032:13 *40938:A 0 +18 *2050:17 *2155:29 0 +19 *2065:15 *2155:14 0 +20 *2065:15 *2155:29 0 +21 *2079:27 *40938:A 0 +22 *2084:11 *2155:29 0 +23 *2085:13 *2155:29 0 +24 *2093:22 *2155:29 0 +25 *2131:35 *40938:A 0 +26 *2131:45 *2155:29 0 +27 *2131:48 *2155:29 0 +28 *2145:35 *2155:14 0 +29 *2145:42 *40938:A 0 +*RES +1 *38890:HI[342] *2155:14 45.625 +2 *2155:14 *2155:29 45.375 +3 *2155:29 *40938:A 41.8357 +*END + +*D_NET *2156 0.00401143 +*CONN +*I *40935:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[343] O *D mprj_logic_high +*CAP +1 *40935:A 0.000417566 +2 *38890:HI[343] 0.00158815 +3 *2156:26 0.00200572 +4 *40935:A *3790:11 0 +5 *40935:A *4363:61 0 +6 *2156:26 *8109:DIODE 0 +7 *2156:26 *40301:A 0 +8 *2156:26 *40737:A 0 +9 *2156:26 *2289:30 0 +10 *2156:26 *2314:10 0 +11 *2156:26 *2341:14 0 +12 *2156:26 *2345:19 0 +13 *2156:26 *2346:25 0 +14 *2156:26 *2347:16 0 +15 *2156:26 *3083:67 0 +16 *2156:26 *3714:7 0 +17 *2156:26 *3714:18 0 +18 *2156:26 *3775:30 0 +19 *2156:26 *4345:75 0 +*RES +1 *38890:HI[343] *2156:26 48.1607 +2 *2156:26 *40935:A 27.2107 +*END + +*D_NET *2157 0.0109229 +*CONN +*I *40931:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8597:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[344] O *D mprj_logic_high +*CAP +1 *40931:A 0.000108628 +2 *8597:DIODE 0.000240377 +3 *38890:HI[344] 0.00204473 +4 *2157:28 0.001783 +5 *2157:18 0.00306769 +6 *2157:10 0.00367843 +7 *8597:DIODE *4458:48 0 +8 *2157:10 *2171:10 0 +9 *2157:10 *2195:10 0 +10 *2157:18 *2162:36 0 +11 *2157:18 *2172:41 0 +12 *2157:18 *3565:17 0 +13 *2157:18 *3633:20 0 +14 *2157:28 *40700:A 0 +15 *2157:28 *2177:17 0 +16 *2157:28 *2179:36 0 +17 *2157:28 *2202:64 0 +18 *2157:28 *3385:28 0 +19 *2157:28 *3580:43 0 +20 *2157:28 *3873:25 0 +21 *2157:28 *3888:24 0 +22 *40010:A *8597:DIODE 0 +23 *1385:13 *2157:28 0 +24 *1386:16 *8597:DIODE 0 +25 *1395:44 *2157:28 0 +26 *1889:25 *2157:28 0 +*RES +1 *38890:HI[344] *2157:10 47.2679 +2 *2157:10 *2157:18 47.8036 +3 *2157:18 *2157:28 39.8393 +4 *2157:28 *8597:DIODE 19.0679 +5 *2157:28 *40931:A 16.2821 +*END + +*D_NET *2158 0.0231516 +*CONN +*I *40929:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8595:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[345] O *D mprj_logic_high +*CAP +1 *40929:A 0.000104386 +2 *8595:DIODE 0.000203469 +3 *38890:HI[345] 0.00173662 +4 *2158:59 0.00207511 +5 *2158:58 0.00313112 +6 *2158:53 0.00291057 +7 *2158:32 0.00560664 +8 *2158:31 0.00485353 +9 *2158:17 0.0025302 +10 *40929:A *3614:18 0 +11 *40929:A *3845:46 0 +12 *2158:17 *40914:A 0 +13 *2158:17 *2159:23 0 +14 *2158:17 *2731:12 0 +15 *2158:17 *2736:18 0 +16 *2158:17 *3565:13 0 +17 *2158:17 *3612:78 0 +18 *2158:31 *2561:26 0 +19 *2158:31 *2590:30 0 +20 *2158:31 *2703:19 0 +21 *2158:32 *2703:19 0 +22 *2158:32 *2703:29 0 +23 *2158:32 *2868:9 0 +24 *2158:32 *2880:34 0 +25 *2158:32 *5046:9 0 +26 *2158:53 *2559:20 0 +27 *2158:53 *2702:27 0 +28 *2158:53 *2748:10 0 +29 *2158:53 *4655:13 0 +30 *2158:58 *4658:7 0 +31 *2158:59 *2502:44 0 +32 *2158:59 *2759:13 0 +33 *2158:59 *2895:35 0 +34 *2158:59 *3552:55 0 +35 *39478:A *2158:17 0 +36 *39480:B *2158:17 0 +37 *335:20 *2158:59 0 +38 *1000:14 *2158:53 0 +39 *1012:10 *2158:31 0 +40 *1019:8 *2158:53 0 +41 *1022:10 *2158:31 0 +42 *1022:10 *2158:53 0 +43 *1281:18 *2158:59 0 +44 *1375:22 *2158:59 0 +45 *1387:37 *8595:DIODE 0 +46 *1389:25 *2158:59 0 +47 *1529:52 *2158:17 0 +48 *1530:32 *2158:17 0 +49 *1810:21 *2158:17 0 +50 *1926:47 *2158:53 0 +*RES +1 *38890:HI[345] *2158:17 48.4286 +2 *2158:17 *2158:31 32.9276 +3 *2158:31 *2158:32 84.7321 +4 *2158:32 *2158:53 47.6128 +5 *2158:53 *2158:58 37.4643 +6 *2158:58 *2158:59 40.1964 +7 *2158:59 *8595:DIODE 18.2286 +8 *2158:59 *40929:A 15.9786 +*END + +*D_NET *2159 0.00622967 +*CONN +*I *40927:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[346] O *D mprj_logic_high +*CAP +1 *40927:A 0 +2 *38890:HI[346] 0.00193327 +3 *2159:40 0.00118156 +4 *2159:23 0.00311483 +5 *2159:23 *2160:14 0 +6 *2159:23 *2163:28 0 +7 *2159:23 *2192:41 0 +8 *2159:23 *2201:26 0 +9 *2159:23 *2206:31 0 +10 *2159:23 *3574:20 0 +11 *2159:23 *3829:20 0 +12 *2159:23 *3856:20 0 +13 *2159:23 *3861:20 0 +14 *2159:23 *4101:20 0 +15 *2159:23 *4458:74 0 +16 *2159:40 *2190:29 0 +17 *2159:40 *2201:35 0 +18 *2159:40 *2204:58 0 +19 *2159:40 *2733:100 0 +20 *2159:40 *2747:63 0 +21 *2159:40 *3577:27 0 +22 *2159:40 *3577:34 0 +23 *2159:40 *3602:23 0 +24 *2159:40 *3602:42 0 +25 *2159:40 *3861:34 0 +26 *2159:40 *3863:22 0 +27 *1530:32 *2159:23 0 +28 *1912:25 *2159:23 0 +29 *1921:22 *2159:40 0 +30 *1951:43 *2159:23 0 +31 *2158:17 *2159:23 0 +*RES +1 *38890:HI[346] *2159:23 46.0558 +2 *2159:23 *2159:40 43.4821 +3 *2159:40 *40927:A 9.3 +*END + +*D_NET *2160 0.0168548 +*CONN +*I *40925:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8592:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[347] O *D mprj_logic_high +*CAP +1 *40925:A 0 +2 *8592:DIODE 0.000602312 +3 *38890:HI[347] 0.00186127 +4 *2160:63 0.00223812 +5 *2160:46 0.00314899 +6 *2160:33 0.00347406 +7 *2160:25 0.00281481 +8 *2160:14 0.0027152 +9 *8592:DIODE *8088:DIODE 0 +10 *8592:DIODE *3384:18 0 +11 *2160:14 *6315:DIODE 0 +12 *2160:14 *38218:A 0 +13 *2160:14 *2174:41 0 +14 *2160:14 *3565:13 0 +15 *2160:14 *3566:52 0 +16 *2160:14 *3599:49 0 +17 *2160:14 *4101:20 0 +18 *2160:25 *2197:52 0 +19 *2160:25 *2598:22 0 +20 *2160:25 *2610:14 0 +21 *2160:25 *2735:39 0 +22 *2160:25 *5056:11 0 +23 *2160:25 *5071:21 0 +24 *2160:33 *2168:24 0 +25 *2160:46 *2768:33 0 +26 *2160:63 *2191:30 0 +27 *2160:63 *2872:16 0 +28 *2160:63 *2876:20 0 +29 *2160:63 *2880:22 0 +30 *2160:63 *3222:37 0 +31 *2160:63 *3870:46 0 +32 *7816:DIODE *2160:14 0 +33 *7891:DIODE *8592:DIODE 0 +34 *40010:A *8592:DIODE 0 +35 *41380:A *8592:DIODE 0 +36 *41381:A *8592:DIODE 0 +37 *300:7 *2160:63 0 +38 *301:12 *2160:63 0 +39 *342:50 *2160:25 0 +40 *1196:10 *8592:DIODE 0 +41 *1288:28 *2160:14 0 +42 *1903:31 *2160:33 0 +43 *1903:32 *2160:46 0 +44 *1917:22 *2160:46 0 +45 *1927:38 *2160:33 0 +46 *1934:33 *2160:25 0 +47 *2159:23 *2160:14 0 +*RES +1 *38890:HI[347] *2160:14 45.6786 +2 *2160:14 *2160:25 36.875 +3 *2160:25 *2160:33 45.6429 +4 *2160:33 *2160:46 45.6071 +5 *2160:46 *2160:63 39.1724 +6 *2160:63 *8592:DIODE 30.9786 +7 *2160:63 *40925:A 9.3 +*END + +*D_NET *2161 0.0122716 +*CONN +*I *40923:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8590:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[348] O *D mprj_logic_high +*CAP +1 *40923:A 0 +2 *8590:DIODE 0.000143745 +3 *38890:HI[348] 0.000920753 +4 *2161:63 0.00171947 +5 *2161:35 0.00262656 +6 *2161:12 0.00349557 +7 *2161:10 0.00336549 +8 *8590:DIODE *4380:21 0 +9 *8590:DIODE *4464:26 0 +10 *2161:10 *2176:14 0 +11 *2161:10 *2201:8 0 +12 *2161:12 *2164:23 0 +13 *2161:12 *2176:14 0 +14 *2161:12 *3578:80 0 +15 *2161:35 *2195:21 0 +16 *2161:35 *2195:24 0 +17 *2161:35 *2205:10 0 +18 *2161:35 *2733:72 0 +19 *2161:35 *3643:29 0 +20 *2161:35 *3646:38 0 +21 *2161:63 *8407:DIODE 0 +22 *2161:63 *37568:B 0 +23 *2161:63 *2859:18 0 +24 *2161:63 *3222:37 0 +25 *2161:63 *3888:24 0 +26 *2161:63 *4380:21 0 +27 *2161:63 *4394:20 0 +28 *38190:B *2161:63 0 +29 *40032:A *2161:35 0 +30 *41368:A *2161:63 0 +31 *1523:21 *2161:63 0 +32 *1890:19 *2161:63 0 +33 *1936:8 *2161:10 0 +34 *1936:8 *2161:12 0 +*RES +1 *38890:HI[348] *2161:10 23.8393 +2 *2161:10 *2161:12 51.0536 +3 *2161:12 *2161:35 48.7225 +4 *2161:35 *2161:63 38.6017 +5 *2161:63 *8590:DIODE 12.3 +6 *2161:63 *40923:A 9.3 +*END + +*D_NET *2162 0.0131298 +*CONN +*I *8588:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40921:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[349] O *D mprj_logic_high +*CAP +1 *8588:DIODE 0 +2 *40921:A 0.000143745 +3 *38890:HI[349] 0.00176385 +4 *2162:63 0.000349658 +5 *2162:58 0.00153069 +6 *2162:36 0.00370641 +7 *2162:27 0.00312662 +8 *2162:13 0.00250884 +9 *40921:A *2171:31 0 +10 *40921:A *3639:19 0 +11 *2162:13 *2163:14 0 +12 *2162:13 *3599:49 0 +13 *2162:13 *3643:9 0 +14 *2162:27 *3569:60 0 +15 *2162:27 *3850:20 0 +16 *2162:36 *2172:41 0 +17 *2162:36 *2176:14 0 +18 *2162:36 *3565:17 0 +19 *2162:36 *3633:26 0 +20 *2162:36 *3643:15 0 +21 *2162:36 *3856:37 0 +22 *2162:36 *3856:54 0 +23 *2162:58 *40904:A 0 +24 *2162:58 *2172:65 0 +25 *2162:58 *2172:68 0 +26 *2162:58 *2206:48 0 +27 *2162:58 *3633:61 0 +28 *2162:58 *3856:54 0 +29 *2162:58 *4403:19 0 +30 *40016:A *2162:58 0 +31 *40023:A *2162:36 0 +32 *41389:A *2162:58 0 +33 *1171:17 *2162:58 0 +34 *1809:19 *2162:27 0 +35 *1933:16 *2162:13 0 +36 *1951:18 *2162:36 0 +37 *2157:18 *2162:36 0 +*RES +1 *38890:HI[349] *2162:13 49.7046 +2 *2162:13 *2162:27 19.0501 +3 *2162:27 *2162:36 49.8929 +4 *2162:36 *2162:58 45.8929 +5 *2162:58 *2162:63 13.6786 +6 *2162:63 *40921:A 12.3 +7 *2162:63 *8588:DIODE 9.3 +*END + +*D_NET *2163 0.0110182 +*CONN +*I *37802:A I *D sky130_fd_sc_hd__and2_2 +*I *5656:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[34] O *D mprj_logic_high +*CAP +1 *37802:A 1.54013e-05 +2 *5656:DIODE 0.000240859 +3 *38890:HI[34] 0.00206308 +4 *2163:46 0.00149707 +5 *2163:28 0.00318977 +6 *2163:14 0.00401204 +7 *5656:DIODE *37802:B 0 +8 *5656:DIODE *3598:23 0 +9 *5656:DIODE *3619:31 0 +10 *2163:14 *2190:29 0 +11 *2163:14 *2206:12 0 +12 *2163:14 *2206:31 0 +13 *2163:14 *2733:100 0 +14 *2163:14 *3550:19 0 +15 *2163:14 *3861:34 0 +16 *2163:28 *2190:37 0 +17 *2163:28 *2192:41 0 +18 *2163:28 *2206:31 0 +19 *2163:28 *2206:32 0 +20 *2163:28 *2733:100 0 +21 *2163:28 *2866:14 0 +22 *2163:46 *2206:32 0 +23 *2163:46 *2866:14 0 +24 *2163:46 *3561:28 0 +25 *2163:46 *3598:19 0 +26 *2163:46 *3598:23 0 +27 *7906:DIODE *2163:46 0 +28 *40014:A *2163:46 0 +29 *40035:A *2163:46 0 +30 *1382:45 *5656:DIODE 0 +31 *1382:45 *2163:46 0 +32 *1921:18 *2163:14 0 +33 *1922:13 *2163:28 0 +34 *1923:25 *2163:46 0 +35 *1951:18 *2163:28 0 +36 *1951:43 *2163:28 0 +37 *1952:35 *2163:46 0 +38 *1952:49 *2163:46 0 +39 *2159:23 *2163:28 0 +40 *2162:13 *2163:14 0 +*RES +1 *38890:HI[34] *2163:14 48.2321 +2 *2163:14 *2163:28 49.875 +3 *2163:28 *2163:46 44.3929 +4 *2163:46 *5656:DIODE 14.4964 +5 *2163:46 *37802:A 9.72857 +*END + +*D_NET *2164 0.0163617 +*CONN +*I *40919:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8586:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[350] O *D mprj_logic_high +*CAP +1 *40919:A 0.000129738 +2 *8586:DIODE 0.000151117 +3 *38890:HI[350] 0.00196031 +4 *2164:69 0.00216729 +5 *2164:50 0.00311956 +6 *2164:23 0.00405327 +7 *2164:22 0.00478046 +8 *8586:DIODE *3629:24 0 +9 *2164:22 *3555:31 0 +10 *2164:22 *3569:60 0 +11 *2164:22 *3646:30 0 +12 *2164:22 *3850:20 0 +13 *2164:23 *40700:A 0 +14 *2164:23 *2176:14 0 +15 *2164:23 *2191:20 0 +16 *2164:23 *2195:10 0 +17 *2164:23 *3636:39 0 +18 *2164:23 *3639:14 0 +19 *2164:50 *2176:14 0 +20 *2164:50 *2205:22 0 +21 *2164:50 *2206:48 0 +22 *2164:50 *3580:65 0 +23 *2164:50 *3633:61 0 +24 *2164:50 *4403:19 0 +25 *2164:69 *3222:37 0 +26 *2164:69 *3635:33 0 +27 *2164:69 *3850:32 0 +28 *2164:69 *3865:20 0 +29 *40010:A *2164:50 0 +30 *1397:27 *2164:69 0 +31 *1502:28 *8586:DIODE 0 +32 *1502:28 *40919:A 0 +33 *1524:47 *2164:22 0 +34 *1533:74 *2164:22 0 +35 *1812:23 *2164:22 0 +36 *1936:8 *2164:23 0 +37 *2046:25 *2164:22 0 +38 *2161:12 *2164:23 0 +*RES +1 *38890:HI[350] *2164:22 34.2113 +2 *2164:22 *2164:23 58.8571 +3 *2164:23 *2164:50 49.2679 +4 *2164:50 *2164:69 36.6967 +5 *2164:69 *8586:DIODE 17.1482 +6 *2164:69 *40919:A 16.8089 +*END + +*D_NET *2165 0.0183077 +*CONN +*I *8584:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40917:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[351] O *D mprj_logic_high +*CAP +1 *8584:DIODE 0 +2 *40917:A 0.000143745 +3 *38890:HI[351] 0.00286607 +4 *2165:43 0.000888496 +5 *2165:29 0.00484256 +6 *2165:28 0.00539928 +7 *2165:22 0.00416754 +8 *40917:A *3384:51 0 +9 *40917:A *3974:23 0 +10 *2165:22 *2166:16 0 +11 *2165:22 *2186:18 0 +12 *2165:22 *2741:69 0 +13 *2165:29 *40331:A 0 +14 *2165:29 *3384:27 0 +15 *2165:29 *3384:48 0 +16 *2165:29 *3974:20 0 +17 *2165:29 *4132:28 0 +18 *2165:29 *4137:15 0 +19 *2165:29 *4143:15 0 +20 *2165:29 *4143:36 0 +21 *2165:29 *4145:20 0 +22 *2165:43 *3384:51 0 +23 *2165:43 *3974:23 0 +24 *2165:43 *4137:44 0 +25 *1503:19 *2165:22 0 +26 *1524:35 *2165:22 0 +27 *1812:23 *2165:22 0 +28 *1961:19 *2165:22 0 +29 *2026:25 *2165:29 0 +30 *2043:8 *2165:28 0 +31 *2043:40 *2165:29 0 +32 *2064:14 *2165:29 0 +33 *2064:16 *2165:29 0 +34 *2067:8 *2165:28 0 +35 *2147:8 *2165:29 0 +*RES +1 *38890:HI[351] *2165:22 36.4471 +2 *2165:22 *2165:28 36.5893 +3 *2165:28 *2165:29 85.5536 +4 *2165:29 *2165:43 24.8214 +5 *2165:43 *40917:A 12.3 +6 *2165:43 *8584:DIODE 9.3 +*END + +*D_NET *2166 0.0191054 +*CONN +*I *40916:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8583:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[352] O *D mprj_logic_high +*CAP +1 *40916:A 0.000217457 +2 *8583:DIODE 0.000123958 +3 *38890:HI[352] 0.00100548 +4 *2166:75 0.00110961 +5 *2166:61 0.00199778 +6 *2166:39 0.00327928 +7 *2166:17 0.00620804 +8 *2166:16 0.00516383 +9 *8583:DIODE *4159:29 0 +10 *2166:16 *2850:39 0 +11 *2166:16 *3569:60 0 +12 *2166:16 *3898:15 0 +13 *2166:17 *2741:48 0 +14 *2166:17 *3152:43 0 +15 *2166:17 *3596:21 0 +16 *2166:17 *3596:34 0 +17 *2166:17 *3660:43 0 +18 *2166:39 *3325:37 0 +19 *2166:39 *3331:93 0 +20 *2166:39 *3331:94 0 +21 *2166:39 *3374:76 0 +22 *2166:39 *3494:8 0 +23 *2166:39 *4212:43 0 +24 *2166:39 *4384:56 0 +25 *2166:39 *4457:109 0 +26 *2166:61 *38006:A_N 0 +27 *2166:61 *3331:94 0 +28 *2166:61 *3642:48 0 +29 *2166:61 *4392:26 0 +30 *2166:61 *4448:16 0 +31 *2166:61 *4448:37 0 +32 *2166:75 *38006:A_N 0 +33 *2166:75 *3895:76 0 +34 *2166:75 *4140:40 0 +35 *2166:75 *4159:29 0 +36 *5906:DIODE *2166:39 0 +37 *38006:B *2166:75 0 +38 *38214:B *2166:39 0 +39 *40038:A *2166:75 0 +40 *41219:A *2166:75 0 +41 *1393:45 *2166:75 0 +42 *1393:64 *2166:61 0 +43 *1393:64 *2166:75 0 +44 *1393:86 *2166:61 0 +45 *1506:13 *2166:17 0 +46 *1530:13 *2166:17 0 +47 *1533:43 *2166:61 0 +48 *1812:23 *2166:16 0 +49 *1893:39 *2166:39 0 +50 *1893:39 *2166:61 0 +51 *1900:28 *2166:39 0 +52 *1901:35 *2166:39 0 +53 *2036:17 *2166:16 0 +54 *2046:25 *2166:16 0 +55 *2057:49 *2166:39 0 +56 *2165:22 *2166:16 0 +*RES +1 *38890:HI[352] *2166:16 22.3366 +2 *2166:16 *2166:17 86.7857 +3 *2166:17 *2166:39 46.8201 +4 *2166:39 *2166:61 48.6964 +5 *2166:61 *2166:75 30 +6 *2166:75 *8583:DIODE 20.9964 +7 *2166:75 *40916:A 23.1214 +*END + +*D_NET *2167 0.00249642 +*CONN +*I *40914:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[353] O *D mprj_logic_high +*CAP +1 *40914:A 0.00124821 +2 *38890:HI[353] 0.00124821 +3 *40914:A *2205:10 0 +4 *40914:A *2866:27 0 +5 *1904:13 *40914:A 0 +6 *1930:17 *40914:A 0 +7 *2158:17 *40914:A 0 +*RES +1 *38890:HI[353] *40914:A 49.9071 +*END + +*D_NET *2168 0.0109976 +*CONN +*I *8579:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40911:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[354] O *D mprj_logic_high +*CAP +1 *8579:DIODE 0 +2 *40911:A 0.000282862 +3 *38890:HI[354] 0.00114971 +4 *2168:27 0.000673196 +5 *2168:24 0.0026633 +6 *2168:18 0.00367591 +7 *2168:9 0.00255265 +8 *40911:A *3602:42 0 +9 *40911:A *3835:26 0 +10 *40911:A *3847:15 0 +11 *2168:9 *2729:10 0 +12 *2168:9 *2847:24 0 +13 *2168:9 *3574:10 0 +14 *2168:9 *3671:27 0 +15 *2168:9 *5058:10 0 +16 *2168:18 *2197:38 0 +17 *2168:18 *2197:52 0 +18 *2168:18 *2198:43 0 +19 *2168:18 *2598:10 0 +20 *2168:18 *4963:14 0 +21 *2168:24 *2886:56 0 +22 *2168:27 *2881:17 0 +23 *2168:27 *4942:16 0 +24 *38223:A *2168:24 0 +25 *39475:A *2168:24 0 +26 *39475:B *2168:24 0 +27 *1385:46 *2168:24 0 +28 *1679:15 *2168:24 0 +29 *1812:13 *2168:9 0 +30 *1903:32 *2168:24 0 +31 *1904:13 *2168:18 0 +32 *1917:22 *2168:24 0 +33 *1927:38 *2168:24 0 +34 *1944:35 *2168:18 0 +35 *1944:35 *2168:24 0 +36 *1944:40 *2168:18 0 +37 *1944:42 *2168:18 0 +38 *1948:29 *2168:24 0 +39 *1950:25 *2168:27 0 +40 *2160:33 *2168:24 0 +*RES +1 *38890:HI[354] *2168:9 30.5536 +2 *2168:9 *2168:18 38.3393 +3 *2168:18 *2168:24 47.5 +4 *2168:24 *2168:27 13.4286 +5 *2168:27 *40911:A 19.9607 +6 *2168:27 *8579:DIODE 13.8 +*END + +*D_NET *2169 0.00621821 +*CONN +*I *40909:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[355] O *D mprj_logic_high +*CAP +1 *40909:A 0.00104591 +2 *38890:HI[355] 0.0020632 +3 *2169:12 0.00310911 +4 *40909:A *37808:A 0 +5 *40909:A *37808:B 0 +6 *40909:A *3185:25 0 +7 *40909:A *3602:23 0 +8 *2169:12 *2170:9 0 +9 *2169:12 *2188:13 0 +10 *2169:12 *2733:100 0 +11 *2169:12 *2733:106 0 +12 *2169:12 *3565:13 0 +13 *2169:12 *3574:10 0 +14 *2169:12 *3599:49 0 +15 *2169:12 *3633:12 0 +16 *1303:14 *40909:A 0 +17 *1927:23 *40909:A 0 +18 *1931:35 *2169:12 0 +19 *1945:34 *40909:A 0 +20 *1951:43 *40909:A 0 +*RES +1 *38890:HI[355] *2169:12 48.0357 +2 *2169:12 *40909:A 41.425 +*END + +*D_NET *2170 0.0202918 +*CONN +*I *8576:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40907:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[356] O *D mprj_logic_high +*CAP +1 *8576:DIODE 0 +2 *40907:A 0.000143745 +3 *38890:HI[356] 0.00169481 +4 *2170:32 0.00206239 +5 *2170:28 0.00326043 +6 *2170:10 0.00638869 +7 *2170:9 0.00674172 +8 *2170:9 *2172:10 0 +9 *2170:9 *2729:10 0 +10 *2170:9 *2875:14 0 +11 *2170:9 *3574:10 0 +12 *2170:9 *3575:68 0 +13 *2170:9 *4338:10 0 +14 *2170:9 *5058:10 0 +15 *2170:10 *39794:A 0 +16 *2170:10 *2182:60 0 +17 *2170:10 *2564:41 0 +18 *2170:10 *2564:49 0 +19 *2170:10 *2590:14 0 +20 *2170:10 *2748:15 0 +21 *2170:10 *2868:33 0 +22 *2170:10 *5132:23 0 +23 *2170:28 *2748:15 0 +24 *2170:28 *4655:13 0 +25 *2170:28 *5046:9 0 +26 *2170:32 *2890:42 0 +27 *2170:32 *2902:30 0 +28 *2170:32 *2902:40 0 +29 *2170:32 *3353:13 0 +30 *338:85 *2170:32 0 +31 *347:50 *2170:9 0 +32 *1012:10 *2170:28 0 +33 *1022:10 *2170:28 0 +34 *1171:34 *2170:32 0 +35 *1375:40 *2170:28 0 +36 *1387:70 *40907:A 0 +37 *1387:70 *2170:32 0 +38 *1494:18 *2170:32 0 +39 *1888:17 *40907:A 0 +40 *1888:17 *2170:32 0 +41 *1926:40 *2170:10 0 +42 *1926:40 *2170:28 0 +43 *1953:27 *2170:10 0 +44 *2169:12 *2170:9 0 +*RES +1 *38890:HI[356] *2170:9 43 +2 *2170:9 *2170:10 105.268 +3 *2170:10 *2170:28 46.2458 +4 *2170:28 *2170:32 47.9821 +5 *2170:32 *40907:A 12.3 +6 *2170:32 *8576:DIODE 9.3 +*END + +*D_NET *2171 0.0179449 +*CONN +*I *8574:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40905:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[357] O *D mprj_logic_high +*CAP +1 *8574:DIODE 0 +2 *40905:A 0.000143745 +3 *38890:HI[357] 5.1085e-05 +4 *2171:64 0.000284496 +5 *2171:61 0.0018913 +6 *2171:31 0.00310096 +7 *2171:10 0.00688631 +8 *2171:9 0.00558698 +9 *40905:A *3614:18 0 +10 *40905:A *3845:46 0 +11 *2171:10 *8351:DIODE 0 +12 *2171:10 *2179:10 0 +13 *2171:10 *2195:10 0 +14 *2171:10 *2880:19 0 +15 *2171:10 *3555:31 0 +16 *2171:10 *3569:61 0 +17 *2171:10 *3580:65 0 +18 *2171:10 *3636:39 0 +19 *2171:10 *3639:19 0 +20 *2171:31 *5602:DIODE 0 +21 *2171:31 *37586:B 0 +22 *2171:31 *3565:41 0 +23 *2171:31 *3639:19 0 +24 *2171:31 *3842:19 0 +25 *2171:31 *3884:23 0 +26 *2171:61 *37756:B 0 +27 *2171:61 *3574:20 0 +28 *2171:61 *3587:56 0 +29 *2171:61 *3629:24 0 +30 *2171:61 *3635:33 0 +31 *2171:61 *3839:35 0 +32 *2171:61 *3884:23 0 +33 *2171:64 *8339:DIODE 0 +34 *2171:64 *3845:46 0 +35 *2171:64 *3884:40 0 +36 *37756:A *2171:61 0 +37 *40921:A *2171:31 0 +38 *1397:27 *2171:61 0 +39 *1397:55 *2171:31 0 +40 *1502:28 *2171:61 0 +41 *1906:49 *2171:31 0 +42 *2157:10 *2171:10 0 +*RES +1 *38890:HI[357] *2171:9 5.66071 +2 *2171:9 *2171:10 115.536 +3 *2171:10 *2171:31 47.9722 +4 *2171:31 *2171:61 47.9334 +5 *2171:61 *2171:64 7.5 +6 *2171:64 *40905:A 12.3 +7 *2171:64 *8574:DIODE 9.3 +*END + +*D_NET *2172 0.0138293 +*CONN +*I *8573:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40904:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[358] O *D mprj_logic_high +*CAP +1 *8573:DIODE 0 +2 *40904:A 0.000190793 +3 *38890:HI[358] 0.00167706 +4 *2172:68 0.000331544 +5 *2172:65 0.00142133 +6 *2172:41 0.00297887 +7 *2172:33 0.00362545 +8 *2172:10 0.00360422 +9 *40904:A *4403:19 0 +10 *2172:10 *2173:16 0 +11 *2172:10 *2176:13 0 +12 *2172:10 *2180:29 0 +13 *2172:10 *3363:14 0 +14 *2172:10 *3599:49 0 +15 *2172:10 *3861:20 0 +16 *2172:10 *4101:23 0 +17 *2172:33 *2201:26 0 +18 *2172:33 *3555:31 0 +19 *2172:33 *3565:17 0 +20 *2172:33 *3569:60 0 +21 *2172:33 *3574:20 0 +22 *2172:33 *3587:33 0 +23 *2172:33 *3599:49 0 +24 *2172:33 *3633:20 0 +25 *2172:33 *3643:9 0 +26 *2172:33 *3850:32 0 +27 *2172:41 *3565:17 0 +28 *2172:41 *3633:26 0 +29 *2172:65 *40630:A 0 +30 *2172:65 *3561:28 0 +31 *2172:65 *3633:26 0 +32 *2172:65 *3856:37 0 +33 *2172:65 *4403:19 0 +34 *2172:68 *4403:19 0 +35 *1807:17 *2172:33 0 +36 *1912:25 *2172:33 0 +37 *1912:26 *2172:65 0 +38 *1921:31 *2172:65 0 +39 *2157:18 *2172:41 0 +40 *2162:36 *2172:41 0 +41 *2162:58 *40904:A 0 +42 *2162:58 *2172:65 0 +43 *2162:58 *2172:68 0 +44 *2170:9 *2172:10 0 +*RES +1 *38890:HI[358] *2172:10 39.8214 +2 *2172:10 *2172:33 48.8127 +3 *2172:33 *2172:41 49.0536 +4 *2172:41 *2172:65 49.8571 +5 *2172:65 *2172:68 7.5 +6 *2172:68 *40904:A 22.5143 +7 *2172:68 *8573:DIODE 9.3 +*END + +*D_NET *2173 0.0118918 +*CONN +*I *40902:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[359] O *D mprj_logic_high +*CAP +1 *40902:A 0.00112285 +2 *38890:HI[359] 0.00204861 +3 *2173:25 0.00389728 +4 *2173:16 0.00482304 +5 *40902:A *8548:DIODE 0 +6 *40902:A *2204:58 0 +7 *40902:A *2739:20 0 +8 *40902:A *2751:22 0 +9 *40902:A *4386:18 0 +10 *2173:16 *2174:25 0 +11 *2173:16 *2175:19 0 +12 *2173:16 *2876:20 0 +13 *2173:16 *3603:37 0 +14 *2173:16 *4101:23 0 +15 *2173:16 *4368:42 0 +16 *2173:25 *2617:27 0 +17 *2173:25 *2736:18 0 +18 *2173:25 *2740:24 0 +19 *2173:25 *2751:22 0 +20 *2173:25 *5056:11 0 +21 *8925:DIODE *2173:16 0 +22 *342:61 *40902:A 0 +23 *344:50 *40902:A 0 +24 *1006:10 *2173:25 0 +25 *1009:92 *2173:25 0 +26 *1904:13 *2173:25 0 +27 *1927:23 *40902:A 0 +28 *1930:17 *2173:25 0 +29 *1940:33 *40902:A 0 +30 *2172:10 *2173:16 0 +*RES +1 *38890:HI[359] *2173:16 38.4691 +2 *2173:16 *2173:25 39.9882 +3 *2173:25 *40902:A 39.2732 +*END + +*D_NET *2174 0.0179742 +*CONN +*I *37804:A I *D sky130_fd_sc_hd__and2_1 +*I *5659:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[35] O *D mprj_logic_high +*CAP +1 *37804:A 0.000128288 +2 *5659:DIODE 0 +3 *38890:HI[35] 0.00199326 +4 *2174:93 0.000140459 +5 *2174:92 0.00208756 +6 *2174:74 0.00381404 +7 *2174:60 0.00329002 +8 *2174:41 0.00303932 +9 *2174:25 0.00348122 +10 *37804:A *37804:B 0 +11 *37804:A *3577:49 0 +12 *37804:A *3853:34 0 +13 *2174:25 *2175:19 0 +14 *2174:25 *2199:30 0 +15 *2174:25 *2725:18 0 +16 *2174:25 *3620:33 0 +17 *2174:25 *3640:43 0 +18 *2174:25 *4311:22 0 +19 *2174:25 *4338:10 0 +20 *2174:41 *2598:10 0 +21 *2174:41 *4350:23 0 +22 *2174:41 *4963:14 0 +23 *2174:60 *8550:DIODE 0 +24 *2174:60 *40876:A 0 +25 *2174:60 *2598:22 0 +26 *2174:60 *2735:39 0 +27 *2174:60 *2747:63 0 +28 *2174:60 *2852:19 0 +29 *2174:74 *8559:DIODE 0 +30 *2174:74 *2852:19 0 +31 *2174:74 *2876:20 0 +32 *2174:74 *2881:17 0 +33 *2174:74 *2885:19 0 +34 *2174:92 *2862:15 0 +35 *2174:92 *3557:29 0 +36 *2174:92 *3561:28 0 +37 *2174:92 *3577:43 0 +38 *2174:92 *3602:42 0 +39 *2174:92 *3835:38 0 +40 *2174:92 *3847:15 0 +41 *2174:92 *3853:34 0 +42 *2174:92 *4386:38 0 +43 *6316:DIODE *2174:25 0 +44 *8925:DIODE *2174:25 0 +45 *1018:44 *2174:60 0 +46 *1027:39 *2174:60 0 +47 *1279:10 *37804:A 0 +48 *1385:22 *2174:92 0 +49 *1390:22 *2174:74 0 +50 *1508:18 *2174:25 0 +51 *1681:35 *2174:74 0 +52 *1681:49 *2174:74 0 +53 *1804:8 *37804:A 0 +54 *1812:13 *2174:25 0 +55 *1908:15 *2174:41 0 +56 *1909:47 *2174:74 0 +57 *1915:29 *2174:74 0 +58 *1930:33 *2174:60 0 +59 *1932:28 *2174:41 0 +60 *1934:25 *2174:60 0 +61 *1935:28 *2174:41 0 +62 *1943:26 *2174:41 0 +63 *2160:14 *2174:41 0 +64 *2173:16 *2174:25 0 +*RES +1 *38890:HI[35] *2174:25 45.4569 +2 *2174:25 *2174:41 48.5261 +3 *2174:41 *2174:60 42.9621 +4 *2174:60 *2174:74 45.8785 +5 *2174:74 *2174:92 49.9735 +6 *2174:92 *2174:93 0.276786 +7 *2174:93 *5659:DIODE 13.8 +8 *2174:93 *37804:A 16.6929 +*END + +*D_NET *2175 0.0025164 +*CONN +*I *40900:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[360] O *D mprj_logic_high +*CAP +1 *40900:A 0 +2 *38890:HI[360] 0.0012582 +3 *2175:19 0.0012582 +4 *2175:19 *37596:A 0 +5 *2175:19 *2176:13 0 +6 *2175:19 *2178:19 0 +7 *2175:19 *3596:20 0 +8 *2175:19 *3612:78 0 +9 *2175:19 *4325:17 0 +10 *2175:19 *4338:10 0 +11 *2173:16 *2175:19 0 +12 *2174:25 *2175:19 0 +*RES +1 *38890:HI[360] *2175:19 41.1429 +2 *2175:19 *40900:A 9.3 +*END + +*D_NET *2176 0.0133173 +*CONN +*I *8569:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40898:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[361] O *D mprj_logic_high +*CAP +1 *8569:DIODE 0 +2 *40898:A 0.000189344 +3 *38890:HI[361] 0.00155958 +4 *2176:28 0.00107998 +5 *2176:14 0.00490973 +6 *2176:13 0.00557867 +7 *40898:A *2205:22 0 +8 *2176:13 *2178:19 0 +9 *2176:13 *2180:13 0 +10 *2176:13 *3599:49 0 +11 *2176:13 *3643:9 0 +12 *2176:13 *4101:23 0 +13 *2176:14 *40700:A 0 +14 *2176:14 *2191:20 0 +15 *2176:14 *2201:8 0 +16 *2176:14 *2205:22 0 +17 *2176:14 *3555:52 0 +18 *2176:14 *3639:14 0 +19 *2176:14 *3643:15 0 +20 *2176:28 *2205:22 0 +21 *2176:28 *3635:18 0 +22 *2176:28 *3865:20 0 +23 *1904:13 *2176:13 0 +24 *1931:25 *2176:14 0 +25 *1933:16 *2176:14 0 +26 *2161:10 *2176:14 0 +27 *2161:12 *2176:14 0 +28 *2162:36 *2176:14 0 +29 *2164:23 *2176:14 0 +30 *2164:50 *2176:14 0 +31 *2172:10 *2176:13 0 +32 *2175:19 *2176:13 0 +*RES +1 *38890:HI[361] *2176:13 46.4464 +2 *2176:13 *2176:14 83.9107 +3 *2176:14 *2176:28 27.8036 +4 *2176:28 *40898:A 22.3357 +5 *2176:28 *8569:DIODE 9.3 +*END + +*D_NET *2177 0.0137043 +*CONN +*I *40897:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8568:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[362] O *D mprj_logic_high +*CAP +1 *40897:A 0 +2 *8568:DIODE 0.000373521 +3 *38890:HI[362] 0.00600031 +4 *2177:17 0.000851816 +5 *2177:14 0.00647861 +6 *8568:DIODE *37594:B 0 +7 *8568:DIODE *3152:43 0 +8 *2177:14 *3152:30 0 +9 *2177:14 *3596:20 0 +10 *2177:14 *3596:34 0 +11 *2177:14 *4186:12 0 +12 *2177:17 *2210:25 0 +13 *2177:17 *3873:25 0 +14 *7891:DIODE *8568:DIODE 0 +15 *37982:B *2177:17 0 +16 *41380:A *8568:DIODE 0 +17 *301:31 *8568:DIODE 0 +18 *1196:10 *8568:DIODE 0 +19 *1384:33 *2177:14 0 +20 *1384:33 *2177:17 0 +21 *1395:44 *2177:17 0 +22 *1506:22 *2177:14 0 +23 *1533:71 *2177:14 0 +24 *1889:25 *2177:17 0 +25 *1907:20 *2177:14 0 +26 *2036:17 *2177:14 0 +27 *2061:21 *2177:14 0 +28 *2157:28 *2177:17 0 +*RES +1 *38890:HI[362] *2177:14 46.9861 +2 *2177:14 *2177:17 14.4821 +3 *2177:17 *8568:DIODE 26.2286 +4 *2177:17 *40897:A 9.3 +*END + +*D_NET *2178 0.00435487 +*CONN +*I *40896:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[363] O *D mprj_logic_high +*CAP +1 *40896:A 0.00030938 +2 *38890:HI[363] 0.00186805 +3 *2178:19 0.00217743 +4 *40896:A *2199:57 0 +5 *40896:A *3608:55 0 +6 *2178:19 *8370:DIODE 0 +7 *2178:19 *40934:A 0 +8 *2178:19 *2198:22 0 +9 *2178:19 *2333:15 0 +10 *2178:19 *3608:55 0 +11 *2178:19 *3612:78 0 +12 *2178:19 *3828:32 0 +13 *2178:19 *4333:26 0 +14 *41326:A *40896:A 0 +15 *41326:A *2178:19 0 +16 *41330:A *2178:19 0 +17 *41352:A *2178:19 0 +18 *1305:19 *2178:19 0 +19 *2175:19 *2178:19 0 +20 *2176:13 *2178:19 0 +*RES +1 *38890:HI[363] *2178:19 49.6786 +2 *2178:19 *40896:A 20.5321 +*END + +*D_NET *2179 0.0131998 +*CONN +*I *40894:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8566:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[364] O *D mprj_logic_high +*CAP +1 *40894:A 0 +2 *8566:DIODE 0.000503536 +3 *38890:HI[364] 5.11243e-05 +4 *2179:36 0.00172132 +5 *2179:10 0.00604523 +6 *2179:9 0.00487857 +7 *8566:DIODE *5602:DIODE 0 +8 *8566:DIODE *37760:B 0 +9 *8566:DIODE *40880:A 0 +10 *8566:DIODE *3565:25 0 +11 *8566:DIODE *3565:41 0 +12 *8566:DIODE *3639:19 0 +13 *8566:DIODE *3854:17 0 +14 *2179:10 *2180:13 0 +15 *2179:10 *2195:10 0 +16 *2179:10 *2205:10 0 +17 *2179:10 *3569:61 0 +18 *2179:10 *3633:20 0 +19 *2179:36 *8351:DIODE 0 +20 *2179:36 *40473:A 0 +21 *2179:36 *40880:A 0 +22 *2179:36 *2733:56 0 +23 *2179:36 *3384:18 0 +24 *2179:36 *3565:25 0 +25 *2179:36 *3568:22 0 +26 *2179:36 *3630:37 0 +27 *2179:36 *3639:19 0 +28 *2179:36 *3646:38 0 +29 *37760:A *8566:DIODE 0 +30 *41389:A *2179:36 0 +31 *1395:44 *2179:36 0 +32 *1806:35 *2179:36 0 +33 *1887:14 *2179:36 0 +34 *2157:28 *2179:36 0 +35 *2171:10 *2179:10 0 +*RES +1 *38890:HI[364] *2179:9 5.66071 +2 *2179:9 *2179:10 100.75 +3 *2179:10 *2179:36 38.6406 +4 *2179:36 *8566:DIODE 20.4071 +5 *2179:36 *40894:A 9.3 +*END + +*D_NET *2180 0.00706153 +*CONN +*I *40893:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[365] O *D mprj_logic_high +*CAP +1 *40893:A 0.000318721 +2 *38890:HI[365] 0.00181077 +3 *2180:29 0.00171999 +4 *2180:13 0.00321204 +5 *40893:A *2196:44 0 +6 *2180:13 *2195:10 0 +7 *2180:13 *2205:10 0 +8 *2180:13 *2333:10 0 +9 *2180:29 *40663:A 0 +10 *2180:29 *2204:58 0 +11 *2180:29 *3591:12 0 +12 *2180:29 *3598:18 0 +13 *2180:29 *3599:49 0 +14 *2180:29 *3608:75 0 +15 *2180:29 *3612:78 0 +16 *2180:29 *3624:75 0 +17 *2180:29 *4311:14 0 +18 *41306:A *2180:29 0 +19 *41326:A *2180:29 0 +20 *1198:42 *40893:A 0 +21 *1904:13 *2180:13 0 +22 *1910:22 *40893:A 0 +23 *1946:38 *40893:A 0 +24 *1946:38 *2180:29 0 +25 *1955:32 *40893:A 0 +26 *2172:10 *2180:29 0 +27 *2176:13 *2180:13 0 +28 *2179:10 *2180:13 0 +*RES +1 *38890:HI[365] *2180:13 47.2143 +2 *2180:13 *2180:29 48.3393 +3 *2180:29 *40893:A 20.7911 +*END + +*D_NET *2181 0.0205043 +*CONN +*I *8564:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40891:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[366] O *D mprj_logic_high +*CAP +1 *8564:DIODE 0.000121641 +2 *40891:A 5.22648e-05 +3 *38890:HI[366] 0.00116228 +4 *2181:33 0.00453792 +5 *2181:17 0.00891595 +6 *2181:16 0.00571421 +7 *2181:16 *2186:18 0 +8 *2181:33 *2210:25 0 +9 *2181:33 *2747:24 0 +10 *2181:33 *3325:37 0 +11 *2181:33 *3861:70 0 +12 *2181:33 *3898:39 0 +13 *2181:33 *4206:18 0 +14 *41171:A *2181:33 0 +15 *1398:37 *2181:33 0 +16 *1517:14 *2181:16 0 +17 *1894:36 *2181:33 0 +18 *1895:18 *2181:33 0 +19 *1907:20 *2181:33 0 +20 *1965:8 *2181:17 0 +21 *2005:35 *2181:17 0 +22 *2026:8 *2181:17 0 +23 *2036:17 *2181:16 0 +24 *2037:13 *2181:16 0 +25 *2046:25 *2181:16 0 +26 *2074:10 *2181:17 0 +27 *2076:8 *2181:17 0 +*RES +1 *38890:HI[366] *2181:16 23.4764 +2 *2181:16 *2181:17 95 +3 *2181:17 *2181:33 35.0609 +4 *2181:33 *40891:A 14.9875 +5 *2181:33 *8564:DIODE 16.5411 +*END + +*D_NET *2182 0.0213457 +*CONN +*I *5353:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37544:A I *D sky130_fd_sc_hd__and2_1 +*I *38890:HI[367] O *D mprj_logic_high +*CAP +1 *5353:DIODE 0.000412581 +2 *37544:A 0.000175519 +3 *38890:HI[367] 0.00198065 +4 *2182:61 0.00230163 +5 *2182:60 0.0027649 +6 *2182:47 0.00197638 +7 *2182:30 0.00533919 +8 *2182:29 0.00639483 +9 *5353:DIODE *5378:DIODE 0 +10 *5353:DIODE *37544:B 0 +11 *5353:DIODE *3565:41 0 +12 *5353:DIODE *3642:21 0 +13 *37544:A *5378:DIODE 0 +14 *37544:A *3630:37 0 +15 *2182:29 *39906:A 0 +16 *2182:29 *2183:8 0 +17 *2182:29 *2184:17 0 +18 *2182:29 *2197:38 0 +19 *2182:29 *2323:29 0 +20 *2182:29 *2564:32 0 +21 *2182:29 *2742:17 0 +22 *2182:29 *2743:42 0 +23 *2182:29 *3829:10 0 +24 *2182:29 *4350:33 0 +25 *2182:29 *5132:14 0 +26 *2182:47 *39743:A 0 +27 *2182:47 *2878:14 0 +28 *2182:60 *2748:15 0 +29 *2182:60 *2766:19 0 +30 *2182:61 *2193:50 0 +31 *2182:61 *2748:10 0 +32 *2182:61 *3630:37 0 +33 *37760:A *2182:61 0 +34 *37793:A *2182:47 0 +35 *298:11 *2182:61 0 +36 *338:76 *2182:47 0 +37 *340:62 *2182:30 0 +38 *341:29 *2182:60 0 +39 *1000:14 *2182:47 0 +40 *1019:8 *2182:47 0 +41 *1183:32 *37544:A 0 +42 *1887:15 *5353:DIODE 0 +43 *1887:15 *37544:A 0 +44 *1909:70 *2182:61 0 +45 *1926:40 *2182:60 0 +46 *2170:10 *2182:60 0 +*RES +1 *38890:HI[367] *2182:29 49.9464 +2 *2182:29 *2182:30 92.125 +3 *2182:30 *2182:47 42.513 +4 *2182:47 *2182:60 35.6786 +5 *2182:60 *2182:61 38.9821 +6 *2182:61 *37544:A 17.7643 +7 *2182:61 *5353:DIODE 31.5679 +*END + +*D_NET *2183 0.00494638 +*CONN +*I *40890:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[368] O *D mprj_logic_high +*CAP +1 *40890:A 0.000530878 +2 *38890:HI[368] 0.00194231 +3 *2183:8 0.00247319 +4 *40890:A *2200:23 0 +5 *40890:A *4346:37 0 +6 *2183:8 *2184:17 0 +7 *2183:8 *3608:55 0 +8 *2182:29 *2183:8 0 +*RES +1 *38890:HI[368] *2183:8 46.2143 +2 *2183:8 *40890:A 29.5143 +*END + +*D_NET *2184 0.0105783 +*CONN +*I *8562:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40888:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[369] O *D mprj_logic_high +*CAP +1 *8562:DIODE 0 +2 *40888:A 0.000350491 +3 *38890:HI[369] 0.00105984 +4 *2184:34 0.00189728 +5 *2184:22 0.0038788 +6 *2184:17 0.00339185 +7 *40888:A *2204:92 0 +8 *2184:17 *2185:22 0 +9 *2184:17 *3588:45 0 +10 *2184:22 *2199:30 0 +11 *2184:22 *2199:58 0 +12 *2184:22 *2852:21 0 +13 *2184:22 *2857:53 0 +14 *2184:34 *2862:15 0 +15 *2184:34 *2879:14 0 +16 *2184:34 *2885:19 0 +17 *2184:34 *3195:39 0 +18 *2184:34 *3562:49 0 +19 *2184:34 *3612:84 0 +20 *37599:A *2184:22 0 +21 *39896:A *2184:22 0 +22 *1682:43 *2184:34 0 +23 *1685:18 *2184:22 0 +24 *1685:26 *2184:22 0 +25 *1928:58 *2184:17 0 +26 *1934:45 *2184:17 0 +27 *1943:14 *40888:A 0 +28 *1944:19 *40888:A 0 +29 *2182:29 *2184:17 0 +30 *2183:8 *2184:17 0 +*RES +1 *38890:HI[369] *2184:17 37.4464 +2 *2184:17 *2184:22 48.7321 +3 *2184:22 *2184:34 27.8511 +4 *2184:34 *40888:A 26.0679 +5 *2184:34 *8562:DIODE 9.3 +*END + +*D_NET *2185 0.00538387 +*CONN +*I *37806:A I *D sky130_fd_sc_hd__and2_1 +*I *38890:HI[36] O *D mprj_logic_high +*CAP +1 *37806:A 0.00129905 +2 *38890:HI[36] 0.00139288 +3 *2185:22 0.00269194 +4 *37806:A *2204:31 0 +5 *37806:A *3828:52 0 +6 *2185:22 *2187:11 0 +7 *2185:22 *2212:25 0 +8 *2185:22 *3624:71 0 +9 *2185:22 *3640:43 0 +10 *2185:22 *3828:52 0 +11 *1508:18 *37806:A 0 +12 *1933:19 *2185:22 0 +13 *1942:26 *2185:22 0 +14 *2184:17 *2185:22 0 +*RES +1 *38890:HI[36] *2185:22 43.6786 +2 *2185:22 *37806:A 36.4429 +*END + +*D_NET *2186 0.0122306 +*CONN +*I *40887:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8561:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[370] O *D mprj_logic_high +*CAP +1 *40887:A 9.90829e-05 +2 *8561:DIODE 8.4707e-05 +3 *38890:HI[370] 0.00520482 +4 *2186:29 0.000910485 +5 *2186:18 0.00593151 +6 *8561:DIODE *4151:7 0 +7 *40887:A *3846:10 0 +8 *2186:18 *2850:21 0 +9 *2186:18 *2850:39 0 +10 *2186:18 *3636:38 0 +11 *2186:29 *3131:10 0 +12 *2186:29 *3137:19 0 +13 *2186:29 *3374:104 0 +14 *2186:29 *3906:8 0 +15 *2186:29 *4148:5 0 +16 *2186:29 *4151:7 0 +17 *1814:26 *2186:18 0 +18 *1929:18 *2186:18 0 +19 *1939:12 *2186:18 0 +20 *2004:19 *2186:18 0 +21 *2005:35 *2186:29 0 +22 *2082:12 *2186:18 0 +23 *2098:13 *2186:18 0 +24 *2098:13 *2186:29 0 +25 *2132:10 *8561:DIODE 0 +26 *2132:10 *2186:29 0 +27 *2165:22 *2186:18 0 +28 *2181:16 *2186:18 0 +*RES +1 *38890:HI[370] *2186:18 47.7146 +2 *2186:18 *2186:29 24.875 +3 *2186:29 *8561:DIODE 11.0679 +4 *2186:29 *40887:A 20.55 +*END + +*D_NET *2187 0.0213065 +*CONN +*I *8560:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40886:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[371] O *D mprj_logic_high +*CAP +1 *8560:DIODE 0 +2 *40886:A 0.000104239 +3 *38890:HI[371] 0.00134565 +4 *2187:19 0.00118983 +5 *2187:16 0.00799605 +6 *2187:14 0.00811775 +7 *2187:11 0.00255294 +8 *40886:A *4403:31 0 +9 *2187:11 *38010:A_N 0 +10 *2187:11 *2188:13 0 +11 *2187:11 *2189:18 0 +12 *2187:11 *3195:26 0 +13 *2187:11 *3615:10 0 +14 *2187:11 *4965:8 0 +15 *2187:14 *2744:17 0 +16 *2187:16 *39871:A 0 +17 *2187:16 *2735:32 0 +18 *2187:16 *2743:26 0 +19 *2187:16 *2854:20 0 +20 *2187:16 *2902:30 0 +21 *2187:19 *2755:29 0 +22 *39480:B *2187:16 0 +23 *314:19 *2187:11 0 +24 *1301:14 *2187:19 0 +25 *1390:29 *2187:16 0 +26 *1812:13 *2187:16 0 +27 *1814:19 *2187:11 0 +28 *1915:45 *2187:19 0 +29 *1917:19 *2187:16 0 +30 *1922:26 *2187:16 0 +31 *1925:38 *2187:16 0 +32 *1926:56 *2187:16 0 +33 *1930:58 *2187:16 0 +34 *1934:33 *2187:16 0 +35 *1935:26 *2187:16 0 +36 *1935:28 *2187:14 0 +37 *1935:28 *2187:16 0 +38 *1940:18 *2187:16 0 +39 *1940:36 *2187:16 0 +40 *2185:22 *2187:11 0 +*RES +1 *38890:HI[371] *2187:11 35.125 +2 *2187:11 *2187:14 25.1964 +3 *2187:14 *2187:16 144.286 +4 *2187:16 *2187:19 29.2143 +5 *2187:19 *40886:A 16.0857 +6 *2187:19 *8560:DIODE 13.8 +*END + +*D_NET *2188 0.010872 +*CONN +*I *8559:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40885:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[372] O *D mprj_logic_high +*CAP +1 *8559:DIODE 0.000339562 +2 *40885:A 4.53482e-05 +3 *38890:HI[372] 0.0018743 +4 *2188:39 0.00177225 +5 *2188:27 0.00317678 +6 *2188:13 0.00366374 +7 *40885:A *3577:34 0 +8 *40885:A *3602:42 0 +9 *2188:13 *2189:18 0 +10 *2188:13 *2190:7 0 +11 *2188:13 *2190:8 0 +12 *2188:13 *2733:106 0 +13 *2188:13 *3190:19 0 +14 *2188:13 *3578:64 0 +15 *2188:13 *3581:43 0 +16 *2188:13 *3633:12 0 +17 *2188:13 *3861:10 0 +18 *2188:27 *3598:18 0 +19 *2188:27 *3598:19 0 +20 *2188:39 *2197:71 0 +21 *2188:39 *3620:33 0 +22 *2188:39 *3640:43 0 +23 *2188:39 *3683:25 0 +24 *2188:39 *3870:28 0 +25 *2188:39 *3890:24 0 +26 *1681:49 *8559:DIODE 0 +27 *1914:29 *2188:39 0 +28 *1928:30 *2188:27 0 +29 *1931:35 *2188:13 0 +30 *1942:24 *2188:27 0 +31 *1942:26 *2188:27 0 +32 *1943:14 *2188:39 0 +33 *1947:19 *2188:27 0 +34 *1954:24 *2188:39 0 +35 *2169:12 *2188:13 0 +36 *2174:74 *8559:DIODE 0 +37 *2187:11 *2188:13 0 +*RES +1 *38890:HI[372] *2188:13 48.9821 +2 *2188:13 *2188:27 46.8304 +3 *2188:27 *2188:39 26.3032 +4 *2188:39 *40885:A 14.7464 +5 *2188:39 *8559:DIODE 21.3893 +*END + +*D_NET *2189 0.0205327 +*CONN +*I *8558:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40884:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[373] O *D mprj_logic_high +*CAP +1 *8558:DIODE 0 +2 *40884:A 0.00020341 +3 *38890:HI[373] 0.00707064 +4 *2189:23 0.00319569 +5 *2189:18 0.0100629 +6 *40884:A *3856:76 0 +7 *2189:18 *8557:DIODE 0 +8 *2189:18 *2190:7 0 +9 *2189:18 *2190:44 0 +10 *2189:18 *2194:26 0 +11 *2189:18 *2201:8 0 +12 *2189:18 *2859:24 0 +13 *2189:18 *3385:17 0 +14 *2189:18 *3615:19 0 +15 *2189:18 *3630:37 0 +16 *2189:18 *3646:9 0 +17 *2189:23 *3151:24 0 +18 *2189:23 *3580:81 0 +19 *2189:23 *4361:17 0 +20 *1189:27 *40884:A 0 +21 *1195:28 *2189:23 0 +22 *1387:70 *2189:18 0 +23 *1387:70 *2189:23 0 +24 *1396:43 *2189:23 0 +25 *1507:12 *40884:A 0 +26 *1507:12 *2189:23 0 +27 *1897:26 *2189:18 0 +28 *1897:26 *2189:23 0 +29 *1933:19 *2189:18 0 +30 *2187:11 *2189:18 0 +31 *2188:13 *2189:18 0 +*RES +1 *38890:HI[373] *2189:18 46.2232 +2 *2189:18 *2189:23 14.5078 +3 *2189:23 *40884:A 18.2286 +4 *2189:23 *8558:DIODE 13.8 +*END + +*D_NET *2190 0.0155776 +*CONN +*I *40883:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8557:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[374] O *D mprj_logic_high +*CAP +1 *40883:A 0.000358893 +2 *8557:DIODE 0.000224436 +3 *38890:HI[374] 0.000263487 +4 *2190:44 0.00188343 +5 *2190:37 0.00267729 +6 *2190:29 0.00254773 +7 *2190:8 0.0042647 +8 *2190:7 0.00335764 +9 *2190:7 *2328:21 0 +10 *2190:7 *3195:26 0 +11 *2190:8 *2206:12 0 +12 *2190:8 *2733:100 0 +13 *2190:8 *2733:106 0 +14 *2190:8 *3581:43 0 +15 *2190:29 *2206:32 0 +16 *2190:29 *2733:100 0 +17 *2190:29 *3598:19 0 +18 *2190:37 *2206:32 0 +19 *2190:44 *2194:26 0 +20 *7910:DIODE *40883:A 0 +21 *40016:A *40883:A 0 +22 *1393:90 *40883:A 0 +23 *1912:26 *2190:44 0 +24 *1919:37 *8557:DIODE 0 +25 *1919:37 *2190:44 0 +26 *1921:22 *2190:29 0 +27 *1923:39 *8557:DIODE 0 +28 *1924:27 *2190:44 0 +29 *1931:35 *2190:8 0 +30 *1947:19 *2190:29 0 +31 *1952:35 *2190:44 0 +32 *2159:40 *2190:29 0 +33 *2163:14 *2190:29 0 +34 *2163:28 *2190:37 0 +35 *2188:13 *2190:7 0 +36 *2188:13 *2190:8 0 +37 *2189:18 *8557:DIODE 0 +38 *2189:18 *2190:7 0 +39 *2189:18 *2190:44 0 +*RES +1 *38890:HI[374] *2190:7 10.4821 +2 *2190:7 *2190:8 64.6071 +3 *2190:8 *2190:29 47.6071 +4 *2190:29 *2190:37 42.375 +5 *2190:37 *2190:44 20.6177 +6 *2190:44 *8557:DIODE 19.021 +7 *2190:44 *40883:A 25.5761 +*END + +*D_NET *2191 0.0189569 +*CONN +*I *8556:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40882:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[375] O *D mprj_logic_high +*CAP +1 *8556:DIODE 0.000105709 +2 *40882:A 0.000237512 +3 *38890:HI[375] 0.00576967 +4 *2191:30 0.00370877 +5 *2191:20 0.00913521 +6 *40882:A *2733:20 0 +7 *40882:A *3568:22 0 +8 *40882:A *3841:8 0 +9 *40882:A *3854:17 0 +10 *2191:20 *3574:20 0 +11 *2191:20 *3587:33 0 +12 *2191:20 *3630:14 0 +13 *2191:20 *3829:20 0 +14 *2191:20 *3873:13 0 +15 *2191:30 *2733:20 0 +16 *2191:30 *3222:37 0 +17 *2191:30 *3385:28 0 +18 *2191:30 *3568:22 0 +19 *2191:30 *3580:43 0 +20 *2191:30 *3616:41 0 +21 *2191:30 *3854:17 0 +22 *40001:A *2191:30 0 +23 *41357:A *2191:20 0 +24 *1806:35 *2191:30 0 +25 *1887:14 *2191:30 0 +26 *1888:14 *2191:30 0 +27 *1939:12 *2191:20 0 +28 *2160:63 *2191:30 0 +29 *2164:23 *2191:20 0 +30 *2176:14 *2191:20 0 +*RES +1 *38890:HI[375] *2191:20 47.873 +2 *2191:20 *2191:30 24.4618 +3 *2191:30 *40882:A 18.558 +4 *2191:30 *8556:DIODE 19.6118 +*END + +*D_NET *2192 0.0123185 +*CONN +*I *40881:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8555:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[376] O *D mprj_logic_high +*CAP +1 *40881:A 2.41714e-05 +2 *8555:DIODE 0.000227762 +3 *38890:HI[376] 0.00207129 +4 *2192:51 0.0016227 +5 *2192:41 0.00209649 +6 *2192:27 0.00246525 +7 *2192:14 0.00381082 +8 *8555:DIODE *3580:28 0 +9 *8555:DIODE *3602:42 0 +10 *8555:DIODE *3847:15 0 +11 *8555:DIODE *4386:18 0 +12 *40881:A *3602:42 0 +13 *40881:A *3847:15 0 +14 *2192:14 *2193:9 0 +15 *2192:14 *2328:21 0 +16 *2192:14 *2732:14 0 +17 *2192:14 *2733:110 0 +18 *2192:14 *3550:19 0 +19 *2192:27 *2194:26 0 +20 *2192:27 *2212:33 0 +21 *2192:27 *3185:9 0 +22 *2192:27 *3200:11 0 +23 *2192:27 *3550:19 0 +24 *2192:27 *3581:58 0 +25 *2192:27 *3587:22 0 +26 *2192:27 *3587:33 0 +27 *2192:27 *3608:64 0 +28 *2192:27 *3828:68 0 +29 *2192:41 *2206:31 0 +30 *2192:51 *2202:39 0 +31 *2192:51 *3861:50 0 +32 *1910:22 *2192:27 0 +33 *1924:23 *2192:41 0 +34 *1931:36 *2192:14 0 +35 *1946:15 *2192:51 0 +36 *1950:12 *8555:DIODE 0 +37 *1950:12 *2192:51 0 +38 *2159:23 *2192:41 0 +39 *2163:28 *2192:41 0 +*RES +1 *38890:HI[376] *2192:14 48.4286 +2 *2192:14 *2192:27 48.499 +3 *2192:27 *2192:41 33.4821 +4 *2192:41 *2192:51 38.5179 +5 *2192:51 *8555:DIODE 23.1929 +6 *2192:51 *40881:A 9.83571 +*END + +*D_NET *2193 0.0237586 +*CONN +*I *40880:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8554:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[377] O *D mprj_logic_high +*CAP +1 *40880:A 0.000442577 +2 *8554:DIODE 6.50276e-05 +3 *38890:HI[377] 0.00188014 +4 *2193:55 0.000620078 +5 *2193:50 0.00206649 +6 *2193:46 0.00466062 +7 *2193:36 0.00320527 +8 *2193:28 0.00268908 +9 *2193:27 0.00331872 +10 *2193:21 0.00202939 +11 *2193:9 0.0027812 +12 *8554:DIODE *3565:25 0 +13 *40880:A *8249:DIODE 0 +14 *40880:A *2195:24 0 +15 *40880:A *2733:56 0 +16 *40880:A *3565:25 0 +17 *40880:A *3839:16 0 +18 *40880:A *4458:48 0 +19 *2193:9 *2194:26 0 +20 *2193:9 *2199:14 0 +21 *2193:9 *3694:20 0 +22 *2193:21 *2535:23 0 +23 *2193:21 *2584:11 0 +24 *2193:27 *39673:A 0 +25 *2193:27 *2579:17 0 +26 *2193:27 *2625:9 0 +27 *2193:28 *2528:27 0 +28 *2193:28 *2688:29 0 +29 *2193:28 *5057:17 0 +30 *2193:28 *5108:17 0 +31 *2193:36 *2579:17 0 +32 *2193:36 *2587:20 0 +33 *2193:36 *2751:22 0 +34 *2193:46 *2579:17 0 +35 *2193:46 *2759:28 0 +36 *2193:46 *2878:14 0 +37 *2193:46 *2883:20 0 +38 *2193:46 *2890:42 0 +39 *2193:50 *8401:DIODE 0 +40 *2193:50 *2745:39 0 +41 *2193:50 *3580:65 0 +42 *2193:50 *3580:81 0 +43 *2193:50 *3635:18 0 +44 *8566:DIODE *40880:A 0 +45 *298:11 *2193:50 0 +46 *311:33 *2193:46 0 +47 *346:36 *2193:9 0 +48 *349:21 *2193:9 0 +49 *1030:8 *2193:21 0 +50 *1299:24 *2193:9 0 +51 *1304:10 *2193:9 0 +52 *1386:16 *40880:A 0 +53 *1887:15 *40880:A 0 +54 *1917:31 *2193:50 0 +55 *1930:62 *2193:50 0 +56 *2179:36 *40880:A 0 +57 *2182:61 *2193:50 0 +58 *2192:14 *2193:9 0 +*RES +1 *38890:HI[377] *2193:9 47.1429 +2 *2193:9 *2193:21 31.2841 +3 *2193:21 *2193:27 32.7321 +4 *2193:27 *2193:28 45.7143 +5 *2193:28 *2193:36 19.6964 +6 *2193:36 *2193:46 49.6419 +7 *2193:46 *2193:50 48.6518 +8 *2193:50 *2193:55 11.5536 +9 *2193:55 *8554:DIODE 10.6571 +10 *2193:55 *40880:A 27.7821 +*END + +*D_NET *2194 0.0150098 +*CONN +*I *40879:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8553:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[378] O *D mprj_logic_high +*CAP +1 *40879:A 0 +2 *8553:DIODE 0.000354229 +3 *38890:HI[378] 0.00706148 +4 *2194:31 0.000443441 +5 *2194:26 0.00715069 +6 *8553:DIODE *5657:DIODE 0 +7 *8553:DIODE *2206:48 0 +8 *8553:DIODE *3837:23 0 +9 *2194:26 *3200:11 0 +10 *2194:26 *3200:16 0 +11 *2194:26 *3385:17 0 +12 *2194:26 *3558:66 0 +13 *2194:26 *3624:71 0 +14 *2194:26 *3694:20 0 +15 *2194:26 *4325:17 0 +16 *2194:26 *4333:26 0 +17 *41368:A *2194:26 0 +18 *1387:70 *2194:26 0 +19 *1387:84 *2194:26 0 +20 *1397:55 *2194:31 0 +21 *1905:34 *2194:26 0 +22 *1912:26 *8553:DIODE 0 +23 *1919:23 *2194:26 0 +24 *1921:31 *8553:DIODE 0 +25 *2189:18 *2194:26 0 +26 *2190:44 *2194:26 0 +27 *2192:27 *2194:26 0 +28 *2193:9 *2194:26 0 +*RES +1 *38890:HI[378] *2194:26 49.403 +2 *2194:26 *2194:31 6.52679 +3 *2194:31 *8553:DIODE 17.4607 +4 *2194:31 *40879:A 9.3 +*END + +*D_NET *2195 0.0150532 +*CONN +*I *37568:A I *D sky130_fd_sc_hd__and2_1 +*I *5378:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[379] O *D mprj_logic_high +*CAP +1 *37568:A 2.0535e-05 +2 *5378:DIODE 0.000459593 +3 *38890:HI[379] 4.64052e-05 +4 *2195:24 0.00176613 +5 *2195:21 0.00168065 +6 *2195:10 0.00571407 +7 *2195:9 0.00536583 +8 *5378:DIODE *8407:DIODE 0 +9 *5378:DIODE *37544:B 0 +10 *5378:DIODE *37568:B 0 +11 *5378:DIODE *3156:76 0 +12 *2195:9 *2196:23 0 +13 *2195:9 *3694:20 0 +14 *2195:10 *2323:28 0 +15 *2195:10 *2333:10 0 +16 *2195:10 *3555:31 0 +17 *2195:10 *3636:39 0 +18 *2195:21 *2733:56 0 +19 *2195:21 *2733:72 0 +20 *2195:21 *3643:29 0 +21 *2195:21 *3646:38 0 +22 *2195:24 *2847:5 0 +23 *2195:24 *3580:43 0 +24 *2195:24 *3580:65 0 +25 *2195:24 *3839:16 0 +26 *2195:24 *4458:48 0 +27 *5353:DIODE *5378:DIODE 0 +28 *37544:A *5378:DIODE 0 +29 *40032:A *2195:21 0 +30 *40880:A *2195:24 0 +31 *1183:32 *5378:DIODE 0 +32 *1887:15 *5378:DIODE 0 +33 *1887:15 *2195:24 0 +34 *1936:8 *2195:10 0 +35 *2157:10 *2195:10 0 +36 *2161:35 *2195:21 0 +37 *2161:35 *2195:24 0 +38 *2164:23 *2195:10 0 +39 *2171:10 *2195:10 0 +40 *2179:10 *2195:10 0 +41 *2180:13 *2195:10 0 +*RES +1 *38890:HI[379] *2195:9 5.55357 +2 *2195:9 *2195:10 111.018 +3 *2195:10 *2195:21 26.625 +4 *2195:21 *2195:24 26.8393 +5 *2195:24 *5378:DIODE 28.6214 +6 *2195:24 *37568:A 9.72857 +*END + +*D_NET *2196 0.0105232 +*CONN +*I *5663:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37808:A I *D sky130_fd_sc_hd__and2_1 +*I *38890:HI[37] O *D mprj_logic_high +*CAP +1 *5663:DIODE 0 +2 *37808:A 0.000427173 +3 *38890:HI[37] 0.00362921 +4 *2196:44 0.00163241 +5 *2196:23 0.00483445 +6 *37808:A *2747:63 0 +7 *37808:A *2852:19 0 +8 *37808:A *3608:75 0 +9 *37808:A *3611:24 0 +10 *2196:23 *2197:11 0 +11 *2196:23 *3555:19 0 +12 *2196:23 *3592:17 0 +13 *2196:23 *3694:20 0 +14 *2196:23 *4311:22 0 +15 *2196:23 *4317:13 0 +16 *2196:23 *4378:28 0 +17 *2196:23 *4480:35 0 +18 *2196:44 *5664:DIODE 0 +19 *2196:44 *40875:A 0 +20 *2196:44 *2200:30 0 +21 *2196:44 *2736:15 0 +22 *2196:44 *2886:50 0 +23 *2196:44 *3611:24 0 +24 *40893:A *2196:44 0 +25 *40909:A *37808:A 0 +26 *1682:43 *2196:44 0 +27 *1905:34 *2196:44 0 +28 *1905:48 *2196:44 0 +29 *1927:23 *37808:A 0 +30 *1928:45 *2196:23 0 +31 *1928:58 *2196:23 0 +32 *1932:19 *37808:A 0 +33 *1945:34 *37808:A 0 +34 *1951:43 *37808:A 0 +35 *1955:32 *2196:44 0 +36 *2195:9 *2196:23 0 +*RES +1 *38890:HI[37] *2196:23 39.1575 +2 *2196:23 *2196:44 43.9821 +3 *2196:44 *37808:A 27.8357 +4 *2196:44 *5663:DIODE 9.3 +*END + +*D_NET *2197 0.0181082 +*CONN +*I *40878:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8552:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[380] O *D mprj_logic_high +*CAP +1 *40878:A 3.22083e-05 +2 *8552:DIODE 0.000143745 +3 *38890:HI[380] 0.00169004 +4 *2197:80 0.00103833 +5 *2197:71 0.00379498 +6 *2197:52 0.00501889 +7 *2197:38 0.00339313 +8 *2197:11 0.00299688 +9 *8552:DIODE *3548:23 0 +10 *8552:DIODE *3844:14 0 +11 *2197:11 *2198:10 0 +12 *2197:11 *3190:19 0 +13 *2197:11 *3363:15 0 +14 *2197:11 *4480:35 0 +15 *2197:38 *38227:A 0 +16 *2197:38 *2598:10 0 +17 *2197:38 *2725:25 0 +18 *2197:38 *2886:69 0 +19 *2197:38 *3195:26 0 +20 *2197:38 *4350:33 0 +21 *2197:38 *5057:11 0 +22 *2197:38 *5071:20 0 +23 *2197:52 *2198:43 0 +24 *2197:52 *2598:10 0 +25 *2197:52 *2610:14 0 +26 *2197:52 *4963:14 0 +27 *2197:71 *2610:14 0 +28 *2197:71 *3844:14 0 +29 *2197:71 *3870:28 0 +30 *2197:80 *8387:DIODE 0 +31 *2197:80 *3548:23 0 +32 *2197:80 *3619:18 0 +33 *2197:80 *3623:20 0 +34 *2197:80 *3640:43 0 +35 *2197:80 *3844:14 0 +36 *37597:A *2197:52 0 +37 *327:22 *2197:52 0 +38 *1012:10 *2197:71 0 +39 *1022:10 *2197:71 0 +40 *1027:39 *2197:52 0 +41 *1385:52 *2197:71 0 +42 *1387:84 *2197:71 0 +43 *1926:33 *2197:71 0 +44 *1939:12 *2197:11 0 +45 *1944:35 *2197:52 0 +46 *1950:12 *2197:80 0 +47 *1954:24 *2197:71 0 +48 *1954:24 *2197:80 0 +49 *2160:25 *2197:52 0 +50 *2168:18 *2197:38 0 +51 *2168:18 *2197:52 0 +52 *2182:29 *2197:38 0 +53 *2188:39 *2197:71 0 +54 *2196:23 *2197:11 0 +*RES +1 *38890:HI[380] *2197:11 45.9286 +2 *2197:11 *2197:38 41.9107 +3 *2197:38 *2197:52 49.9933 +4 *2197:52 *2197:71 47.3434 +5 *2197:71 *2197:80 28.1993 +6 *2197:80 *8552:DIODE 12.3 +7 *2197:80 *40878:A 19.0321 +*END + +*D_NET *2198 0.0142339 +*CONN +*I *40877:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8551:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[381] O *D mprj_logic_high +*CAP +1 *40877:A 0.000453761 +2 *8551:DIODE 0.000436233 +3 *38890:HI[381] 0.00138962 +4 *2198:44 0.00278675 +5 *2198:43 0.00356289 +6 *2198:22 0.0029406 +7 *2198:10 0.00266409 +8 *8551:DIODE *3835:26 0 +9 *40877:A *2204:92 0 +10 *2198:10 *3363:15 0 +11 *2198:10 *3624:71 0 +12 *2198:10 *4101:23 0 +13 *2198:10 *4101:25 0 +14 *2198:22 *37596:A 0 +15 *2198:22 *2199:30 0 +16 *2198:22 *2847:24 0 +17 *2198:22 *2886:65 0 +18 *2198:22 *3195:33 0 +19 *2198:22 *3592:17 0 +20 *2198:22 *4363:28 0 +21 *2198:43 *2857:52 0 +22 *2198:43 *2886:65 0 +23 *2198:43 *3195:33 0 +24 *2198:43 *5058:10 0 +25 *2198:43 *5136:65 0 +26 *39914:A *8551:DIODE 0 +27 *40005:A *8551:DIODE 0 +28 *40028:A *2198:44 0 +29 *315:24 *2198:43 0 +30 *315:24 *2198:44 0 +31 *319:12 *8551:DIODE 0 +32 *319:12 *2198:43 0 +33 *319:12 *2198:44 0 +34 *1029:70 *8551:DIODE 0 +35 *1029:70 *2198:44 0 +36 *1305:19 *2198:22 0 +37 *1529:46 *2198:44 0 +38 *1902:19 *2198:43 0 +39 *1908:9 *2198:43 0 +40 *1920:43 *8551:DIODE 0 +41 *1930:33 *2198:44 0 +42 *1939:12 *2198:10 0 +43 *1940:47 *2198:22 0 +44 *1948:19 *8551:DIODE 0 +45 *1948:42 *2198:43 0 +46 *2168:18 *2198:43 0 +47 *2178:19 *2198:22 0 +48 *2197:11 *2198:10 0 +49 *2197:52 *2198:43 0 +*RES +1 *38890:HI[381] *2198:10 38.3393 +2 *2198:10 *2198:22 41.5893 +3 *2198:22 *2198:43 44.3663 +4 *2198:43 *2198:44 6.89333 +5 *2198:44 *8551:DIODE 25.234 +6 *2198:44 *40877:A 28.085 +*END + +*D_NET *2199 0.0116935 +*CONN +*I *8550:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40876:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[382] O *D mprj_logic_high +*CAP +1 *8550:DIODE 4.55793e-05 +2 *40876:A 0.000144601 +3 *38890:HI[382] 0.0020678 +4 *2199:58 0.00113779 +5 *2199:57 0.00203069 +6 *2199:30 0.00264117 +7 *2199:14 0.00362588 +8 *2199:14 *37812:A 0 +9 *2199:14 *2200:15 0 +10 *2199:14 *2879:20 0 +11 *2199:14 *2886:69 0 +12 *2199:14 *3180:14 0 +13 *2199:14 *3562:49 0 +14 *2199:14 *3575:68 0 +15 *2199:14 *4370:20 0 +16 *2199:30 *2725:18 0 +17 *2199:30 *2852:21 0 +18 *2199:30 *2886:65 0 +19 *2199:30 *3195:26 0 +20 *2199:57 *2204:31 0 +21 *2199:57 *3608:55 0 +22 *2199:57 *4311:22 0 +23 *37599:A *2199:58 0 +24 *40896:A *2199:57 0 +25 *41355:A *2199:14 0 +26 *1199:10 *2199:14 0 +27 *1304:10 *2199:14 0 +28 *1915:18 *40876:A 0 +29 *1915:18 *2199:58 0 +30 *1932:19 *8550:DIODE 0 +31 *1934:54 *2199:14 0 +32 *1939:12 *2199:14 0 +33 *2174:25 *2199:30 0 +34 *2174:60 *8550:DIODE 0 +35 *2174:60 *40876:A 0 +36 *2184:22 *2199:30 0 +37 *2184:22 *2199:58 0 +38 *2193:9 *2199:14 0 +39 *2198:22 *2199:30 0 +*RES +1 *38890:HI[382] *2199:14 48.1968 +2 *2199:14 *2199:30 49.7939 +3 *2199:30 *2199:57 49.3144 +4 *2199:57 *2199:58 19.8393 +5 *2199:58 *40876:A 12.3179 +6 *2199:58 *8550:DIODE 19.3357 +*END + +*D_NET *2200 0.0092018 +*CONN +*I *8549:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40875:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[383] O *D mprj_logic_high +*CAP +1 *8549:DIODE 0.000170399 +2 *40875:A 0.000104386 +3 *38890:HI[383] 0.00139577 +4 *2200:30 0.00112271 +5 *2200:23 0.00293034 +6 *2200:15 0.00347819 +7 *8549:DIODE *2852:21 0 +8 *2200:15 *2201:7 0 +9 *2200:15 *2203:19 0 +10 *2200:15 *2211:12 0 +11 *2200:23 *8355:DIODE 0 +12 *2200:23 *37596:A 0 +13 *2200:23 *37596:B 0 +14 *2200:23 *3553:35 0 +15 *2200:23 *3578:58 0 +16 *2200:23 *3612:67 0 +17 *2200:30 *40457:A 0 +18 *2200:30 *40686:A 0 +19 *2200:30 *3623:16 0 +20 *2200:30 *3850:20 0 +21 *8935:DIODE *2200:23 0 +22 *40890:A *2200:23 0 +23 *1303:14 *8549:DIODE 0 +24 *1910:22 *40875:A 0 +25 *1910:22 *2200:30 0 +26 *1928:45 *2200:23 0 +27 *1928:45 *2200:30 0 +28 *1928:58 *2200:23 0 +29 *1942:26 *2200:15 0 +30 *1948:42 *2200:23 0 +31 *2196:44 *40875:A 0 +32 *2196:44 *2200:30 0 +33 *2199:14 *2200:15 0 +*RES +1 *38890:HI[383] *2200:15 44.0357 +2 *2200:15 *2200:23 48.1071 +3 *2200:23 *2200:30 22.3214 +4 *2200:30 *40875:A 11.4786 +5 *2200:30 *8549:DIODE 22.0143 +*END + +*D_NET *2201 0.0104861 +*CONN +*I *8548:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40874:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[384] O *D mprj_logic_high +*CAP +1 *8548:DIODE 0.000245385 +2 *40874:A 0 +3 *38890:HI[384] 6.28829e-05 +4 *2201:35 0.000923236 +5 *2201:26 0.00174071 +6 *2201:8 0.00425691 +7 *2201:7 0.00325693 +8 *8548:DIODE *40671:A 0 +9 *8548:DIODE *2204:58 0 +10 *8548:DIODE *3577:27 0 +11 *2201:7 *2203:19 0 +12 *2201:8 *3599:35 0 +13 *2201:8 *3646:9 0 +14 *2201:26 *2206:31 0 +15 *2201:26 *2733:100 0 +16 *2201:26 *3599:49 0 +17 *2201:26 *3633:12 0 +18 *2201:26 *3828:68 0 +19 *2201:26 *3861:20 0 +20 *40902:A *8548:DIODE 0 +21 *1912:25 *2201:26 0 +22 *1920:23 *2201:26 0 +23 *1920:23 *2201:35 0 +24 *1933:16 *2201:8 0 +25 *1936:8 *2201:8 0 +26 *1945:34 *2201:35 0 +27 *2159:23 *2201:26 0 +28 *2159:40 *2201:35 0 +29 *2161:10 *2201:8 0 +30 *2172:33 *2201:26 0 +31 *2176:14 *2201:8 0 +32 *2189:18 *2201:8 0 +33 *2200:15 *2201:7 0 +*RES +1 *38890:HI[384] *2201:7 5.92857 +2 *2201:7 *2201:8 66.6607 +3 *2201:8 *2201:26 49.7321 +4 *2201:26 *2201:35 28.1607 +5 *2201:35 *40874:A 13.8 +6 *2201:35 *8548:DIODE 19.3893 +*END + +*D_NET *2202 0.0217525 +*CONN +*I *37580:A I *D sky130_fd_sc_hd__and2_1 +*I *5391:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[385] O *D mprj_logic_high +*CAP +1 *37580:A 0.000448797 +2 *5391:DIODE 8.4707e-05 +3 *38890:HI[385] 0.00601583 +4 *2202:65 0.00148111 +5 *2202:64 0.00275269 +6 *2202:39 0.00337933 +7 *2202:23 0.00759008 +8 *5391:DIODE *3639:19 0 +9 *37580:A *3633:61 0 +10 *2202:23 *3555:19 0 +11 *2202:23 *3569:60 0 +12 *2202:23 *3574:20 0 +13 *2202:23 *3636:38 0 +14 *2202:23 *3850:32 0 +15 *2202:23 *3856:20 0 +16 *2202:23 *3890:24 0 +17 *2202:23 *4480:35 0 +18 *2202:39 *3200:11 0 +19 *2202:39 *3385:17 0 +20 *2202:39 *3580:43 0 +21 *2202:39 *3633:26 0 +22 *2202:39 *3856:37 0 +23 *2202:39 *3861:50 0 +24 *2202:39 *4384:28 0 +25 *2202:64 *40700:A 0 +26 *2202:64 *2733:42 0 +27 *2202:64 *3151:24 0 +28 *2202:64 *3555:52 0 +29 *2202:64 *3568:22 0 +30 *2202:64 *3574:20 0 +31 *2202:64 *3630:37 0 +32 *2202:64 *3850:32 0 +33 *2202:65 *3639:19 0 +34 *41389:A *2202:64 0 +35 *1171:17 *2202:64 0 +36 *1183:32 *2202:64 0 +37 *1299:21 *2202:23 0 +38 *1387:84 *2202:39 0 +39 *1390:10 *2202:64 0 +40 *1403:43 *2202:64 0 +41 *1897:26 *2202:64 0 +42 *1935:20 *2202:39 0 +43 *1946:15 *2202:39 0 +44 *1950:12 *2202:39 0 +45 *1952:33 *2202:23 0 +46 *2046:25 *2202:23 0 +47 *2157:28 *2202:64 0 +48 *2192:51 *2202:39 0 +*RES +1 *38890:HI[385] *2202:23 42.5907 +2 *2202:23 *2202:39 48.2535 +3 *2202:39 *2202:64 33.6561 +4 *2202:64 *2202:65 19.8393 +5 *2202:65 *5391:DIODE 11.0679 +6 *2202:65 *37580:A 37.1929 +*END + +*D_NET *2203 0.00386874 +*CONN +*I *40873:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[386] O *D mprj_logic_high +*CAP +1 *40873:A 0.000458616 +2 *38890:HI[386] 0.00147575 +3 *2203:19 0.00193437 +4 *40873:A *38010:A_N 0 +5 *40873:A *3578:58 0 +6 *40873:A *3615:10 0 +7 *40873:A *4346:50 0 +8 *40873:A *4480:36 0 +9 *2203:19 *5666:DIODE 0 +10 *2203:19 *2208:22 0 +11 *2203:19 *3176:37 0 +12 *2203:19 *3640:19 0 +13 *2203:19 *4333:26 0 +14 *2203:19 *4366:10 0 +15 *2200:15 *2203:19 0 +16 *2201:7 *2203:19 0 +*RES +1 *38890:HI[386] *2203:19 45.8393 +2 *2203:19 *40873:A 18.8714 +*END + +*D_NET *2204 0.0143311 +*CONN +*I *8547:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40872:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[387] O *D mprj_logic_high +*CAP +1 *8547:DIODE 9.62514e-05 +2 *40872:A 0.00013104 +3 *38890:HI[387] 0.00037016 +4 *2204:92 0.00125183 +5 *2204:58 0.00253925 +6 *2204:31 0.0027431 +7 *2204:8 0.00402885 +8 *2204:7 0.00317062 +9 *40872:A *3602:42 0 +10 *40872:A *3835:38 0 +11 *40872:A *3847:15 0 +12 *2204:7 *2206:10 0 +13 *2204:7 *3624:58 0 +14 *2204:7 *4366:10 0 +15 *2204:8 *2211:12 0 +16 *2204:8 *2212:33 0 +17 *2204:8 *3185:9 0 +18 *2204:31 *40663:A 0 +19 *2204:31 *3608:64 0 +20 *2204:31 *3828:52 0 +21 *2204:31 *4342:28 0 +22 *2204:31 *4346:21 0 +23 *2204:31 *4368:22 0 +24 *2204:58 *40644:A 0 +25 *2204:58 *3577:27 0 +26 *2204:58 *3608:75 0 +27 *2204:58 *3863:22 0 +28 *2204:58 *4386:18 0 +29 *2204:92 *2881:13 0 +30 *2204:92 *3835:38 0 +31 *2204:92 *3840:17 0 +32 *2204:92 *3863:22 0 +33 *2204:92 *4386:18 0 +34 *8548:DIODE *2204:58 0 +35 *37806:A *2204:31 0 +36 *40877:A *2204:92 0 +37 *40888:A *2204:92 0 +38 *40902:A *2204:58 0 +39 *41306:A *2204:58 0 +40 *41326:A *2204:31 0 +41 *41357:A *2204:31 0 +42 *1904:13 *2204:31 0 +43 *1906:21 *2204:58 0 +44 *1917:13 *2204:31 0 +45 *1930:17 *2204:31 0 +46 *1937:23 *2204:8 0 +47 *1940:18 *8547:DIODE 0 +48 *1946:38 *2204:31 0 +49 *1946:38 *2204:58 0 +50 *2159:40 *2204:58 0 +51 *2180:29 *2204:58 0 +52 *2199:57 *2204:31 0 +*RES +1 *38890:HI[387] *2204:7 12.9107 +2 *2204:7 *2204:8 58.4464 +3 *2204:8 *2204:31 48.7857 +4 *2204:31 *2204:58 45.6607 +5 *2204:58 *2204:92 35.8393 +6 *2204:92 *40872:A 16.6929 +7 *2204:92 *8547:DIODE 16.05 +*END + +*D_NET *2205 0.0186913 +*CONN +*I *5398:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37586:A I *D sky130_fd_sc_hd__and2_1 +*I *38890:HI[388] O *D mprj_logic_high +*CAP +1 *5398:DIODE 0.000146951 +2 *37586:A 0 +3 *38890:HI[388] 3.30342e-05 +4 *2205:27 0.00105668 +5 *2205:22 0.00262613 +6 *2205:10 0.00825595 +7 *2205:9 0.00657258 +8 *5398:DIODE *3450:37 0 +9 *2205:10 *2333:10 0 +10 *2205:10 *2866:23 0 +11 *2205:10 *2866:27 0 +12 *2205:10 *2866:31 0 +13 *2205:10 *2866:33 0 +14 *2205:10 *3569:61 0 +15 *2205:10 *3591:12 0 +16 *2205:10 *3633:20 0 +17 *2205:10 *3646:38 0 +18 *2205:10 *4366:11 0 +19 *2205:22 *3555:52 0 +20 *2205:22 *3635:33 0 +21 *2205:22 *3865:20 0 +22 *2205:27 *3635:33 0 +23 *40898:A *2205:22 0 +24 *40914:A *2205:10 0 +25 *301:31 *2205:22 0 +26 *1390:10 *2205:22 0 +27 *1403:29 *2205:27 0 +28 *1887:15 *5398:DIODE 0 +29 *1897:26 *2205:22 0 +30 *1916:16 *2205:10 0 +31 *1928:30 *2205:10 0 +32 *1955:16 *2205:10 0 +33 *2161:35 *2205:10 0 +34 *2164:50 *2205:22 0 +35 *2176:14 *2205:22 0 +36 *2176:28 *2205:22 0 +37 *2179:10 *2205:10 0 +38 *2180:13 *2205:10 0 +*RES +1 *38890:HI[388] *2205:9 5.25 +2 *2205:9 *2205:10 136.482 +3 *2205:10 *2205:22 45.1964 +4 *2205:22 *2205:27 23.8036 +5 *2205:27 *37586:A 13.8 +6 *2205:27 *5398:DIODE 17.1214 +*END + +*D_NET *2206 0.0196041 +*CONN +*I *37588:A I *D sky130_fd_sc_hd__and2_1 +*I *5400:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[389] O *D mprj_logic_high +*CAP +1 *37588:A 0.000179656 +2 *5400:DIODE 0.000163376 +3 *38890:HI[389] 0.000741003 +4 *2206:50 0.00154797 +5 *2206:48 0.00198519 +6 *2206:32 0.00308874 +7 *2206:31 0.00326476 +8 *2206:12 0.00442435 +9 *2206:10 0.00420907 +10 *5400:DIODE *3616:44 0 +11 *5400:DIODE *4403:31 0 +12 *37588:A *37588:B 0 +13 *37588:A *2855:59 0 +14 *37588:A *3580:102 0 +15 *2206:10 *37810:A 0 +16 *2206:10 *3581:43 0 +17 *2206:10 *3624:58 0 +18 *2206:10 *4338:13 0 +19 *2206:12 *3190:35 0 +20 *2206:12 *3550:19 0 +21 *2206:12 *3581:43 0 +22 *2206:12 *3581:58 0 +23 *2206:12 *4338:13 0 +24 *2206:31 *2733:100 0 +25 *2206:32 *2866:14 0 +26 *2206:32 *2866:23 0 +27 *2206:32 *4361:17 0 +28 *2206:48 *3837:23 0 +29 *2206:48 *4403:19 0 +30 *2206:50 *2855:59 0 +31 *2206:50 *3580:102 0 +32 *8553:DIODE *2206:48 0 +33 *40018:A *2206:32 0 +34 *41350:A *2206:32 0 +35 *1912:41 *37588:A 0 +36 *1912:41 *2206:50 0 +37 *1921:22 *2206:32 0 +38 *1921:31 *2206:32 0 +39 *1921:49 *2206:48 0 +40 *1921:49 *2206:50 0 +41 *1923:25 *2206:32 0 +42 *1923:39 *2206:50 0 +43 *2159:23 *2206:31 0 +44 *2162:58 *2206:48 0 +45 *2163:14 *2206:12 0 +46 *2163:14 *2206:31 0 +47 *2163:28 *2206:31 0 +48 *2163:28 *2206:32 0 +49 *2163:46 *2206:32 0 +50 *2164:50 *2206:48 0 +51 *2190:8 *2206:12 0 +52 *2190:29 *2206:32 0 +53 *2190:37 *2206:32 0 +54 *2192:41 *2206:31 0 +55 *2201:26 *2206:31 0 +56 *2204:7 *2206:10 0 +*RES +1 *38890:HI[389] *2206:10 20.4821 +2 *2206:10 *2206:12 72.4107 +3 *2206:12 *2206:31 38.3393 +4 *2206:31 *2206:32 48.1786 +5 *2206:32 *2206:48 34.7857 +6 *2206:48 *2206:50 25.1786 +7 *2206:50 *5400:DIODE 21.8179 +8 *2206:50 *37588:A 22.1571 +*END + +*D_NET *2207 0.00218594 +*CONN +*I *37810:A I *D sky130_fd_sc_hd__and2_1 +*I *38890:HI[38] O *D mprj_logic_high +*CAP +1 *37810:A 0.00109297 +2 *38890:HI[38] 0.00109297 +3 *37810:A *37602:B 0 +4 *37810:A *2208:22 0 +5 *37810:A *3176:37 0 +6 *37810:A *3624:58 0 +7 *37810:A *3630:14 0 +8 *37810:A *3640:19 0 +9 *37810:A *4333:26 0 +10 *37603:A *37810:A 0 +11 *41355:A *37810:A 0 +12 *2206:10 *37810:A 0 +*RES +1 *38890:HI[38] *37810:A 37.6571 +*END + +*D_NET *2208 0.00433619 +*CONN +*I *40871:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[390] O *D mprj_logic_high +*CAP +1 *40871:A 0 +2 *38890:HI[390] 0.00216809 +3 *2208:22 0.00216809 +4 *2208:22 *37600:A 0 +5 *2208:22 *2209:16 0 +6 *2208:22 *3592:9 0 +7 *2208:22 *3608:51 0 +8 *2208:22 *3608:55 0 +9 *2208:22 *3620:17 0 +10 *2208:22 *3630:14 0 +11 *2208:22 *3828:32 0 +12 *2208:22 *4370:20 0 +13 *2208:22 *4375:8 0 +14 *2208:22 *4378:28 0 +15 *37810:A *2208:22 0 +16 *1813:23 *2208:22 0 +17 *2203:19 *2208:22 0 +*RES +1 *38890:HI[390] *2208:22 40.809 +2 *2208:22 *40871:A 9.3 +*END + +*D_NET *2209 0.00284184 +*CONN +*I *40870:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[391] O *D mprj_logic_high +*CAP +1 *40870:A 0 +2 *38890:HI[391] 0.00142092 +3 *2209:16 0.00142092 +4 *2209:16 *40692:A 0 +5 *2209:16 *2211:9 0 +6 *2209:16 *2213:23 0 +7 *2209:16 *3608:42 0 +8 *2209:16 *3608:51 0 +9 *2209:16 *3624:58 0 +10 *2209:16 *4375:8 0 +11 *8951:DIODE *2209:16 0 +12 *41364:A *2209:16 0 +13 *1934:54 *2209:16 0 +14 *2208:22 *2209:16 0 +*RES +1 *38890:HI[391] *2209:16 44.4821 +2 *2209:16 *40870:A 9.3 +*END + +*D_NET *2210 0.018901 +*CONN +*I *37594:A I *D sky130_fd_sc_hd__and2_1 +*I *5407:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[392] O *D mprj_logic_high +*CAP +1 *37594:A 0 +2 *5407:DIODE 0.000723734 +3 *38890:HI[392] 0.00775538 +4 *2210:25 0.00169511 +5 *2210:21 0.00872676 +6 *5407:DIODE *37594:B 0 +7 *5407:DIODE *4948:22 0 +8 *2210:21 *37602:B 0 +9 *2210:21 *3170:15 0 +10 *2210:21 *3222:14 0 +11 *2210:21 *3227:14 0 +12 *2210:21 *3258:39 0 +13 *2210:21 *3569:60 0 +14 *2210:21 *3890:24 0 +15 *2210:21 *4292:16 0 +16 *2210:25 *37594:B 0 +17 *2210:25 *3152:43 0 +18 *2210:25 *3325:37 0 +19 *2210:25 *3660:43 0 +20 *5910:DIODE *5407:DIODE 0 +21 *37972:B *5407:DIODE 0 +22 *1196:10 *5407:DIODE 0 +23 *1384:23 *5407:DIODE 0 +24 *1384:33 *5407:DIODE 0 +25 *1384:33 *2210:25 0 +26 *1506:22 *2210:25 0 +27 *1517:14 *2210:21 0 +28 *2021:16 *2210:21 0 +29 *2028:27 *5407:DIODE 0 +30 *2043:27 *2210:25 0 +31 *2063:22 *2210:21 0 +32 *2079:19 *2210:21 0 +33 *2086:21 *2210:21 0 +34 *2136:17 *2210:21 0 +35 *2177:17 *2210:25 0 +36 *2181:33 *2210:25 0 +*RES +1 *38890:HI[392] *2210:21 42.3351 +2 *2210:21 *2210:25 26.0446 +3 *2210:25 *5407:DIODE 42.7107 +4 *2210:25 *37594:A 9.3 +*END + +*D_NET *2211 0.00727231 +*CONN +*I *37596:A I *D sky130_fd_sc_hd__and2_1 +*I *38890:HI[393] O *D mprj_logic_high +*CAP +1 *37596:A 0.00121721 +2 *38890:HI[393] 0.000425335 +3 *2211:12 0.00321082 +4 *2211:9 0.00241894 +5 *37596:A *3587:22 0 +6 *37596:A *3592:17 0 +7 *37596:A *3671:27 0 +8 *37596:A *4325:17 0 +9 *2211:9 *37602:B 0 +10 *2211:9 *2212:10 0 +11 *2211:9 *3195:14 0 +12 *2211:9 *4359:8 0 +13 *2211:12 *3185:9 0 +14 *1928:58 *37596:A 0 +15 *1937:23 *2211:12 0 +16 *1942:26 *2211:12 0 +17 *2175:19 *37596:A 0 +18 *2198:22 *37596:A 0 +19 *2200:15 *2211:12 0 +20 *2200:23 *37596:A 0 +21 *2204:8 *2211:12 0 +22 *2209:16 *2211:9 0 +*RES +1 *38890:HI[393] *2211:9 14.1607 +2 *2211:9 *2211:12 46.1071 +3 *2211:12 *37596:A 39.7107 +*END + +*D_NET *2212 0.0131709 +*CONN +*I *5410:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37598:A I *D sky130_fd_sc_hd__and2_1 +*I *38890:HI[394] O *D mprj_logic_high +*CAP +1 *5410:DIODE 0.000468674 +2 *37598:A 2.35565e-05 +3 *38890:HI[394] 0.00194884 +4 *2212:39 0.00120735 +5 *2212:33 0.00287664 +6 *2212:25 0.00342927 +7 *2212:10 0.0032166 +8 *5410:DIODE *2751:11 0 +9 *5410:DIODE *2886:50 0 +10 *5410:DIODE *4384:28 0 +11 *2212:10 *37602:B 0 +12 *2212:10 *2213:23 0 +13 *2212:25 *40641:A 0 +14 *2212:25 *40661:A 0 +15 *2212:25 *3574:10 0 +16 *2212:25 *3640:19 0 +17 *2212:25 *3828:52 0 +18 *2212:33 *3185:9 0 +19 *2212:33 *3587:33 0 +20 *2212:33 *3828:68 0 +21 *41319:A *2212:25 0 +22 *1914:29 *2212:39 0 +23 *1916:42 *5410:DIODE 0 +24 *1922:13 *5410:DIODE 0 +25 *1922:17 *5410:DIODE 0 +26 *1937:19 *2212:39 0 +27 *1937:23 *2212:33 0 +28 *1942:24 *2212:33 0 +29 *1942:26 *2212:10 0 +30 *1942:26 *2212:33 0 +31 *1951:52 *2212:25 0 +32 *1951:54 *2212:25 0 +33 *2185:22 *2212:25 0 +34 *2192:27 *2212:33 0 +35 *2204:8 *2212:33 0 +36 *2211:9 *2212:10 0 +*RES +1 *38890:HI[394] *2212:10 46 +2 *2212:10 *2212:25 44.75 +3 *2212:25 *2212:33 49.7946 +4 *2212:33 *2212:39 10.6642 +5 *2212:39 *37598:A 14.3357 +6 *2212:39 *5410:DIODE 24.3893 +*END + +*D_NET *2213 0.00404234 +*CONN +*I *37600:A I *D sky130_fd_sc_hd__and2_1 +*I *38890:HI[395] O *D mprj_logic_high +*CAP +1 *37600:A 0.000383748 +2 *38890:HI[395] 0.00163742 +3 *2213:23 0.00202117 +4 *37600:A *37600:B 0 +5 *37600:A *3608:51 0 +6 *37600:A *3828:32 0 +7 *2213:23 *37602:A 0 +8 *2213:23 *37810:B 0 +9 *2213:23 *38014:C 0 +10 *2213:23 *3608:42 0 +11 *2213:23 *3624:58 0 +12 *1931:42 *2213:23 0 +13 *2208:22 *37600:A 0 +14 *2209:16 *2213:23 0 +15 *2212:10 *2213:23 0 +*RES +1 *38890:HI[395] *2213:23 48.9821 +2 *2213:23 *37600:A 17.3714 +*END + +*D_NET *2214 0.00230545 +*CONN +*I *37602:A I *D sky130_fd_sc_hd__and2_1 +*I *38890:HI[396] O *D mprj_logic_high +*CAP +1 *37602:A 0.00115273 +2 *38890:HI[396] 0.00115273 +3 *37602:A *38014:C 0 +4 *37602:A *2215:13 0 +5 *37602:A *3640:19 0 +6 *37602:A *4366:10 0 +7 *2213:23 *37602:A 0 +*RES +1 *38890:HI[396] *37602:A 47.925 +*END + +*D_NET *2215 0.00895338 +*CONN +*I *37604:A I *D sky130_fd_sc_hd__and2_1 +*I *38890:HI[397] O *D mprj_logic_high +*CAP +1 *37604:A 0.00180408 +2 *38890:HI[397] 0.000753864 +3 *2215:20 0.00372282 +4 *2215:13 0.00267261 +5 *37604:A *5413:DIODE 0 +6 *37604:A *40850:A 0 +7 *37604:A *2257:16 0 +8 *37604:A *3176:25 0 +9 *37604:A *3386:48 0 +10 *37604:A *3550:8 0 +11 *37604:A *3581:19 0 +12 *37604:A *4325:34 0 +13 *37604:A *4329:12 0 +14 *2215:13 *38014:C 0 +15 *2215:13 *2216:11 0 +16 *2215:13 *2217:10 0 +17 *2215:13 *2218:23 0 +18 *2215:13 *3624:58 0 +19 *2215:13 *4338:20 0 +20 *2215:20 *8129:DIODE 0 +21 *2215:20 *8250:DIODE 0 +22 *2215:20 *40011:A 0 +23 *2215:20 *40843:A 0 +24 *2215:20 *2219:13 0 +25 *2215:20 *2224:26 0 +26 *2215:20 *3624:45 0 +27 *2215:20 *3640:15 0 +28 *2215:20 *4333:50 0 +29 *37602:A *2215:13 0 +30 *38014:B *2215:13 0 +31 *1687:21 *2215:20 0 +32 *1931:42 *2215:13 0 +*RES +1 *38890:HI[397] *2215:13 31.3036 +2 *2215:13 *2215:20 44.6071 +3 *2215:20 *37604:A 47.0506 +*END + +*D_NET *2216 0.00815783 +*CONN +*I *37606:A I *D sky130_fd_sc_hd__and2_1 +*I *38890:HI[398] O *D mprj_logic_high +*CAP +1 *37606:A 0.00217645 +2 *38890:HI[398] 0.00190247 +3 *2216:11 0.00407892 +4 *37606:A *3120:50 0 +5 *37606:A *3578:22 0 +6 *37606:A *3581:19 0 +7 *37606:A *3603:35 0 +8 *37606:A *3603:37 0 +9 *37606:A *3640:11 0 +10 *37606:A *4311:22 0 +11 *37606:A *4317:13 0 +12 *37606:A *4363:28 0 +13 *37606:A *4378:28 0 +14 *2216:11 *2217:10 0 +15 *2216:11 *2234:24 0 +16 *2216:11 *2732:15 0 +17 *2216:11 *3581:35 0 +18 *2216:11 *3636:19 0 +19 *2216:11 *4321:8 0 +20 *2216:11 *4338:20 0 +21 *2216:11 *4346:84 0 +22 *2216:11 *4353:8 0 +23 *1307:10 *37606:A 0 +24 *2215:13 *2216:11 0 +*RES +1 *38890:HI[398] *2216:11 49.9554 +2 *2216:11 *37606:A 43.0656 +*END + +*D_NET *2217 0.024709 +*CONN +*I *5415:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37608:A I *D sky130_fd_sc_hd__and2_1 +*I *38890:HI[399] O *D mprj_logic_high +*CAP +1 *5415:DIODE 0.000121071 +2 *37608:A 3.22083e-05 +3 *38890:HI[399] 0.00166106 +4 *2217:94 0.00129586 +5 *2217:84 0.00322624 +6 *2217:80 0.00335127 +7 *2217:73 0.00286996 +8 *2217:49 0.00280535 +9 *2217:30 0.00315592 +10 *2217:19 0.00324096 +11 *2217:10 0.0029491 +12 *5415:DIODE *2307:34 0 +13 *2217:10 *2218:23 0 +14 *2217:10 *2223:10 0 +15 *2217:10 *2237:15 0 +16 *2217:10 *3185:9 0 +17 *2217:10 *3550:9 0 +18 *2217:19 *37967:A 0 +19 *2217:19 *40698:A 0 +20 *2217:19 *2226:33 0 +21 *2217:19 *2322:63 0 +22 *2217:19 *2866:39 0 +23 *2217:19 *2879:20 0 +24 *2217:30 *2220:30 0 +25 *2217:30 *2221:52 0 +26 *2217:30 *2222:51 0 +27 *2217:30 *2321:44 0 +28 *2217:30 *2322:60 0 +29 *2217:30 *2852:47 0 +30 *2217:30 *2865:36 0 +31 *2217:30 *3575:47 0 +32 *2217:30 *5136:51 0 +33 *2217:49 *6352:DIODE 0 +34 *2217:49 *40852:A 0 +35 *2217:49 *2221:52 0 +36 *2217:49 *2221:75 0 +37 *2217:49 *2235:38 0 +38 *2217:49 *2251:33 0 +39 *2217:49 *2290:25 0 +40 *2217:49 *2292:14 0 +41 *2217:49 *2843:32 0 +42 *2217:49 *2845:19 0 +43 *2217:49 *3575:47 0 +44 *2217:49 *3786:22 0 +45 *2217:49 *3791:24 0 +46 *2217:73 *2222:58 0 +47 *2217:73 *2267:57 0 +48 *2217:73 *2292:50 0 +49 *2217:73 *2305:14 0 +50 *2217:73 *3358:48 0 +51 *2217:73 *3566:21 0 +52 *2217:73 *3693:8 0 +53 *2217:73 *3786:44 0 +54 *2217:73 *5142:16 0 +55 *2217:80 *40642:A 0 +56 *2217:80 *40654:A 0 +57 *2217:80 *40837:A 0 +58 *2217:80 *2221:100 0 +59 *2217:80 *2222:73 0 +60 *2217:80 *2962:87 0 +61 *2217:80 *3575:18 0 +62 *2217:80 *3786:44 0 +63 *2217:80 *4100:11 0 +64 *2217:84 *8545:DIODE 0 +65 *2217:84 *8924:DIODE 0 +66 *2217:84 *2221:100 0 +67 *2217:84 *2950:11 0 +68 *2217:84 *3789:34 0 +69 *2217:84 *3825:5 0 +70 *2217:84 *4100:11 0 +71 *2217:84 *4362:18 0 +72 *2217:94 *2274:47 0 +73 *2217:94 *2307:34 0 +74 *2217:94 *3054:46 0 +75 *2217:94 *3080:95 0 +76 *2217:94 *3186:32 0 +77 *2217:94 *3681:14 0 +78 *2217:94 *3687:19 0 +79 *2217:94 *3714:21 0 +80 *2217:94 *3775:31 0 +81 *39484:B *2217:49 0 +82 *39999:A *2217:49 0 +83 *1404:27 *2217:30 0 +84 *1690:22 *2217:49 0 +85 *1936:14 *2217:19 0 +86 *2215:13 *2217:10 0 +87 *2216:11 *2217:10 0 +*RES +1 *38890:HI[399] *2217:10 44.25 +2 *2217:10 *2217:19 29.1891 +3 *2217:19 *2217:30 49.9464 +4 *2217:30 *2217:49 43.9821 +5 *2217:49 *2217:73 48.5446 +6 *2217:73 *2217:80 31.2232 +7 *2217:80 *2217:84 47.9554 +8 *2217:84 *2217:94 38.4464 +9 *2217:94 *37608:A 19.0321 +10 *2217:94 *5415:DIODE 11.8893 +*END + +*D_NET *2218 0.00503568 +*CONN +*I *37812:A I *D sky130_fd_sc_hd__and2_1 +*I *38890:HI[39] O *D mprj_logic_high +*CAP +1 *37812:A 0.000972017 +2 *38890:HI[39] 0.00154582 +3 *2218:23 0.00251784 +4 *37812:A *3176:37 0 +5 *37812:A *3640:19 0 +6 *37812:A *4346:63 0 +7 *37812:A *4480:35 0 +8 *2218:23 *2219:13 0 +9 *2218:23 *2220:18 0 +10 *2218:23 *3608:42 0 +11 *2218:23 *4346:63 0 +12 *2218:23 *4350:50 0 +13 *1199:10 *37812:A 0 +14 *2199:14 *37812:A 0 +15 *2215:13 *2218:23 0 +16 *2217:10 *2218:23 0 +*RES +1 *38890:HI[39] *2218:23 47.5179 +2 *2218:23 *37812:A 38.9429 +*END + +*D_NET *2219 0.00294955 +*CONN +*I *37740:A I *D sky130_fd_sc_hd__and2_1 +*I *38890:HI[3] O *D mprj_logic_high +*CAP +1 *37740:A 0 +2 *38890:HI[3] 0.00147478 +3 *2219:13 0.00147478 +4 *2219:13 *2220:18 0 +5 *2219:13 *3640:15 0 +6 *2215:20 *2219:13 0 +7 *2218:23 *2219:13 0 +*RES +1 *38890:HI[3] *2219:13 45.3929 +2 *2219:13 *37740:A 9.3 +*END + +*D_NET *2220 0.0152778 +*CONN +*I *40869:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8546:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[400] O *D mprj_logic_high +*CAP +1 *40869:A 0.000441651 +2 *8546:DIODE 0 +3 *38890:HI[400] 0.00290996 +4 *2220:40 0.00323448 +5 *2220:30 0.0042873 +6 *2220:18 0.00440443 +7 *40869:A *2870:23 0 +8 *2220:18 *2221:15 0 +9 *2220:18 *2227:13 0 +10 *2220:18 *2744:22 0 +11 *2220:18 *2879:20 0 +12 *2220:18 *3566:51 0 +13 *2220:18 *3608:42 0 +14 *2220:18 *4346:63 0 +15 *2220:30 *37977:A 0 +16 *2220:30 *2322:60 0 +17 *2220:30 *2740:45 0 +18 *2220:30 *2865:36 0 +19 *2220:30 *2879:20 0 +20 *2220:30 *3566:51 0 +21 *2220:40 *2274:15 0 +22 *2220:40 *2276:11 0 +23 *2220:40 *2298:11 0 +24 *2220:40 *2595:22 0 +25 *2220:40 *2870:23 0 +26 *2220:40 *3804:10 0 +27 *2220:40 *3804:14 0 +28 *2220:40 *4683:10 0 +29 *2220:40 *4685:13 0 +30 *319:10 *2220:18 0 +31 *1000:14 *2220:40 0 +32 *1019:8 *2220:40 0 +33 *1269:12 *2220:18 0 +34 *2217:30 *2220:30 0 +35 *2218:23 *2220:18 0 +36 *2219:13 *2220:18 0 +*RES +1 *38890:HI[400] *2220:18 49.9436 +2 *2220:18 *2220:30 39.4648 +3 *2220:30 *2220:40 48.6247 +4 *2220:40 *8546:DIODE 9.3 +5 *2220:40 *40869:A 28.2821 +*END + +*D_NET *2221 0.0183783 +*CONN +*I *8545:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40868:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[401] O *D mprj_logic_high +*CAP +1 *8545:DIODE 0.000258827 +2 *40868:A 2.0535e-05 +3 *38890:HI[401] 0.00159407 +4 *2221:100 0.000932205 +5 *2221:89 0.00190251 +6 *2221:75 0.00336598 +7 *2221:52 0.00397564 +8 *2221:27 0.00329688 +9 *2221:15 0.00303162 +10 *8545:DIODE *8924:DIODE 0 +11 *8545:DIODE *4100:11 0 +12 *2221:15 *8125:DIODE 0 +13 *2221:15 *2222:10 0 +14 *2221:15 *2223:10 0 +15 *2221:15 *2226:13 0 +16 *2221:15 *3196:40 0 +17 *2221:15 *3562:22 0 +18 *2221:15 *3588:35 0 +19 *2221:15 *4350:50 0 +20 *2221:27 *2222:28 0 +21 *2221:27 *2226:33 0 +22 *2221:27 *2322:63 0 +23 *2221:27 *4342:38 0 +24 *2221:52 *40002:A 0 +25 *2221:52 *2222:28 0 +26 *2221:52 *2222:43 0 +27 *2221:52 *2245:18 0 +28 *2221:52 *2851:14 0 +29 *2221:52 *5147:42 0 +30 *2221:52 *5147:49 0 +31 *2221:75 *5682:DIODE 0 +32 *2221:75 *2227:48 0 +33 *2221:75 *2845:19 0 +34 *2221:75 *3575:18 0 +35 *2221:75 *3575:25 0 +36 *2221:75 *3786:22 0 +37 *2221:89 *8934:DIODE 0 +38 *2221:89 *2222:73 0 +39 *2221:89 *2227:48 0 +40 *2221:89 *2317:26 0 +41 *2221:89 *3575:18 0 +42 *2221:89 *3710:16 0 +43 *2221:89 *3787:22 0 +44 *2221:89 *3787:38 0 +45 *2221:89 *4367:26 0 +46 *2221:89 *5051:16 0 +47 *2221:89 *5113:14 0 +48 *2221:89 *5116:30 0 +49 *2221:89 *5127:41 0 +50 *2221:100 *40642:A 0 +51 *2221:100 *40837:A 0 +52 *2221:100 *3575:18 0 +53 *2221:100 *4100:11 0 +54 *5417:DIODE *2221:75 0 +55 *39484:A *2221:75 0 +56 *39484:B *2221:75 0 +57 *39999:A *2221:75 0 +58 *1203:55 *2221:75 0 +59 *1309:21 *2221:52 0 +60 *1406:49 *2221:52 0 +61 *2217:30 *2221:52 0 +62 *2217:49 *2221:52 0 +63 *2217:49 *2221:75 0 +64 *2217:80 *2221:100 0 +65 *2217:84 *8545:DIODE 0 +66 *2217:84 *2221:100 0 +67 *2220:18 *2221:15 0 +*RES +1 *38890:HI[401] *2221:15 48.5 +2 *2221:15 *2221:27 34.2458 +3 *2221:27 *2221:52 48.4464 +4 *2221:52 *2221:75 49.6161 +5 *2221:75 *2221:89 45.6875 +6 *2221:89 *2221:100 18.4643 +7 *2221:100 *40868:A 9.72857 +8 *2221:100 *8545:DIODE 14.7643 +*END + +*D_NET *2222 0.0223894 +*CONN +*I *8544:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40867:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[402] O *D mprj_logic_high +*CAP +1 *8544:DIODE 0 +2 *40867:A 0.000163337 +3 *38890:HI[402] 0.00144932 +4 *2222:89 0.00185096 +5 *2222:83 0.00341853 +6 *2222:73 0.00258528 +7 *2222:58 0.00285119 +8 *2222:51 0.00232467 +9 *2222:43 0.00157116 +10 *2222:28 0.00298447 +11 *2222:10 0.00319048 +12 *40867:A *2307:34 0 +13 *2222:10 *8125:DIODE 0 +14 *2222:10 *38239:A 0 +15 *2222:10 *2223:10 0 +16 *2222:10 *2225:18 0 +17 *2222:10 *2886:69 0 +18 *2222:10 *3196:40 0 +19 *2222:10 *3324:30 0 +20 *2222:10 *3324:48 0 +21 *2222:10 *3646:8 0 +22 *2222:28 *37965:A 0 +23 *2222:28 *39868:A 0 +24 *2222:28 *39872:A 0 +25 *2222:28 *2245:18 0 +26 *2222:28 *2886:69 0 +27 *2222:28 *3575:49 0 +28 *2222:28 *4678:10 0 +29 *2222:28 *5147:49 0 +30 *2222:43 *8336:DIODE 0 +31 *2222:43 *40628:A 0 +32 *2222:43 *40638:A 0 +33 *2222:43 *2258:13 0 +34 *2222:43 *2340:20 0 +35 *2222:43 *2343:54 0 +36 *2222:43 *2851:14 0 +37 *2222:43 *3799:8 0 +38 *2222:43 *4350:51 0 +39 *2222:43 *5147:42 0 +40 *2222:43 *5147:49 0 +41 *2222:43 *5149:28 0 +42 *2222:51 *3575:47 0 +43 *2222:58 *8117:DIODE 0 +44 *2222:58 *41116:A 0 +45 *2222:58 *41310:A 0 +46 *2222:58 *2235:38 0 +47 *2222:58 *2843:19 0 +48 *2222:58 *3588:13 0 +49 *2222:58 *4367:26 0 +50 *2222:58 *5147:25 0 +51 *2222:58 *5147:42 0 +52 *2222:73 *37743:A 0 +53 *2222:73 *37847:A 0 +54 *2222:73 *2326:23 0 +55 *2222:73 *2962:87 0 +56 *2222:73 *3588:13 0 +57 *2222:73 *3751:23 0 +58 *2222:73 *4367:26 0 +59 *2222:83 *8113:DIODE 0 +60 *2222:83 *8484:DIODE 0 +61 *2222:83 *8952:DIODE 0 +62 *2222:83 *40776:A 0 +63 *2222:83 *2227:75 0 +64 *2222:83 *2936:37 0 +65 *2222:83 *5134:7 0 +66 *2222:89 *3665:21 0 +67 *2222:89 *3681:14 0 +68 *2222:89 *3753:8 0 +69 *2222:89 *4100:13 0 +70 *39484:B *2222:58 0 +71 *39997:A *2222:58 0 +72 *1406:49 *2222:58 0 +73 *1522:12 *2222:83 0 +74 *1817:11 *2222:10 0 +75 *2217:30 *2222:51 0 +76 *2217:73 *2222:58 0 +77 *2217:80 *2222:73 0 +78 *2221:15 *2222:10 0 +79 *2221:27 *2222:28 0 +80 *2221:52 *2222:28 0 +81 *2221:52 *2222:43 0 +82 *2221:89 *2222:73 0 +*RES +1 *38890:HI[402] *2222:10 36.3929 +2 *2222:10 *2222:28 45.9107 +3 *2222:28 *2222:43 48.9107 +4 *2222:43 *2222:51 20.5 +5 *2222:51 *2222:58 41.7679 +6 *2222:58 *2222:73 27.9821 +7 *2222:73 *2222:83 45.5 +8 *2222:83 *2222:89 45.2143 +9 *2222:89 *40867:A 21.8179 +10 *2222:89 *8544:DIODE 9.3 +*END + +*D_NET *2223 0.00683539 +*CONN +*I *40866:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[403] O *D mprj_logic_high +*CAP +1 *40866:A 0.00139302 +2 *38890:HI[403] 0.00202468 +3 *2223:10 0.0034177 +4 *40866:A *2236:25 0 +5 *40866:A *2249:16 0 +6 *40866:A *4329:12 0 +7 *2223:10 *2224:15 0 +8 *2223:10 *2237:15 0 +9 *2223:10 *3185:9 0 +10 *2223:10 *3646:8 0 +11 *1309:21 *40866:A 0 +12 *1406:35 *40866:A 0 +13 *2217:10 *2223:10 0 +14 *2221:15 *2223:10 0 +15 *2222:10 *2223:10 0 +*RES +1 *38890:HI[403] *2223:10 47.4643 +2 *2223:10 *40866:A 43.0643 +*END + +*D_NET *2224 0.00545291 +*CONN +*I *40864:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[404] O *D mprj_logic_high +*CAP +1 *40864:A 0 +2 *38890:HI[404] 0.00118612 +3 *2224:26 0.00154034 +4 *2224:15 0.00272645 +5 *2224:15 *2225:18 0 +6 *2224:15 *2226:13 0 +7 *2224:15 *2319:30 0 +8 *2224:15 *3185:9 0 +9 *2224:15 *3201:43 0 +10 *2224:26 *8250:DIODE 0 +11 *2224:26 *8336:DIODE 0 +12 *2224:26 *40698:A 0 +13 *2224:26 *40843:A 0 +14 *2224:26 *2255:13 0 +15 *2224:26 *3581:34 0 +16 *2224:26 *3636:19 0 +17 *2224:26 *3640:11 0 +18 *2224:26 *3640:15 0 +19 *2224:26 *3798:14 0 +20 *2215:20 *2224:26 0 +21 *2223:10 *2224:15 0 +*RES +1 *38890:HI[404] *2224:15 39.1964 +2 *2224:15 *2224:26 41.2321 +3 *2224:26 *40864:A 9.3 +*END + +*D_NET *2225 0.0222481 +*CONN +*I *8541:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40862:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[405] O *D mprj_logic_high +*CAP +1 *8541:DIODE 0 +2 *40862:A 0.000357085 +3 *38890:HI[405] 0.00181123 +4 *2225:49 0.00184605 +5 *2225:43 0.00274928 +6 *2225:28 0.00656007 +7 *2225:27 0.00620644 +8 *2225:18 0.00271792 +9 *40862:A *2307:34 0 +10 *40862:A *3372:48 0 +11 *2225:18 *2226:13 0 +12 *2225:18 *2227:13 0 +13 *2225:18 *2324:60 0 +14 *2225:18 *2725:33 0 +15 *2225:18 *2740:38 0 +16 *2225:27 *2564:18 0 +17 *2225:27 *2861:17 0 +18 *2225:28 *2266:49 0 +19 *2225:28 *2266:50 0 +20 *2225:28 *2292:14 0 +21 *2225:28 *2324:52 0 +22 *2225:28 *2324:60 0 +23 *2225:28 *2535:10 0 +24 *2225:28 *2725:65 0 +25 *2225:28 *2870:23 0 +26 *2225:28 *5124:23 0 +27 *2225:43 *39781:A 0 +28 *2225:43 *2292:57 0 +29 *2225:43 *2315:33 0 +30 *2225:43 *2336:25 0 +31 *2225:43 *2752:10 0 +32 *2225:43 *2950:35 0 +33 *2225:43 *3789:40 0 +34 *2225:43 *5124:17 0 +35 *2225:43 *5139:16 0 +36 *2225:43 *5141:12 0 +37 *2225:49 *2309:30 0 +38 *2225:49 *2865:43 0 +39 *2225:49 *3531:17 0 +40 *2225:49 *3753:8 0 +41 *7454:DIODE *2225:28 0 +42 *37741:A *2225:18 0 +43 *39486:A *2225:28 0 +44 *326:14 *2225:27 0 +45 *1029:84 *2225:27 0 +46 *1203:22 *40862:A 0 +47 *1267:18 *2225:49 0 +48 *1306:8 *2225:18 0 +49 *1687:21 *2225:18 0 +50 *1817:11 *2225:18 0 +51 *1943:34 *2225:28 0 +52 *2222:10 *2225:18 0 +53 *2224:15 *2225:18 0 +*RES +1 *38890:HI[405] *2225:18 49.0893 +2 *2225:18 *2225:27 16.0896 +3 *2225:27 *2225:28 110.607 +4 *2225:28 *2225:43 44.8571 +5 *2225:43 *2225:49 41.5357 +6 *2225:49 *40862:A 26.4786 +7 *2225:49 *8541:DIODE 9.3 +*END + +*D_NET *2226 0.0134587 +*CONN +*I *8539:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40860:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[406] O *D mprj_logic_high +*CAP +1 *8539:DIODE 6.20329e-05 +2 *40860:A 0.00017921 +3 *38890:HI[406] 0.00131104 +4 *2226:36 0.000798256 +5 *2226:33 0.00517708 +6 *2226:13 0.0059311 +7 *8539:DIODE *4100:11 0 +8 *40860:A *2310:16 0 +9 *2226:13 *5575:DIODE 0 +10 *2226:13 *37814:A 0 +11 *2226:13 *2227:13 0 +12 *2226:13 *3123:70 0 +13 *2226:13 *3185:9 0 +14 *2226:33 *5674:DIODE 0 +15 *2226:33 *2254:19 0 +16 *2226:33 *2267:26 0 +17 *2226:33 *2845:19 0 +18 *2226:33 *2865:36 0 +19 *2226:33 *2865:39 0 +20 *2226:33 *2879:20 0 +21 *2226:33 *2885:51 0 +22 *2226:33 *3553:27 0 +23 *2226:33 *3797:24 0 +24 *2226:33 *4317:31 0 +25 *2226:33 *4342:38 0 +26 *2226:33 *4363:53 0 +27 *2226:36 *3588:13 0 +28 *2226:36 *4100:11 0 +29 *2226:36 *4345:20 0 +30 *2226:36 *4345:42 0 +31 *1203:54 *40860:A 0 +32 *2059:39 *2226:33 0 +33 *2217:19 *2226:33 0 +34 *2221:15 *2226:13 0 +35 *2221:27 *2226:33 0 +36 *2224:15 *2226:13 0 +37 *2225:18 *2226:13 0 +*RES +1 *38890:HI[406] *2226:13 41.5618 +2 *2226:13 *2226:33 47.8521 +3 *2226:33 *2226:36 16.125 +4 *2226:36 *40860:A 22.3714 +5 *2226:36 *8539:DIODE 10.6571 +*END + +*D_NET *2227 0.023853 +*CONN +*I *40859:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8538:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[407] O *D mprj_logic_high +*CAP +1 *40859:A 0.000114654 +2 *8538:DIODE 0.000629047 +3 *38890:HI[407] 0.00134294 +4 *2227:87 0.00165845 +5 *2227:83 0.00285193 +6 *2227:75 0.00344335 +7 *2227:48 0.0040642 +8 *2227:41 0.00380915 +9 *2227:23 0.00292375 +10 *2227:13 0.00301557 +11 *8538:DIODE *6323:DIODE 0 +12 *8538:DIODE *8494:DIODE 0 +13 *8538:DIODE *8950:DIODE 0 +14 *8538:DIODE *2295:28 0 +15 *8538:DIODE *3526:40 0 +16 *8538:DIODE *4125:33 0 +17 *40859:A *3817:52 0 +18 *40859:A *4358:21 0 +19 *2227:13 *37814:B 0 +20 *2227:13 *2235:25 0 +21 *2227:13 *2857:53 0 +22 *2227:13 *3165:26 0 +23 *2227:13 *4346:84 0 +24 *2227:23 *39868:A 0 +25 *2227:23 *2253:17 0 +26 *2227:23 *3575:49 0 +27 *2227:23 *3588:35 0 +28 *2227:23 *3798:14 0 +29 *2227:41 *2266:25 0 +30 *2227:41 *2277:21 0 +31 *2227:41 *3575:47 0 +32 *2227:41 *3575:49 0 +33 *2227:41 *3797:24 0 +34 *2227:48 *2235:38 0 +35 *2227:48 *2272:53 0 +36 *2227:48 *3575:25 0 +37 *2227:48 *3786:22 0 +38 *2227:48 *3787:22 0 +39 *2227:48 *4342:38 0 +40 *2227:48 *4368:42 0 +41 *2227:48 *4368:47 0 +42 *2227:48 *5127:41 0 +43 *2227:48 *5147:25 0 +44 *2227:75 *2267:57 0 +45 *2227:75 *2318:18 0 +46 *2227:75 *3575:18 0 +47 *2227:75 *3710:17 0 +48 *2227:75 *3786:59 0 +49 *2227:75 *3808:19 0 +50 *2227:75 *4367:26 0 +51 *2227:75 *4367:34 0 +52 *2227:75 *5134:7 0 +53 *2227:83 *5676:DIODE 0 +54 *2227:83 *8113:DIODE 0 +55 *2227:83 *2304:32 0 +56 *2227:83 *2412:44 0 +57 *2227:83 *3196:10 0 +58 *2227:83 *3808:19 0 +59 *2227:87 *2306:40 0 +60 *2227:87 *3447:40 0 +61 *2227:87 *3826:21 0 +62 *2227:87 *3826:34 0 +63 *38246:B *2227:83 0 +64 *39483:A *2227:13 0 +65 *39484:A *2227:48 0 +66 *39484:B *2227:48 0 +67 *1202:20 *2227:41 0 +68 *1404:27 *2227:23 0 +69 *1404:27 *2227:41 0 +70 *1511:10 *2227:83 0 +71 *2220:18 *2227:13 0 +72 *2221:75 *2227:48 0 +73 *2221:89 *2227:48 0 +74 *2222:83 *2227:75 0 +75 *2225:18 *2227:13 0 +76 *2226:13 *2227:13 0 +*RES +1 *38890:HI[407] *2227:13 43.2321 +2 *2227:13 *2227:23 48.75 +3 *2227:23 *2227:41 48.3296 +4 *2227:41 *2227:48 49.1668 +5 *2227:48 *2227:75 48.2949 +6 *2227:75 *2227:83 39.4914 +7 *2227:83 *2227:87 23.7321 +8 *2227:87 *8538:DIODE 29.7104 +9 *2227:87 *40859:A 16.3893 +*END + +*D_NET *2228 0.0111612 +*CONN +*I *40858:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8537:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[408] O *D mprj_logic_high +*CAP +1 *40858:A 2.26741e-05 +2 *8537:DIODE 0.000314144 +3 *38890:HI[408] 0.00524378 +4 *2228:22 0.0055806 +5 *8537:DIODE *3153:72 0 +6 *8537:DIODE *4296:35 0 +7 *40858:A *3153:72 0 +8 *2228:22 *2229:18 0 +9 *2228:22 *2233:17 0 +10 *2228:22 *3569:21 0 +11 *2228:22 *3636:19 0 +12 *2228:22 *3943:17 0 +13 *2228:22 *4047:58 0 +14 *2228:22 *4063:22 0 +15 *2228:22 *4243:21 0 +16 *2228:22 *4373:20 0 +17 *41296:A *8537:DIODE 0 +18 *41296:A *40858:A 0 +19 *1815:19 *2228:22 0 +20 *1816:22 *2228:22 0 +21 *1999:29 *2228:22 0 +22 *2044:18 *2228:22 0 +23 *2052:21 *2228:22 0 +24 *2059:39 *2228:22 0 +*RES +1 *38890:HI[408] *2228:22 46.347 +2 *2228:22 *8537:DIODE 25.0143 +3 *2228:22 *40858:A 9.83571 +*END + +*D_NET *2229 0.0112231 +*CONN +*I *40857:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8536:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[409] O *D mprj_logic_high +*CAP +1 *40857:A 0 +2 *8536:DIODE 0.000224559 +3 *38890:HI[409] 0.00538698 +4 *2229:18 0.00561154 +5 *2229:18 *2233:17 0 +6 *2229:18 *2236:25 0 +7 *2229:18 *2240:25 0 +8 *2229:18 *2278:16 0 +9 *2229:18 *2858:15 0 +10 *2229:18 *3340:20 0 +11 *2229:18 *3358:23 0 +12 *2229:18 *3569:21 0 +13 *2229:18 *4086:38 0 +14 *2229:18 *4231:43 0 +15 *2229:18 *4321:17 0 +16 *2229:18 *4373:16 0 +17 *2229:18 *5150:21 0 +18 *1815:19 *2229:18 0 +19 *1816:22 *2229:18 0 +20 *1979:24 *2229:18 0 +21 *1996:27 *8536:DIODE 0 +22 *1999:29 *2229:18 0 +23 *2044:18 *2229:18 0 +24 *2070:37 *2229:18 0 +25 *2101:27 *2229:18 0 +26 *2228:22 *2229:18 0 +*RES +1 *38890:HI[409] *2229:18 34.3959 +2 *2229:18 *8536:DIODE 18.8179 +3 *2229:18 *40857:A 13.8 +*END + +*D_NET *2230 0.00160022 +*CONN +*I *37814:A I *D sky130_fd_sc_hd__and2_1 +*I *38890:HI[40] O *D mprj_logic_high +*CAP +1 *37814:A 0.000800112 +2 *38890:HI[40] 0.000800112 +3 *37814:A *3165:26 0 +4 *37814:A *3624:51 0 +5 *37814:A *4346:84 0 +6 *1269:12 *37814:A 0 +7 *1815:19 *37814:A 0 +8 *2226:13 *37814:A 0 +*RES +1 *38890:HI[40] *37814:A 31.6036 +*END + +*D_NET *2231 0.00483373 +*CONN +*I *40856:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[410] O *D mprj_logic_high +*CAP +1 *40856:A 8.4707e-05 +2 *38890:HI[410] 0.000423722 +3 *2231:13 0.00199314 +4 *2231:7 0.00233216 +5 *40856:A *2255:13 0 +6 *40856:A *3581:34 0 +7 *2231:7 *2232:15 0 +8 *2231:7 *3123:70 0 +9 *2231:13 *2319:30 0 +10 *1815:19 *2231:7 0 +*RES +1 *38890:HI[410] *2231:7 14.125 +2 *2231:7 *2231:13 48.9643 +3 *2231:13 *40856:A 11.0679 +*END + +*D_NET *2232 0.0270305 +*CONN +*I *5449:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37632:A I *D sky130_fd_sc_hd__and2_1 +*I *38890:HI[411] O *D mprj_logic_high +*CAP +1 *5449:DIODE 0.00038549 +2 *37632:A 0 +3 *38890:HI[411] 0.00211985 +4 *2232:74 0.000911096 +5 *2232:63 0.00266014 +6 *2232:57 0.00451146 +7 *2232:51 0.00397096 +8 *2232:43 0.00358069 +9 *2232:35 0.00307565 +10 *2232:29 0.00239216 +11 *2232:15 0.00342301 +12 *5449:DIODE *37632:B 0 +13 *5449:DIODE *3206:25 0 +14 *5449:DIODE *3252:69 0 +15 *5449:DIODE *3438:44 0 +16 *5449:DIODE *4020:17 0 +17 *5449:DIODE *4029:16 0 +18 *2232:15 *2233:17 0 +19 *2232:15 *2234:24 0 +20 *2232:15 *2693:40 0 +21 *2232:15 *2699:18 0 +22 *2232:15 *2854:38 0 +23 *2232:15 *3123:70 0 +24 *2232:15 *3201:48 0 +25 *2232:29 *2677:27 0 +26 *2232:29 *2693:40 0 +27 *2232:29 *5038:28 0 +28 *2232:35 *39819:A 0 +29 *2232:35 *2637:30 0 +30 *2232:35 *2677:18 0 +31 *2232:35 *2693:24 0 +32 *2232:35 *2736:47 0 +33 *2232:43 *2742:29 0 +34 *2232:43 *5038:11 0 +35 *2232:51 *2274:24 0 +36 *2232:51 *2661:40 0 +37 *2232:51 *2742:43 0 +38 *2232:51 *3668:28 0 +39 *2232:51 *5038:11 0 +40 *2232:57 *2318:33 0 +41 *2232:57 *2689:12 0 +42 *2232:57 *3789:34 0 +43 *2232:63 *3252:62 0 +44 *2232:63 *3659:14 0 +45 *2232:63 *3956:24 0 +46 *2232:63 *4332:17 0 +47 *2232:63 *4359:38 0 +48 *2232:63 *4379:47 0 +49 *2232:74 *37632:B 0 +50 *2232:74 *3153:44 0 +51 *2232:74 *3206:25 0 +52 *2232:74 *4020:17 0 +53 *2232:74 *4083:39 0 +54 *37829:A *2232:57 0 +55 *41343:A *2232:15 0 +56 *350:23 *2232:15 0 +57 *1030:8 *2232:57 0 +58 *1031:52 *2232:29 0 +59 *1289:20 *2232:15 0 +60 *1289:28 *2232:15 0 +61 *1522:16 *2232:57 0 +62 *1943:33 *2232:15 0 +63 *1944:51 *2232:15 0 +64 *2113:28 *2232:74 0 +65 *2231:7 *2232:15 0 +*RES +1 *38890:HI[411] *2232:15 48.3393 +2 *2232:15 *2232:29 41.8243 +3 *2232:29 *2232:35 31.9107 +4 *2232:35 *2232:43 46.2679 +5 *2232:43 *2232:51 45.8475 +6 *2232:51 *2232:57 32.4806 +7 *2232:57 *2232:63 48.5982 +8 *2232:63 *2232:74 16.625 +9 *2232:74 *37632:A 9.3 +10 *2232:74 *5449:DIODE 26.9786 +*END + +*D_NET *2233 0.0107847 +*CONN +*I *5451:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37634:A I *D sky130_fd_sc_hd__and2_2 +*I *38890:HI[412] O *D mprj_logic_high +*CAP +1 *5451:DIODE 0 +2 *37634:A 0.000156313 +3 *38890:HI[412] 0.00523604 +4 *2233:17 0.00539236 +5 *37634:A *3328:30 0 +6 *37634:A *4488:16 0 +7 *37634:A *4860:23 0 +8 *37634:A *5170:27 0 +9 *2233:17 *37379:A 0 +10 *2233:17 *2439:38 0 +11 *2233:17 *3172:13 0 +12 *2233:17 *3172:29 0 +13 *2233:17 *3322:18 0 +14 *2233:17 *3449:26 0 +15 *2233:17 *4064:24 0 +16 *2233:17 *4860:23 0 +17 *2233:17 *5159:20 0 +18 *2233:17 *5170:27 0 +19 *6555:DIODE *2233:17 0 +20 *488:5 *37634:A 0 +21 *1289:20 *2233:17 0 +22 *2149:14 *2233:17 0 +23 *2228:22 *2233:17 0 +24 *2229:18 *2233:17 0 +25 *2232:15 *2233:17 0 +*RES +1 *38890:HI[412] *2233:17 38.1296 +2 *2233:17 *37634:A 17.1214 +3 *2233:17 *5451:DIODE 13.8 +*END + +*D_NET *2234 0.00294114 +*CONN +*I *40853:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[413] O *D mprj_logic_high +*CAP +1 *40853:A 0 +2 *38890:HI[413] 0.00147057 +3 *2234:24 0.00147057 +4 *2234:24 *40704:A 0 +5 *2234:24 *2235:25 0 +6 *2234:24 *2865:14 0 +7 *2234:24 *3201:48 0 +8 *2234:24 *3578:37 0 +9 *2234:24 *4353:8 0 +10 *8921:DIODE *2234:24 0 +11 *39483:A *2234:24 0 +12 *39483:B *2234:24 0 +13 *41361:A *2234:24 0 +14 *2216:11 *2234:24 0 +15 *2232:15 *2234:24 0 +*RES +1 *38890:HI[413] *2234:24 45.6964 +2 *2234:24 *40853:A 9.3 +*END + +*D_NET *2235 0.00952999 +*CONN +*I *40852:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8533:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[414] O *D mprj_logic_high +*CAP +1 *40852:A 0.000384196 +2 *8533:DIODE 0 +3 *38890:HI[414] 0.00237266 +4 *2235:38 0.00239233 +5 *2235:25 0.0043808 +6 *40852:A *3575:25 0 +7 *40852:A *3588:13 0 +8 *40852:A *3786:22 0 +9 *40852:A *4342:38 0 +10 *40852:A *5147:25 0 +11 *2235:25 *2236:25 0 +12 *2235:25 *2846:8 0 +13 *2235:25 *2862:33 0 +14 *2235:25 *3161:70 0 +15 *2235:25 *3553:27 0 +16 *2235:25 *3588:35 0 +17 *2235:25 *4342:38 0 +18 *2235:25 *4353:8 0 +19 *2235:25 *4368:42 0 +20 *2235:38 *3588:32 0 +21 *2235:38 *4342:38 0 +22 *2235:38 *4368:42 0 +23 *2235:38 *5147:42 0 +24 *39483:B *2235:25 0 +25 *39999:A *2235:38 0 +26 *41315:A *2235:25 0 +27 *1307:10 *2235:25 0 +28 *1815:19 *2235:25 0 +29 *1816:13 *40852:A 0 +30 *1818:19 *2235:25 0 +31 *2059:39 *2235:25 0 +32 *2217:49 *40852:A 0 +33 *2217:49 *2235:38 0 +34 *2222:58 *2235:38 0 +35 *2227:13 *2235:25 0 +36 *2227:48 *2235:38 0 +37 *2234:24 *2235:25 0 +*RES +1 *38890:HI[414] *2235:25 49.6663 +2 *2235:25 *2235:38 36.2759 +3 *2235:38 *8533:DIODE 9.3 +4 *2235:38 *40852:A 31.5273 +*END + +*D_NET *2236 0.012197 +*CONN +*I *40851:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8532:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[415] O *D mprj_logic_high +*CAP +1 *40851:A 0 +2 *8532:DIODE 0.000251261 +3 *38890:HI[415] 0.00584722 +4 *2236:25 0.00609848 +5 *8532:DIODE *3814:17 0 +6 *8532:DIODE *3934:77 0 +7 *2236:25 *37818:A 0 +8 *2236:25 *2250:25 0 +9 *2236:25 *2267:26 0 +10 *2236:25 *2287:20 0 +11 *2236:25 *2858:15 0 +12 *2236:25 *2859:24 0 +13 *2236:25 *3358:23 0 +14 *2236:25 *3386:48 0 +15 *2236:25 *3636:19 0 +16 *2236:25 *3727:23 0 +17 *2236:25 *3797:24 0 +18 *2236:25 *3806:53 0 +19 *2236:25 *3809:30 0 +20 *2236:25 *3821:15 0 +21 *2236:25 *4047:58 0 +22 *2236:25 *4250:23 0 +23 *2236:25 *4299:23 0 +24 *2236:25 *4329:12 0 +25 *2236:25 *4353:8 0 +26 *2236:25 *4356:14 0 +27 *2236:25 *4373:16 0 +28 *40866:A *2236:25 0 +29 *2121:18 *8532:DIODE 0 +30 *2229:18 *2236:25 0 +31 *2235:25 *2236:25 0 +*RES +1 *38890:HI[415] *2236:25 39.7017 +2 *2236:25 *8532:DIODE 19.425 +3 *2236:25 *40851:A 13.8 +*END + +*D_NET *2237 0.00575544 +*CONN +*I *40850:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[416] O *D mprj_logic_high +*CAP +1 *40850:A 0.000576011 +2 *38890:HI[416] 0.000372008 +3 *2237:15 0.00250571 +4 *2237:9 0.00230171 +5 *40850:A *5413:DIODE 0 +6 *40850:A *2270:12 0 +7 *40850:A *3558:25 0 +8 *40850:A *3581:19 0 +9 *40850:A *4350:80 0 +10 *2237:9 *2238:9 0 +11 *2237:9 *3636:19 0 +12 *2237:15 *2246:8 0 +13 *2237:15 *2257:16 0 +14 *2237:15 *2262:11 0 +15 *2237:15 *3185:9 0 +16 *2237:15 *3550:9 0 +17 *2237:15 *3823:24 0 +18 *37604:A *40850:A 0 +19 *2217:10 *2237:15 0 +20 *2223:10 *2237:15 0 +*RES +1 *38890:HI[416] *2237:9 12.9464 +2 *2237:9 *2237:15 49.5536 +3 *2237:15 *40850:A 30.7643 +*END + +*D_NET *2238 0.00775997 +*CONN +*I *40849:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8531:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[417] O *D mprj_logic_high +*CAP +1 *40849:A 0.000173181 +2 *8531:DIODE 0 +3 *38890:HI[417] 0.000394011 +4 *2238:13 0.000272244 +5 *2238:10 0.00331279 +6 *2238:9 0.00360774 +7 *40849:A *2271:37 0 +8 *40849:A *2275:46 0 +9 *2238:9 *2239:7 0 +10 *2238:9 *4321:8 0 +11 *2238:10 *2262:11 0 +12 *2238:10 *3185:9 0 +13 *2238:10 *3201:43 0 +14 *2238:10 *5149:9 0 +15 *2238:13 *2291:16 0 +16 *2238:13 *2292:14 0 +17 *2237:9 *2238:9 0 +*RES +1 *38890:HI[417] *2238:9 13.4464 +2 *2238:9 *2238:10 67.0714 +3 *2238:10 *2238:13 6.75 +4 *2238:13 *8531:DIODE 13.8 +5 *2238:13 *40849:A 17.5321 +*END + +*D_NET *2239 0.00507107 +*CONN +*I *40846:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[418] O *D mprj_logic_high +*CAP +1 *40846:A 0.000206031 +2 *38890:HI[418] 0.000276858 +3 *2239:10 0.00225868 +4 *2239:7 0.0023295 +5 *2239:7 *4321:8 0 +6 *2239:10 *2249:16 0 +7 *2239:10 *2732:15 0 +8 *2239:10 *3581:35 0 +9 *1936:14 *2239:7 0 +10 *2238:9 *2239:7 0 +*RES +1 *38890:HI[418] *2239:7 10.7857 +2 *2239:7 *2239:10 47.3393 +3 *2239:10 *40846:A 18.4786 +*END + +*D_NET *2240 0.00906803 +*CONN +*I *40845:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8528:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[419] O *D mprj_logic_high +*CAP +1 *40845:A 0.000239147 +2 *8528:DIODE 0 +3 *38890:HI[419] 0.00429487 +4 *2240:25 0.00453402 +5 *40845:A *3247:29 0 +6 *40845:A *4303:14 0 +7 *2240:25 *3247:29 0 +8 *2240:25 *3569:21 0 +9 *2240:25 *3727:23 0 +10 *2240:25 *4042:14 0 +11 *2240:25 *4047:58 0 +12 *2240:25 *4086:20 0 +13 *2240:25 *4265:19 0 +14 *2240:25 *4302:51 0 +15 *2240:25 *4303:14 0 +16 *2240:25 *4321:17 0 +17 *41230:A *40845:A 0 +18 *41296:A *40845:A 0 +19 *2052:21 *2240:25 0 +20 *2229:18 *2240:25 0 +*RES +1 *38890:HI[419] *2240:25 39.6799 +2 *2240:25 *8528:DIODE 9.3 +3 *2240:25 *40845:A 14.3536 +*END + +*D_NET *2241 0.00334122 +*CONN +*I *37816:A I *D sky130_fd_sc_hd__and2_1 +*I *38890:HI[41] O *D mprj_logic_high +*CAP +1 *37816:A 0.00167061 +2 *38890:HI[41] 0.00167061 +3 *37816:A *37816:B 0 +4 *37816:A *2242:17 0 +5 *37816:A *2866:39 0 +6 *37816:A *3385:8 0 +7 *37816:A *3558:25 0 +8 *37816:A *3558:50 0 +9 *37816:A *3562:22 0 +10 *37816:A *3592:9 0 +11 *37816:A *3608:24 0 +12 *37816:A *3624:45 0 +13 *37816:A *4378:50 0 +*RES +1 *38890:HI[41] *37816:A 48.8972 +*END + +*D_NET *2242 0.0263363 +*CONN +*I *37650:A I *D sky130_fd_sc_hd__and2_1 +*I *5470:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[420] O *D mprj_logic_high +*CAP +1 *37650:A 7.7473e-06 +2 *5470:DIODE 0.000373893 +3 *38890:HI[420] 0.00147163 +4 *2242:69 0.000529999 +5 *2242:65 0.00187113 +6 *2242:52 0.00318503 +7 *2242:47 0.00355422 +8 *2242:43 0.00221151 +9 *2242:34 0.00221156 +10 *2242:31 0.00411801 +11 *2242:23 0.00367795 +12 *2242:17 0.00312358 +13 *5470:DIODE *3784:29 0 +14 *5470:DIODE *4134:61 0 +15 *2242:17 *40843:A 0 +16 *2242:17 *2243:14 0 +17 *2242:17 *2322:63 0 +18 *2242:17 *2564:18 0 +19 *2242:17 *2703:10 0 +20 *2242:17 *2861:17 0 +21 *2242:17 *3643:8 0 +22 *2242:17 *5140:38 0 +23 *2242:23 *37855:A 0 +24 *2242:23 *2260:10 0 +25 *2242:23 *2265:20 0 +26 *2242:23 *5113:19 0 +27 *2242:31 *2259:44 0 +28 *2242:31 *2265:20 0 +29 *2242:31 *2265:35 0 +30 *2242:31 *2637:14 0 +31 *2242:31 *2695:21 0 +32 *2242:31 *2856:24 0 +33 *2242:31 *5113:19 0 +34 *2242:34 *39774:A 0 +35 *2242:34 *39781:A 0 +36 *2242:34 *2259:44 0 +37 *2242:34 *2329:52 0 +38 *2242:34 *2595:16 0 +39 *2242:34 *2602:15 0 +40 *2242:34 *5113:17 0 +41 *2242:43 *2950:35 0 +42 *2242:47 *39774:A 0 +43 *2242:47 *2254:68 0 +44 *2242:47 *2259:44 0 +45 *2242:47 *2336:25 0 +46 *2242:47 *2695:20 0 +47 *2242:47 *3679:38 0 +48 *2242:52 *2300:20 0 +49 *2242:65 *2726:14 0 +50 *2242:65 *2726:18 0 +51 *2242:65 *2962:38 0 +52 *2242:65 *3781:24 0 +53 *2242:65 *3801:60 0 +54 *2242:65 *4356:37 0 +55 *2242:69 *2276:51 0 +56 *37816:A *2242:17 0 +57 *39633:A *2242:65 0 +58 *1287:38 *2242:52 0 +59 *1407:44 *2242:65 0 +60 *1508:28 *2242:23 0 +61 *1531:43 *2242:65 0 +62 *1932:30 *2242:23 0 +*RES +1 *38890:HI[420] *2242:17 38.0536 +2 *2242:17 *2242:23 43.5357 +3 *2242:23 *2242:31 47.0893 +4 *2242:31 *2242:34 48.1607 +5 *2242:34 *2242:43 12.0179 +6 *2242:43 *2242:47 48.2679 +7 *2242:47 *2242:52 39.5179 +8 *2242:52 *2242:65 48.0982 +9 *2242:65 *2242:69 3.5 +10 *2242:69 *5470:DIODE 21.9518 +11 *2242:69 *37650:A 14.0768 +*END + +*D_NET *2243 0.00302806 +*CONN +*I *40844:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[421] O *D mprj_logic_high +*CAP +1 *40844:A 0 +2 *38890:HI[421] 0.00151403 +3 *2243:14 0.00151403 +4 *2243:14 *2255:13 0 +5 *2243:14 *2256:10 0 +6 *2243:14 *2258:13 0 +7 *2243:14 *2328:14 0 +8 *2243:14 *3550:8 0 +9 *2243:14 *3558:25 0 +10 *2243:14 *3558:50 0 +11 *2243:14 *3569:21 0 +12 *2243:14 *3599:35 0 +13 *2242:17 *2243:14 0 +*RES +1 *38890:HI[421] *2243:14 46.2321 +2 *2243:14 *40844:A 9.3 +*END + +*D_NET *2244 0.00189863 +*CONN +*I *40843:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[422] O *D mprj_logic_high +*CAP +1 *40843:A 0.000949317 +2 *38890:HI[422] 0.000949317 +3 *40843:A *40011:A 0 +4 *40843:A *3386:48 0 +5 *40843:A *3624:45 0 +6 *40843:A *3640:15 0 +7 *40843:A *3643:8 0 +8 *40843:A *4373:16 0 +9 *2215:20 *40843:A 0 +10 *2224:26 *40843:A 0 +11 *2242:17 *40843:A 0 +*RES +1 *38890:HI[422] *40843:A 34.8714 +*END + +*D_NET *2245 0.00417772 +*CONN +*I *40842:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[423] O *D mprj_logic_high +*CAP +1 *40842:A 0 +2 *38890:HI[423] 0.00208886 +3 *2245:18 0.00208886 +4 *2245:18 *39872:A 0 +5 *2245:18 *40009:A 0 +6 *2245:18 *2246:7 0 +7 *2245:18 *2857:57 0 +8 *2245:18 *2859:24 0 +9 *2245:18 *4373:16 0 +10 *2221:52 *2245:18 0 +11 *2222:28 *2245:18 0 +*RES +1 *38890:HI[423] *2245:18 49.7857 +2 *2245:18 *40842:A 9.3 +*END + +*D_NET *2246 0.0112429 +*CONN +*I *8527:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40841:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[424] O *D mprj_logic_high +*CAP +1 *8527:DIODE 0.000298186 +2 *40841:A 0 +3 *38890:HI[424] 0.000316931 +4 *2246:40 0.00150125 +5 *2246:23 0.0027372 +6 *2246:8 0.00380325 +7 *2246:7 0.00258605 +8 *8527:DIODE *37826:A 0 +9 *8527:DIODE *40635:A 0 +10 *8527:DIODE *40687:A 0 +11 *8527:DIODE *40711:A 0 +12 *8527:DIODE *3566:21 0 +13 *8527:DIODE *3714:7 0 +14 *2246:7 *2248:18 0 +15 *2246:7 *3809:11 0 +16 *2246:8 *2262:11 0 +17 *2246:8 *2320:27 0 +18 *2246:8 *2346:54 0 +19 *2246:8 *2732:15 0 +20 *2246:8 *3550:9 0 +21 *2246:23 *2250:25 0 +22 *2246:23 *2284:15 0 +23 *2246:23 *2299:19 0 +24 *2246:23 *2301:19 0 +25 *2246:23 *2342:13 0 +26 *2246:23 *2342:17 0 +27 *2246:23 *2343:35 0 +28 *2246:23 *3358:23 0 +29 *2246:23 *4101:25 0 +30 *2246:23 *4101:36 0 +31 *2246:40 *40664:A 0 +32 *2246:40 *40687:A 0 +33 *2246:40 *2297:14 0 +34 *2246:40 *2303:24 0 +35 *2246:40 *2315:21 0 +36 *2246:40 *2317:16 0 +37 *2246:40 *2319:24 0 +38 *2246:40 *2330:23 0 +39 *2246:40 *3085:78 0 +40 *2246:40 *3566:21 0 +41 *2246:40 *3714:7 0 +42 *2246:40 *4338:30 0 +43 *1312:18 *2246:40 0 +44 *2237:15 *2246:8 0 +45 *2245:18 *2246:7 0 +*RES +1 *38890:HI[424] *2246:7 11.6964 +2 *2246:7 *2246:8 47.3571 +3 *2246:8 *2246:23 46.5714 +4 *2246:23 *2246:40 48.1964 +5 *2246:40 *40841:A 9.3 +6 *2246:40 *8527:DIODE 15.5857 +*END + +*D_NET *2247 0.00381153 +*CONN +*I *40840:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[425] O *D mprj_logic_high +*CAP +1 *40840:A 0.000458616 +2 *38890:HI[425] 0.00144715 +3 *2247:15 0.00190576 +4 *40840:A *5670:DIODE 0 +5 *40840:A *37818:B 0 +6 *40840:A *40638:A 0 +7 *40840:A *3612:34 0 +8 *2247:15 *8336:DIODE 0 +9 *2247:15 *2259:26 0 +10 *2247:15 *3569:21 0 +11 *2247:15 *3799:8 0 +12 *2247:15 *4366:11 0 +*RES +1 *38890:HI[425] *2247:15 45.1607 +2 *2247:15 *40840:A 18.8714 +*END + +*D_NET *2248 0.0189676 +*CONN +*I *40839:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8526:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[426] O *D mprj_logic_high +*CAP +1 *40839:A 0 +2 *8526:DIODE 0.000524516 +3 *38890:HI[426] 0.00355135 +4 *2248:29 0.00593246 +5 *2248:18 0.0089593 +6 *8526:DIODE *4300:15 0 +7 *2248:18 *39744:A 0 +8 *2248:18 *2266:37 0 +9 *2248:18 *2699:18 0 +10 *2248:18 *2703:10 0 +11 *2248:18 *2858:8 0 +12 *2248:18 *2861:17 0 +13 *2248:18 *5136:40 0 +14 *2248:29 *2280:30 0 +15 *2248:29 *2437:40 0 +16 *2248:29 *2439:14 0 +17 *2248:29 *2861:17 0 +18 *2248:29 *2861:25 0 +19 *2248:29 *2961:53 0 +20 *2248:29 *3449:44 0 +21 *1029:84 *2248:18 0 +22 *1029:84 *2248:29 0 +23 *1290:25 *2248:29 0 +24 *1950:61 *2248:18 0 +25 *2073:60 *2248:29 0 +26 *2246:7 *2248:18 0 +*RES +1 *38890:HI[426] *2248:18 39.8911 +2 *2248:18 *2248:29 31.7203 +3 *2248:29 *8526:DIODE 25.5321 +4 *2248:29 *40839:A 13.8 +*END + +*D_NET *2249 0.00453962 +*CONN +*I *40838:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[427] O *D mprj_logic_high +*CAP +1 *40838:A 0.000380503 +2 *38890:HI[427] 0.00188931 +3 *2249:16 0.00226981 +4 *2249:16 *37818:A 0 +5 *2249:16 *2250:25 0 +6 *2249:16 *2327:38 0 +7 *2249:16 *2342:22 0 +8 *2249:16 *2732:15 0 +9 *2249:16 *2858:8 0 +10 *2249:16 *3581:35 0 +11 *2249:16 *4329:12 0 +12 *2249:16 *4338:21 0 +13 *2249:16 *4346:95 0 +14 *40866:A *2249:16 0 +15 *41346:A *40838:A 0 +16 *2239:10 *2249:16 0 +*RES +1 *38890:HI[427] *2249:16 49.0536 +2 *2249:16 *40838:A 22.8357 +*END + +*D_NET *2250 0.0113792 +*CONN +*I *40837:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8525:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[428] O *D mprj_logic_high +*CAP +1 *40837:A 0.000309465 +2 *8525:DIODE 0 +3 *38890:HI[428] 0.00299017 +4 *2250:55 0.000818467 +5 *2250:44 0.00238995 +6 *2250:25 0.00487111 +7 *40837:A *5675:DIODE 0 +8 *40837:A *2272:69 0 +9 *40837:A *2326:23 0 +10 *40837:A *3575:18 0 +11 *40837:A *3668:20 0 +12 *40837:A *4100:11 0 +13 *2250:25 *37818:A 0 +14 *2250:25 *2251:11 0 +15 *2250:25 *2342:13 0 +16 *2250:25 *2343:50 0 +17 *2250:25 *2858:15 0 +18 *2250:25 *3603:21 0 +19 *2250:25 *3821:15 0 +20 *2250:44 *2291:16 0 +21 *2250:44 *2337:23 0 +22 *2250:44 *2338:29 0 +23 *2250:44 *3581:8 0 +24 *2250:44 *3599:14 0 +25 *2250:44 *3789:22 0 +26 *2250:44 *4345:20 0 +27 *2250:44 *4346:115 0 +28 *2250:44 *4347:13 0 +29 *2250:44 *4350:85 0 +30 *2250:55 *2267:57 0 +31 *2250:55 *2304:32 0 +32 *2250:55 *2317:16 0 +33 *2250:55 *3553:27 0 +34 *1816:13 *2250:25 0 +35 *2059:53 *2250:55 0 +36 *2217:80 *40837:A 0 +37 *2221:100 *40837:A 0 +38 *2236:25 *2250:25 0 +39 *2246:23 *2250:25 0 +40 *2249:16 *2250:25 0 +*RES +1 *38890:HI[428] *2250:25 47.1138 +2 *2250:25 *2250:44 48.6786 +3 *2250:44 *2250:55 19.9148 +4 *2250:55 *8525:DIODE 9.3 +5 *2250:55 *40837:A 25.0143 +*END + +*D_NET *2251 0.0103501 +*CONN +*I *8524:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40836:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[429] O *D mprj_logic_high +*CAP +1 *8524:DIODE 8.4707e-05 +2 *40836:A 0.000313111 +3 *38890:HI[429] 0.00184743 +4 *2251:39 0.00120229 +5 *2251:33 0.00292983 +6 *2251:11 0.00397279 +7 *40836:A *40801:A 0 +8 *40836:A *2338:29 0 +9 *40836:A *3710:9 0 +10 *2251:11 *37818:A 0 +11 *2251:11 *2267:26 0 +12 *2251:11 *2327:38 0 +13 *2251:11 *2342:17 0 +14 *2251:11 *2343:50 0 +15 *2251:11 *3123:67 0 +16 *2251:11 *4353:9 0 +17 *2251:33 *2280:30 0 +18 *2251:33 *2282:23 0 +19 *2251:33 *2322:40 0 +20 *2251:33 *2725:65 0 +21 *2251:33 *2865:36 0 +22 *2251:33 *3821:15 0 +23 *2251:33 *4356:14 0 +24 *2251:39 *2865:39 0 +25 *2251:39 *3710:9 0 +26 *39486:B *2251:33 0 +27 *1203:55 *8524:DIODE 0 +28 *2217:49 *2251:33 0 +29 *2250:25 *2251:11 0 +*RES +1 *38890:HI[429] *2251:11 47.8661 +2 *2251:11 *2251:33 49.5459 +3 *2251:33 *2251:39 26.0536 +4 *2251:39 *40836:A 20.6571 +5 *2251:39 *8524:DIODE 15.5679 +*END + +*D_NET *2252 0.00287798 +*CONN +*I *37818:A I *D sky130_fd_sc_hd__and2_1 +*I *38890:HI[42] O *D mprj_logic_high +*CAP +1 *37818:A 0.00143899 +2 *38890:HI[42] 0.00143899 +3 *37818:A *2253:17 0 +4 *37818:A *2264:12 0 +5 *37818:A *2265:20 0 +6 *37818:A *2342:22 0 +7 *37818:A *3821:15 0 +8 *2236:25 *37818:A 0 +9 *2249:16 *37818:A 0 +10 *2250:25 *37818:A 0 +11 *2251:11 *37818:A 0 +*RES +1 *38890:HI[42] *37818:A 39.3864 +*END + +*D_NET *2253 0.026985 +*CONN +*I *40835:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8523:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[430] O *D mprj_logic_high +*CAP +1 *40835:A 4.47065e-05 +2 *8523:DIODE 0.000309104 +3 *38890:HI[430] 0.00201566 +4 *2253:65 0.00112457 +5 *2253:53 0.00316759 +6 *2253:52 0.00267833 +7 *2253:49 0.00217555 +8 *2253:32 0.00554072 +9 *2253:31 0.00577988 +10 *2253:17 0.00414885 +11 *8523:DIODE *3952:52 0 +12 *8523:DIODE *4279:21 0 +13 *2253:17 *7890:DIODE 0 +14 *2253:17 *2254:19 0 +15 *2253:17 *2256:13 0 +16 *2253:17 *2342:22 0 +17 *2253:17 *3798:14 0 +18 *2253:17 *3798:22 0 +19 *2253:17 *4346:90 0 +20 *2253:17 *5136:40 0 +21 *2253:31 *2542:36 0 +22 *2253:31 *2863:26 0 +23 *2253:31 *3795:28 0 +24 *2253:32 *2256:24 0 +25 *2253:32 *2281:24 0 +26 *2253:32 *3795:28 0 +27 *2253:49 *2260:40 0 +28 *2253:49 *2274:40 0 +29 *2253:49 *2281:39 0 +30 *2253:49 *2318:34 0 +31 *2253:49 *2689:12 0 +32 *2253:49 *4711:16 0 +33 *2253:52 *2256:24 0 +34 *2253:52 *2281:52 0 +35 *2253:53 *2254:87 0 +36 *2253:53 *2282:41 0 +37 *2253:53 *3166:44 0 +38 *2253:53 *3677:10 0 +39 *2253:53 *3717:10 0 +40 *2253:65 *3320:129 0 +41 *2253:65 *3702:14 0 +42 *2253:65 *4075:38 0 +43 *2253:65 *4294:17 0 +44 *37818:A *2253:17 0 +45 *37829:A *2253:49 0 +46 *41078:A *2253:65 0 +47 *1030:8 *2253:31 0 +48 *1030:8 *2253:49 0 +49 *1314:19 *2253:53 0 +50 *1522:16 *2253:49 0 +51 *2101:34 *2253:53 0 +52 *2227:23 *2253:17 0 +*RES +1 *38890:HI[430] *2253:17 49.2582 +2 *2253:17 *2253:31 17.4784 +3 *2253:31 *2253:32 76.1071 +4 *2253:32 *2253:49 44.4933 +5 *2253:49 *2253:52 10.375 +6 *2253:52 *2253:53 54.4643 +7 *2253:53 *2253:65 31.0179 +8 *2253:65 *8523:DIODE 25.3 +9 *2253:65 *40835:A 10.2643 +*END + +*D_NET *2254 0.0249337 +*CONN +*I *37672:A I *D sky130_fd_sc_hd__and2_4 +*I *5494:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[431] O *D mprj_logic_high +*CAP +1 *37672:A 4.53482e-05 +2 *5494:DIODE 0.000338109 +3 *38890:HI[431] 0.00138004 +4 *2254:97 0.00101419 +5 *2254:87 0.00270801 +6 *2254:68 0.00373119 +7 *2254:50 0.00573353 +8 *2254:49 0.00432496 +9 *2254:38 0.00226181 +10 *2254:19 0.00339651 +11 *37672:A *4012:18 0 +12 *37672:A *4294:17 0 +13 *37672:A *4305:19 0 +14 *2254:19 *2255:13 0 +15 *2254:19 *2256:13 0 +16 *2254:19 *2879:20 0 +17 *2254:19 *3176:25 0 +18 *2254:19 *3799:8 0 +19 *2254:19 *4317:31 0 +20 *2254:19 *4325:34 0 +21 *2254:19 *5140:28 0 +22 *2254:38 *2259:26 0 +23 *2254:38 *2273:26 0 +24 *2254:38 *2695:21 0 +25 *2254:38 *2729:11 0 +26 *2254:38 *2861:17 0 +27 *2254:38 *2875:39 0 +28 *2254:38 *2936:37 0 +29 *2254:38 *3795:14 0 +30 *2254:38 *5117:33 0 +31 *2254:38 *5120:12 0 +32 *2254:38 *5140:28 0 +33 *2254:49 *2265:35 0 +34 *2254:49 *2637:14 0 +35 *2254:49 *4976:12 0 +36 *2254:49 *5116:47 0 +37 *2254:50 *2279:30 0 +38 *2254:50 *2279:36 0 +39 *2254:50 *2279:38 0 +40 *2254:50 *2315:34 0 +41 *2254:50 *2329:24 0 +42 *2254:50 *5051:23 0 +43 *2254:50 *5117:32 0 +44 *2254:50 *5117:33 0 +45 *2254:50 *5128:27 0 +46 *2254:50 *5130:21 0 +47 *2254:68 *2336:25 0 +48 *2254:68 *2752:10 0 +49 *2254:68 *2932:12 0 +50 *2254:68 *3334:39 0 +51 *2254:68 *4067:17 0 +52 *2254:87 *38322:A 0 +53 *2254:87 *3166:44 0 +54 *2254:87 *3320:129 0 +55 *2254:87 *3826:34 0 +56 *2254:87 *4006:23 0 +57 *2254:87 *4120:40 0 +58 *2254:97 *4291:24 0 +59 *6462:DIODE *2254:87 0 +60 *326:14 *2254:38 0 +61 *328:19 *2254:38 0 +62 *328:26 *2254:38 0 +63 *336:52 *2254:38 0 +64 *1307:10 *2254:19 0 +65 *1314:19 *2254:68 0 +66 *1314:19 *2254:87 0 +67 *1818:19 *2254:19 0 +68 *2101:34 *2254:87 0 +69 *2226:33 *2254:19 0 +70 *2242:47 *2254:68 0 +71 *2253:17 *2254:19 0 +72 *2253:53 *2254:87 0 +*RES +1 *38890:HI[431] *2254:19 36.1994 +2 *2254:19 *2254:38 48.8884 +3 *2254:38 *2254:49 14.6964 +4 *2254:49 *2254:50 85.1429 +5 *2254:50 *2254:68 38.6387 +6 *2254:68 *2254:87 47.6429 +7 *2254:87 *2254:97 23.2411 +8 *2254:97 *5494:DIODE 21.5143 +9 *2254:97 *37672:A 14.7464 +*END + +*D_NET *2255 0.0046713 +*CONN +*I *40834:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[432] O *D mprj_logic_high +*CAP +1 *40834:A 0.000949963 +2 *38890:HI[432] 0.00138568 +3 *2255:13 0.00233565 +4 *40834:A *3612:34 0 +5 *40834:A *3806:15 0 +6 *40834:A *5147:42 0 +7 *2255:13 *2256:10 0 +8 *2255:13 *2256:13 0 +9 *2255:13 *3581:19 0 +10 *2255:13 *3581:34 0 +11 *2255:13 *3640:11 0 +12 *40856:A *2255:13 0 +13 *1202:20 *2255:13 0 +14 *1406:49 *40834:A 0 +15 *2224:26 *2255:13 0 +16 *2243:14 *2255:13 0 +17 *2254:19 *2255:13 0 +*RES +1 *38890:HI[432] *2255:13 43.8036 +2 *2255:13 *40834:A 47.425 +*END + +*D_NET *2256 0.0259111 +*CONN +*I *5500:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37676:A I *D sky130_fd_sc_hd__and2_1 +*I *38890:HI[433] O *D mprj_logic_high +*CAP +1 *5500:DIODE 0 +2 *37676:A 0.000269582 +3 *38890:HI[433] 0.000353709 +4 *2256:27 0.00206308 +5 *2256:24 0.00854803 +6 *2256:22 0.00870628 +7 *2256:13 0.00378425 +8 *2256:10 0.0021862 +9 *37676:A *4345:84 0 +10 *2256:10 *2257:16 0 +11 *2256:10 *3190:19 0 +12 *2256:10 *3363:15 0 +13 *2256:13 *7890:DIODE 0 +14 *2256:13 *2846:8 0 +15 *2256:13 *2847:28 0 +16 *2256:13 *2865:14 0 +17 *2256:13 *3608:18 0 +18 *2256:13 *3798:22 0 +19 *2256:13 *4679:14 0 +20 *2256:13 *5136:40 0 +21 *2256:22 *2274:23 0 +22 *2256:22 *2545:16 0 +23 *2256:22 *2548:16 0 +24 *2256:22 *2677:27 0 +25 *2256:22 *5038:28 0 +26 *2256:24 *39895:A 0 +27 *2256:24 *2281:23 0 +28 *2256:24 *2281:24 0 +29 *2256:24 *2281:39 0 +30 *2256:24 *2281:52 0 +31 *2256:24 *2677:7 0 +32 *2256:24 *2677:18 0 +33 *2256:24 *2843:52 0 +34 *2256:24 *2917:22 0 +35 *2256:24 *3166:37 0 +36 *2256:24 *3166:43 0 +37 *2256:24 *3795:43 0 +38 *2256:24 *3795:49 0 +39 *2256:24 *4692:11 0 +40 *2256:27 *2290:55 0 +41 *2256:27 *2726:18 0 +42 *2256:27 *2962:38 0 +43 *2256:27 *3085:46 0 +44 *2256:27 *3798:54 0 +45 *2256:27 *4701:16 0 +46 *2256:27 *5146:8 0 +47 *1031:52 *2256:22 0 +48 *2243:14 *2256:10 0 +49 *2253:17 *2256:13 0 +50 *2253:32 *2256:24 0 +51 *2253:52 *2256:24 0 +52 *2254:19 *2256:13 0 +53 *2255:13 *2256:10 0 +54 *2255:13 *2256:13 0 +*RES +1 *38890:HI[433] *2256:10 16.7679 +2 *2256:10 *2256:13 44.9725 +3 *2256:13 *2256:22 18.9851 +4 *2256:22 *2256:24 141 +5 *2256:24 *2256:27 45.3036 +6 *2256:27 *37676:A 19.925 +7 *2256:27 *5500:DIODE 13.8 +*END + +*D_NET *2257 0.00412232 +*CONN +*I *40833:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[434] O *D mprj_logic_high +*CAP +1 *40833:A 0.000538389 +2 *38890:HI[434] 0.00152277 +3 *2257:16 0.00206116 +4 *40833:A *3608:12 0 +5 *40833:A *3624:35 0 +6 *40833:A *4350:80 0 +7 *40833:A *5136:40 0 +8 *2257:16 *5413:DIODE 0 +9 *2257:16 *2258:13 0 +10 *2257:16 *2266:25 0 +11 *2257:16 *2267:26 0 +12 *2257:16 *2271:26 0 +13 *2257:16 *2328:14 0 +14 *2257:16 *3581:19 0 +15 *2257:16 *3599:35 0 +16 *2257:16 *3608:18 0 +17 *2257:16 *3823:24 0 +18 *37604:A *2257:16 0 +19 *1201:16 *2257:16 0 +20 *2237:15 *2257:16 0 +21 *2256:10 *2257:16 0 +*RES +1 *38890:HI[434] *2257:16 46.2857 +2 *2257:16 *40833:A 38.8536 +*END + +*D_NET *2258 0.0269798 +*CONN +*I *8522:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40832:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[435] O *D mprj_logic_high +*CAP +1 *8522:DIODE 0.000233167 +2 *40832:A 0 +3 *38890:HI[435] 0.00171876 +4 *2258:56 0.000957764 +5 *2258:51 0.00367101 +6 *2258:31 0.0108134 +7 *2258:13 0.00958572 +8 *8522:DIODE *3319:32 0 +9 *8522:DIODE *4053:66 0 +10 *8522:DIODE *4305:55 0 +11 *2258:13 *37820:A 0 +12 *2258:13 *40638:A 0 +13 *2258:13 *2260:10 0 +14 *2258:13 *2342:17 0 +15 *2258:13 *3569:21 0 +16 *2258:13 *3799:8 0 +17 *2258:13 *4101:25 0 +18 *2258:13 *4321:17 0 +19 *2258:31 *2259:43 0 +20 *2258:31 *2266:49 0 +21 *2258:31 *2270:21 0 +22 *2258:31 *2270:55 0 +23 *2258:31 *2273:26 0 +24 *2258:31 *2286:36 0 +25 *2258:31 *2300:16 0 +26 *2258:31 *2870:31 0 +27 *2258:31 *2936:37 0 +28 *2258:31 *2961:53 0 +29 *2258:31 *3324:58 0 +30 *2258:31 *3358:48 0 +31 *2258:31 *3358:72 0 +32 *2258:31 *4067:17 0 +33 *2258:51 *2268:40 0 +34 *2258:51 *2273:43 0 +35 *2258:51 *3103:14 0 +36 *2258:51 *3387:44 0 +37 *2258:51 *4103:44 0 +38 *2258:51 *4114:28 0 +39 *2258:51 *4310:59 0 +40 *2258:56 *37654:B 0 +41 *2258:56 *4267:25 0 +42 *2258:56 *4305:55 0 +43 *41255:A *8522:DIODE 0 +44 *336:52 *2258:31 0 +45 *1018:54 *2258:31 0 +46 *1022:10 *2258:31 0 +47 *1027:63 *2258:31 0 +48 *1027:64 *2258:51 0 +49 *1235:15 *8522:DIODE 0 +50 *1308:14 *2258:13 0 +51 *1535:16 *2258:31 0 +52 *2222:43 *2258:13 0 +53 *2243:14 *2258:13 0 +54 *2257:16 *2258:13 0 +*RES +1 *38890:HI[435] *2258:13 47.7411 +2 *2258:13 *2258:31 48.3261 +3 *2258:31 *2258:51 37.8696 +4 *2258:51 *2258:56 20.9464 +5 *2258:56 *40832:A 9.3 +6 *2258:56 *8522:DIODE 23.4607 +*END + +*D_NET *2259 0.0246016 +*CONN +*I *8521:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40831:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[436] O *D mprj_logic_high +*CAP +1 *8521:DIODE 0.000172427 +2 *40831:A 0.000242142 +3 *38890:HI[436] 0.00301201 +4 *2259:75 0.00264922 +5 *2259:44 0.00847901 +6 *2259:43 0.00663956 +7 *2259:26 0.00340721 +8 *8521:DIODE *3784:29 0 +9 *40831:A *3386:28 0 +10 *40831:A *3806:74 0 +11 *40831:A *4006:36 0 +12 *40831:A *4020:64 0 +13 *40831:A *4105:53 0 +14 *2259:26 *8336:DIODE 0 +15 *2259:26 *2260:10 0 +16 *2259:26 *2273:26 0 +17 *2259:26 *2277:21 0 +18 *2259:26 *2279:28 0 +19 *2259:26 *2322:53 0 +20 *2259:26 *2879:20 0 +21 *2259:26 *3566:51 0 +22 *2259:26 *3797:24 0 +23 *2259:26 *3799:8 0 +24 *2259:43 *2273:26 0 +25 *2259:43 *2286:36 0 +26 *2259:43 *2936:37 0 +27 *2259:43 *2950:54 0 +28 *2259:43 *5120:12 0 +29 *2259:44 *39774:A 0 +30 *2259:44 *39781:A 0 +31 *2259:44 *2265:56 0 +32 *2259:44 *2300:20 0 +33 *2259:44 *2329:52 0 +34 *2259:44 *2595:16 0 +35 *2259:44 *2695:20 0 +36 *2259:44 *2695:21 0 +37 *2259:44 *2856:24 0 +38 *2259:44 *5113:17 0 +39 *2259:44 *5113:19 0 +40 *2259:75 *37997:A 0 +41 *2259:75 *2279:75 0 +42 *2259:75 *3784:29 0 +43 *2259:75 *4020:64 0 +44 *2259:75 *4098:42 0 +45 *2259:75 *4114:28 0 +46 *2259:75 *4129:41 0 +47 *39486:A *2259:26 0 +48 *328:26 *2259:26 0 +49 *336:52 *2259:26 0 +50 *1557:30 *2259:75 0 +51 *1818:19 *2259:26 0 +52 *1932:44 *2259:26 0 +53 *2242:31 *2259:44 0 +54 *2242:34 *2259:44 0 +55 *2242:47 *2259:44 0 +56 *2247:15 *2259:26 0 +57 *2254:38 *2259:26 0 +58 *2258:31 *2259:43 0 +*RES +1 *38890:HI[436] *2259:26 48.2812 +2 *2259:26 *2259:43 17.9941 +3 *2259:43 *2259:44 130.321 +4 *2259:44 *2259:75 35.3931 +5 *2259:75 *40831:A 18.8536 +6 *2259:75 *8521:DIODE 17.8714 +*END + +*D_NET *2260 0.0260274 +*CONN +*I *8519:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40829:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[437] O *D mprj_logic_high +*CAP +1 *8519:DIODE 0.000180109 +2 *40829:A 0.00023651 +3 *38890:HI[437] 0.00151568 +4 *2260:59 0.00237336 +5 *2260:48 0.00326113 +6 *2260:40 0.00435699 +7 *2260:32 0.00454845 +8 *2260:27 0.00388219 +9 *2260:16 0.00327183 +10 *2260:10 0.00240117 +11 *8519:DIODE *4356:37 0 +12 *40829:A *8944:DIODE 0 +13 *40829:A *4345:84 0 +14 *40829:A *4356:37 0 +15 *2260:10 *3799:8 0 +16 *2260:10 *4321:17 0 +17 *2260:10 *5113:19 0 +18 *2260:16 *2522:5 0 +19 *2260:16 *2535:23 0 +20 *2260:16 *3799:8 0 +21 *2260:16 *4962:10 0 +22 *2260:27 *2522:5 0 +23 *2260:27 *2532:19 0 +24 *2260:27 *2535:22 0 +25 *2260:27 *2535:23 0 +26 *2260:27 *2552:5 0 +27 *2260:27 *2637:20 0 +28 *2260:27 *2848:13 0 +29 *2260:27 *2863:46 0 +30 *2260:32 *37863:A 0 +31 *2260:32 *2522:5 0 +32 *2260:32 *2843:44 0 +33 *2260:32 *5121:35 0 +34 *2260:40 *37863:A 0 +35 *2260:40 *2522:5 0 +36 *2260:40 *2689:12 0 +37 *2260:40 *2843:44 0 +38 *2260:40 *2931:35 0 +39 *2260:40 *5133:8 0 +40 *2260:48 *3795:49 0 +41 *2260:48 *5146:9 0 +42 *2260:59 *2276:51 0 +43 *2260:59 *2437:20 0 +44 *2260:59 *2726:18 0 +45 *2260:59 *3546:26 0 +46 *2260:59 *3808:40 0 +47 *2260:59 *4695:11 0 +48 *2260:59 *4699:8 0 +49 *2260:59 *5121:12 0 +50 *2260:59 *5129:10 0 +51 *37819:A *2260:16 0 +52 *1030:8 *2260:40 0 +53 *1307:10 *2260:16 0 +54 *1522:16 *2260:40 0 +55 *1557:44 *2260:59 0 +56 *2242:23 *2260:10 0 +57 *2253:49 *2260:40 0 +58 *2258:13 *2260:10 0 +59 *2259:26 *2260:10 0 +*RES +1 *38890:HI[437] *2260:10 43.0893 +2 *2260:10 *2260:16 24.0893 +3 *2260:16 *2260:27 49.2994 +4 *2260:27 *2260:32 35.75 +5 *2260:32 *2260:40 46.6375 +6 *2260:40 *2260:48 39.7046 +7 *2260:48 *2260:59 49.2679 +8 *2260:59 *40829:A 23.4696 +9 *2260:59 *8519:DIODE 13.1214 +*END + +*D_NET *2261 0.00637931 +*CONN +*I *40826:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[438] O *D mprj_logic_high +*CAP +1 *40826:A 0 +2 *38890:HI[438] 0.0016335 +3 *2261:19 0.00155616 +4 *2261:13 0.00318966 +5 *2261:13 *2262:7 0 +6 *2261:13 *2272:46 0 +7 *2261:13 *2282:23 0 +8 *2261:13 *2342:17 0 +9 *2261:13 *4101:25 0 +10 *2261:13 *4321:17 0 +11 *2261:19 *40768:A 0 +12 *2261:19 *2283:18 0 +13 *2261:19 *2287:20 0 +14 *2261:19 *2289:16 0 +15 *2261:19 *2293:13 0 +16 *2261:19 *3085:93 0 +17 *2261:19 *3801:15 0 +18 *2261:19 *3806:30 0 +*RES +1 *38890:HI[438] *2261:13 48.1607 +2 *2261:13 *2261:19 43.1429 +3 *2261:19 *40826:A 9.3 +*END + +*D_NET *2262 0.0119036 +*CONN +*I *40823:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8514:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[439] O *D mprj_logic_high +*CAP +1 *40823:A 0 +2 *8514:DIODE 0.000179269 +3 *38890:HI[439] 0.000343634 +4 *2262:26 0.00182201 +5 *2262:17 0.00344729 +6 *2262:11 0.00378614 +7 *2262:7 0.00232523 +8 *8514:DIODE *2289:30 0 +9 *8514:DIODE *2344:7 0 +10 *8514:DIODE *4369:16 0 +11 *2262:7 *37820:A 0 +12 *2262:7 *3821:15 0 +13 *2262:11 *2269:25 0 +14 *2262:11 *2320:27 0 +15 *2262:11 *3185:9 0 +16 *2262:11 *5149:9 0 +17 *2262:17 *2272:46 0 +18 *2262:17 *2327:20 0 +19 *2262:17 *3812:11 0 +20 *2262:17 *4338:21 0 +21 *2262:26 *40297:A 0 +22 *2262:26 *2297:14 0 +23 *2262:26 *2325:27 0 +24 *2262:26 *2332:17 0 +25 *2262:26 *2341:14 0 +26 *2262:26 *2344:7 0 +27 *2262:26 *3714:18 0 +28 *2262:26 *3787:48 0 +29 *2262:26 *4310:40 0 +30 *1312:18 *2262:17 0 +31 *2237:15 *2262:11 0 +32 *2238:10 *2262:11 0 +33 *2246:8 *2262:11 0 +34 *2261:13 *2262:7 0 +*RES +1 *38890:HI[439] *2262:7 12.3036 +2 *2262:7 *2262:11 46.0893 +3 *2262:11 *2262:17 46.8929 +4 *2262:17 *2262:26 48.2857 +5 *2262:26 *8514:DIODE 22.3714 +6 *2262:26 *40823:A 9.3 +*END + +*D_NET *2263 0.00152483 +*CONN +*I *37820:A I *D sky130_fd_sc_hd__and2_1 +*I *38890:HI[43] O *D mprj_logic_high +*CAP +1 *37820:A 0.000762415 +2 *38890:HI[43] 0.000762415 +3 *37820:A *2264:12 0 +4 *37820:A *3190:19 0 +5 *37820:A *3363:15 0 +6 *37820:A *3821:15 0 +7 *2258:13 *37820:A 0 +8 *2262:7 *37820:A 0 +*RES +1 *38890:HI[43] *37820:A 39.925 +*END + +*D_NET *2264 0.0252987 +*CONN +*I *40820:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8511:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[440] O *D mprj_logic_high +*CAP +1 *40820:A 4.53482e-05 +2 *8511:DIODE 8.56726e-05 +3 *38890:HI[440] 0.00178952 +4 *2264:69 0.0011307 +5 *2264:57 0.00281105 +6 *2264:39 0.00378047 +7 *2264:34 0.00374708 +8 *2264:30 0.00402422 +9 *2264:19 0.0041707 +10 *2264:12 0.00371398 +11 *40820:A *3720:23 0 +12 *2264:12 *2265:20 0 +13 *2264:12 *2340:20 0 +14 *2264:12 *4683:5 0 +15 *2264:12 *4684:23 0 +16 *2264:12 *5110:15 0 +17 *2264:12 *5118:12 0 +18 *2264:19 *2268:20 0 +19 *2264:19 *2595:22 0 +20 *2264:19 *4683:5 0 +21 *2264:19 *4684:23 0 +22 *2264:19 *5110:15 0 +23 *2264:19 *5135:13 0 +24 *2264:30 *37861:A 0 +25 *2264:30 *2268:20 0 +26 *2264:30 *2268:22 0 +27 *2264:30 *2305:14 0 +28 *2264:30 *2312:18 0 +29 *2264:30 *2602:34 0 +30 *2264:30 *2856:38 0 +31 *2264:30 *5122:13 0 +32 *2264:34 *39715:A 0 +33 *2264:34 *2268:22 0 +34 *2264:34 *5129:11 0 +35 *2264:39 *2268:35 0 +36 *2264:39 *2276:41 0 +37 *2264:39 *2290:47 0 +38 *2264:39 *2931:22 0 +39 *2264:39 *3717:13 0 +40 *2264:39 *5129:11 0 +41 *2264:57 *2300:20 0 +42 *2264:57 *2695:20 0 +43 *2264:57 *2933:19 0 +44 *2264:57 *3690:19 0 +45 *2264:57 *4705:14 0 +46 *2264:69 *2941:33 0 +47 *2264:69 *3692:9 0 +48 *2264:69 *3781:24 0 +49 *2264:69 *3795:65 0 +50 *2264:69 *4341:39 0 +51 *37818:A *2264:12 0 +52 *37820:A *2264:12 0 +53 *1237:27 *40820:A 0 +54 *1308:14 *2264:12 0 +55 *1525:19 *2264:69 0 +56 *1525:20 *2264:57 0 +57 *1820:18 *2264:57 0 +*RES +1 *38890:HI[440] *2264:12 44.7143 +2 *2264:12 *2264:19 49.2857 +3 *2264:19 *2264:30 47.25 +4 *2264:30 *2264:34 37.1071 +5 *2264:34 *2264:39 46.0536 +6 *2264:39 *2264:57 47.9911 +7 *2264:57 *2264:69 23.4028 +8 *2264:69 *8511:DIODE 15.7464 +9 *2264:69 *40820:A 14.7464 +*END + +*D_NET *2265 0.0243565 +*CONN +*I *40818:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8509:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[441] O *D mprj_logic_high +*CAP +1 *40818:A 2.56688e-05 +2 *8509:DIODE 0.000138783 +3 *38890:HI[441] 0.00180526 +4 *2265:59 0.00172443 +5 *2265:56 0.0040456 +6 *2265:36 0.0076643 +7 *2265:35 0.00616292 +8 *2265:20 0.0027895 +9 *2265:20 *37855:A 0 +10 *2265:20 *2266:25 0 +11 *2265:20 *5113:19 0 +12 *2265:35 *2695:21 0 +13 *2265:36 *2300:20 0 +14 *2265:36 *2695:21 0 +15 *2265:56 *2300:20 0 +16 *2265:56 *2695:20 0 +17 *2265:56 *3334:39 0 +18 *2265:56 *3690:16 0 +19 *2265:56 *4067:17 0 +20 *2265:56 *4067:39 0 +21 *2265:59 *2719:6 0 +22 *2265:59 *2962:30 0 +23 *2265:59 *2962:38 0 +24 *2265:59 *3769:18 0 +25 *37818:A *2265:20 0 +26 *1932:30 *2265:20 0 +27 *1932:30 *2265:35 0 +28 *1932:44 *2265:35 0 +29 *2242:23 *2265:20 0 +30 *2242:31 *2265:20 0 +31 *2242:31 *2265:35 0 +32 *2254:49 *2265:35 0 +33 *2259:44 *2265:56 0 +34 *2264:12 *2265:20 0 +*RES +1 *38890:HI[441] *2265:20 45.1786 +2 *2265:20 *2265:35 29.75 +3 *2265:35 *2265:36 108.143 +4 *2265:36 *2265:56 47.6841 +5 *2265:56 *2265:59 38.9011 +6 *2265:59 *8509:DIODE 17.0143 +7 *2265:59 *40818:A 14.3357 +*END + +*D_NET *2266 0.0239567 +*CONN +*I *8506:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40815:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[442] O *D mprj_logic_high +*CAP +1 *8506:DIODE 0.000262677 +2 *40815:A 2.26741e-05 +3 *38890:HI[442] 0.00140726 +4 *2266:97 0.000469836 +5 *2266:90 0.00159289 +6 *2266:72 0.00333628 +7 *2266:65 0.00261446 +8 *2266:50 0.00397604 +9 *2266:49 0.00514045 +10 *2266:37 0.00278894 +11 *2266:25 0.0023452 +12 *8506:DIODE *3447:75 0 +13 *8506:DIODE *3815:39 0 +14 *40815:A *3815:39 0 +15 *2266:25 *2267:26 0 +16 *2266:25 *3727:26 0 +17 *2266:25 *3795:14 0 +18 *2266:37 *2281:15 0 +19 *2266:37 *2950:55 0 +20 *2266:37 *5140:22 0 +21 *2266:49 *2300:16 0 +22 *2266:49 *2329:52 0 +23 *2266:49 *2542:10 0 +24 *2266:49 *2936:37 0 +25 *2266:49 *2961:53 0 +26 *2266:50 *2292:68 0 +27 *2266:50 *5124:17 0 +28 *2266:50 *5139:16 0 +29 *2266:65 *2292:68 0 +30 *2266:65 *3252:43 0 +31 *2266:65 *3531:17 0 +32 *2266:65 *3531:36 0 +33 *2266:65 *3679:38 0 +34 *2266:65 *3753:12 0 +35 *2266:65 *3791:45 0 +36 *2266:72 *37997:A 0 +37 *2266:72 *2292:68 0 +38 *2266:72 *2315:34 0 +39 *2266:72 *2861:25 0 +40 *2266:72 *2945:25 0 +41 *2266:72 *3252:43 0 +42 *2266:72 *4697:11 0 +43 *2266:72 *5111:15 0 +44 *2266:72 *5129:10 0 +45 *2266:90 *2298:37 0 +46 *2266:90 *3720:23 0 +47 *2266:90 *3798:54 0 +48 *2266:90 *3819:19 0 +49 *2266:90 *4103:25 0 +50 *2266:97 *3720:23 0 +51 *2266:97 *3819:19 0 +52 *37831:A *2266:65 0 +53 *1029:84 *2266:37 0 +54 *1298:36 *2266:65 0 +55 *1314:19 *2266:72 0 +56 *1559:26 *2266:72 0 +57 *2225:28 *2266:49 0 +58 *2225:28 *2266:50 0 +59 *2227:41 *2266:25 0 +60 *2248:18 *2266:37 0 +61 *2257:16 *2266:25 0 +62 *2258:31 *2266:49 0 +63 *2265:20 *2266:25 0 +*RES +1 *38890:HI[442] *2266:25 36.9464 +2 *2266:25 *2266:37 32.1763 +3 *2266:37 *2266:49 46.5252 +4 *2266:49 *2266:50 68.7143 +5 *2266:50 *2266:65 26.7841 +6 *2266:65 *2266:72 49.3929 +7 *2266:72 *2266:90 49.875 +8 *2266:90 *2266:97 13.1071 +9 *2266:97 *40815:A 9.83571 +10 *2266:97 *8506:DIODE 14.7821 +*END + +*D_NET *2267 0.0188949 +*CONN +*I *40812:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8503:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[443] O *D mprj_logic_high +*CAP +1 *40812:A 2.277e-05 +2 *8503:DIODE 0.000150362 +3 *38890:HI[443] 0.0021916 +4 *2267:62 0.000275656 +5 *2267:57 0.0070827 +6 *2267:26 0.00917177 +7 *8503:DIODE *3819:17 0 +8 *8503:DIODE *4345:84 0 +9 *2267:26 *2268:17 0 +10 *2267:26 *2269:15 0 +11 *2267:26 *2272:26 0 +12 *2267:26 *2322:53 0 +13 *2267:26 *2342:17 0 +14 *2267:26 *2865:39 0 +15 *2267:26 *3599:34 0 +16 *2267:26 *3716:17 0 +17 *2267:26 *3797:24 0 +18 *2267:26 *3821:15 0 +19 *2267:57 *5674:DIODE 0 +20 *2267:57 *5676:DIODE 0 +21 *2267:57 *40642:A 0 +22 *2267:57 *2289:30 0 +23 *2267:57 *2304:32 0 +24 *2267:57 *2941:47 0 +25 *2267:57 *3307:21 0 +26 *2267:57 *3307:46 0 +27 *2267:57 *3445:44 0 +28 *2267:57 *3566:21 0 +29 *2267:57 *3679:22 0 +30 *2267:57 *3786:44 0 +31 *2267:57 *3789:22 0 +32 *2267:57 *3808:19 0 +33 *2267:57 *4342:38 0 +34 *2267:62 *2858:15 0 +35 *2267:62 *3526:67 0 +36 *1296:15 *2267:26 0 +37 *1298:17 *2267:57 0 +38 *2059:39 *2267:57 0 +39 *2059:53 *2267:57 0 +40 *2217:73 *2267:57 0 +41 *2226:33 *2267:26 0 +42 *2227:75 *2267:57 0 +43 *2236:25 *2267:26 0 +44 *2250:55 *2267:57 0 +45 *2251:11 *2267:26 0 +46 *2257:16 *2267:26 0 +47 *2266:25 *2267:26 0 +*RES +1 *38890:HI[443] *2267:26 48.9758 +2 *2267:26 *2267:57 46.8651 +3 *2267:57 *2267:62 7.1913 +4 *2267:62 *8503:DIODE 17.0589 +5 *2267:62 *40812:A 14.3804 +*END + +*D_NET *2268 0.0253991 +*CONN +*I *40809:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8500:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[444] O *D mprj_logic_high +*CAP +1 *40809:A 4.53482e-05 +2 *8500:DIODE 0.000112356 +3 *38890:HI[444] 0.00165692 +4 *2268:49 0.000557614 +5 *2268:43 0.0023132 +6 *2268:40 0.00317989 +7 *2268:35 0.0028942 +8 *2268:22 0.00552712 +9 *2268:20 0.00567751 +10 *2268:17 0.00343491 +11 *40809:A *3977:21 0 +12 *40809:A *4039:83 0 +13 *2268:17 *5413:DIODE 0 +14 *2268:17 *40004:A 0 +15 *2268:17 *2269:15 0 +16 *2268:17 *2270:12 0 +17 *2268:17 *2320:27 0 +18 *2268:17 *2848:10 0 +19 *2268:17 *2853:14 0 +20 *2268:17 *3795:14 0 +21 *2268:17 *3823:24 0 +22 *2268:20 *2277:29 0 +23 *2268:20 *2595:22 0 +24 *2268:20 *2602:34 0 +25 *2268:20 *4683:5 0 +26 *2268:22 *37861:A 0 +27 *2268:22 *2290:42 0 +28 *2268:22 *2290:47 0 +29 *2268:22 *2305:14 0 +30 *2268:22 *2318:18 0 +31 *2268:22 *2595:22 0 +32 *2268:22 *2856:38 0 +33 *2268:22 *5110:15 0 +34 *2268:35 *3655:31 0 +35 *2268:35 *5129:11 0 +36 *2268:40 *2273:43 0 +37 *2268:40 *2918:20 0 +38 *2268:40 *3674:44 0 +39 *2268:43 *2719:6 0 +40 *2268:43 *3191:40 0 +41 *2268:43 *3674:44 0 +42 *1022:16 *2268:40 0 +43 *1027:64 *2268:40 0 +44 *1309:21 *2268:17 0 +45 *1505:34 *2268:43 0 +46 *2258:51 *2268:40 0 +47 *2264:19 *2268:20 0 +48 *2264:30 *2268:20 0 +49 *2264:30 *2268:22 0 +50 *2264:34 *2268:22 0 +51 *2264:39 *2268:35 0 +52 *2267:26 *2268:17 0 +*RES +1 *38890:HI[444] *2268:17 42.1964 +2 *2268:17 *2268:20 37.1071 +3 *2268:20 *2268:22 81.4464 +4 *2268:22 *2268:35 47.7589 +5 *2268:35 *2268:40 11.4072 +6 *2268:40 *2268:43 43.4821 +7 *2268:43 *2268:49 9.24107 +8 *2268:49 *8500:DIODE 16.3536 +9 *2268:49 *40809:A 14.7464 +*END + +*D_NET *2269 0.00972289 +*CONN +*I *8498:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40807:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[445] O *D mprj_logic_high +*CAP +1 *8498:DIODE 0.000310089 +2 *40807:A 6.50276e-05 +3 *38890:HI[445] 0.00140511 +4 *2269:47 0.000581128 +5 *2269:46 0.00179858 +6 *2269:25 0.00287521 +7 *2269:15 0.00268775 +8 *8498:DIODE *2329:24 0 +9 *8498:DIODE *2732:67 0 +10 *2269:15 *2270:12 0 +11 *2269:15 *3812:11 0 +12 *2269:15 *4338:21 0 +13 *2269:25 *2297:12 0 +14 *2269:25 *2297:14 0 +15 *2269:25 *2320:27 0 +16 *2269:25 *4338:30 0 +17 *2269:25 *5149:9 0 +18 *2269:46 *8934:DIODE 0 +19 *2269:46 *2302:37 0 +20 *2269:46 *2303:24 0 +21 *2269:46 *2320:19 0 +22 *2269:46 *2331:10 0 +23 *2269:46 *2334:20 0 +24 *2269:46 *2335:16 0 +25 *2269:46 *2340:14 0 +26 *2269:46 *4332:17 0 +27 *2269:46 *4338:41 0 +28 *1312:12 *2269:46 0 +29 *2262:11 *2269:25 0 +30 *2267:26 *2269:15 0 +31 *2268:17 *2269:15 0 +*RES +1 *38890:HI[445] *2269:15 43.5536 +2 *2269:15 *2269:25 35.9643 +3 *2269:25 *2269:46 48.2321 +4 *2269:46 *2269:47 4.67857 +5 *2269:47 *40807:A 15.1571 +6 *2269:47 *8498:DIODE 20.9071 +*END + +*D_NET *2270 0.021114 +*CONN +*I *40803:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8494:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[446] O *D mprj_logic_high +*CAP +1 *40803:A 0 +2 *8494:DIODE 0.000517012 +3 *38890:HI[446] 0.00151612 +4 *2270:60 0.00215298 +5 *2270:55 0.00507375 +6 *2270:35 0.00507262 +7 *2270:21 0.00345014 +8 *2270:12 0.00333142 +9 *8494:DIODE *6323:DIODE 0 +10 *8494:DIODE *3815:14 0 +11 *8494:DIODE *4128:39 0 +12 *2270:12 *40004:A 0 +13 *2270:12 *2271:26 0 +14 *2270:12 *2324:60 0 +15 *2270:12 *2327:45 0 +16 *2270:12 *2725:47 0 +17 *2270:12 *2848:10 0 +18 *2270:12 *2851:14 0 +19 *2270:12 *3558:25 0 +20 *2270:12 *3608:12 0 +21 *2270:12 *3716:20 0 +22 *2270:21 *2276:11 0 +23 *2270:21 *2277:21 0 +24 *2270:21 *2843:32 0 +25 *2270:21 *4316:10 0 +26 *2270:35 *2312:15 0 +27 *2270:35 *2322:40 0 +28 *2270:35 *2324:52 0 +29 *2270:35 *2329:64 0 +30 *2270:35 *2845:19 0 +31 *2270:35 *2865:39 0 +32 *2270:35 *2870:23 0 +33 *2270:35 *2870:31 0 +34 *2270:35 *5113:14 0 +35 *2270:35 *5116:30 0 +36 *2270:55 *2336:25 0 +37 *2270:55 *2732:67 0 +38 *2270:55 *2861:25 0 +39 *2270:55 *2932:12 0 +40 *2270:55 *3786:59 0 +41 *2270:55 *4067:17 0 +42 *2270:55 *4089:17 0 +43 *2270:60 *6323:DIODE 0 +44 *2270:60 *40739:A 0 +45 *2270:60 *2347:16 0 +46 *2270:60 *3196:10 0 +47 *2270:60 *4128:22 0 +48 *2270:60 *4128:39 0 +49 *6324:DIODE *2270:60 0 +50 *8538:DIODE *8494:DIODE 0 +51 *8769:DIODE *8494:DIODE 0 +52 *40850:A *2270:12 0 +53 *327:19 *2270:21 0 +54 *336:52 *2270:55 0 +55 *1022:10 *2270:21 0 +56 *1027:63 *2270:21 0 +57 *1511:7 *2270:60 0 +58 *1511:10 *2270:55 0 +59 *1511:10 *2270:60 0 +60 *1690:22 *2270:35 0 +61 *1943:37 *2270:21 0 +62 *2047:16 *2270:60 0 +63 *2258:31 *2270:21 0 +64 *2258:31 *2270:55 0 +65 *2268:17 *2270:12 0 +66 *2269:15 *2270:12 0 +*RES +1 *38890:HI[446] *2270:12 42.7679 +2 *2270:12 *2270:21 35.9659 +3 *2270:21 *2270:35 48.2054 +4 *2270:35 *2270:55 42.0529 +5 *2270:55 *2270:60 40.0804 +6 *2270:60 *8494:DIODE 20.1214 +7 *2270:60 *40803:A 9.3 +*END + +*D_NET *2271 0.00760608 +*CONN +*I *40801:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[447] O *D mprj_logic_high +*CAP +1 *40801:A 0.000955093 +2 *38890:HI[447] 0.00160707 +3 *2271:37 0.00219597 +4 *2271:26 0.00284795 +5 *40801:A *2287:20 0 +6 *40801:A *2291:16 0 +7 *40801:A *2310:16 0 +8 *40801:A *2338:29 0 +9 *2271:26 *2272:26 0 +10 *2271:26 *2275:26 0 +11 *2271:26 *2327:45 0 +12 *2271:26 *3581:19 0 +13 *2271:37 *40764:A 0 +14 *2271:37 *2275:26 0 +15 *2271:37 *2275:46 0 +16 *2271:37 *3191:83 0 +17 *2271:37 *3804:10 0 +18 *2271:37 *3806:30 0 +19 *2271:37 *4310:20 0 +20 *40836:A *40801:A 0 +21 *40849:A *2271:37 0 +22 *41346:A *2271:26 0 +23 *41367:A *2271:26 0 +24 *1201:16 *2271:26 0 +25 *2257:16 *2271:26 0 +26 *2270:12 *2271:26 0 +*RES +1 *38890:HI[447] *2271:26 48.4286 +2 *2271:26 *2271:37 44.2857 +3 *2271:37 *40801:A 29.3 +*END + +*D_NET *2272 0.0210323 +*CONN +*I *40798:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8490:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[448] O *D mprj_logic_high +*CAP +1 *40798:A 0 +2 *8490:DIODE 0.000775197 +3 *38890:HI[448] 0.00213936 +4 *2272:78 0.00355325 +5 *2272:69 0.00420449 +6 *2272:53 0.00330314 +7 *2272:46 0.00339711 +8 *2272:26 0.00365977 +9 *8490:DIODE *40315:A 0 +10 *8490:DIODE *3123:31 0 +11 *8490:DIODE *3196:10 0 +12 *8490:DIODE *3340:61 0 +13 *8490:DIODE *3365:30 0 +14 *8490:DIODE *3526:40 0 +15 *8490:DIODE *3747:30 0 +16 *8490:DIODE *4230:25 0 +17 *8490:DIODE *5150:21 0 +18 *2272:26 *2273:26 0 +19 *2272:26 *2278:16 0 +20 *2272:26 *2279:28 0 +21 *2272:26 *2280:30 0 +22 *2272:26 *2281:15 0 +23 *2272:26 *3553:27 0 +24 *2272:26 *3821:15 0 +25 *2272:26 *4317:31 0 +26 *2272:26 *5149:28 0 +27 *2272:46 *40768:A 0 +28 *2272:46 *2282:23 0 +29 *2272:46 *2287:20 0 +30 *2272:46 *2327:20 0 +31 *2272:46 *2858:15 0 +32 *2272:46 *3801:15 0 +33 *2272:46 *4375:19 0 +34 *2272:53 *2315:21 0 +35 *2272:53 *2317:16 0 +36 *2272:53 *3575:25 0 +37 *2272:53 *3806:30 0 +38 *2272:53 *3826:13 0 +39 *2272:53 *5127:41 0 +40 *2272:69 *5675:DIODE 0 +41 *2272:69 *2310:16 0 +42 *2272:69 *2326:23 0 +43 *2272:69 *2329:24 0 +44 *2272:69 *2335:16 0 +45 *2272:69 *2338:18 0 +46 *2272:69 *3668:20 0 +47 *2272:69 *3751:23 0 +48 *2272:69 *3790:11 0 +49 *2272:69 *4100:11 0 +50 *2272:78 *3123:39 0 +51 *2272:78 *3201:26 0 +52 *2272:78 *3354:12 0 +53 *2272:78 *3372:48 0 +54 *2272:78 *3817:40 0 +55 *2272:78 *3956:24 0 +56 *2272:78 *4332:17 0 +57 *2272:78 *4375:19 0 +58 *2272:78 *5150:21 0 +59 *6381:DIODE *8490:DIODE 0 +60 *40837:A *2272:69 0 +61 *1531:16 *8490:DIODE 0 +62 *1999:52 *2272:78 0 +63 *2073:72 *2272:78 0 +64 *2101:27 *2272:46 0 +65 *2227:48 *2272:53 0 +66 *2261:13 *2272:46 0 +67 *2262:17 *2272:46 0 +68 *2267:26 *2272:26 0 +69 *2271:26 *2272:26 0 +*RES +1 *38890:HI[448] *2272:26 48.6366 +2 *2272:26 *2272:46 39.7312 +3 *2272:46 *2272:53 49.8393 +4 *2272:53 *2272:69 49.0982 +5 *2272:69 *2272:78 36.8485 +6 *2272:78 *8490:DIODE 43.9607 +7 *2272:78 *40798:A 9.3 +*END + +*D_NET *2273 0.0263003 +*CONN +*I *40795:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8487:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[449] O *D mprj_logic_high +*CAP +1 *40795:A 0.000221278 +2 *8487:DIODE 0 +3 *38890:HI[449] 0.00378314 +4 *2273:63 0.00109434 +5 *2273:51 0.00286423 +6 *2273:43 0.00827269 +7 *2273:26 0.0100647 +8 *40795:A *3518:122 0 +9 *40795:A *3702:64 0 +10 *40795:A *4095:18 0 +11 *2273:26 *2274:15 0 +12 *2273:26 *2280:30 0 +13 *2273:26 *2286:39 0 +14 *2273:26 *2290:25 0 +15 *2273:26 *2293:13 0 +16 *2273:26 *2309:21 0 +17 *2273:26 *2327:45 0 +18 *2273:26 *2936:37 0 +19 *2273:26 *4347:14 0 +20 *2273:43 *2305:39 0 +21 *2273:43 *2308:27 0 +22 *2273:43 *2309:21 0 +23 *2273:43 *2329:52 0 +24 *2273:43 *2719:6 0 +25 *2273:43 *2856:38 0 +26 *2273:43 *2918:20 0 +27 *2273:51 *2286:54 0 +28 *2273:51 *2946:13 0 +29 *2273:51 *3083:44 0 +30 *2273:51 *4109:48 0 +31 *2273:51 *4703:26 0 +32 *2273:63 *40689:A 0 +33 *2273:63 *40863:A 0 +34 *2273:63 *3447:102 0 +35 *2273:63 *3546:50 0 +36 *2273:63 *4022:43 0 +37 *328:26 *2273:26 0 +38 *336:52 *2273:26 0 +39 *356:19 *2273:51 0 +40 *356:21 *2273:51 0 +41 *1022:10 *2273:26 0 +42 *1022:16 *2273:43 0 +43 *1027:63 *2273:26 0 +44 *1027:63 *2273:43 0 +45 *1027:64 *2273:43 0 +46 *1224:20 *2273:63 0 +47 *1237:45 *2273:63 0 +48 *1296:15 *2273:26 0 +49 *1519:23 *2273:26 0 +50 *2254:38 *2273:26 0 +51 *2258:31 *2273:26 0 +52 *2258:51 *2273:43 0 +53 *2259:26 *2273:26 0 +54 *2259:43 *2273:26 0 +55 *2268:40 *2273:43 0 +56 *2272:26 *2273:26 0 +*RES +1 *38890:HI[449] *2273:26 48.4802 +2 *2273:26 *2273:43 42.4207 +3 *2273:43 *2273:51 48.3571 +4 *2273:51 *2273:63 20.0179 +5 *2273:63 *8487:DIODE 13.8 +6 *2273:63 *40795:A 18.8714 +*END + +*D_NET *2274 0.0203878 +*CONN +*I *8485:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40793:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[44] O *D mprj_logic_high +*CAP +1 *8485:DIODE 0.000359933 +2 *40793:A 0 +3 *38890:HI[44] 0.00217027 +4 *2274:50 0.000543037 +5 *2274:47 0.00216738 +6 *2274:40 0.00417382 +7 *2274:24 0.00465546 +8 *2274:23 0.0033068 +9 *2274:15 0.00301115 +10 *8485:DIODE *8443:DIODE 0 +11 *8485:DIODE *2294:20 0 +12 *8485:DIODE *3054:46 0 +13 *8485:DIODE *3691:20 0 +14 *8485:DIODE *3812:38 0 +15 *2274:15 *39863:A 0 +16 *2274:15 *2729:17 0 +17 *2274:15 *2851:22 0 +18 *2274:15 *3599:34 0 +19 *2274:15 *3608:12 0 +20 *2274:15 *3801:11 0 +21 *2274:15 *4683:10 0 +22 *2274:23 *2282:23 0 +23 *2274:23 *2545:16 0 +24 *2274:24 *2661:34 0 +25 *2274:24 *2742:29 0 +26 *2274:24 *2742:43 0 +27 *2274:24 *5038:11 0 +28 *2274:24 *5109:19 0 +29 *2274:40 *39785:A 0 +30 *2274:40 *2281:39 0 +31 *2274:40 *2318:34 0 +32 *2274:40 *2661:34 0 +33 *2274:40 *2843:56 0 +34 *2274:40 *5038:11 0 +35 *2274:47 *2347:33 0 +36 *2274:47 *2580:16 0 +37 *2274:47 *3083:60 0 +38 *2274:47 *3120:50 0 +39 *2274:47 *3354:12 0 +40 *2274:47 *3354:26 0 +41 *2274:47 *3679:38 0 +42 *2274:47 *3797:59 0 +43 *2274:50 *2294:20 0 +44 *2274:50 *3797:33 0 +45 *2274:50 *3812:38 0 +46 *37829:A *2274:40 0 +47 *326:13 *2274:15 0 +48 *1031:52 *2274:23 0 +49 *2217:94 *2274:47 0 +50 *2220:40 *2274:15 0 +51 *2232:51 *2274:24 0 +52 *2253:49 *2274:40 0 +53 *2256:22 *2274:23 0 +54 *2273:26 *2274:15 0 +*RES +1 *38890:HI[44] *2274:15 49.6875 +2 *2274:15 *2274:23 14.5985 +3 *2274:23 *2274:24 51.4643 +4 *2274:24 *2274:40 46.1607 +5 *2274:40 *2274:47 49.625 +6 *2274:47 *2274:50 8.32143 +7 *2274:50 *40793:A 9.3 +8 *2274:50 *8485:DIODE 25.9964 +*END + +*D_NET *2275 0.00993085 +*CONN +*I *8484:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40792:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[450] O *D mprj_logic_high +*CAP +1 *8484:DIODE 0.000328675 +2 *40792:A 2.56688e-05 +3 *38890:HI[450] 0.00147229 +4 *2275:73 0.00109473 +5 *2275:69 0.00164209 +6 *2275:46 0.00239841 +7 *2275:26 0.00296899 +8 *8484:DIODE *8952:DIODE 0 +9 *8484:DIODE *4986:10 0 +10 *2275:26 *5682:DIODE 0 +11 *2275:26 *40764:A 0 +12 *2275:26 *2276:9 0 +13 *2275:26 *2276:11 0 +14 *2275:26 *2327:38 0 +15 *2275:26 *2343:54 0 +16 *2275:26 *2948:37 0 +17 *2275:26 *3801:11 0 +18 *2275:26 *3823:25 0 +19 *2275:26 *4353:9 0 +20 *2275:26 *4379:22 0 +21 *2275:26 *5136:18 0 +22 *2275:46 *8239:DIODE 0 +23 *2275:46 *40664:A 0 +24 *2275:46 *40764:A 0 +25 *2275:46 *2732:36 0 +26 *2275:46 *3191:83 0 +27 *2275:46 *3714:7 0 +28 *2275:46 *3806:30 0 +29 *2275:46 *4310:20 0 +30 *2275:46 *5147:25 0 +31 *2275:46 *5149:9 0 +32 *2275:69 *2310:16 0 +33 *2275:69 *2313:27 0 +34 *2275:69 *2331:10 0 +35 *2275:69 *2334:20 0 +36 *2275:69 *3191:83 0 +37 *2275:69 *4332:10 0 +38 *2275:73 *2346:25 0 +39 *2275:73 *3714:7 0 +40 *40849:A *2275:46 0 +41 *41367:A *2275:26 0 +42 *1201:16 *2275:26 0 +43 *1932:44 *2275:26 0 +44 *2222:83 *8484:DIODE 0 +45 *2271:26 *2275:26 0 +46 *2271:37 *2275:26 0 +47 *2271:37 *2275:46 0 +*RES +1 *38890:HI[450] *2275:26 45.3571 +2 *2275:26 *2275:46 49.6607 +3 *2275:46 *2275:69 37.5357 +4 *2275:69 *2275:73 20.0357 +5 *2275:73 *40792:A 14.3357 +6 *2275:73 *8484:DIODE 21.2464 +*END + +*D_NET *2276 0.0243773 +*CONN +*I *40788:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8480:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[451] O *D mprj_logic_high +*CAP +1 *40788:A 1.369e-05 +2 *8480:DIODE 0.0002317 +3 *38890:HI[451] 9.06474e-05 +4 *2276:51 0.000952361 +5 *2276:41 0.00253217 +6 *2276:32 0.0059245 +7 *2276:31 0.00575297 +8 *2276:20 0.0030586 +9 *2276:11 0.00356748 +10 *2276:9 0.00225319 +11 *8480:DIODE *2279:75 0 +12 *8480:DIODE *3386:28 0 +13 *8480:DIODE *4134:61 0 +14 *40788:A *3447:75 0 +15 *40788:A *4128:39 0 +16 *2276:9 *2277:21 0 +17 *2276:11 *2277:21 0 +18 *2276:11 *2327:45 0 +19 *2276:11 *4683:10 0 +20 *2276:20 *39739:A 0 +21 *2276:20 *2548:19 0 +22 *2276:20 *2555:5 0 +23 *2276:31 *37857:A 0 +24 *2276:31 *2282:33 0 +25 *2276:31 *2742:43 0 +26 *2276:32 *2282:36 0 +27 *2276:32 *2282:40 0 +28 *2276:32 *3651:23 0 +29 *2276:41 *5949:DIODE 0 +30 *2276:41 *2325:78 0 +31 *2276:41 *3655:31 0 +32 *2276:41 *3710:22 0 +33 *2276:41 *3825:25 0 +34 *2276:41 *4695:11 0 +35 *2276:41 *4695:14 0 +36 *2276:41 *4699:8 0 +37 *2276:41 *4701:16 0 +38 *2276:41 *5131:10 0 +39 *2276:51 *2279:75 0 +40 *2276:51 *3386:28 0 +41 *2276:51 *3546:26 0 +42 *2276:51 *3984:46 0 +43 *41346:A *2276:11 0 +44 *327:19 *2276:11 0 +45 *347:20 *2276:20 0 +46 *347:20 *2276:31 0 +47 *347:20 *2276:32 0 +48 *1531:43 *2276:51 0 +49 *1557:30 *2276:41 0 +50 *1943:37 *2276:11 0 +51 *2220:40 *2276:11 0 +52 *2242:69 *2276:51 0 +53 *2260:59 *2276:51 0 +54 *2264:39 *2276:41 0 +55 *2270:21 *2276:11 0 +56 *2275:26 *2276:9 0 +57 *2275:26 *2276:11 0 +*RES +1 *38890:HI[451] *2276:9 2.15179 +2 *2276:9 *2276:11 49.1518 +3 *2276:11 *2276:20 33.9464 +4 *2276:20 *2276:31 43.7857 +5 *2276:31 *2276:32 85.5536 +6 *2276:32 *2276:41 46.0446 +7 *2276:41 *2276:51 16.2768 +8 *2276:51 *8480:DIODE 19.0143 +9 *2276:51 *40788:A 14.0857 +*END + +*D_NET *2277 0.0229864 +*CONN +*I *40785:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8477:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[452] O *D mprj_logic_high +*CAP +1 *40785:A 0 +2 *8477:DIODE 0.00013104 +3 *38890:HI[452] 0.00166021 +4 *2277:37 0.0015507 +5 *2277:34 0.00487939 +6 *2277:32 0.0034948 +7 *2277:30 0.00414123 +8 *2277:29 0.0047875 +9 *2277:21 0.00234156 +10 *8477:DIODE *3720:23 0 +11 *2277:21 *2279:28 0 +12 *2277:21 *2343:54 0 +13 *2277:21 *3612:34 0 +14 *2277:21 *3791:24 0 +15 *2277:21 *4356:14 0 +16 *2277:21 *4685:13 0 +17 *2277:29 *2602:34 0 +18 *2277:29 *2637:14 0 +19 *2277:29 *4976:12 0 +20 *2277:30 *39718:A 0 +21 *2277:30 *2286:43 0 +22 *2277:30 *2286:52 0 +23 *2277:30 *2286:54 0 +24 *2277:30 *3899:23 0 +25 *2277:30 *4690:15 0 +26 *2277:30 *5109:16 0 +27 *2277:34 *2286:54 0 +28 *2277:34 *3679:41 0 +29 *2277:37 *2962:30 0 +30 *2277:37 *2962:38 0 +31 *2277:37 *3769:18 0 +32 *2277:37 *4024:40 0 +33 *2277:37 *4710:8 0 +34 *39486:A *2277:21 0 +35 *1237:27 *8477:DIODE 0 +36 *1287:46 *2277:34 0 +37 *2227:41 *2277:21 0 +38 *2259:26 *2277:21 0 +39 *2268:20 *2277:29 0 +40 *2270:21 *2277:21 0 +41 *2276:9 *2277:21 0 +42 *2276:11 *2277:21 0 +*RES +1 *38890:HI[452] *2277:21 46.75 +2 *2277:21 *2277:29 27.8036 +3 *2277:29 *2277:30 85.7589 +4 *2277:30 *2277:32 0.732143 +5 *2277:32 *2277:34 72.2054 +6 *2277:34 *2277:37 36.8036 +7 *2277:37 *8477:DIODE 16.6929 +8 *2277:37 *40785:A 13.8 +*END + +*D_NET *2278 0.0213867 +*CONN +*I *40782:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8474:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[453] O *D mprj_logic_high +*CAP +1 *40782:A 0.000124066 +2 *8474:DIODE 0 +3 *38890:HI[453] 0.00189363 +4 *2278:54 0.00154471 +5 *2278:48 0.00355772 +6 *2278:35 0.00524171 +7 *2278:19 0.00511793 +8 *2278:16 0.00390691 +9 *40782:A *3812:38 0 +10 *40782:A *3823:66 0 +11 *2278:16 *2280:30 0 +12 *2278:16 *3797:24 0 +13 *2278:16 *5140:22 0 +14 *2278:19 *2580:23 0 +15 *2278:35 *2293:22 0 +16 *2278:35 *2420:76 0 +17 *2278:35 *2848:13 0 +18 *2278:35 *2853:38 0 +19 *2278:35 *2940:38 0 +20 *2278:35 *5038:10 0 +21 *2278:48 *38003:A 0 +22 *2278:48 *2293:22 0 +23 *2278:48 *2853:39 0 +24 *2278:48 *3655:31 0 +25 *2278:48 *4124:18 0 +26 *2278:48 *4701:16 0 +27 *2278:54 *2347:33 0 +28 *2278:54 *3124:49 0 +29 *2278:54 *3655:18 0 +30 *2278:54 *3655:31 0 +31 *2278:54 *3808:40 0 +32 *2278:54 *5111:15 0 +33 *37777:A *2278:48 0 +34 *328:19 *2278:16 0 +35 *336:23 *2278:35 0 +36 *337:36 *2278:19 0 +37 *338:21 *2278:35 0 +38 *340:47 *2278:35 0 +39 *353:19 *2278:16 0 +40 *1019:19 *2278:48 0 +41 *1030:8 *2278:35 0 +42 *1296:15 *2278:16 0 +43 *1311:18 *2278:16 0 +44 *1820:39 *2278:48 0 +45 *1820:39 *2278:54 0 +46 *2059:39 *2278:16 0 +47 *2101:27 *2278:16 0 +48 *2229:18 *2278:16 0 +49 *2272:26 *2278:16 0 +*RES +1 *38890:HI[453] *2278:16 38.9683 +2 *2278:16 *2278:19 46.5179 +3 *2278:19 *2278:35 49.3115 +4 *2278:35 *2278:48 49.5804 +5 *2278:48 *2278:54 36.7946 +6 *2278:54 *8474:DIODE 9.3 +7 *2278:54 *40782:A 11.8893 +*END + +*D_NET *2279 0.0210823 +*CONN +*I *40779:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8471:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[454] O *D mprj_logic_high +*CAP +1 *40779:A 2.56688e-05 +2 *8471:DIODE 0.000311985 +3 *38890:HI[454] 0.00171119 +4 *2279:75 0.00134839 +5 *2279:49 0.00257071 +6 *2279:38 0.00416515 +7 *2279:36 0.00271918 +8 *2279:30 0.00331641 +9 *2279:28 0.00491359 +10 *8471:DIODE *38316:A 0 +11 *8471:DIODE *4134:61 0 +12 *2279:28 *2950:55 0 +13 *2279:28 *3791:24 0 +14 *2279:28 *5116:47 0 +15 *2279:30 *2950:37 0 +16 *2279:30 *5051:23 0 +17 *2279:30 *5113:14 0 +18 *2279:30 *5116:47 0 +19 *2279:30 *5117:32 0 +20 *2279:30 *5117:33 0 +21 *2279:36 *2950:37 0 +22 *2279:38 *37841:A 0 +23 *2279:38 *2315:34 0 +24 *2279:38 *2945:25 0 +25 *2279:38 *5130:21 0 +26 *2279:49 *40748:A 0 +27 *2279:49 *2292:71 0 +28 *2279:49 *3197:55 0 +29 *2279:49 *3690:16 0 +30 *2279:49 *3757:11 0 +31 *2279:49 *3786:59 0 +32 *2279:49 *4707:8 0 +33 *2279:75 *3784:29 0 +34 *2279:75 *3815:31 0 +35 *2279:75 *3817:63 0 +36 *2279:75 *4128:39 0 +37 *8480:DIODE *2279:75 0 +38 *1531:43 *2279:75 0 +39 *1535:14 *2279:49 0 +40 *1559:18 *2279:38 0 +41 *1932:44 *2279:28 0 +42 *2254:50 *2279:30 0 +43 *2254:50 *2279:36 0 +44 *2254:50 *2279:38 0 +45 *2259:26 *2279:28 0 +46 *2259:75 *2279:75 0 +47 *2272:26 *2279:28 0 +48 *2276:51 *2279:75 0 +49 *2277:21 *2279:28 0 +*RES +1 *38890:HI[454] *2279:28 43.3661 +2 *2279:28 *2279:30 66.8661 +3 *2279:30 *2279:36 2.625 +4 *2279:36 *2279:38 54.3393 +5 *2279:38 *2279:49 47.9614 +6 *2279:49 *2279:75 44.9286 +7 *2279:75 *8471:DIODE 29.5321 +8 *2279:75 *40779:A 14.3357 +*END + +*D_NET *2280 0.0113253 +*CONN +*I *8468:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40776:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[455] O *D mprj_logic_high +*CAP +1 *8468:DIODE 0 +2 *40776:A 0.000355707 +3 *38890:HI[455] 0.00512091 +4 *2280:35 0.00054172 +5 *2280:30 0.00530692 +6 *40776:A *40642:A 0 +7 *40776:A *2345:19 0 +8 *40776:A *3790:11 0 +9 *40776:A *4362:18 0 +10 *2280:30 *2282:23 0 +11 *2280:30 *2936:37 0 +12 *2280:30 *2950:54 0 +13 *2280:30 *3791:45 0 +14 *2280:35 *5116:24 0 +15 *1029:84 *2280:30 0 +16 *1293:27 *2280:30 0 +17 *1296:15 *2280:30 0 +18 *2101:27 *2280:30 0 +19 *2222:83 *40776:A 0 +20 *2248:29 *2280:30 0 +21 *2251:33 *2280:30 0 +22 *2272:26 *2280:30 0 +23 *2273:26 *2280:30 0 +24 *2278:16 *2280:30 0 +*RES +1 *38890:HI[455] *2280:30 49.2856 +2 *2280:30 *2280:35 12.1332 +3 *2280:35 *40776:A 26.1036 +4 *2280:35 *8468:DIODE 9.3 +*END + +*D_NET *2281 0.0216722 +*CONN +*I *40773:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8465:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[456] O *D mprj_logic_high +*CAP +1 *40773:A 2.26741e-05 +2 *8465:DIODE 0.000336327 +3 *38890:HI[456] 0.00205217 +4 *2281:62 0.000614048 +5 *2281:55 0.00223877 +6 *2281:52 0.00293882 +7 *2281:39 0.002176 +8 *2281:24 0.00451035 +9 *2281:23 0.00401016 +10 *2281:15 0.00277288 +11 *8465:DIODE *3815:14 0 +12 *2281:15 *5682:DIODE 0 +13 *2281:15 *2282:23 0 +14 *2281:15 *2542:19 0 +15 *2281:15 *2602:34 0 +16 *2281:15 *2865:36 0 +17 *2281:15 *3806:15 0 +18 *2281:15 *4368:42 0 +19 *2281:15 *5140:22 0 +20 *2281:23 *2548:16 0 +21 *2281:23 *2677:18 0 +22 *2281:23 *3795:28 0 +23 *2281:39 *39709:A 0 +24 *2281:39 *2752:20 0 +25 *2281:39 *2917:22 0 +26 *2281:39 *5038:10 0 +27 *2281:39 *5131:11 0 +28 *2281:52 *2318:34 0 +29 *2281:52 *2843:56 0 +30 *2281:52 *2917:22 0 +31 *2281:52 *4709:10 0 +32 *2281:55 *2282:41 0 +33 *2281:55 *3674:14 0 +34 *2281:55 *3717:10 0 +35 *2281:55 *4711:16 0 +36 *2281:55 *5124:9 0 +37 *2281:62 *3819:17 0 +38 *2281:62 *4134:50 0 +39 *2281:62 *4345:84 0 +40 *2281:62 *4353:33 0 +41 *39999:A *2281:15 0 +42 *328:19 *2281:15 0 +43 *351:23 *2281:23 0 +44 *1267:28 *2281:52 0 +45 *1293:27 *2281:15 0 +46 *1293:27 *2281:23 0 +47 *1298:36 *2281:55 0 +48 *1511:18 *2281:39 0 +49 *1559:18 *2281:55 0 +50 *2253:32 *2281:24 0 +51 *2253:49 *2281:39 0 +52 *2253:52 *2281:52 0 +53 *2256:24 *2281:23 0 +54 *2256:24 *2281:24 0 +55 *2256:24 *2281:39 0 +56 *2256:24 *2281:52 0 +57 *2266:37 *2281:15 0 +58 *2272:26 *2281:15 0 +59 *2274:40 *2281:39 0 +*RES +1 *38890:HI[456] *2281:15 47 +2 *2281:15 *2281:23 28.625 +3 *2281:23 *2281:24 68.7143 +4 *2281:24 *2281:39 43.8214 +5 *2281:39 *2281:52 33.9107 +6 *2281:52 *2281:55 49.5536 +7 *2281:55 *2281:62 14.4821 +8 *2281:62 *8465:DIODE 25.675 +9 *2281:62 *40773:A 9.83571 +*END + +*D_NET *2282 0.0225725 +*CONN +*I *8462:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40770:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[457] O *D mprj_logic_high +*CAP +1 *8462:DIODE 0.0004753 +2 *40770:A 0.000110607 +3 *38890:HI[457] 0.00318519 +4 *2282:43 0.000585907 +5 *2282:41 0.00254515 +6 *2282:40 0.00400442 +7 *2282:36 0.00325694 +8 *2282:33 0.00351072 +9 *2282:23 0.00489824 +10 *8462:DIODE *40250:A 0 +11 *8462:DIODE *3124:49 0 +12 *8462:DIODE *3747:45 0 +13 *40770:A *3717:10 0 +14 *40770:A *3747:45 0 +15 *2282:23 *5682:DIODE 0 +16 *2282:23 *2545:16 0 +17 *2282:23 *2689:12 0 +18 *2282:23 *2730:16 0 +19 *2282:23 *3778:20 0 +20 *2282:23 *3806:15 0 +21 *2282:23 *4368:42 0 +22 *2282:23 *5140:22 0 +23 *2282:33 *3668:28 0 +24 *2282:36 *38243:A 0 +25 *2282:36 *4975:7 0 +26 *2282:41 *2844:41 0 +27 *2282:41 *3166:44 0 +28 *2282:41 *3717:10 0 +29 *2282:41 *4365:34 0 +30 *2282:41 *4707:8 0 +31 *6381:DIODE *8462:DIODE 0 +32 *6381:DIODE *40770:A 0 +33 *347:20 *2282:36 0 +34 *347:20 *2282:40 0 +35 *1031:52 *2282:23 0 +36 *1296:15 *2282:23 0 +37 *2251:33 *2282:23 0 +38 *2253:53 *2282:41 0 +39 *2261:13 *2282:23 0 +40 *2272:46 *2282:23 0 +41 *2274:23 *2282:23 0 +42 *2276:31 *2282:33 0 +43 *2276:32 *2282:36 0 +44 *2276:32 *2282:40 0 +45 *2280:30 *2282:23 0 +46 *2281:15 *2282:23 0 +47 *2281:55 *2282:41 0 +*RES +1 *38890:HI[457] *2282:23 46.7984 +2 *2282:23 *2282:33 45.4107 +3 *2282:33 *2282:36 37.5179 +4 *2282:36 *2282:40 35.0179 +5 *2282:40 *2282:41 57.8036 +6 *2282:41 *2282:43 4.5 +7 *2282:43 *40770:A 20.6929 +8 *2282:43 *8462:DIODE 19.2821 +*END + +*D_NET *2283 0.0047582 +*CONN +*I *40768:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[458] O *D mprj_logic_high +*CAP +1 *40768:A 0.00148167 +2 *38890:HI[458] 0.000897428 +3 *2283:18 0.0023791 +4 *40768:A *2287:20 0 +5 *40768:A *2296:14 0 +6 *40768:A *2298:11 0 +7 *40768:A *2330:38 0 +8 *40768:A *2331:29 0 +9 *40768:A *3599:14 0 +10 *40768:A *4379:22 0 +11 *2283:18 *3801:15 0 +12 *2283:18 *4366:11 0 +13 *2261:19 *40768:A 0 +14 *2261:19 *2283:18 0 +15 *2272:46 *40768:A 0 +*RES +1 *38890:HI[458] *2283:18 36.875 +2 *2283:18 *40768:A 45.8893 +*END + +*D_NET *2284 0.00394284 +*CONN +*I *40764:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[459] O *D mprj_logic_high +*CAP +1 *40764:A 0.00127446 +2 *38890:HI[459] 0.000696958 +3 *2284:15 0.00197142 +4 *40764:A *8239:DIODE 0 +5 *40764:A *2330:38 0 +6 *40764:A *2346:52 0 +7 *40764:A *3599:14 0 +8 *2284:15 *37824:A 0 +9 *2284:15 *2948:37 0 +10 *2284:15 *3180:14 0 +11 *2284:15 *3386:42 0 +12 *2246:23 *2284:15 0 +13 *2271:37 *40764:A 0 +14 *2275:26 *40764:A 0 +15 *2275:46 *40764:A 0 +*RES +1 *38890:HI[459] *2284:15 24.6208 +2 *2284:15 *40764:A 44.925 +*END + +*D_NET *2285 0.00157428 +*CONN +*I *37824:A I *D sky130_fd_sc_hd__and2_1 +*I *38890:HI[45] O *D mprj_logic_high +*CAP +1 *37824:A 0.000787139 +2 *38890:HI[45] 0.000787139 +3 *37824:A *37824:B 0 +4 *37824:A *2286:36 0 +5 *37824:A *2321:36 0 +6 *37824:A *2948:37 0 +7 *37824:A *3786:22 0 +8 *37824:A *4350:80 0 +9 *2284:15 *37824:A 0 +*RES +1 *38890:HI[45] *37824:A 31.8357 +*END + +*D_NET *2286 0.0237327 +*CONN +*I *40760:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8454:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[460] O *D mprj_logic_high +*CAP +1 *40760:A 0.000143745 +2 *8454:DIODE 0 +3 *38890:HI[460] 0.00214541 +4 *2286:63 0.00146985 +5 *2286:54 0.00604306 +6 *2286:52 0.00603291 +7 *2286:43 0.00336149 +8 *2286:39 0.00221818 +9 *2286:36 0.00231805 +10 *40760:A *3181:29 0 +11 *2286:36 *2321:36 0 +12 *2286:36 *2342:13 0 +13 *2286:36 *2342:17 0 +14 *2286:36 *2343:50 0 +15 *2286:36 *2936:37 0 +16 *2286:36 *3185:8 0 +17 *2286:36 *3797:24 0 +18 *2286:36 *4353:9 0 +19 *2286:39 *2290:25 0 +20 *2286:39 *4347:14 0 +21 *2286:43 *3899:23 0 +22 *2286:43 *4690:15 0 +23 *2286:52 *39718:A 0 +24 *2286:52 *2846:17 0 +25 *2286:52 *3358:72 0 +26 *2286:52 *4690:15 0 +27 *2286:52 *5109:16 0 +28 *2286:54 *2846:17 0 +29 *2286:54 *2946:13 0 +30 *2286:54 *3679:41 0 +31 *2286:54 *4702:17 0 +32 *2286:63 *2420:40 0 +33 *2286:63 *2946:13 0 +34 *2286:63 *3358:72 0 +35 *2286:63 *3358:81 0 +36 *2286:63 *3679:41 0 +37 *2286:63 *3706:10 0 +38 *2286:63 *4257:22 0 +39 *2286:63 *4257:26 0 +40 *2286:63 *4702:17 0 +41 *37824:A *2286:36 0 +42 *1293:20 *2286:36 0 +43 *1324:51 *40760:A 0 +44 *2258:31 *2286:36 0 +45 *2259:43 *2286:36 0 +46 *2273:26 *2286:39 0 +47 *2273:51 *2286:54 0 +48 *2277:30 *2286:43 0 +49 *2277:30 *2286:52 0 +50 *2277:30 *2286:54 0 +51 *2277:34 *2286:54 0 +*RES +1 *38890:HI[460] *2286:36 49.7433 +2 *2286:36 *2286:39 8.41964 +3 *2286:39 *2286:43 47.25 +4 *2286:43 *2286:52 32.0893 +5 *2286:52 *2286:54 98.4911 +6 *2286:54 *2286:63 38.5982 +7 *2286:63 *8454:DIODE 9.3 +8 *2286:63 *40760:A 12.3 +*END + +*D_NET *2287 0.00488104 +*CONN +*I *40753:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[462] O *D mprj_logic_high +*CAP +1 *40753:A 0 +2 *38890:HI[462] 0.00244052 +3 *2287:20 0.00244052 +4 *2287:20 *40648:A 0 +5 *2287:20 *2310:16 0 +6 *2287:20 *2338:29 0 +7 *2287:20 *2732:36 0 +8 *2287:20 *3120:50 0 +9 *2287:20 *3358:23 0 +10 *2287:20 *3603:21 0 +11 *2287:20 *3806:30 0 +12 *2287:20 *4311:22 0 +13 *2287:20 *4356:14 0 +14 *2287:20 *4370:32 0 +15 *40768:A *2287:20 0 +16 *40801:A *2287:20 0 +17 *2236:25 *2287:20 0 +18 *2261:19 *2287:20 0 +19 *2272:46 *2287:20 0 +*RES +1 *38890:HI[462] *2287:20 47.6148 +2 *2287:20 *40753:A 9.3 +*END + +*D_NET *2288 0.00581959 +*CONN +*I *37826:A I *D sky130_fd_sc_hd__and2_1 +*I *38890:HI[46] O *D mprj_logic_high +*CAP +1 *37826:A 0.000658226 +2 *38890:HI[46] 0.00225157 +3 *2288:19 0.0029098 +4 *37826:A *37826:B 0 +5 *37826:A *40635:A 0 +6 *37826:A *3176:25 0 +7 *37826:A *3714:7 0 +8 *37826:A *4325:34 0 +9 *2288:19 *2301:19 0 +10 *2288:19 *2858:15 0 +11 *2288:19 *3806:53 0 +12 *2288:19 *3823:25 0 +13 *2288:19 *4353:9 0 +14 *8527:DIODE *37826:A 0 +15 *1312:12 *37826:A 0 +*RES +1 *38890:HI[46] *2288:19 46.7108 +2 *2288:19 *37826:A 32.0018 +*END + +*D_NET *2289 0.011237 +*CONN +*I *5676:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37828:A I *D sky130_fd_sc_hd__and2_1 +*I *38890:HI[47] O *D mprj_logic_high +*CAP +1 *5676:DIODE 0.000550794 +2 *37828:A 0.000351622 +3 *38890:HI[47] 0.00104194 +4 *2289:30 0.00220517 +5 *2289:22 0.00367413 +6 *2289:16 0.00341331 +7 *5676:DIODE *8113:DIODE 0 +8 *5676:DIODE *2304:32 0 +9 *37828:A *3714:18 0 +10 *37828:A *3775:30 0 +11 *37828:A *4362:18 0 +12 *2289:16 *2343:35 0 +13 *2289:16 *3801:15 0 +14 *2289:16 *3826:13 0 +15 *2289:16 *3826:17 0 +16 *2289:22 *2311:16 0 +17 *2289:22 *2343:22 0 +18 *2289:22 *2343:35 0 +19 *2289:22 *3801:15 0 +20 *2289:22 *3826:17 0 +21 *2289:22 *3826:21 0 +22 *2289:30 *8109:DIODE 0 +23 *2289:30 *2304:32 0 +24 *2289:30 *2343:22 0 +25 *2289:30 *2344:7 0 +26 *2289:30 *2345:19 0 +27 *2289:30 *2947:91 0 +28 *2289:30 *3956:24 0 +29 *2289:30 *4369:16 0 +30 *8514:DIODE *2289:30 0 +31 *1313:14 *2289:30 0 +32 *2156:26 *2289:30 0 +33 *2227:83 *5676:DIODE 0 +34 *2261:19 *2289:16 0 +35 *2267:57 *5676:DIODE 0 +36 *2267:57 *2289:30 0 +*RES +1 *38890:HI[47] *2289:16 26.4643 +2 *2289:16 *2289:22 49.5536 +3 *2289:22 *2289:30 32.5986 +4 *2289:30 *37828:A 25.1118 +5 *2289:30 *5676:DIODE 25.7723 +*END + +*D_NET *2290 0.0203802 +*CONN +*I *37830:A I *D sky130_fd_sc_hd__and2_1 +*I *5678:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[48] O *D mprj_logic_high +*CAP +1 *37830:A 0 +2 *5678:DIODE 0.000183104 +3 *38890:HI[48] 0.00201803 +4 *2290:55 0.00166741 +5 *2290:47 0.00352202 +6 *2290:42 0.00407004 +7 *2290:39 0.00296544 +8 *2290:33 0.00243461 +9 *2290:25 0.00351953 +10 *5678:DIODE *37830:B 0 +11 *5678:DIODE *3085:46 0 +12 *5678:DIODE *3191:54 0 +13 *5678:DIODE *3787:67 0 +14 *5678:DIODE *4336:9 0 +15 *2290:25 *8942:DIODE 0 +16 *2290:25 *41339:A 0 +17 *2290:25 *2291:16 0 +18 *2290:25 *2319:29 0 +19 *2290:25 *2732:36 0 +20 *2290:25 *3808:19 0 +21 *2290:25 *4347:13 0 +22 *2290:25 *4347:14 0 +23 *2290:39 *2853:25 0 +24 *2290:42 *2580:22 0 +25 *2290:42 *5110:15 0 +26 *2290:47 *3717:13 0 +27 *2290:55 *2305:44 0 +28 *2290:55 *2308:47 0 +29 *2290:55 *3736:24 0 +30 *2290:55 *4377:72 0 +31 *338:28 *2290:33 0 +32 *338:28 *2290:39 0 +33 *340:53 *2290:33 0 +34 *340:54 *2290:33 0 +35 *351:23 *2290:25 0 +36 *2217:49 *2290:25 0 +37 *2256:27 *2290:55 0 +38 *2264:39 *2290:47 0 +39 *2268:22 *2290:42 0 +40 *2268:22 *2290:47 0 +41 *2273:26 *2290:25 0 +42 *2286:39 *2290:25 0 +*RES +1 *38890:HI[48] *2290:25 46.5536 +2 *2290:25 *2290:33 44.9464 +3 *2290:33 *2290:39 28.8036 +4 *2290:39 *2290:42 42.4464 +5 *2290:42 *2290:47 47.1429 +6 *2290:47 *2290:55 46.8036 +7 *2290:55 *5678:DIODE 13.1214 +8 *2290:55 *37830:A 9.3 +*END + +*D_NET *2291 0.00331669 +*CONN +*I *40749:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[49] O *D mprj_logic_high +*CAP +1 *40749:A 0 +2 *38890:HI[49] 0.00165835 +3 *2291:16 0.00165835 +4 *2291:16 *2292:14 0 +5 *2291:16 *2338:29 0 +6 *2291:16 *2732:36 0 +7 *2291:16 *3581:8 0 +8 *2291:16 *4346:115 0 +9 *40801:A *2291:16 0 +10 *2238:13 *2291:16 0 +11 *2250:44 *2291:16 0 +12 *2290:25 *2291:16 0 +*RES +1 *38890:HI[49] *2291:16 49.5893 +2 *2291:16 *40749:A 9.3 +*END + +*D_NET *2292 0.0168368 +*CONN +*I *8444:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40748:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[4] O *D mprj_logic_high +*CAP +1 *8444:DIODE 0 +2 *40748:A 0.000204184 +3 *38890:HI[4] 0.00225025 +4 *2292:71 0.00118255 +5 *2292:68 0.00283062 +6 *2292:57 0.00357102 +7 *2292:50 0.00313335 +8 *2292:14 0.00366484 +9 *40748:A *3324:58 0 +10 *40748:A *4134:50 0 +11 *40748:A *4353:33 0 +12 *2292:14 *2293:13 0 +13 *2292:14 *2322:40 0 +14 *2292:14 *2324:52 0 +15 *2292:14 *2535:10 0 +16 *2292:14 *3566:21 0 +17 *2292:14 *5124:23 0 +18 *2292:14 *5142:16 0 +19 *2292:50 *2315:21 0 +20 *2292:50 *2865:39 0 +21 *2292:50 *2870:31 0 +22 *2292:50 *2936:37 0 +23 *2292:50 *3575:18 0 +24 *2292:50 *3786:44 0 +25 *2292:50 *3808:19 0 +26 *2292:50 *5125:51 0 +27 *2292:57 *2309:30 0 +28 *2292:57 *2336:25 0 +29 *2292:57 *2865:39 0 +30 *2292:57 *2865:43 0 +31 *2292:68 *39761:A 0 +32 *2292:68 *3252:43 0 +33 *2292:68 *5111:15 0 +34 *2292:68 *5139:16 0 +35 *2292:68 *5141:12 0 +36 *2292:71 *3324:58 0 +37 *37799:A *2292:50 0 +38 *37799:A *2292:57 0 +39 *37831:A *2292:68 0 +40 *1298:37 *2292:57 0 +41 *1535:14 *2292:71 0 +42 *1535:16 *2292:71 0 +43 *2059:39 *2292:50 0 +44 *2217:49 *2292:14 0 +45 *2217:73 *2292:50 0 +46 *2225:28 *2292:14 0 +47 *2225:43 *2292:57 0 +48 *2238:13 *2292:14 0 +49 *2266:50 *2292:68 0 +50 *2266:65 *2292:68 0 +51 *2266:72 *2292:68 0 +52 *2279:49 *40748:A 0 +53 *2279:49 *2292:71 0 +54 *2291:16 *2292:14 0 +*RES +1 *38890:HI[4] *2292:14 46.3747 +2 *2292:14 *2292:50 48.9327 +3 *2292:50 *2292:57 45.0536 +4 *2292:57 *2292:68 47.8036 +5 *2292:68 *2292:71 26.7857 +6 *2292:71 *40748:A 18.3179 +7 *2292:71 *8444:DIODE 13.8 +*END + +*D_NET *2293 0.0251828 +*CONN +*I *5683:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37834:A I *D sky130_fd_sc_hd__and2_1 +*I *38890:HI[50] O *D mprj_logic_high +*CAP +1 *5683:DIODE 0.000104386 +2 *37834:A 0.000139176 +3 *38890:HI[50] 0.00232559 +4 *2293:25 0.00183699 +5 *2293:22 0.00894582 +6 *2293:21 0.00842882 +7 *2293:13 0.00340201 +8 *2293:13 *2539:14 0 +9 *2293:13 *2724:8 0 +10 *2293:13 *2845:22 0 +11 *2293:13 *3085:93 0 +12 *2293:21 *2308:33 0 +13 *2293:21 *2580:23 0 +14 *2293:21 *3796:10 0 +15 *2293:21 *5134:17 0 +16 *2293:22 *37753:A 0 +17 *2293:22 *38001:A 0 +18 *2293:22 *38003:A 0 +19 *2293:22 *2853:25 0 +20 *2293:22 *2853:39 0 +21 *2293:22 *2940:32 0 +22 *2293:22 *2953:9 0 +23 *2293:22 *4699:8 0 +24 *2293:22 *4701:16 0 +25 *2293:22 *5038:10 0 +26 *2293:25 *2746:8 0 +27 *2293:25 *2923:76 0 +28 *2293:25 *2928:76 0 +29 *2293:25 *2944:36 0 +30 *2293:25 *3648:16 0 +31 *2293:25 *3778:24 0 +32 *37775:A *2293:22 0 +33 *37777:A *2293:22 0 +34 *40092:A *5683:DIODE 0 +35 *337:35 *2293:22 0 +36 *338:28 *2293:22 0 +37 *340:47 *2293:22 0 +38 *340:53 *2293:22 0 +39 *351:23 *2293:13 0 +40 *1009:102 *2293:22 0 +41 *1009:104 *2293:22 0 +42 *1027:63 *2293:13 0 +43 *1237:45 *5683:DIODE 0 +44 *2261:19 *2293:13 0 +45 *2273:26 *2293:13 0 +46 *2278:35 *2293:22 0 +47 *2278:48 *2293:22 0 +48 *2292:14 *2293:13 0 +*RES +1 *38890:HI[50] *2293:13 47.6189 +2 *2293:13 *2293:21 36.1964 +3 *2293:21 *2293:22 153.321 +4 *2293:22 *2293:25 40.75 +5 *2293:25 *37834:A 16.9607 +6 *2293:25 *5683:DIODE 15.9786 +*END + +*D_NET *2294 0.00989724 +*CONN +*I *8443:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40747:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[51] O *D mprj_logic_high +*CAP +1 *8443:DIODE 0.000240645 +2 *40747:A 0.000135971 +3 *38890:HI[51] 0.00199054 +4 *2294:20 0.00266477 +5 *2294:17 0.00258146 +6 *2294:10 0.00228385 +7 *8443:DIODE *3054:46 0 +8 *8443:DIODE *3797:59 0 +9 *8443:DIODE *3812:38 0 +10 *8443:DIODE *3824:21 0 +11 *2294:10 *2302:19 0 +12 *2294:10 *2327:19 0 +13 *2294:10 *2342:13 0 +14 *2294:10 *2343:35 0 +15 *2294:10 *3201:42 0 +16 *2294:10 *4353:9 0 +17 *2294:17 *2327:19 0 +18 *2294:17 *4329:28 0 +19 *2294:17 *4353:9 0 +20 *2294:17 *4359:38 0 +21 *2294:20 *2299:33 0 +22 *2294:20 *3196:35 0 +23 *2294:20 *3797:33 0 +24 *2294:20 *3817:34 0 +25 *2294:20 *3823:35 0 +26 *8485:DIODE *8443:DIODE 0 +27 *8485:DIODE *2294:20 0 +28 *1203:54 *2294:10 0 +29 *2274:50 *2294:20 0 +*RES +1 *38890:HI[51] *2294:10 46.2143 +2 *2294:10 *2294:17 15.2857 +3 *2294:17 *2294:20 47.7857 +4 *2294:20 *40747:A 21.3893 +5 *2294:20 *8443:DIODE 14.3536 +*END + +*D_NET *2295 0.01225 +*CONN +*I *8442:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40746:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[52] O *D mprj_logic_high +*CAP +1 *8442:DIODE 6.95487e-05 +2 *40746:A 5.73269e-05 +3 *38890:HI[52] 0.00495277 +4 *2295:43 0.00117222 +5 *2295:28 0.00599812 +6 *40746:A *3812:38 0 +7 *40746:A *3824:21 0 +8 *2295:28 *40315:A 0 +9 *2295:28 *2306:40 0 +10 *2295:28 *2342:13 0 +11 *2295:28 *3340:20 0 +12 *2295:28 *3354:12 0 +13 *2295:28 *3797:30 0 +14 *2295:28 *3943:50 0 +15 *2295:28 *4125:33 0 +16 *2295:28 *4128:22 0 +17 *2295:28 *4129:41 0 +18 *2295:28 *4321:26 0 +19 *2295:43 *40287:A 0 +20 *2295:43 *3447:60 0 +21 *2295:43 *3797:90 0 +22 *2295:43 *4321:26 0 +23 *2295:43 *4358:21 0 +24 *2295:43 *4374:21 0 +25 *2295:43 *4707:8 0 +26 *8538:DIODE *2295:28 0 +27 *1999:52 *2295:28 0 +28 *2047:16 *2295:28 0 +29 *2101:27 *2295:28 0 +*RES +1 *38890:HI[52] *2295:28 47.8333 +2 *2295:28 *2295:43 32.9983 +3 *2295:43 *40746:A 14.9964 +4 *2295:43 *8442:DIODE 15.4429 +*END + +*D_NET *2296 0.0034355 +*CONN +*I *40745:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[53] O *D mprj_logic_high +*CAP +1 *40745:A 0 +2 *38890:HI[53] 0.00171775 +3 *2296:14 0.00171775 +4 *2296:14 *40687:A 0 +5 *2296:14 *2297:12 0 +6 *2296:14 *2298:11 0 +7 *2296:14 *3714:7 0 +8 *2296:14 *4363:53 0 +9 *2296:14 *4379:22 0 +10 *40768:A *2296:14 0 +*RES +1 *38890:HI[53] *2296:14 41.4643 +2 *2296:14 *40745:A 9.3 +*END + +*D_NET *2297 0.00929372 +*CONN +*I *40743:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8440:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[54] O *D mprj_logic_high +*CAP +1 *40743:A 0.000171002 +2 *8440:DIODE 0 +3 *38890:HI[54] 0.000691424 +4 *2297:14 0.00395544 +5 *2297:12 0.00447586 +6 *40743:A *2307:20 0 +7 *40743:A *2948:25 0 +8 *40743:A *3177:67 0 +9 *40743:A *3181:57 0 +10 *40743:A *3372:48 0 +11 *40743:A *3823:48 0 +12 *2297:12 *2298:11 0 +13 *2297:12 *3201:43 0 +14 *2297:12 *5149:9 0 +15 *2297:14 *2947:87 0 +16 *2297:14 *2948:25 0 +17 *2297:14 *3177:67 0 +18 *2297:14 *3201:43 0 +19 *2297:14 *3787:48 0 +20 *2297:14 *4310:40 0 +21 *2297:14 *4338:30 0 +22 *1203:22 *40743:A 0 +23 *2246:40 *2297:14 0 +24 *2262:26 *2297:14 0 +25 *2269:25 *2297:12 0 +26 *2269:25 *2297:14 0 +27 *2296:14 *2297:12 0 +*RES +1 *38890:HI[54] *2297:12 19.75 +2 *2297:12 *2297:14 78.9821 +3 *2297:14 *8440:DIODE 9.3 +4 *2297:14 *40743:A 22.1571 +*END + +*D_NET *2298 0.0210238 +*CONN +*I *8438:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40741:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[55] O *D mprj_logic_high +*CAP +1 *8438:DIODE 6.50276e-05 +2 *40741:A 0.000204184 +3 *38890:HI[55] 0.00217388 +4 *2298:37 0.00202348 +5 *2298:28 0.00348563 +6 *2298:20 0.00544007 +7 *2298:19 0.00458318 +8 *2298:11 0.00304835 +9 *40741:A *40729:A 0 +10 *2298:11 *38960:A 0 +11 *2298:11 *39733:A 0 +12 *2298:11 *2542:10 0 +13 *2298:11 *2595:22 0 +14 *2298:11 *2728:16 0 +15 *2298:11 *2730:16 0 +16 *2298:11 *3190:8 0 +17 *2298:11 *3340:20 0 +18 *2298:11 *3804:14 0 +19 *2298:11 *3806:30 0 +20 *2298:11 *3826:13 0 +21 *2298:19 *2545:16 0 +22 *2298:19 *2689:12 0 +23 *2298:19 *3362:21 0 +24 *2298:19 *3778:20 0 +25 *2298:20 *39849:A 0 +26 *2298:20 *3795:49 0 +27 *2298:20 *5146:9 0 +28 *2298:28 *2931:33 0 +29 *2298:28 *3354:27 0 +30 *2298:28 *3655:31 0 +31 *2298:28 *3655:35 0 +32 *2298:28 *4709:10 0 +33 *2298:28 *5121:22 0 +34 *2298:37 *2308:47 0 +35 *2298:37 *2933:25 0 +36 *2298:37 *3798:54 0 +37 *2298:37 *4992:10 0 +38 *2298:37 *5146:8 0 +39 *39633:A *40741:A 0 +40 *40768:A *2298:11 0 +41 *1030:8 *2298:19 0 +42 *1267:28 *2298:28 0 +43 *2220:40 *2298:11 0 +44 *2266:90 *2298:37 0 +45 *2296:14 *2298:11 0 +46 *2297:12 *2298:11 0 +*RES +1 *38890:HI[55] *2298:11 49.4375 +2 *2298:11 *2298:19 15.7407 +3 *2298:19 *2298:20 77.3393 +4 *2298:20 *2298:28 49.7679 +5 *2298:28 *2298:37 40.3036 +6 *2298:37 *40741:A 18.3179 +7 *2298:37 *8438:DIODE 15.1571 +*END + +*D_NET *2299 0.00751247 +*CONN +*I *40739:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[56] O *D mprj_logic_high +*CAP +1 *40739:A 0.00104895 +2 *38890:HI[56] 0.00178725 +3 *2299:33 0.00196898 +4 *2299:19 0.00270729 +5 *40739:A *2347:16 0 +6 *40739:A *4336:9 0 +7 *2299:19 *2301:19 0 +8 *2299:19 *2302:19 0 +9 *2299:19 *2322:27 0 +10 *2299:19 *2326:23 0 +11 *2299:19 *2327:19 0 +12 *2299:19 *2343:35 0 +13 *2299:19 *3196:35 0 +14 *2299:19 *3817:18 0 +15 *2299:19 *4101:36 0 +16 *2299:19 *4345:72 0 +17 *2299:19 *4359:9 0 +18 *2299:33 *2306:24 0 +19 *2299:33 *3196:35 0 +20 *2299:33 *3797:33 0 +21 *2299:33 *3817:34 0 +22 *1203:48 *2299:19 0 +23 *1203:48 *2299:33 0 +24 *1203:54 *2299:19 0 +25 *2246:23 *2299:19 0 +26 *2270:60 *40739:A 0 +27 *2294:20 *2299:33 0 +*RES +1 *38890:HI[56] *2299:19 46.875 +2 *2299:19 *2299:33 42.2143 +3 *2299:33 *40739:A 40.3 +*END + +*D_NET *2300 0.0246257 +*CONN +*I *37848:A I *D sky130_fd_sc_hd__and2_1 +*I *5704:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[57] O *D mprj_logic_high +*CAP +1 *37848:A 0.000220324 +2 *5704:DIODE 0 +3 *38890:HI[57] 0.0025183 +4 *2300:38 0.00189757 +5 *2300:20 0.00953532 +6 *2300:19 0.007897 +7 *2300:16 0.00255723 +8 *37848:A *37848:B 0 +9 *37848:A *3305:105 0 +10 *37848:A *3815:47 0 +11 *37848:A *3815:70 0 +12 *2300:16 *2539:14 0 +13 *2300:16 *3358:48 0 +14 *2300:16 *3826:13 0 +15 *2300:16 *5149:9 0 +16 *2300:20 *2695:20 0 +17 *2300:20 *2695:21 0 +18 *2300:20 *2933:19 0 +19 *2300:20 *3078:21 0 +20 *2300:20 *3387:25 0 +21 *2300:38 *2315:55 0 +22 *2300:38 *2412:31 0 +23 *2300:38 *3815:47 0 +24 *2300:38 *4022:68 0 +25 *2300:38 *4114:53 0 +26 *2300:38 *4314:24 0 +27 *2300:38 *4708:20 0 +28 *336:52 *2300:16 0 +29 *1018:54 *2300:16 0 +30 *1204:25 *2300:38 0 +31 *1287:38 *2300:20 0 +32 *1323:17 *37848:A 0 +33 *1323:17 *2300:38 0 +34 *2242:52 *2300:20 0 +35 *2258:31 *2300:16 0 +36 *2259:44 *2300:20 0 +37 *2264:57 *2300:20 0 +38 *2265:36 *2300:20 0 +39 *2265:56 *2300:20 0 +40 *2266:49 *2300:16 0 +*RES +1 *38890:HI[57] *2300:16 49.4566 +2 *2300:16 *2300:19 5.38393 +3 *2300:19 *2300:20 164 +4 *2300:20 *2300:38 44.7665 +5 *2300:38 *5704:DIODE 9.3 +6 *2300:38 *37848:A 13.9607 +*END + +*D_NET *2301 0.00978712 +*CONN +*I *8436:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40738:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[58] O *D mprj_logic_high +*CAP +1 *8436:DIODE 0.000337544 +2 *40738:A 0 +3 *38890:HI[58] 0.000448735 +4 *2301:38 0.00235212 +5 *2301:23 0.00410728 +6 *2301:19 0.00254145 +7 *8436:DIODE *4353:31 0 +8 *8436:DIODE *4356:37 0 +9 *8436:DIODE *4709:9 0 +10 *2301:19 *2302:19 0 +11 *2301:19 *2303:24 0 +12 *2301:19 *2343:35 0 +13 *2301:23 *3165:20 0 +14 *2301:23 *3801:15 0 +15 *2301:23 *3801:19 0 +16 *2301:23 *3801:21 0 +17 *2301:23 *4366:11 0 +18 *2301:38 *2314:10 0 +19 *2301:38 *3123:39 0 +20 *2301:38 *3819:13 0 +21 *2301:38 *3821:29 0 +22 *2301:38 *4345:75 0 +23 *2301:38 *4353:9 0 +24 *2301:38 *4353:31 0 +25 *2301:38 *4356:15 0 +26 *2301:38 *4356:30 0 +27 *2301:38 *4356:37 0 +28 *2246:23 *2301:19 0 +29 *2288:19 *2301:19 0 +30 *2299:19 *2301:19 0 +*RES +1 *38890:HI[58] *2301:19 23.6964 +2 *2301:19 *2301:23 48.3571 +3 *2301:23 *2301:38 46.9464 +4 *2301:38 *40738:A 9.3 +5 *2301:38 *8436:DIODE 16.4071 +*END + +*D_NET *2302 0.00573997 +*CONN +*I *40737:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[59] O *D mprj_logic_high +*CAP +1 *40737:A 0.000777336 +2 *38890:HI[59] 0.00130573 +3 *2302:37 0.00156426 +4 *2302:19 0.00209265 +5 *40737:A *37826:B 0 +6 *40737:A *3083:67 0 +7 *40737:A *3714:7 0 +8 *2302:19 *2323:10 0 +9 *2302:19 *3823:25 0 +10 *2302:37 *2303:24 0 +11 *2302:37 *2307:18 0 +12 *2302:37 *2331:10 0 +13 *2302:37 *3085:78 0 +14 *2302:37 *4310:40 0 +15 *2302:37 *4332:17 0 +16 *2302:37 *4338:41 0 +17 *1203:54 *2302:19 0 +18 *2156:26 *40737:A 0 +19 *2269:46 *2302:37 0 +20 *2294:10 *2302:19 0 +21 *2299:19 *2302:19 0 +22 *2301:19 *2302:19 0 +*RES +1 *38890:HI[59] *2302:19 45.8571 +2 *2302:19 *2302:37 39.5714 +3 *2302:37 *40737:A 25.5857 +*END + +*D_NET *2303 0.0107852 +*CONN +*I *8434:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40735:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[5] O *D mprj_logic_high +*CAP +1 *8434:DIODE 0.000104386 +2 *40735:A 0.00016413 +3 *38890:HI[5] 0.00177262 +4 *2303:39 0.00129894 +5 *2303:30 0.00331922 +6 *2303:29 0.00232105 +7 *2303:24 0.00180487 +8 *8434:DIODE *3824:21 0 +9 *40735:A *3717:10 0 +10 *40735:A *4134:50 0 +11 *40735:A *4353:33 0 +12 *2303:24 *40664:A 0 +13 *2303:24 *2304:32 0 +14 *2303:24 *2306:18 0 +15 *2303:24 *2307:18 0 +16 *2303:24 *2332:17 0 +17 *2303:24 *3085:78 0 +18 *2303:24 *3103:22 0 +19 *2303:24 *3180:14 0 +20 *2303:24 *3817:18 0 +21 *2303:24 *4310:40 0 +22 *2303:24 *4338:30 0 +23 *2303:29 *2325:27 0 +24 *2303:30 *40301:A 0 +25 *2303:30 *2307:18 0 +26 *2303:30 *2307:20 0 +27 *2303:30 *2962:63 0 +28 *2303:30 *3085:61 0 +29 *2303:30 *3181:57 0 +30 *2303:39 *2307:34 0 +31 *2303:39 *3103:22 0 +32 *2303:39 *3372:48 0 +33 *2303:39 *3717:10 0 +34 *2303:39 *3742:19 0 +35 *2303:39 *4329:47 0 +36 *1203:22 *2303:30 0 +37 *2246:40 *2303:24 0 +38 *2269:46 *2303:24 0 +39 *2301:19 *2303:24 0 +40 *2302:37 *2303:24 0 +*RES +1 *38890:HI[5] *2303:24 48.3319 +2 *2303:24 *2303:29 9.73214 +3 *2303:29 *2303:30 47.7679 +4 *2303:30 *2303:39 17.8236 +5 *2303:39 *40735:A 17.4071 +6 *2303:39 *8434:DIODE 15.9786 +*END + +*D_NET *2304 0.0200694 +*CONN +*I *40734:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8433:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[60] O *D mprj_logic_high +*CAP +1 *40734:A 0.000124066 +2 *8433:DIODE 0 +3 *38890:HI[60] 0.00519406 +4 *2304:53 0.000124066 +5 *2304:51 0.00471659 +6 *2304:32 0.00991064 +7 *40734:A *3680:48 0 +8 *40734:A *4345:111 0 +9 *2304:32 *40642:A 0 +10 *2304:32 *2305:14 0 +11 *2304:32 *2346:52 0 +12 *2304:32 *2412:44 0 +13 *2304:32 *2941:47 0 +14 *2304:32 *2961:53 0 +15 *2304:32 *3085:78 0 +16 *2304:32 *3553:27 0 +17 *2304:32 *3566:21 0 +18 *2304:32 *3786:44 0 +19 *2304:32 *3787:38 0 +20 *2304:32 *3789:22 0 +21 *2304:32 *3808:19 0 +22 *2304:32 *4368:47 0 +23 *2304:51 *2412:44 0 +24 *2304:51 *2925:37 0 +25 *2304:51 *2941:47 0 +26 *2304:51 *2947:24 0 +27 *2304:51 *3083:60 0 +28 *2304:51 *3659:32 0 +29 *2304:51 *3676:8 0 +30 *2304:51 *3706:10 0 +31 *2304:51 *3714:42 0 +32 *2304:51 *3769:18 0 +33 *2304:51 *3772:20 0 +34 *2304:51 *3791:45 0 +35 *2304:51 *3808:56 0 +36 *2304:51 *3825:25 0 +37 *2304:51 *3825:42 0 +38 *2304:51 *4100:31 0 +39 *2304:51 *4310:80 0 +40 *5676:DIODE *2304:32 0 +41 *1310:22 *2304:51 0 +42 *1557:44 *2304:51 0 +43 *1560:26 *2304:51 0 +44 *2059:39 *2304:32 0 +45 *2227:83 *2304:32 0 +46 *2250:55 *2304:32 0 +47 *2267:57 *2304:32 0 +48 *2289:30 *2304:32 0 +49 *2303:24 *2304:32 0 +*RES +1 *38890:HI[60] *2304:32 46.5673 +2 *2304:32 *2304:51 46.0491 +3 *2304:51 *2304:53 4.5 +4 *2304:53 *8433:DIODE 9.3 +5 *2304:53 *40734:A 11.8893 +*END + +*D_NET *2305 0.0236489 +*CONN +*I *8432:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40733:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[61] O *D mprj_logic_high +*CAP +1 *8432:DIODE 0.00015072 +2 *40733:A 2.35958e-05 +3 *38890:HI[61] 0.00196987 +4 *2305:47 0.00186126 +5 *2305:44 0.00643567 +6 *2305:42 0.00605142 +7 *2305:39 0.00324458 +8 *2305:14 0.00391176 +9 *8432:DIODE *3011:16 0 +10 *8432:DIODE *3447:103 0 +11 *2305:14 *2724:8 0 +12 *2305:14 *2961:53 0 +13 *2305:14 *3363:22 0 +14 *2305:14 *3693:8 0 +15 *2305:39 *2312:15 0 +16 *2305:39 *2317:41 0 +17 *2305:39 *2525:16 0 +18 *2305:39 *2580:23 0 +19 *2305:39 *2595:16 0 +20 *2305:39 *2856:38 0 +21 *2305:39 *2863:46 0 +22 *2305:39 *5134:10 0 +23 *2305:42 *2317:41 0 +24 *2305:42 *2870:44 0 +25 *2305:44 *2317:42 0 +26 *2305:44 *2317:55 0 +27 *2305:44 *2580:16 0 +28 *2305:44 *2870:44 0 +29 *2305:44 *4695:11 0 +30 *2305:47 *3011:16 0 +31 *2305:47 *3778:24 0 +32 *2305:47 *4355:8 0 +33 *2305:47 *5128:19 0 +34 *336:23 *2305:39 0 +35 *337:29 *2305:39 0 +36 *340:47 *2305:39 0 +37 *1022:16 *2305:39 0 +38 *1027:64 *2305:39 0 +39 *1316:12 *2305:47 0 +40 *1820:33 *2305:44 0 +41 *2217:73 *2305:14 0 +42 *2264:30 *2305:14 0 +43 *2268:22 *2305:14 0 +44 *2273:43 *2305:39 0 +45 *2290:55 *2305:44 0 +46 *2304:32 *2305:14 0 +*RES +1 *38890:HI[61] *2305:14 48.6429 +2 *2305:14 *2305:39 41.6451 +3 *2305:39 *2305:42 27.25 +4 *2305:42 *2305:44 99.1071 +5 *2305:44 *2305:47 42.875 +6 *2305:47 *40733:A 14.3357 +7 *2305:47 *8432:DIODE 17.1036 +*END + +*D_NET *2306 0.00898647 +*CONN +*I *40732:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[62] O *D mprj_logic_high +*CAP +1 *40732:A 0 +2 *38890:HI[62] 0.00157968 +3 *2306:40 0.00113647 +4 *2306:24 0.00291356 +5 *2306:18 0.00335676 +6 *2306:18 *2307:18 0 +7 *2306:18 *2332:17 0 +8 *2306:18 *3123:61 0 +9 *2306:18 *3201:29 0 +10 *2306:18 *3812:11 0 +11 *2306:18 *3812:21 0 +12 *2306:18 *4345:72 0 +13 *2306:24 *3797:33 0 +14 *2306:24 *3812:21 0 +15 *2306:24 *3817:34 0 +16 *2306:24 *3817:40 0 +17 *2306:40 *8950:DIODE 0 +18 *2306:40 *2347:16 0 +19 *2306:40 *3165:14 0 +20 *2306:40 *3201:26 0 +21 *2306:40 *3340:38 0 +22 *2306:40 *3826:21 0 +23 *2306:40 *4345:84 0 +24 *2227:87 *2306:40 0 +25 *2295:28 *2306:40 0 +26 *2299:33 *2306:24 0 +27 *2303:24 *2306:18 0 +*RES +1 *38890:HI[62] *2306:18 47.4107 +2 *2306:18 *2306:24 46.1964 +3 *2306:24 *2306:40 42.8393 +4 *2306:40 *40732:A 9.3 +*END + +*D_NET *2307 0.0114642 +*CONN +*I *40730:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8430:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[63] O *D mprj_logic_high +*CAP +1 *40730:A 0.000120983 +2 *8430:DIODE 0 +3 *38890:HI[63] 0.00156343 +4 *2307:34 0.00191921 +5 *2307:20 0.00404767 +6 *2307:18 0.00381287 +7 *40730:A *2947:70 0 +8 *2307:18 *2319:24 0 +9 *2307:18 *2327:20 0 +10 *2307:18 *3085:61 0 +11 *2307:18 *3201:29 0 +12 *2307:20 *2962:63 0 +13 *2307:20 *3201:29 0 +14 *2307:20 *3812:21 0 +15 *2307:20 *3812:38 0 +16 *2307:34 *2947:70 0 +17 *2307:34 *2948:19 0 +18 *2307:34 *3687:19 0 +19 *2307:34 *3823:48 0 +20 *5415:DIODE *2307:34 0 +21 *40743:A *2307:20 0 +22 *40862:A *2307:34 0 +23 *40867:A *2307:34 0 +24 *1203:22 *2307:20 0 +25 *1203:22 *2307:34 0 +26 *1203:28 *2307:20 0 +27 *2217:94 *2307:34 0 +28 *2302:37 *2307:18 0 +29 *2303:24 *2307:18 0 +30 *2303:30 *2307:18 0 +31 *2303:30 *2307:20 0 +32 *2303:39 *2307:34 0 +33 *2306:18 *2307:18 0 +*RES +1 *38890:HI[63] *2307:18 38.0179 +2 *2307:18 *2307:20 46.9464 +3 *2307:20 *2307:34 46.8036 +4 *2307:34 *8430:DIODE 9.3 +5 *2307:34 *40730:A 20.9964 +*END + +*D_NET *2308 0.0188493 +*CONN +*I *8429:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40729:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[64] O *D mprj_logic_high +*CAP +1 *8429:DIODE 0.000183104 +2 *40729:A 0.000389528 +3 *38890:HI[64] 0.00189584 +4 *2308:47 0.00220344 +5 *2308:34 0.00549396 +6 *2308:33 0.00532535 +7 *2308:27 0.00335804 +8 *8429:DIODE *3821:39 0 +9 *40729:A *3685:22 0 +10 *40729:A *4356:37 0 +11 *2308:27 *37849:A 0 +12 *2308:27 *40664:A 0 +13 *2308:27 *2309:21 0 +14 *2308:27 *2420:76 0 +15 *2308:27 *3176:25 0 +16 *2308:33 *2317:26 0 +17 *2308:33 *2580:23 0 +18 *2308:33 *5134:17 0 +19 *2308:34 *2317:42 0 +20 *2308:34 *2940:33 0 +21 *2308:34 *5131:10 0 +22 *2308:47 *2312:30 0 +23 *39633:A *40729:A 0 +24 *40741:A *40729:A 0 +25 *1022:16 *2308:27 0 +26 *1027:64 *2308:27 0 +27 *1285:15 *2308:27 0 +28 *1312:18 *2308:27 0 +29 *2273:43 *2308:27 0 +30 *2290:55 *2308:47 0 +31 *2293:21 *2308:33 0 +32 *2298:37 *2308:47 0 +*RES +1 *38890:HI[64] *2308:27 36.3373 +2 *2308:27 *2308:33 39.625 +3 *2308:33 *2308:34 80.625 +4 *2308:34 *2308:47 41.6964 +5 *2308:47 *40729:A 22.2241 +6 *2308:47 *8429:DIODE 17.6214 +*END + +*D_NET *2309 0.0259451 +*CONN +*I *8428:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40728:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[65] O *D mprj_logic_high +*CAP +1 *8428:DIODE 0.000143745 +2 *40728:A 0 +3 *38890:HI[65] 0.00196935 +4 *2309:43 0.00100466 +5 *2309:38 0.00543252 +6 *2309:36 0.0047053 +7 *2309:30 0.00373951 +8 *2309:29 0.00529326 +9 *2309:21 0.00365678 +10 *8428:DIODE *3680:54 0 +11 *8428:DIODE *3730:67 0 +12 *2309:21 *40664:A 0 +13 *2309:21 *2310:16 0 +14 *2309:21 *2420:76 0 +15 *2309:21 *3362:14 0 +16 *2309:21 *3693:8 0 +17 *2309:21 *3710:9 0 +18 *2309:21 *4310:20 0 +19 *2309:29 *2420:76 0 +20 *2309:29 *2595:16 0 +21 *2309:30 *2865:43 0 +22 *2309:30 *3674:33 0 +23 *2309:30 *5124:9 0 +24 *2309:36 *2865:43 0 +25 *2309:36 *2865:47 0 +26 *2309:36 *3674:33 0 +27 *2309:38 *37871:A 0 +28 *2309:38 *39864:A 0 +29 *2309:38 *2432:41 0 +30 *2309:38 *2695:10 0 +31 *2309:38 *2865:47 0 +32 *2309:38 *2961:13 0 +33 *2309:38 *3197:41 0 +34 *2309:38 *3710:23 0 +35 *2309:38 *3825:52 0 +36 *2309:38 *5128:19 0 +37 *2309:43 *2840:34 0 +38 *2309:43 *2941:33 0 +39 *2309:43 *3669:14 0 +40 *2309:43 *3741:79 0 +41 *2309:43 *4362:54 0 +42 *1012:10 *2309:29 0 +43 *1022:10 *2309:21 0 +44 *1022:16 *2309:29 0 +45 *1237:53 *8428:DIODE 0 +46 *1267:28 *2309:30 0 +47 *1298:37 *2309:30 0 +48 *1439:58 *2309:38 0 +49 *1560:32 *2309:38 0 +50 *2225:49 *2309:30 0 +51 *2273:26 *2309:21 0 +52 *2273:43 *2309:21 0 +53 *2292:57 *2309:30 0 +54 *2308:27 *2309:21 0 +*RES +1 *38890:HI[65] *2309:21 48.381 +2 *2309:21 *2309:29 28.1259 +3 *2309:29 *2309:30 75.2857 +4 *2309:30 *2309:36 3.03571 +5 *2309:36 *2309:38 95.4107 +6 *2309:38 *2309:43 28.5536 +7 *2309:43 *40728:A 9.3 +8 *2309:43 *8428:DIODE 12.3 +*END + +*D_NET *2310 0.00304668 +*CONN +*I *40727:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[66] O *D mprj_logic_high +*CAP +1 *40727:A 0 +2 *38890:HI[66] 0.00152334 +3 *2310:16 0.00152334 +4 *2310:16 *2312:15 0 +5 *2310:16 *2326:23 0 +6 *2310:16 *2331:10 0 +7 *2310:16 *2338:18 0 +8 *2310:16 *2338:29 0 +9 *2310:16 *3751:23 0 +10 *2310:16 *4101:36 0 +11 *2310:16 *4310:20 0 +12 *2310:16 *5147:25 0 +13 *40801:A *2310:16 0 +14 *40860:A *2310:16 0 +15 *2272:69 *2310:16 0 +16 *2275:69 *2310:16 0 +17 *2287:20 *2310:16 0 +18 *2309:21 *2310:16 0 +*RES +1 *38890:HI[66] *2310:16 46.6786 +2 *2310:16 *40727:A 9.3 +*END + +*D_NET *2311 0.00623069 +*CONN +*I *40724:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[67] O *D mprj_logic_high +*CAP +1 *40724:A 0.000791251 +2 *38890:HI[67] 0.00035316 +3 *2311:16 0.00276219 +4 *2311:12 0.00232409 +5 *40724:A *8113:DIODE 0 +6 *40724:A *3083:67 0 +7 *40724:A *3252:62 0 +8 *40724:A *3447:40 0 +9 *40724:A *3665:21 0 +10 *40724:A *3775:30 0 +11 *40724:A *4332:25 0 +12 *40724:A *4377:16 0 +13 *2311:12 *2343:35 0 +14 *2311:12 *3201:42 0 +15 *2311:12 *3801:19 0 +16 *2311:12 *3801:21 0 +17 *2311:16 *2343:22 0 +18 *2311:16 *2343:35 0 +19 *2311:16 *3801:21 0 +20 *2311:16 *3826:21 0 +21 *2311:16 *4345:72 0 +22 *1522:12 *40724:A 0 +23 *2289:22 *2311:16 0 +*RES +1 *38890:HI[67] *2311:12 11.9643 +2 *2311:12 *2311:16 45.6964 +3 *2311:16 *40724:A 31.6795 +*END + +*D_NET *2312 0.0271294 +*CONN +*I *40721:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8423:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[68] O *D mprj_logic_high +*CAP +1 *40721:A 0 +2 *8423:DIODE 0.000344163 +3 *38890:HI[68] 0.00214968 +4 *2312:38 0.00197825 +5 *2312:32 0.00632378 +6 *2312:30 0.00486518 +7 *2312:18 0.0047471 +8 *2312:17 0.00457161 +9 *2312:15 0.00214968 +10 *8423:DIODE *3811:33 0 +11 *2312:15 *37743:A 0 +12 *2312:15 *2313:27 0 +13 *2312:15 *2732:67 0 +14 *2312:15 *3796:10 0 +15 *2312:15 *3817:18 0 +16 *2312:15 *4367:26 0 +17 *2312:15 *5113:14 0 +18 *2312:18 *37859:A 0 +19 *2312:18 *39715:A 0 +20 *2312:18 *2931:22 0 +21 *2312:18 *5129:11 0 +22 *2312:30 *37859:A 0 +23 *2312:30 *2931:9 0 +24 *2312:30 *2931:22 0 +25 *2312:30 *2933:25 0 +26 *2312:32 *2719:9 0 +27 *2312:32 *2931:9 0 +28 *2312:38 *3668:34 0 +29 *2312:38 *3942:70 0 +30 *2312:38 *4365:100 0 +31 *1027:64 *2312:15 0 +32 *1536:13 *2312:38 0 +33 *2264:30 *2312:18 0 +34 *2270:35 *2312:15 0 +35 *2305:39 *2312:15 0 +36 *2308:47 *2312:30 0 +37 *2310:16 *2312:15 0 +*RES +1 *38890:HI[68] *2312:15 45.58 +2 *2312:15 *2312:17 4.5 +3 *2312:17 *2312:18 95.4107 +4 *2312:18 *2312:30 13 +5 *2312:30 *2312:32 97.875 +6 *2312:32 *2312:38 46 +7 *2312:38 *8423:DIODE 25.9607 +8 *2312:38 *40721:A 9.3 +*END + +*D_NET *2313 0.00422727 +*CONN +*I *40719:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[69] O *D mprj_logic_high +*CAP +1 *40719:A 0.00101653 +2 *38890:HI[69] 0.0010971 +3 *2313:27 0.00211363 +4 *40719:A *2331:10 0 +5 *40719:A *2335:16 0 +6 *40719:A *3741:16 0 +7 *2313:27 *2331:10 0 +8 *2313:27 *3714:7 0 +9 *2313:27 *4345:42 0 +10 *2275:69 *2313:27 0 +11 *2312:15 *2313:27 0 +*RES +1 *38890:HI[69] *2313:27 46.8214 +2 *2313:27 *40719:A 39.675 +*END + +*D_NET *2314 0.00952599 +*CONN +*I *40715:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8418:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[6] O *D mprj_logic_high +*CAP +1 *40715:A 0.000143745 +2 *8418:DIODE 0 +3 *38890:HI[6] 4.63658e-05 +4 *2314:25 0.000282862 +5 *2314:22 0.00173306 +6 *2314:10 0.00443377 +7 *2314:9 0.00288619 +8 *40715:A *3819:17 0 +9 *40715:A *4345:84 0 +10 *2314:10 *2323:10 0 +11 *2314:10 *2347:16 0 +12 *2314:10 *3123:39 0 +13 *2314:10 *3165:21 0 +14 *2314:10 *3823:25 0 +15 *2314:10 *4345:75 0 +16 *2314:22 *3821:29 0 +17 *2314:22 *3823:35 0 +18 *2314:25 *3984:19 0 +19 *2314:25 *4050:29 0 +20 *1203:48 *2314:10 0 +21 *2156:26 *2314:10 0 +22 *2301:38 *2314:10 0 +*RES +1 *38890:HI[6] *2314:9 5.55357 +2 *2314:9 *2314:10 59.2679 +3 *2314:10 *2314:22 47.0357 +4 *2314:22 *2314:25 7.66071 +5 *2314:25 *8418:DIODE 9.3 +6 *2314:25 *40715:A 12.3 +*END + +*D_NET *2315 0.0218226 +*CONN +*I *40713:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8416:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[70] O *D mprj_logic_high +*CAP +1 *40713:A 0.000101392 +2 *8416:DIODE 2.56688e-05 +3 *38890:HI[70] 0.00180438 +4 *2315:57 0.00012706 +5 *2315:55 0.00198856 +6 *2315:43 0.00345718 +7 *2315:34 0.00560878 +8 *2315:33 0.00552269 +9 *2315:21 0.00318691 +10 *8416:DIODE *3680:48 0 +11 *40713:A *3680:48 0 +12 *40713:A *4367:69 0 +13 *2315:21 *37743:A 0 +14 *2315:21 *2324:52 0 +15 *2315:21 *2870:31 0 +16 *2315:21 *3689:15 0 +17 *2315:21 *4345:42 0 +18 *2315:21 *4367:26 0 +19 *2315:33 *39781:A 0 +20 *2315:33 *2344:7 0 +21 *2315:33 *2950:35 0 +22 *2315:33 *5051:16 0 +23 *2315:33 *5116:30 0 +24 *2315:33 *5141:12 0 +25 *2315:34 *37997:A 0 +26 *2315:34 *2336:26 0 +27 *2315:34 *2861:25 0 +28 *2315:34 *2945:25 0 +29 *2315:34 *5128:27 0 +30 *2315:34 *5129:10 0 +31 *2315:34 *5130:21 0 +32 *2315:43 *2336:26 0 +33 *2315:43 *2945:23 0 +34 *2315:55 *3177:46 0 +35 *2315:55 *3252:37 0 +36 *2315:55 *3323:44 0 +37 *2315:55 *3789:98 0 +38 *2315:55 *3804:79 0 +39 *2315:55 *4708:20 0 +40 *1231:17 *2315:55 0 +41 *1316:22 *2315:43 0 +42 *1557:45 *2315:55 0 +43 *1559:18 *2315:34 0 +44 *2225:43 *2315:33 0 +45 *2246:40 *2315:21 0 +46 *2254:50 *2315:34 0 +47 *2266:72 *2315:34 0 +48 *2272:53 *2315:21 0 +49 *2279:38 *2315:34 0 +50 *2292:50 *2315:21 0 +51 *2300:38 *2315:55 0 +*RES +1 *38890:HI[70] *2315:21 49.0357 +2 *2315:21 *2315:33 42.6964 +3 *2315:33 *2315:34 86.375 +4 *2315:34 *2315:43 39.8393 +5 *2315:43 *2315:55 48.5179 +6 *2315:55 *2315:57 4.5 +7 *2315:57 *8416:DIODE 9.83571 +8 *2315:57 *40713:A 11.4786 +*END + +*D_NET *2316 0.00276262 +*CONN +*I *40711:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[71] O *D mprj_logic_high +*CAP +1 *40711:A 0.00138131 +2 *38890:HI[71] 0.00138131 +3 *40711:A *38259:A 0 +4 *40711:A *40635:A 0 +5 *40711:A *40687:A 0 +6 *40711:A *2318:18 0 +7 *40711:A *3083:67 0 +8 *40711:A *3566:21 0 +9 *8527:DIODE *40711:A 0 +*RES +1 *38890:HI[71] *40711:A 44.1214 +*END + +*D_NET *2317 0.0192717 +*CONN +*I *8413:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40709:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[72] O *D mprj_logic_high +*CAP +1 *8413:DIODE 0 +2 *40709:A 0.00018396 +3 *38890:HI[72] 0.00131834 +4 *2317:63 0.000533335 +5 *2317:55 0.00237702 +6 *2317:42 0.00457228 +7 *2317:41 0.00412526 +8 *2317:26 0.0032119 +9 *2317:16 0.00294962 +10 *40709:A *2948:16 0 +11 *40709:A *4098:58 0 +12 *2317:16 *3176:25 0 +13 *2317:16 *3796:10 0 +14 *2317:16 *4325:34 0 +15 *2317:16 *5127:41 0 +16 *2317:26 *2318:18 0 +17 *2317:26 *2329:52 0 +18 *2317:26 *2580:23 0 +19 *2317:26 *2602:15 0 +20 *2317:26 *2932:12 0 +21 *2317:26 *3786:44 0 +22 *2317:26 *5113:14 0 +23 *2317:26 *5117:32 0 +24 *2317:41 *2580:23 0 +25 *2317:41 *2870:39 0 +26 *2317:41 *2870:44 0 +27 *2317:41 *3354:12 0 +28 *2317:41 *5110:14 0 +29 *2317:42 *38271:A 0 +30 *2317:42 *2420:57 0 +31 *2317:42 *2870:44 0 +32 *2317:42 *2940:33 0 +33 *2317:42 *5131:10 0 +34 *2317:55 *37877:A 0 +35 *2317:55 *2940:32 0 +36 *2317:55 *2941:33 0 +37 *2317:55 *2944:42 0 +38 *2317:55 *3659:32 0 +39 *2317:55 *3795:60 0 +40 *2317:55 *3795:65 0 +41 *2317:55 *4705:24 0 +42 *2317:55 *5131:10 0 +43 *2317:63 *3736:46 0 +44 *1287:46 *2317:41 0 +45 *1525:20 *2317:55 0 +46 *2221:89 *2317:26 0 +47 *2246:40 *2317:16 0 +48 *2250:55 *2317:16 0 +49 *2272:53 *2317:16 0 +50 *2305:39 *2317:41 0 +51 *2305:42 *2317:41 0 +52 *2305:44 *2317:42 0 +53 *2305:44 *2317:55 0 +54 *2308:33 *2317:26 0 +55 *2308:34 *2317:42 0 +*RES +1 *38890:HI[72] *2317:16 43.5124 +2 *2317:16 *2317:26 40 +3 *2317:26 *2317:41 42.2857 +4 *2317:41 *2317:42 53.1071 +5 *2317:42 *2317:55 49.3661 +6 *2317:55 *2317:63 12.5268 +7 *2317:63 *40709:A 13.1393 +8 *2317:63 *8413:DIODE 9.3 +*END + +*D_NET *2318 0.0269547 +*CONN +*I *8412:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40708:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[73] O *D mprj_logic_high +*CAP +1 *8412:DIODE 8.4707e-05 +2 *40708:A 0.000268081 +3 *38890:HI[73] 0.00181315 +4 *2318:37 0.0021068 +5 *2318:34 0.00973016 +6 *2318:33 0.00955738 +7 *2318:18 0.00339438 +8 *8412:DIODE *3772:48 0 +9 *40708:A *3670:37 0 +10 *40708:A *3736:47 0 +11 *2318:18 *2324:37 0 +12 *2318:18 *2932:12 0 +13 *2318:18 *2961:53 0 +14 *2318:18 *3796:10 0 +15 *2318:18 *5110:15 0 +16 *2318:33 *2661:34 0 +17 *2318:33 *2689:12 0 +18 *2318:33 *2863:46 0 +19 *2318:33 *3796:10 0 +20 *2318:33 *5109:16 0 +21 *2318:34 *2843:56 0 +22 *2318:34 *2917:11 0 +23 *2318:37 *2367:24 0 +24 *2318:37 *3080:50 0 +25 *2318:37 *3688:8 0 +26 *2318:37 *3723:79 0 +27 *2318:37 *4031:20 0 +28 *2318:37 *4070:63 0 +29 *2318:37 *4099:29 0 +30 *2318:37 *4362:68 0 +31 *40711:A *2318:18 0 +32 *1030:8 *2318:33 0 +33 *1287:28 *2318:37 0 +34 *2227:75 *2318:18 0 +35 *2232:57 *2318:33 0 +36 *2253:49 *2318:34 0 +37 *2268:22 *2318:18 0 +38 *2274:40 *2318:34 0 +39 *2281:52 *2318:34 0 +40 *2317:26 *2318:18 0 +*RES +1 *38890:HI[73] *2318:18 45.8036 +2 *2318:18 *2318:33 32.2031 +3 *2318:33 *2318:34 166.464 +4 *2318:34 *2318:37 44.3929 +5 *2318:37 *40708:A 19.4786 +6 *2318:37 *8412:DIODE 15.5679 +*END + +*D_NET *2319 0.0125116 +*CONN +*I *8411:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40707:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[74] O *D mprj_logic_high +*CAP +1 *8411:DIODE 0 +2 *40707:A 0.00016428 +3 *38890:HI[74] 0.00210266 +4 *2319:35 0.000223211 +5 *2319:30 0.00386304 +6 *2319:29 0.00392994 +7 *2319:24 0.00222848 +8 *40707:A *4333:50 0 +9 *2319:24 *2327:20 0 +10 *2319:24 *2346:52 0 +11 *2319:24 *2346:54 0 +12 *2319:24 *2948:37 0 +13 *2319:24 *3085:78 0 +14 *2319:24 *3085:93 0 +15 *2319:29 *4346:115 0 +16 *2319:30 *2320:33 0 +17 *2319:30 *2340:14 0 +18 *2319:30 *3190:18 0 +19 *2319:30 *3201:43 0 +20 *1687:21 *40707:A 0 +21 *2224:15 *2319:30 0 +22 *2231:13 *2319:30 0 +23 *2246:40 *2319:24 0 +24 *2290:25 *2319:29 0 +25 *2307:18 *2319:24 0 +*RES +1 *38890:HI[74] *2319:24 49.5893 +2 *2319:24 *2319:29 11.8571 +3 *2319:29 *2319:30 79.3929 +4 *2319:30 *2319:35 10.3393 +5 *2319:35 *40707:A 12.7286 +6 *2319:35 *8411:DIODE 9.3 +*END + +*D_NET *2320 0.0113667 +*CONN +*I *8408:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40704:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[75] O *D mprj_logic_high +*CAP +1 *8408:DIODE 0.000229568 +2 *40704:A 2.56688e-05 +3 *38890:HI[75] 0.00157693 +4 *2320:33 0.00199727 +5 *2320:27 0.00385119 +6 *2320:19 0.00368608 +7 *8408:DIODE *2703:10 0 +8 *8408:DIODE *2861:17 0 +9 *2320:19 *2322:27 0 +10 *2320:19 *2948:25 0 +11 *2320:19 *3201:43 0 +12 *2320:19 *4310:40 0 +13 *2320:27 *2346:54 0 +14 *2320:27 *4338:30 0 +15 *2320:33 *3201:43 0 +16 *37827:A *2320:19 0 +17 *2234:24 *40704:A 0 +18 *2246:8 *2320:27 0 +19 *2262:11 *2320:27 0 +20 *2268:17 *2320:27 0 +21 *2269:25 *2320:27 0 +22 *2269:46 *2320:19 0 +23 *2319:30 *2320:33 0 +*RES +1 *38890:HI[75] *2320:19 47.5536 +2 *2320:19 *2320:27 48.7143 +3 *2320:27 *2320:33 45.9107 +4 *2320:33 *40704:A 14.3357 +5 *2320:33 *8408:DIODE 19.1393 +*END + +*D_NET *2321 0.00928668 +*CONN +*I *40701:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8405:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[76] O *D mprj_logic_high +*CAP +1 *40701:A 0.000551339 +2 *8405:DIODE 2.56688e-05 +3 *38890:HI[76] 0.0035636 +4 *2321:44 0.00107974 +5 *2321:36 0.00406633 +6 *2321:36 *2732:67 0 +7 *2321:36 *2858:15 0 +8 *2321:36 *3186:46 0 +9 *2321:36 *3592:9 0 +10 *2321:36 *3599:34 0 +11 *2321:36 *3689:15 0 +12 *2321:36 *3806:53 0 +13 *2321:36 *4325:34 0 +14 *2321:36 *4370:32 0 +15 *2321:44 *2327:45 0 +16 *37824:A *2321:36 0 +17 *1406:35 *40701:A 0 +18 *1406:49 *40701:A 0 +19 *2217:30 *2321:44 0 +20 *2286:36 *2321:36 0 +*RES +1 *38890:HI[76] *2321:36 44.5099 +2 *2321:36 *2321:44 15.7232 +3 *2321:44 *8405:DIODE 9.83571 +4 *2321:44 *40701:A 30.4607 +*END + +*D_NET *2322 0.0137328 +*CONN +*I *8402:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40698:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[77] O *D mprj_logic_high +*CAP +1 *8402:DIODE 0 +2 *40698:A 0.000417982 +3 *38890:HI[77] 0.00134669 +4 *2322:63 0.000581128 +5 *2322:60 0.00209025 +6 *2322:53 0.00301456 +7 *2322:40 0.0030115 +8 *2322:27 0.00327073 +9 *40698:A *8250:DIODE 0 +10 *40698:A *2866:39 0 +11 *40698:A *4346:84 0 +12 *2322:27 *2324:37 0 +13 *2322:27 *3201:42 0 +14 *2322:27 *3787:22 0 +15 *2322:27 *4345:42 0 +16 *2322:40 *39721:A 0 +17 *2322:40 *39727:A 0 +18 *2322:40 *2725:65 0 +19 *2322:40 *2845:19 0 +20 *2322:40 *3786:44 0 +21 *2322:40 *5116:30 0 +22 *2322:40 *5124:23 0 +23 *2322:53 *38245:A 0 +24 *2322:53 *2740:45 0 +25 *2322:53 *2845:19 0 +26 *2322:53 *2865:36 0 +27 *2322:53 *2865:39 0 +28 *2322:53 *2879:20 0 +29 *2322:53 *3797:24 0 +30 *2322:53 *4685:13 0 +31 *2322:60 *2740:45 0 +32 *2322:60 *4678:10 0 +33 *2322:60 *5136:51 0 +34 *1203:54 *2322:27 0 +35 *1690:22 *2322:40 0 +36 *1690:22 *2322:53 0 +37 *1950:61 *2322:60 0 +38 *2217:19 *40698:A 0 +39 *2217:19 *2322:63 0 +40 *2217:30 *2322:60 0 +41 *2220:30 *2322:60 0 +42 *2221:27 *2322:63 0 +43 *2224:26 *40698:A 0 +44 *2242:17 *2322:63 0 +45 *2251:33 *2322:40 0 +46 *2259:26 *2322:53 0 +47 *2267:26 *2322:53 0 +48 *2270:35 *2322:40 0 +49 *2292:14 *2322:40 0 +50 *2299:19 *2322:27 0 +51 *2320:19 *2322:27 0 +*RES +1 *38890:HI[77] *2322:27 44.1429 +2 *2322:27 *2322:40 49.3571 +3 *2322:40 *2322:53 34.2497 +4 *2322:53 *2322:60 49.3036 +5 *2322:60 *2322:63 8.26786 +6 *2322:63 *40698:A 23.175 +7 *2322:63 *8402:DIODE 13.8 +*END + +*D_NET *2323 0.0186025 +*CONN +*I *8399:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40695:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[78] O *D mprj_logic_high +*CAP +1 *8399:DIODE 6.95291e-05 +2 *40695:A 0 +3 *38890:HI[78] 5.11243e-05 +4 *2323:29 0.00069242 +5 *2323:28 0.00271875 +6 *2323:21 0.00241176 +7 *2323:10 0.00646187 +8 *2323:9 0.00619709 +9 *8399:DIODE *3578:58 0 +10 *2323:10 *2328:14 0 +11 *2323:10 *2333:10 0 +12 *2323:10 *3165:21 0 +13 *2323:10 *3823:25 0 +14 *2323:21 *3569:21 0 +15 *2323:21 *3569:60 0 +16 *2323:28 *2328:20 0 +17 *2323:28 *2333:10 0 +18 *2323:29 *2333:15 0 +19 *2323:29 *3578:58 0 +20 *2323:29 *4370:20 0 +21 *8959:DIODE *8399:DIODE 0 +22 *8959:DIODE *2323:29 0 +23 *1936:8 *2323:28 0 +24 *2044:18 *2323:21 0 +25 *2182:29 *2323:29 0 +26 *2195:10 *2323:28 0 +27 *2302:19 *2323:10 0 +28 *2314:10 *2323:10 0 +*RES +1 *38890:HI[78] *2323:9 5.66071 +2 *2323:9 *2323:10 128.268 +3 *2323:10 *2323:21 17.4295 +4 *2323:21 *2323:28 48.3036 +5 *2323:28 *2323:29 14.0893 +6 *2323:29 *40695:A 13.8 +7 *2323:29 *8399:DIODE 15.4429 +*END + +*D_NET *2324 0.0180036 +*CONN +*I *8396:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40692:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[79] O *D mprj_logic_high +*CAP +1 *8396:DIODE 0 +2 *40692:A 0.000188198 +3 *38890:HI[79] 0.00143728 +4 *2324:72 0.000289589 +5 *2324:69 0.00178728 +6 *2324:60 0.00499802 +7 *2324:59 0.00355003 +8 *2324:52 0.00227692 +9 *2324:37 0.00347631 +10 *40692:A *3624:58 0 +11 *2324:37 *2337:23 0 +12 *2324:37 *2338:29 0 +13 *2324:37 *2858:15 0 +14 *2324:37 *3120:50 0 +15 *2324:37 *4345:72 0 +16 *2324:37 *4370:32 0 +17 *2324:52 *39890:A 0 +18 *2324:52 *2725:65 0 +19 *2324:52 *2870:31 0 +20 *2324:52 *3566:21 0 +21 *2324:52 *3566:51 0 +22 *2324:52 *3787:22 0 +23 *2324:52 *5124:23 0 +24 *2324:52 *5127:47 0 +25 *2324:59 *3566:51 0 +26 *2324:59 *3787:22 0 +27 *2324:60 *40006:A 0 +28 *2324:60 *2725:33 0 +29 *2324:60 *2725:47 0 +30 *2324:60 *2725:65 0 +31 *2324:60 *2740:38 0 +32 *2324:60 *5140:38 0 +33 *2324:69 *2725:33 0 +34 *2324:69 *2740:32 0 +35 *2324:72 *3624:58 0 +36 *39486:B *2324:60 0 +37 *41363:A *40692:A 0 +38 *1943:34 *2324:60 0 +39 *2209:16 *40692:A 0 +40 *2225:18 *2324:60 0 +41 *2225:28 *2324:52 0 +42 *2225:28 *2324:60 0 +43 *2270:12 *2324:60 0 +44 *2270:35 *2324:52 0 +45 *2292:14 *2324:52 0 +46 *2315:21 *2324:52 0 +47 *2318:18 *2324:37 0 +48 *2322:27 *2324:37 0 +*RES +1 *38890:HI[79] *2324:37 45.8971 +2 *2324:37 *2324:52 49.7971 +3 *2324:52 *2324:59 10.2921 +4 *2324:59 *2324:60 69.125 +5 *2324:60 *2324:69 49.6429 +6 *2324:69 *2324:72 6.67857 +7 *2324:72 *40692:A 22.4071 +8 *2324:72 *8396:DIODE 9.3 +*END + +*D_NET *2325 0.0161638 +*CONN +*I *8393:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40689:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[7] O *D mprj_logic_high +*CAP +1 *8393:DIODE 0.000183623 +2 *40689:A 7.5841e-05 +3 *38890:HI[7] 0.00137588 +4 *2325:78 0.00341484 +5 *2325:32 0.00585595 +6 *2325:30 0.00329117 +7 *2325:27 0.00196647 +8 *8393:DIODE *3766:25 0 +9 *8393:DIODE *3815:47 0 +10 *8393:DIODE *4320:23 0 +11 *40689:A *3447:102 0 +12 *40689:A *4358:77 0 +13 *2325:27 *2326:23 0 +14 *2325:27 *2332:17 0 +15 *2325:27 *2345:19 0 +16 *2325:27 *3085:61 0 +17 *2325:27 *3123:59 0 +18 *2325:27 *3787:48 0 +19 *2325:27 *4310:40 0 +20 *2325:27 *4345:72 0 +21 *2325:30 *38246:A 0 +22 *2325:30 *3741:16 0 +23 *2325:30 *5117:22 0 +24 *2325:32 *2752:10 0 +25 *2325:32 *3252:55 0 +26 *2325:32 *3681:14 0 +27 *2325:32 *3741:22 0 +28 *2325:32 *3741:23 0 +29 *2325:32 *4332:25 0 +30 *2325:78 *2412:31 0 +31 *2325:78 *2841:19 0 +32 *2325:78 *2941:33 0 +33 *2325:78 *3186:32 0 +34 *2325:78 *3691:37 0 +35 *2325:78 *3741:47 0 +36 *2325:78 *3751:28 0 +37 *2325:78 *3763:21 0 +38 *2325:78 *3766:25 0 +39 *2325:78 *3786:74 0 +40 *2325:78 *4103:44 0 +41 *2325:78 *4113:75 0 +42 *2325:78 *4298:55 0 +43 *2325:78 *4320:23 0 +44 *38246:B *2325:32 0 +45 *1203:48 *2325:27 0 +46 *1229:29 *40689:A 0 +47 *1557:30 *2325:78 0 +48 *2262:26 *2325:27 0 +49 *2273:63 *40689:A 0 +50 *2276:41 *2325:78 0 +51 *2303:29 *2325:27 0 +*RES +1 *38890:HI[7] *2325:27 43.7321 +2 *2325:27 *2325:30 12.3571 +3 *2325:30 *2325:32 56.3929 +4 *2325:32 *2325:78 48.4038 +5 *2325:78 *40689:A 18.9332 +6 *2325:78 *8393:DIODE 18.574 +*END + +*D_NET *2326 0.0051604 +*CONN +*I *40687:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[80] O *D mprj_logic_high +*CAP +1 *40687:A 0.00130782 +2 *38890:HI[80] 0.00127237 +3 *2326:23 0.0025802 +4 *40687:A *40456:A 0 +5 *40687:A *2330:23 0 +6 *40687:A *2330:38 0 +7 *40687:A *2331:29 0 +8 *40687:A *2961:53 0 +9 *40687:A *3362:14 0 +10 *40687:A *3714:7 0 +11 *40687:A *3796:7 0 +12 *40687:A *4363:53 0 +13 *2326:23 *2346:39 0 +14 *2326:23 *3790:11 0 +15 *8527:DIODE *40687:A 0 +16 *40711:A *40687:A 0 +17 *40837:A *2326:23 0 +18 *2222:73 *2326:23 0 +19 *2246:40 *40687:A 0 +20 *2272:69 *2326:23 0 +21 *2296:14 *40687:A 0 +22 *2299:19 *2326:23 0 +23 *2310:16 *2326:23 0 +24 *2325:27 *2326:23 0 +*RES +1 *38890:HI[80] *2326:23 41.8571 +2 *2326:23 *40687:A 36.5321 +*END + +*D_NET *2327 0.00973085 +*CONN +*I *8388:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40683:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[81] O *D mprj_logic_high +*CAP +1 *8388:DIODE 0.000173915 +2 *40683:A 6.50276e-05 +3 *38890:HI[81] 0.000615473 +4 *2327:45 0.000883652 +5 *2327:38 0.00180093 +6 *2327:20 0.0033663 +7 *2327:19 0.00282556 +8 *8388:DIODE *5136:40 0 +9 *40683:A *3608:12 0 +10 *40683:A *3624:35 0 +11 *2327:19 *3201:42 0 +12 *2327:19 *4329:24 0 +13 *2327:19 *4345:72 0 +14 *2327:19 *4359:38 0 +15 *2327:20 *2948:37 0 +16 *2327:20 *3085:93 0 +17 *2327:20 *3812:21 0 +18 *2327:20 *4338:21 0 +19 *2327:20 *4346:95 0 +20 *2327:38 *2342:17 0 +21 *2327:38 *2343:50 0 +22 *2327:38 *2732:15 0 +23 *2327:38 *2948:37 0 +24 *2327:38 *4346:95 0 +25 *2327:38 *5136:18 0 +26 *2327:45 *3592:9 0 +27 *2327:45 *4370:32 0 +28 *1203:48 *2327:19 0 +29 *1203:54 *2327:19 0 +30 *1312:18 *2327:20 0 +31 *2249:16 *2327:38 0 +32 *2251:11 *2327:38 0 +33 *2262:17 *2327:20 0 +34 *2270:12 *2327:45 0 +35 *2271:26 *2327:45 0 +36 *2272:46 *2327:20 0 +37 *2273:26 *2327:45 0 +38 *2275:26 *2327:38 0 +39 *2276:11 *2327:45 0 +40 *2294:10 *2327:19 0 +41 *2294:17 *2327:19 0 +42 *2299:19 *2327:19 0 +43 *2307:18 *2327:20 0 +44 *2319:24 *2327:20 0 +45 *2321:44 *2327:45 0 +*RES +1 *38890:HI[81] *2327:19 26.9821 +2 *2327:19 *2327:20 46.125 +3 *2327:20 *2327:38 47.5893 +4 *2327:38 *2327:45 19.3166 +5 *2327:45 *40683:A 15.1571 +6 *2327:45 *8388:DIODE 17.6214 +*END + +*D_NET *2328 0.0182582 +*CONN +*I *8384:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40679:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[82] O *D mprj_logic_high +*CAP +1 *8384:DIODE 0.000136423 +2 *40679:A 6.58832e-05 +3 *38890:HI[82] 0.00164242 +4 *2328:21 0.000889378 +5 *2328:20 0.00234185 +6 *2328:14 0.0065973 +7 *2328:13 0.00658495 +8 *40679:A *4346:63 0 +9 *40679:A *4480:36 0 +10 *2328:13 *3823:25 0 +11 *2328:13 *4353:9 0 +12 *2328:14 *2333:10 0 +13 *2328:14 *3599:35 0 +14 *2328:14 *3823:25 0 +15 *2328:20 *2333:10 0 +16 *2328:21 *5666:DIODE 0 +17 *1936:8 *2328:14 0 +18 *1936:8 *2328:20 0 +19 *2190:7 *2328:21 0 +20 *2192:14 *2328:21 0 +21 *2243:14 *2328:14 0 +22 *2257:16 *2328:14 0 +23 *2323:10 *2328:14 0 +24 *2323:28 *2328:20 0 +*RES +1 *38890:HI[82] *2328:13 47.9286 +2 *2328:13 *2328:14 103.214 +3 *2328:14 *2328:20 39.0357 +4 *2328:20 *2328:21 15.6071 +5 *2328:21 *40679:A 15.175 +6 *2328:21 *8384:DIODE 16.9607 +*END + +*D_NET *2329 0.00942774 +*CONN +*I *40676:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[83] O *D mprj_logic_high +*CAP +1 *40676:A 0 +2 *38890:HI[83] 0.00178588 +3 *2329:64 0.00116867 +4 *2329:52 0.00292799 +5 *2329:24 0.0035452 +6 *2329:24 *3651:14 0 +7 *2329:24 *5117:32 0 +8 *2329:52 *2535:10 0 +9 *2329:52 *2602:15 0 +10 *2329:52 *2932:12 0 +11 *2329:52 *2936:37 0 +12 *2329:52 *2961:53 0 +13 *2329:52 *3358:48 0 +14 *2329:52 *3668:20 0 +15 *2329:52 *3899:16 0 +16 *2329:64 *39724:A 0 +17 *2329:64 *2331:29 0 +18 *2329:64 *2338:29 0 +19 *2329:64 *2539:14 0 +20 *2329:64 *2865:39 0 +21 *2329:64 *2870:23 0 +22 *2329:64 *3581:8 0 +23 *8498:DIODE *2329:24 0 +24 *336:52 *2329:52 0 +25 *1018:54 *2329:52 0 +26 *1027:63 *2329:52 0 +27 *2242:34 *2329:52 0 +28 *2254:50 *2329:24 0 +29 *2259:44 *2329:52 0 +30 *2266:49 *2329:52 0 +31 *2270:35 *2329:64 0 +32 *2272:69 *2329:24 0 +33 *2273:43 *2329:52 0 +34 *2317:26 *2329:52 0 +*RES +1 *38890:HI[83] *2329:24 49.3214 +2 *2329:24 *2329:52 47.1823 +3 *2329:52 *2329:64 42.4189 +4 *2329:64 *40676:A 9.3 +*END + +*D_NET *2330 0.00802727 +*CONN +*I *40672:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[84] O *D mprj_logic_high +*CAP +1 *40672:A 0.000699196 +2 *38890:HI[84] 0.00201036 +3 *2330:38 0.00200327 +4 *2330:23 0.00331444 +5 *40672:A *40305:A 0 +6 *40672:A *3581:19 0 +7 *40672:A *3599:14 0 +8 *40672:A *3599:34 0 +9 *40672:A *4350:85 0 +10 *40672:A *5140:22 0 +11 *2330:23 *3176:25 0 +12 *2330:23 *3386:42 0 +13 *2330:38 *2331:29 0 +14 *40687:A *2330:23 0 +15 *40687:A *2330:38 0 +16 *40764:A *2330:38 0 +17 *40768:A *2330:38 0 +18 *2246:40 *2330:23 0 +*RES +1 *38890:HI[84] *2330:23 45.6062 +2 *2330:23 *2330:38 49.9821 +3 *2330:38 *40672:A 33.3893 +*END + +*D_NET *2331 0.00614388 +*CONN +*I *40668:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[85] O *D mprj_logic_high +*CAP +1 *40668:A 0 +2 *38890:HI[85] 0.00190079 +3 *2331:29 0.00117115 +4 *2331:10 0.00307194 +5 *2331:10 *8239:DIODE 0 +6 *2331:10 *2332:17 0 +7 *2331:10 *2335:16 0 +8 *2331:10 *2346:52 0 +9 *2331:10 *3191:83 0 +10 *2331:10 *3787:38 0 +11 *2331:10 *3797:30 0 +12 *2331:10 *4324:20 0 +13 *2331:10 *5147:25 0 +14 *2331:29 *40456:A 0 +15 *2331:29 *2338:29 0 +16 *2331:29 *2961:53 0 +17 *2331:29 *3599:14 0 +18 *2331:29 *3624:19 0 +19 *2331:29 *4346:115 0 +20 *40687:A *2331:29 0 +21 *40719:A *2331:10 0 +22 *40768:A *2331:29 0 +23 *2269:46 *2331:10 0 +24 *2275:69 *2331:10 0 +25 *2302:37 *2331:10 0 +26 *2310:16 *2331:10 0 +27 *2313:27 *2331:10 0 +28 *2329:64 *2331:29 0 +29 *2330:38 *2331:29 0 +*RES +1 *38890:HI[85] *2331:10 49.625 +2 *2331:10 *2331:29 47.4286 +3 *2331:29 *40668:A 9.3 +*END + +*D_NET *2332 0.00448423 +*CONN +*I *40664:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[86] O *D mprj_logic_high +*CAP +1 *40664:A 0.00129684 +2 *38890:HI[86] 0.000945269 +3 *2332:17 0.00224211 +4 *40664:A *3085:78 0 +5 *40664:A *3191:83 0 +6 *40664:A *3363:22 0 +7 *40664:A *4310:40 0 +8 *40664:A *4338:30 0 +9 *40664:A *4345:42 0 +10 *2332:17 *4310:40 0 +11 *2246:40 *40664:A 0 +12 *2262:26 *2332:17 0 +13 *2275:46 *40664:A 0 +14 *2303:24 *40664:A 0 +15 *2303:24 *2332:17 0 +16 *2306:18 *2332:17 0 +17 *2308:27 *40664:A 0 +18 *2309:21 *40664:A 0 +19 *2325:27 *2332:17 0 +20 *2331:10 *2332:17 0 +*RES +1 *38890:HI[86] *2332:17 34.0179 +2 *2332:17 *40664:A 45.8714 +*END + +*D_NET *2333 0.0203104 +*CONN +*I *8370:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40661:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[87] O *D mprj_logic_high +*CAP +1 *8370:DIODE 0.000355319 +2 *40661:A 0.000104386 +3 *38890:HI[87] 5.11243e-05 +4 *2333:15 0.000966865 +5 *2333:10 0.00964439 +6 *2333:9 0.00918836 +7 *8370:DIODE *3588:45 0 +8 *8370:DIODE *3633:8 0 +9 *2333:10 *3165:21 0 +10 *2333:10 *4366:11 0 +11 *8959:DIODE *8370:DIODE 0 +12 *1305:19 *8370:DIODE 0 +13 *2178:19 *8370:DIODE 0 +14 *2178:19 *2333:15 0 +15 *2180:13 *2333:10 0 +16 *2195:10 *2333:10 0 +17 *2205:10 *2333:10 0 +18 *2212:25 *40661:A 0 +19 *2323:10 *2333:10 0 +20 *2323:28 *2333:10 0 +21 *2323:29 *2333:15 0 +22 *2328:14 *2333:10 0 +23 *2328:20 *2333:10 0 +*RES +1 *38890:HI[87] *2333:9 5.66071 +2 *2333:9 *2333:10 190.696 +3 *2333:10 *2333:15 15.9821 +4 *2333:15 *40661:A 15.9786 +5 *2333:15 *8370:DIODE 21.8536 +*END + +*D_NET *2334 0.00314295 +*CONN +*I *40658:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[88] O *D mprj_logic_high +*CAP +1 *40658:A 0 +2 *38890:HI[88] 0.00157147 +3 *2334:20 0.00157147 +4 *2334:20 *2340:14 0 +5 *2334:20 *2948:25 0 +6 *2334:20 *3191:59 0 +7 *2334:20 *3191:83 0 +8 *2334:20 *4338:41 0 +9 *2269:46 *2334:20 0 +10 *2275:69 *2334:20 0 +*RES +1 *38890:HI[88] *2334:20 47.2679 +2 *2334:20 *40658:A 9.3 +*END + +*D_NET *2335 0.00352653 +*CONN +*I *40654:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[89] O *D mprj_logic_high +*CAP +1 *40654:A 0.000211054 +2 *38890:HI[89] 0.00155221 +3 *2335:16 0.00176326 +4 *40654:A *2962:87 0 +5 *40654:A *4100:11 0 +6 *2335:16 *5675:DIODE 0 +7 *2335:16 *8924:DIODE 0 +8 *2335:16 *40635:A 0 +9 *2335:16 *2346:39 0 +10 *2335:16 *3741:16 0 +11 *2335:16 *3787:38 0 +12 *2335:16 *4100:11 0 +13 *2335:16 *4332:17 0 +14 *40719:A *2335:16 0 +15 *2217:80 *40654:A 0 +16 *2269:46 *2335:16 0 +17 *2272:69 *2335:16 0 +18 *2331:10 *2335:16 0 +*RES +1 *38890:HI[89] *2335:16 47.4286 +2 *2335:16 *40654:A 22.7643 +*END + +*D_NET *2336 0.0245911 +*CONN +*I *8361:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40650:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[8] O *D mprj_logic_high +*CAP +1 *8361:DIODE 0.000143745 +2 *40650:A 0 +3 *38890:HI[8] 0.00156454 +4 *2336:49 0.000143745 +5 *2336:47 0.0015114 +6 *2336:34 0.00401517 +7 *2336:32 0.00396283 +8 *2336:26 0.0055682 +9 *2336:25 0.00511304 +10 *2336:14 0.00256844 +11 *8361:DIODE *3667:19 0 +12 *8361:DIODE *3819:71 0 +13 *2336:14 *2948:25 0 +14 *2336:14 *3191:59 0 +15 *2336:14 *3252:55 0 +16 *2336:14 *4332:25 0 +17 *2336:25 *37881:A 0 +18 *2336:25 *2752:10 0 +19 *2336:25 *3679:22 0 +20 *2336:25 *3789:40 0 +21 *2336:25 *5116:16 0 +22 *2336:26 *37873:A 0 +23 *2336:26 *2920:19 0 +24 *2336:26 *5128:27 0 +25 *2336:32 *2945:11 0 +26 *2336:32 *2945:20 0 +27 *2336:32 *4114:44 0 +28 *2336:34 *2945:11 0 +29 *2336:34 *3283:27 0 +30 *2336:34 *3283:37 0 +31 *2336:34 *4735:11 0 +32 *2336:47 *2434:47 0 +33 *2336:47 *2919:91 0 +34 *2336:47 *2981:39 0 +35 *2336:47 *3014:22 0 +36 *2336:47 *3312:125 0 +37 *2336:47 *3736:47 0 +38 *2336:47 *3979:26 0 +39 *6070:DIODE *2336:32 0 +40 *1316:22 *2336:26 0 +41 *1316:22 *2336:32 0 +42 *1322:12 *2336:47 0 +43 *1324:33 *2336:47 0 +44 *1329:30 *2336:47 0 +45 *2059:53 *2336:25 0 +46 *2225:43 *2336:25 0 +47 *2242:47 *2336:25 0 +48 *2254:68 *2336:25 0 +49 *2270:55 *2336:25 0 +50 *2292:57 *2336:25 0 +51 *2315:34 *2336:26 0 +52 *2315:43 *2336:26 0 +*RES +1 *38890:HI[8] *2336:14 47.0893 +2 *2336:14 *2336:25 40.5714 +3 *2336:25 *2336:26 85.7589 +4 *2336:26 *2336:32 30.5446 +5 *2336:32 *2336:34 52.2857 +6 *2336:34 *2336:47 47.5893 +7 *2336:47 *2336:49 4.5 +8 *2336:49 *40650:A 9.3 +9 *2336:49 *8361:DIODE 12.3 +*END + +*D_NET *2337 0.00470107 +*CONN +*I *40648:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[90] O *D mprj_logic_high +*CAP +1 *40648:A 6.50276e-05 +2 *38890:HI[90] 0.00228551 +3 *2337:23 0.00235054 +4 *40648:A *2338:29 0 +5 *2337:23 *2338:29 0 +6 *2337:23 *3345:78 0 +7 *2337:23 *3689:15 0 +8 *2337:23 *3823:35 0 +9 *2337:23 *4324:20 0 +10 *2337:23 *4325:34 0 +11 *2250:44 *2337:23 0 +12 *2287:20 *40648:A 0 +13 *2324:37 *2337:23 0 +*RES +1 *38890:HI[90] *2337:23 48.5762 +2 *2337:23 *40648:A 15.1571 +*END + +*D_NET *2338 0.00693294 +*CONN +*I *40645:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[91] O *D mprj_logic_high +*CAP +1 *40645:A 0 +2 *38890:HI[91] 0.00185896 +3 *2338:29 0.00160751 +4 *2338:18 0.00346647 +5 *2338:18 *8924:DIODE 0 +6 *2338:18 *37837:A 0 +7 *2338:18 *40642:A 0 +8 *2338:18 *2341:14 0 +9 *2338:18 *2345:19 0 +10 *2338:18 *2346:39 0 +11 *2338:18 *3668:20 0 +12 *2338:18 *3751:23 0 +13 *2338:18 *3790:11 0 +14 *2338:18 *3899:16 0 +15 *2338:18 *4362:18 0 +16 *2338:29 *41337:A 0 +17 *2338:29 *3581:8 0 +18 *2338:29 *3826:13 0 +19 *2338:29 *4346:115 0 +20 *40648:A *2338:29 0 +21 *40801:A *2338:29 0 +22 *40836:A *2338:29 0 +23 *2250:44 *2338:29 0 +24 *2272:69 *2338:18 0 +25 *2287:20 *2338:29 0 +26 *2291:16 *2338:29 0 +27 *2310:16 *2338:18 0 +28 *2310:16 *2338:29 0 +29 *2324:37 *2338:29 0 +30 *2329:64 *2338:29 0 +31 *2331:29 *2338:29 0 +32 *2337:23 *2338:29 0 +*RES +1 *38890:HI[91] *2338:18 44.9464 +2 *2338:18 *2338:29 42.7321 +3 *2338:29 *40645:A 9.3 +*END + +*D_NET *2339 0.00354161 +*CONN +*I *40642:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[92] O *D mprj_logic_high +*CAP +1 *40642:A 0.00177081 +2 *38890:HI[92] 0.00177081 +3 *40642:A *5688:DIODE 0 +4 *40642:A *2341:14 0 +5 *40642:A *2732:67 0 +6 *40642:A *3786:59 0 +7 *40776:A *40642:A 0 +8 *2217:80 *40642:A 0 +9 *2221:100 *40642:A 0 +10 *2267:57 *40642:A 0 +11 *2304:32 *40642:A 0 +12 *2338:18 *40642:A 0 +*RES +1 *38890:HI[92] *40642:A 48.3124 +*END + +*D_NET *2340 0.0117215 +*CONN +*I *8352:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40638:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[93] O *D mprj_logic_high +*CAP +1 *8352:DIODE 0 +2 *40638:A 0.000339436 +3 *38890:HI[93] 0.000691109 +4 *2340:20 0.000775141 +5 *2340:14 0.0048302 +6 *2340:13 0.0050856 +7 *40638:A *5670:DIODE 0 +8 *40638:A *3612:34 0 +9 *2340:13 *3201:29 0 +10 *2340:13 *3812:21 0 +11 *2340:14 *3190:18 0 +12 *2340:14 *3191:59 0 +13 *2340:14 *3191:83 0 +14 *2340:14 *4336:9 0 +15 *2340:20 *5669:DIODE 0 +16 *2340:20 *5670:DIODE 0 +17 *2340:20 *3120:50 0 +18 *37827:A *2340:14 0 +19 *40840:A *40638:A 0 +20 *2222:43 *40638:A 0 +21 *2222:43 *2340:20 0 +22 *2258:13 *40638:A 0 +23 *2264:12 *2340:20 0 +24 *2269:46 *2340:14 0 +25 *2319:30 *2340:14 0 +26 *2334:20 *2340:14 0 +*RES +1 *38890:HI[93] *2340:13 28.7143 +2 *2340:13 *2340:14 91.7143 +3 *2340:14 *2340:20 19.0893 +4 *2340:20 *40638:A 25.925 +5 *2340:20 *8352:DIODE 9.3 +*END + +*D_NET *2341 0.00427464 +*CONN +*I *40635:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[94] O *D mprj_logic_high +*CAP +1 *40635:A 0.000647113 +2 *38890:HI[94] 0.00149021 +3 *2341:14 0.00213732 +4 *40635:A *37826:B 0 +5 *40635:A *2346:39 0 +6 *40635:A *2732:67 0 +7 *40635:A *3083:67 0 +8 *2341:14 *5688:DIODE 0 +9 *2341:14 *8958:DIODE 0 +10 *2341:14 *40297:A 0 +11 *2341:14 *2344:7 0 +12 *2341:14 *3714:18 0 +13 *2341:14 *4362:18 0 +14 *8527:DIODE *40635:A 0 +15 *37826:A *40635:A 0 +16 *40642:A *2341:14 0 +17 *40711:A *40635:A 0 +18 *1312:12 *40635:A 0 +19 *2156:26 *2341:14 0 +20 *2262:26 *2341:14 0 +21 *2335:16 *40635:A 0 +22 *2338:18 *2341:14 0 +*RES +1 *38890:HI[94] *2341:14 45.9821 +2 *2341:14 *40635:A 32.0857 +*END + +*D_NET *2342 0.0125783 +*CONN +*I *8346:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40631:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[95] O *D mprj_logic_high +*CAP +1 *8346:DIODE 0.000108589 +2 *40631:A 4.62038e-05 +3 *38890:HI[95] 0.00359617 +4 *2342:22 0.000681176 +5 *2342:17 0.00253817 +6 *2342:13 0.00560796 +7 *8346:DIODE *2865:14 0 +8 *2342:13 *2343:35 0 +9 *2342:13 *2343:50 0 +10 *2342:13 *3797:30 0 +11 *2342:13 *3826:13 0 +12 *2342:13 *4129:41 0 +13 *2342:13 *4353:9 0 +14 *2342:17 *2343:50 0 +15 *2342:17 *3123:67 0 +16 *2342:17 *4101:25 0 +17 *2342:22 *3581:34 0 +18 *2342:22 *3798:14 0 +19 *2342:22 *4333:50 0 +20 *37818:A *2342:22 0 +21 *2101:27 *2342:13 0 +22 *2246:23 *2342:13 0 +23 *2246:23 *2342:17 0 +24 *2249:16 *2342:22 0 +25 *2250:25 *2342:13 0 +26 *2251:11 *2342:17 0 +27 *2253:17 *2342:22 0 +28 *2258:13 *2342:17 0 +29 *2261:13 *2342:17 0 +30 *2267:26 *2342:17 0 +31 *2286:36 *2342:13 0 +32 *2286:36 *2342:17 0 +33 *2294:10 *2342:13 0 +34 *2295:28 *2342:13 0 +35 *2327:38 *2342:17 0 +*RES +1 *38890:HI[95] *2342:13 43.545 +2 *2342:13 *2342:17 46.5179 +3 *2342:17 *2342:22 12.0179 +4 *2342:22 *40631:A 14.7643 +5 *2342:22 *8346:DIODE 16.2821 +*END + +*D_NET *2343 0.0111793 +*CONN +*I *8343:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40628:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[96] O *D mprj_logic_high +*CAP +1 *8343:DIODE 0 +2 *40628:A 0.000196029 +3 *38890:HI[96] 0.00167207 +4 *2343:54 0.00113572 +5 *2343:50 0.00210633 +6 *2343:35 0.00278184 +7 *2343:22 0.00328727 +8 *40628:A *3612:34 0 +9 *40628:A *4350:80 0 +10 *2343:22 *4345:72 0 +11 *2343:35 *3801:15 0 +12 *2343:35 *3801:19 0 +13 *2343:50 *4353:9 0 +14 *2343:54 *3588:32 0 +15 *2343:54 *4356:14 0 +16 *2343:54 *5147:42 0 +17 *2222:43 *40628:A 0 +18 *2222:43 *2343:54 0 +19 *2246:23 *2343:35 0 +20 *2250:25 *2343:50 0 +21 *2251:11 *2343:50 0 +22 *2275:26 *2343:54 0 +23 *2277:21 *2343:54 0 +24 *2286:36 *2343:50 0 +25 *2289:16 *2343:35 0 +26 *2289:22 *2343:22 0 +27 *2289:22 *2343:35 0 +28 *2289:30 *2343:22 0 +29 *2294:10 *2343:35 0 +30 *2299:19 *2343:35 0 +31 *2301:19 *2343:35 0 +32 *2311:12 *2343:35 0 +33 *2311:16 *2343:22 0 +34 *2311:16 *2343:35 0 +35 *2327:38 *2343:50 0 +36 *2342:13 *2343:35 0 +37 *2342:13 *2343:50 0 +38 *2342:17 *2343:50 0 +*RES +1 *38890:HI[96] *2343:22 39.6786 +2 *2343:22 *2343:35 47.625 +3 *2343:35 *2343:50 42.9286 +4 *2343:50 *2343:54 25.4643 +5 *2343:54 *40628:A 22.55 +6 *2343:54 *8343:DIODE 9.3 +*END + +*D_NET *2344 0.0271504 +*CONN +*I *8340:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40625:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[97] O *D mprj_logic_high +*CAP +1 *8340:DIODE 0.000101392 +2 *40625:A 2.56688e-05 +3 *38890:HI[97] 3.89331e-05 +4 *2344:59 0.00194675 +5 *2344:51 0.00324257 +6 *2344:38 0.00402356 +7 *2344:37 0.00263292 +8 *2344:32 0.00239976 +9 *2344:31 0.00373764 +10 *2344:23 0.00266755 +11 *2344:7 0.00379608 +12 *2344:5 0.0025376 +13 *8340:DIODE *4346:63 0 +14 *40625:A *4346:63 0 +15 *2344:7 *5688:DIODE 0 +16 *2344:7 *8965:DIODE 0 +17 *2344:7 *2856:38 0 +18 *2344:7 *2936:37 0 +19 *2344:7 *3180:8 0 +20 *2344:7 *3668:28 0 +21 *2344:7 *3786:59 0 +22 *2344:7 *5141:12 0 +23 *2344:23 *2529:8 0 +24 *2344:23 *2728:17 0 +25 *2344:23 *2734:19 0 +26 *2344:23 *3668:28 0 +27 *2344:23 *3796:16 0 +28 *2344:23 *4690:19 0 +29 *2344:37 *38956:A 0 +30 *2344:37 *5041:9 0 +31 *2344:38 *2529:19 0 +32 *2344:38 *2644:11 0 +33 *2344:38 *2737:26 0 +34 *2344:51 *2519:8 0 +35 *2344:51 *2607:32 0 +36 *2344:51 *2644:11 0 +37 *2344:51 *2751:43 0 +38 *2344:51 *2877:45 0 +39 *2344:51 *5066:11 0 +40 *2344:59 *2525:39 0 +41 *2344:59 *2587:10 0 +42 *2344:59 *2607:32 0 +43 *2344:59 *2628:10 0 +44 *2344:59 *2751:42 0 +45 *2344:59 *5135:38 0 +46 *8514:DIODE *2344:7 0 +47 *37783:A *2344:38 0 +48 *37829:A *2344:7 0 +49 *39481:B *8340:DIODE 0 +50 *39481:B *40625:A 0 +51 *315:17 *2344:59 0 +52 *317:7 *2344:51 0 +53 *333:18 *2344:23 0 +54 *337:29 *2344:7 0 +55 *342:8 *2344:23 0 +56 *342:8 *2344:32 0 +57 *342:18 *2344:32 0 +58 *342:18 *2344:38 0 +59 *342:28 *2344:51 0 +60 *349:10 *2344:31 0 +61 *353:14 *2344:31 0 +62 *1026:75 *2344:23 0 +63 *1199:10 *2344:59 0 +64 *1290:33 *2344:31 0 +65 *1290:35 *2344:32 0 +66 *1299:24 *2344:59 0 +67 *2262:26 *2344:7 0 +68 *2289:30 *2344:7 0 +69 *2315:33 *2344:7 0 +70 *2341:14 *2344:7 0 +*RES +1 *38890:HI[97] *2344:5 0.883929 +2 *2344:5 *2344:7 56.7411 +3 *2344:7 *2344:23 45.7857 +4 *2344:23 *2344:31 42.1786 +5 *2344:31 *2344:32 49.4107 +6 *2344:32 *2344:37 9.73214 +7 *2344:37 *2344:38 54.3393 +8 *2344:38 *2344:51 43.5536 +9 *2344:51 *2344:59 45.8929 +10 *2344:59 *40625:A 9.83571 +11 *2344:59 *8340:DIODE 11.4786 +*END + +*D_NET *2345 0.00336006 +*CONN +*I *40623:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[98] O *D mprj_logic_high +*CAP +1 *40623:A 0.000317026 +2 *38890:HI[98] 0.00136301 +3 *2345:19 0.00168003 +4 *40623:A *2941:47 0 +5 *40623:A *4341:22 0 +6 *2345:19 *37837:A 0 +7 *2345:19 *40297:A 0 +8 *2345:19 *2346:25 0 +9 *2345:19 *3085:61 0 +10 *2345:19 *3741:16 0 +11 *2345:19 *3787:48 0 +12 *2345:19 *3956:24 0 +13 *2345:19 *5117:22 0 +14 *2345:19 *5142:8 0 +15 *40776:A *2345:19 0 +16 *2156:26 *2345:19 0 +17 *2289:30 *2345:19 0 +18 *2325:27 *2345:19 0 +19 *2338:18 *2345:19 0 +*RES +1 *38890:HI[98] *2345:19 47.9911 +2 *2345:19 *40623:A 22.2563 +*END + +*D_NET *2346 0.0142559 +*CONN +*I *8336:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40620:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[99] O *D mprj_logic_high +*CAP +1 *8336:DIODE 0.000414633 +2 *40620:A 2.56688e-05 +3 *38890:HI[99] 0.0015334 +4 *2346:59 0.000619532 +5 *2346:54 0.00284044 +6 *2346:52 0.00397777 +7 *2346:39 0.00231382 +8 *2346:25 0.00253067 +9 *8336:DIODE *3581:34 0 +10 *8336:DIODE *3799:8 0 +11 *2346:25 *6353:DIODE 0 +12 *2346:25 *8109:DIODE 0 +13 *2346:25 *40301:A 0 +14 *2346:25 *3186:46 0 +15 *2346:25 *3659:14 0 +16 *2346:25 *3689:15 0 +17 *2346:25 *3741:16 0 +18 *2346:25 *3956:24 0 +19 *2346:25 *4332:17 0 +20 *2346:39 *3790:11 0 +21 *2346:52 *8239:DIODE 0 +22 *2346:52 *3085:78 0 +23 *2346:52 *3358:23 0 +24 *2346:52 *4338:30 0 +25 *2346:54 *2732:15 0 +26 *2346:54 *2948:37 0 +27 *2346:54 *4338:30 0 +28 *40635:A *2346:39 0 +29 *40764:A *2346:52 0 +30 *2156:26 *2346:25 0 +31 *2222:43 *8336:DIODE 0 +32 *2224:26 *8336:DIODE 0 +33 *2246:8 *2346:54 0 +34 *2247:15 *8336:DIODE 0 +35 *2259:26 *8336:DIODE 0 +36 *2275:73 *2346:25 0 +37 *2304:32 *2346:52 0 +38 *2319:24 *2346:52 0 +39 *2319:24 *2346:54 0 +40 *2320:27 *2346:54 0 +41 *2326:23 *2346:39 0 +42 *2331:10 *2346:52 0 +43 *2335:16 *2346:39 0 +44 *2338:18 *2346:39 0 +45 *2345:19 *2346:25 0 +*RES +1 *38890:HI[99] *2346:25 46.0156 +2 *2346:25 *2346:39 43.6786 +3 *2346:39 *2346:52 37.0893 +4 *2346:52 *2346:54 55.5714 +5 *2346:54 *2346:59 13.0714 +6 *2346:59 *40620:A 9.83571 +7 *2346:59 *8336:DIODE 27.7286 +*END + +*D_NET *2347 0.00730956 +*CONN +*I *40617:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38890:HI[9] O *D mprj_logic_high +*CAP +1 *40617:A 0 +2 *38890:HI[9] 0.00166677 +3 *2347:33 0.00198801 +4 *2347:16 0.00365478 +5 *2347:16 *3252:55 0 +6 *2347:16 *3659:14 0 +7 *2347:16 *3681:14 0 +8 *2347:16 *3714:21 0 +9 *2347:16 *3956:24 0 +10 *2347:16 *4345:75 0 +11 *2347:33 *2437:20 0 +12 *2347:33 *3124:49 0 +13 *2347:33 *3191:57 0 +14 *2347:33 *3386:28 0 +15 *2347:33 *3546:26 0 +16 *2347:33 *3689:15 0 +17 *2347:33 *3698:17 0 +18 *2347:33 *3698:48 0 +19 *2347:33 *3797:59 0 +20 *2347:33 *4218:24 0 +21 *2347:33 *4324:20 0 +22 *2347:33 *4336:9 0 +23 *40739:A *2347:16 0 +24 *2156:26 *2347:16 0 +25 *2270:60 *2347:16 0 +26 *2274:47 *2347:33 0 +27 *2278:54 *2347:33 0 +28 *2306:40 *2347:16 0 +29 *2314:10 *2347:16 0 +*RES +1 *38890:HI[9] *2347:16 49.4464 +2 *2347:16 *2347:33 44.427 +3 *2347:33 *40617:A 9.3 +*END + +*D_NET *2348 0.00242947 +*CONN +*I *40609:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38413:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40609:A 0 +2 *38413:X 0.00121474 +3 *2348:13 0.00121474 +4 *6661:DIODE *2348:13 0 +*RES +1 *38413:X *2348:13 43.9071 +2 *2348:13 *40609:A 9.3 +*END + +*D_NET *2349 0.00595139 +*CONN +*I *38092:C I *D sky130_fd_sc_hd__and3b_2 +*I *38414:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *38092:C 0.0015114 +2 *38414:X 0.0014643 +3 *2349:8 0.00297569 +4 *38092:C *6108:DIODE 0 +5 *38092:C *41276:A 0 +6 *38092:C *3443:53 0 +7 *38092:C *3443:75 0 +8 *38092:C *3528:20 0 +9 *38092:C *3955:26 0 +10 *38092:C *3972:24 0 +11 *38092:C *4008:99 0 +12 *38092:C *4017:117 0 +13 *38092:C *4019:28 0 +14 *38092:C *4019:36 0 +15 *38092:C *4268:14 0 +16 *38092:C *4272:38 0 +17 *38092:C *4276:20 0 +18 *2349:8 *7122:DIODE 0 +19 *2349:8 *3092:17 0 +20 *2349:8 *3105:42 0 +21 *2349:8 *3198:55 0 +22 *2349:8 *3311:61 0 +23 *2349:8 *4171:10 0 +24 *2349:8 *4276:20 0 +*RES +1 *38414:X *2349:8 46.6929 +2 *2349:8 *38092:C 44.9669 +*END + +*D_NET *2350 0.00323176 +*CONN +*I *40605:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38415:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40605:A 0.000124921 +2 *38415:X 0.00149096 +3 *2350:10 0.00161588 +4 *40605:A *3760:17 0 +5 *40605:A *5170:27 0 +6 *2350:10 *3760:17 0 +7 *2350:10 *5148:12 0 +8 *6554:DIODE *2350:10 0 +9 *2080:15 *2350:10 0 +*RES +1 *38415:X *2350:10 49.4429 +2 *2350:10 *40605:A 11.9071 +*END + +*D_NET *2351 0.0188375 +*CONN +*I *39077:A I *D sky130_fd_sc_hd__buf_12 +*I *7168:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39554:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *39077:A 0.000355352 +2 *7168:DIODE 0 +3 *39554:X 0.000165957 +4 *2351:21 0.0017389 +5 *2351:20 0.0019773 +6 *2351:15 0.00282351 +7 *2351:14 0.00232886 +8 *2351:9 0.00469039 +9 *2351:8 0.00475725 +10 *39077:A *3374:14 0 +11 *39077:A *3374:25 0 +12 *39077:A *4444:10 0 +13 *39077:A *4444:12 0 +14 *39077:A *5202:19 0 +15 *2351:8 *3127:17 0 +16 *2351:8 *3468:10 0 +17 *2351:9 *2355:21 0 +18 *2351:9 *3910:19 0 +19 *2351:9 *3914:12 0 +20 *2351:14 *3918:42 0 +21 *2351:15 *2354:11 0 +22 *2351:15 *2356:17 0 +23 *2351:15 *3879:25 0 +24 *2351:15 *3887:19 0 +25 *2351:15 *3914:5 0 +26 *2351:20 *40544:A 0 +27 *2351:20 *3379:14 0 +28 *2351:20 *3903:14 0 +29 *2351:21 *5202:19 0 +30 *6646:DIODE *2351:21 0 +31 *6647:DIODE *2351:21 0 +32 *6790:DIODE *2351:21 0 +33 *376:33 *2351:20 0 +34 *387:51 *2351:20 0 +35 *446:5 *39077:A 0 +36 *829:5 *39077:A 0 +37 *1166:9 *2351:9 0 +38 *1170:11 *2351:9 0 +39 *1785:15 *2351:9 0 +40 *1790:8 *2351:14 0 +41 *1802:8 *2351:20 0 +*RES +1 *39554:X *2351:8 22.0679 +2 *2351:8 *2351:9 95.8214 +3 *2351:9 *2351:14 11.25 +4 *2351:14 *2351:15 46.5357 +5 *2351:15 *2351:20 22.4821 +6 *2351:20 *2351:21 28.875 +7 *2351:21 *7168:DIODE 9.3 +8 *2351:21 *39077:A 26.3536 +*END + +*D_NET *2352 0.0186027 +*CONN +*I *39075:A I *D sky130_fd_sc_hd__buf_12 +*I *7166:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39555:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *39075:A 0.00030175 +2 *7166:DIODE 0 +3 *39555:X 0.00154034 +4 *2352:29 0.000946442 +5 *2352:23 0.00311061 +6 *2352:22 0.00265901 +7 *2352:13 0.00327891 +8 *2352:11 0.00415556 +9 *2352:7 0.00261009 +10 *39075:A *37534:B 0 +11 *39075:A *3367:5 0 +12 *2352:7 *2362:24 0 +13 *2352:7 *3204:9 0 +14 *2352:7 *3914:12 0 +15 *2352:7 *4671:41 0 +16 *2352:11 *2362:24 0 +17 *2352:11 *3204:9 0 +18 *2352:11 *3914:5 0 +19 *2352:11 *3914:12 0 +20 *2352:11 *4821:22 0 +21 *2352:13 *2356:17 0 +22 *2352:13 *3204:9 0 +23 *2352:13 *3887:19 0 +24 *2352:13 *3887:21 0 +25 *2352:13 *3914:5 0 +26 *2352:23 *3903:14 0 +27 *2352:29 *40543:A 0 +28 *2352:29 *3367:5 0 +29 *2352:29 *3463:21 0 +30 *296:30 *2352:23 0 +31 *376:46 *2352:23 0 +32 *376:59 *2352:22 0 +33 *376:59 *2352:23 0 +34 *1793:20 *2352:29 0 +35 *1985:13 *39075:A 0 +*RES +1 *39555:X *2352:7 41.4786 +2 *2352:7 *2352:11 22.4196 +3 *2352:11 *2352:13 64.4018 +4 *2352:13 *2352:22 13.4464 +5 *2352:22 *2352:23 51.4643 +6 *2352:23 *2352:29 23.4464 +7 *2352:29 *7166:DIODE 9.3 +8 *2352:29 *39075:A 25.1393 +*END + +*D_NET *2353 0.0189389 +*CONN +*I *39074:A I *D sky130_fd_sc_hd__buf_12 +*I *7165:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39556:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *39074:A 0.000104386 +2 *7165:DIODE 0 +3 *39556:X 0.00110639 +4 *2353:16 0.000190118 +5 *2353:11 0.00825867 +6 *2353:10 0.00927933 +7 *39074:A *3293:11 0 +8 *39074:A *3377:9 0 +9 *2353:10 *39556:A 0 +10 *2353:10 *2949:17 0 +11 *2353:10 *3093:15 0 +12 *2353:10 *3096:10 0 +13 *2353:10 *3249:50 0 +14 *2353:10 *3380:38 0 +15 *2353:10 *4791:25 0 +16 *2353:11 *2357:11 0 +17 *2353:11 *4560:9 0 +18 *2353:11 *4813:11 0 +19 *2353:11 *5201:11 0 +20 *423:7 *2353:10 0 +21 *570:7 *2353:16 0 +22 *826:5 *2353:16 0 +23 *2007:14 *2353:11 0 +*RES +1 *39556:X *2353:10 43.2643 +2 *2353:10 *2353:11 170.571 +3 *2353:11 *2353:16 10.9464 +4 *2353:16 *7165:DIODE 9.3 +5 *2353:16 *39074:A 11.4786 +*END + +*D_NET *2354 0.0183426 +*CONN +*I *39073:A I *D sky130_fd_sc_hd__buf_12 +*I *7164:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39557:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *39073:A 0.000463992 +2 *7164:DIODE 0 +3 *39557:X 0 +4 *2354:16 0.00102964 +5 *2354:11 0.00299221 +6 *2354:10 0.00260577 +7 *2354:5 0.00571511 +8 *2354:4 0.0055359 +9 *39073:A *3293:11 0 +10 *39073:A *4442:8 0 +11 *39073:A *4823:8 0 +12 *39073:A *5202:19 0 +13 *2354:5 *3052:15 0 +14 *2354:5 *3929:27 0 +15 *2354:11 *3879:25 0 +16 *2354:11 *3887:19 0 +17 *2354:16 *4442:8 0 +18 *6644:DIODE *39073:A 0 +19 *6787:DIODE *39073:A 0 +20 *282:36 *2354:11 0 +21 *569:9 *39073:A 0 +22 *825:5 *39073:A 0 +23 *1170:11 *2354:5 0 +24 *1778:15 *2354:5 0 +25 *1785:15 *2354:5 0 +26 *1791:6 *39073:A 0 +27 *1791:6 *2354:16 0 +28 *2351:15 *2354:11 0 +*RES +1 *39557:X *2354:4 9.3 +2 *2354:4 *2354:5 115.536 +3 *2354:5 *2354:10 13.0714 +4 *2354:10 *2354:11 50.6429 +5 *2354:11 *2354:16 21.875 +6 *2354:16 *7164:DIODE 9.3 +7 *2354:16 *39073:A 28.5321 +*END + +*D_NET *2355 0.0189444 +*CONN +*I *7162:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39071:A I *D sky130_fd_sc_hd__buf_12 +*I *39558:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *7162:DIODE 0 +2 *39071:A 0.000392998 +3 *39558:X 5.89896e-05 +4 *2355:26 0.00106703 +5 *2355:21 0.00565891 +6 *2355:20 0.00601801 +7 *2355:9 0.00336129 +8 *2355:8 0.00238715 +9 *39071:A *3367:21 0 +10 *39071:A *3377:9 0 +11 *39071:A *3437:59 0 +12 *39071:A *4440:8 0 +13 *2355:8 *3215:34 0 +14 *2355:8 *3894:27 0 +15 *2355:8 *4604:30 0 +16 *2355:8 *4637:47 0 +17 *2355:9 *2362:9 0 +18 *2355:9 *3052:15 0 +19 *2355:9 *3052:21 0 +20 *2355:9 *3889:21 0 +21 *2355:9 *4421:29 0 +22 *2355:20 *3304:45 0 +23 *2355:20 *3867:18 0 +24 *2355:20 *4821:22 0 +25 *2355:21 *3910:19 0 +26 *2355:26 *3367:21 0 +27 *2355:26 *3437:59 0 +28 *2355:26 *4440:8 0 +29 la_data_in_mprj[31] *39071:A 0 +30 *6643:DIODE *39071:A 0 +31 *38827:A *39071:A 0 +32 *295:24 *2355:20 0 +33 *365:14 *2355:21 0 +34 *440:5 *39071:A 0 +35 *568:11 *39071:A 0 +36 *2351:9 *2355:21 0 +*RES +1 *39558:X *2355:8 19.6393 +2 *2355:8 *2355:9 48.5893 +3 *2355:9 *2355:20 22.3236 +4 *2355:20 *2355:21 104.036 +5 *2355:21 *2355:26 24.3036 +6 *2355:26 *39071:A 26.9964 +7 *2355:26 *7162:DIODE 9.3 +*END + +*D_NET *2356 0.01907 +*CONN +*I *7161:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39070:A I *D sky130_fd_sc_hd__buf_12 +*I *39559:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *7161:DIODE 0 +2 *39070:A 0.000438042 +3 *39559:X 0.000493055 +4 *2356:20 0.000988926 +5 *2356:17 0.00293808 +6 *2356:16 0.00245956 +7 *2356:11 0.0056658 +8 *2356:9 0.0060865 +9 *39070:A *3377:8 0 +10 *39070:A *3377:9 0 +11 *39070:A *4430:91 0 +12 *39070:A *4437:10 0 +13 *39070:A *4441:10 0 +14 *2356:9 *4418:11 0 +15 *2356:9 *4418:19 0 +16 *2356:9 *4420:28 0 +17 *2356:11 *37504:B 0 +18 *2356:11 *41434:A 0 +19 *2356:11 *3093:15 0 +20 *2356:11 *3887:25 0 +21 *2356:11 *3911:13 0 +22 *2356:11 *4418:11 0 +23 *2356:17 *3887:19 0 +24 *2356:17 *3914:5 0 +25 *2356:17 *3918:42 0 +26 *2356:20 *4437:23 0 +27 *2356:20 *4441:10 0 +28 la_data_in_mprj[30] *39070:A 0 +29 *6782:DIODE *39070:A 0 +30 *282:36 *2356:11 0 +31 *282:50 *2356:11 0 +32 *282:64 *2356:9 0 +33 *282:64 *2356:11 0 +34 *439:5 *39070:A 0 +35 *821:7 *39070:A 0 +36 *1170:10 *2356:16 0 +37 *1747:5 *2356:9 0 +38 *1747:5 *2356:11 0 +39 *1790:8 *2356:20 0 +40 *2351:15 *2356:17 0 +41 *2352:13 *2356:17 0 +*RES +1 *39559:X *2356:9 19.6214 +2 *2356:9 *2356:11 116.768 +3 *2356:11 *2356:16 10.6429 +4 *2356:16 *2356:17 49.8214 +5 *2356:17 *2356:20 17.0714 +6 *2356:20 *39070:A 23.7286 +7 *2356:20 *7161:DIODE 13.8 +*END + +*D_NET *2357 0.0188295 +*CONN +*I *39068:A I *D sky130_fd_sc_hd__buf_12 +*I *7160:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39560:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *39068:A 0.000637441 +2 *7160:DIODE 0 +3 *39560:X 0.00155537 +4 *2357:16 0.000709763 +5 *2357:11 0.00722193 +6 *2357:10 0.00714961 +7 *2357:8 0.00155537 +8 *39068:A *39067:A 0 +9 *39068:A *4891:9 0 +10 *2357:8 *4420:28 0 +11 *2357:8 *4593:20 0 +12 *2357:11 *3115:9 0 +13 *2357:11 *3193:9 0 +14 *2357:11 *3627:9 0 +15 *2357:11 *4560:9 0 +16 *2357:11 *4796:21 0 +17 *2357:11 *4866:11 0 +18 *2357:11 *5201:11 0 +19 la_data_in_mprj[28] *39068:A 0 +20 la_data_in_mprj[28] *2357:16 0 +21 la_data_in_mprj[29] *39068:A 0 +22 *6620:DIODE *2357:8 0 +23 *6762:DIODE *2357:8 0 +24 *547:7 *2357:8 0 +25 *803:9 *2357:8 0 +26 *819:8 *2357:16 0 +27 *2353:11 *2357:11 0 +*RES +1 *39560:X *2357:8 49.0679 +2 *2357:8 *2357:10 4.5 +3 *2357:10 *2357:11 149.214 +4 *2357:11 *2357:16 10.6429 +5 *2357:16 *7160:DIODE 9.3 +6 *2357:16 *39068:A 22.7286 +*END + +*D_NET *2358 0.0187721 +*CONN +*I *7159:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39067:A I *D sky130_fd_sc_hd__buf_12 +*I *39561:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *7159:DIODE 2.56688e-05 +2 *39067:A 0.000364498 +3 *39561:X 0.00774959 +4 *2358:22 0.00163646 +5 *2358:16 0.00899589 +6 *39067:A *4796:18 0 +7 *39067:A *4891:9 0 +8 *2358:16 *39561:A 0 +9 *2358:16 *3344:17 0 +10 *2358:16 *3367:21 0 +11 *2358:16 *3369:21 0 +12 *2358:16 *3468:21 0 +13 *2358:16 *3862:51 0 +14 *2358:16 *3867:18 0 +15 *2358:16 *3885:34 0 +16 *2358:16 *4419:35 0 +17 *2358:16 *4737:18 0 +18 *2358:16 *4805:15 0 +19 *2358:22 *3066:11 0 +20 *2358:22 *3067:15 0 +21 *2358:22 *4429:67 0 +22 la_data_in_mprj[28] *39067:A 0 +23 *37329:A *39067:A 0 +24 *37329:A *2358:22 0 +25 *38501:A *39067:A 0 +26 *39068:A *39067:A 0 +27 *301:47 *39067:A 0 +28 *563:12 *39067:A 0 +29 *819:8 *39067:A 0 +30 *1179:38 *2358:16 0 +31 *1354:49 *2358:16 0 +32 *1774:16 *2358:16 0 +33 *1786:11 *2358:16 0 +*RES +1 *39561:X *2358:16 49.7153 +2 *2358:16 *2358:22 12.3425 +3 *2358:22 *39067:A 21.9607 +4 *2358:22 *7159:DIODE 14.3357 +*END + +*D_NET *2359 0.0184266 +*CONN +*I *39065:A I *D sky130_fd_sc_hd__buf_12 +*I *7157:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39562:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *39065:A 0.000436445 +2 *7157:DIODE 0 +3 *39562:X 0.000816518 +4 *2359:19 0.00360782 +5 *2359:17 0.00319191 +6 *2359:15 0.00478894 +7 *2359:14 0.00558492 +8 *39065:A *37512:B 0 +9 *39065:A *3204:8 0 +10 *39065:A *4637:18 0 +11 *39065:A *4891:9 0 +12 *39065:A *5202:15 0 +13 *2359:14 *2694:18 0 +14 *2359:14 *3877:63 0 +15 *2359:14 *4537:23 0 +16 *2359:14 *4879:28 0 +17 *2359:15 *7148:DIODE 0 +18 *2359:15 *37518:A 0 +19 *2359:15 *3175:16 0 +20 *2359:15 *3431:17 0 +21 *2359:15 *3872:37 0 +22 *2359:15 *4371:13 0 +23 *2359:15 *4785:17 0 +24 *2359:15 *4799:28 0 +25 *2359:15 *4879:12 0 +26 *2359:15 *4879:23 0 +27 *2359:15 *4879:28 0 +28 *2359:19 *7155:DIODE 0 +29 *2359:19 *37512:B 0 +30 *2359:19 *3182:17 0 +31 *2359:19 *3872:37 0 +32 *2359:19 *4799:28 0 +33 *2359:19 *4799:37 0 +34 *2359:19 *4820:18 0 +35 *2359:19 *4879:12 0 +36 *2359:19 *5202:15 0 +37 *6623:DIODE *2359:15 0 +38 *6624:DIODE *2359:15 0 +39 *6626:DIODE *2359:15 0 +40 *6752:DIODE *2359:15 0 +41 *6765:DIODE *2359:15 0 +42 *6856:DIODE *2359:15 0 +43 *6954:DIODE *2359:19 0 +44 *37487:A *2359:19 0 +45 *37519:A *2359:15 0 +46 *38404:A *2359:19 0 +47 *38499:A *39065:A 0 +48 *343:72 *2359:14 0 +49 *561:9 *39065:A 0 +50 *817:8 *39065:A 0 +51 *1158:5 *2359:15 0 +52 *1680:9 *2359:14 0 +53 *1841:17 *2359:19 0 +*RES +1 *39562:X *2359:14 44.925 +2 *2359:14 *2359:15 99.5179 +3 *2359:15 *2359:17 0.428571 +4 *2359:17 *2359:19 66.25 +5 *2359:19 *7157:DIODE 9.3 +6 *2359:19 *39065:A 28.0143 +*END + +*D_NET *2360 0.0190317 +*CONN +*I *39064:A I *D sky130_fd_sc_hd__buf_12 +*I *7156:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39563:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *39064:A 4.23535e-05 +2 *7156:DIODE 7.23606e-05 +3 *39563:X 0.000272847 +4 *2360:59 0.00149933 +5 *2360:51 0.00265502 +6 *2360:36 0.00360633 +7 *2360:27 0.00328653 +8 *2360:24 0.00249729 +9 *2360:14 0.00318673 +10 *2360:8 0.00191289 +11 *7156:DIODE *5201:10 0 +12 *39064:A *4649:13 0 +13 *39064:A *4671:11 0 +14 *2360:14 *2364:21 0 +15 *2360:14 *3149:25 0 +16 *2360:14 *4420:28 0 +17 *2360:24 *5287:DIODE 0 +18 *2360:24 *2363:21 0 +19 *2360:24 *3149:19 0 +20 *2360:24 *3149:24 0 +21 *2360:24 *4810:7 0 +22 *2360:24 *4821:10 0 +23 *2360:27 *2364:21 0 +24 *2360:36 *40564:A 0 +25 *2360:36 *2827:43 0 +26 *2360:36 *3149:12 0 +27 *2360:36 *3466:29 0 +28 *2360:36 *3872:37 0 +29 *2360:36 *4421:29 0 +30 *2360:36 *4422:11 0 +31 *2360:36 *4430:36 0 +32 *2360:36 *4482:22 0 +33 *2360:36 *4549:15 0 +34 *2360:36 *4637:30 0 +35 *2360:36 *4791:35 0 +36 *2360:36 *4891:40 0 +37 *2360:51 *4433:25 0 +38 *2360:51 *4649:33 0 +39 *2360:51 *4671:30 0 +40 *2360:59 *3160:26 0 +41 *2360:59 *3378:5 0 +42 *2360:59 *4431:17 0 +43 *2360:59 *4626:14 0 +44 *2360:59 *4649:13 0 +45 *2360:59 *4671:11 0 +46 *2360:59 *4813:10 0 +47 la_data_in_mprj[25] *39064:A 0 +48 *6634:DIODE *7156:DIODE 0 +49 *37343:A *2360:14 0 +50 *37344:A *2360:59 0 +51 *38818:A *2360:59 0 +52 *332:37 *2360:8 0 +53 *554:7 *2360:36 0 +54 *557:7 *2360:51 0 +55 *815:8 *2360:59 0 +56 *1170:26 *2360:36 0 +57 *1294:9 *2360:27 0 +58 *1658:9 *2360:24 0 +59 *1658:9 *2360:27 0 +60 *1772:14 *2360:14 0 +61 *1780:29 *2360:24 0 +62 *1782:49 *2360:51 0 +63 *1782:49 *2360:59 0 +*RES +1 *39563:X *2360:8 24.4964 +2 *2360:8 *2360:14 43.4107 +3 *2360:14 *2360:24 41.6071 +4 *2360:24 *2360:27 24.3393 +5 *2360:27 *2360:36 45.5054 +6 *2360:36 *2360:51 44.7857 +7 *2360:51 *2360:59 28.9286 +8 *2360:59 *7156:DIODE 19.9429 +9 *2360:59 *39064:A 10.2464 +*END + +*D_NET *2361 0.0162586 +*CONN +*I *8323:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40603:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38416:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8323:DIODE 6.50276e-05 +2 *40603:A 0.0001541 +3 *38416:X 0.0048217 +4 *2361:22 0.00330758 +5 *2361:16 0.00791016 +6 *8323:DIODE *4264:9 0 +7 *40603:A *40187:A 0 +8 *2361:16 *2372:8 0 +9 *2361:16 *3341:27 0 +10 *2361:16 *3442:24 0 +11 *2361:16 *3716:17 0 +12 *2361:16 *3794:16 0 +13 *2361:16 *4488:11 0 +14 *2361:16 *5192:9 0 +15 *2361:22 *39130:A 0 +16 *2361:22 *2409:22 0 +17 *2361:22 *2424:62 0 +18 *2361:22 *3321:29 0 +19 *2361:22 *3322:37 0 +20 *2361:22 *3518:39 0 +21 *2361:22 *4490:55 0 +22 *2361:22 *5170:52 0 +23 *869:5 *2361:16 0 +24 *1960:22 *2361:22 0 +25 *1987:36 *2361:16 0 +26 *2008:27 *2361:16 0 +27 *2080:33 *2361:22 0 +28 *2106:23 *2361:16 0 +29 *2106:23 *2361:22 0 +30 *2141:14 *2361:16 0 +*RES +1 *38416:X *2361:16 47.9601 +2 *2361:16 *2361:22 37.0626 +3 *2361:22 *40603:A 17.3937 +4 *2361:22 *8323:DIODE 15.1571 +*END + +*D_NET *2362 0.0186266 +*CONN +*I *7154:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39062:A I *D sky130_fd_sc_hd__buf_12 +*I *39564:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *7154:DIODE 6.09559e-06 +2 *39062:A 0.000454273 +3 *39564:X 4.56186e-05 +4 *2362:24 0.00239391 +5 *2362:18 0.00264469 +6 *2362:9 0.0068738 +7 *2362:8 0.00620826 +8 *39062:A *3171:14 0 +9 *39062:A *3380:20 0 +10 *2362:8 *3381:40 0 +11 *2362:8 *4475:8 0 +12 *2362:9 *3052:21 0 +13 *2362:9 *3889:21 0 +14 *2362:9 *4786:9 0 +15 *2362:18 *3149:12 0 +16 *2362:18 *3468:10 0 +17 *2362:18 *3889:21 0 +18 *2362:18 *4802:21 0 +19 *2362:24 *37482:B 0 +20 *2362:24 *40200:A 0 +21 *2362:24 *3171:14 0 +22 *2362:24 *3204:9 0 +23 *2362:24 *3465:25 0 +24 *2362:24 *3900:24 0 +25 *2362:24 *3924:15 0 +26 *2362:24 *4821:22 0 +27 la_data_in_mprj[23] *39062:A 0 +28 *6775:DIODE *7154:DIODE 0 +29 *6775:DIODE *39062:A 0 +30 *814:8 *39062:A 0 +31 *2352:7 *2362:24 0 +32 *2352:11 *2362:24 0 +33 *2355:9 *2362:9 0 +*RES +1 *39564:X *2362:8 19.3357 +2 *2362:8 *2362:9 128.679 +3 *2362:9 *2362:18 24.1964 +4 *2362:18 *2362:24 46.0982 +5 *2362:24 *39062:A 23.8625 +6 *2362:24 *7154:DIODE 14.0768 +*END + +*D_NET *2363 0.0184524 +*CONN +*I *7152:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39059:A I *D sky130_fd_sc_hd__buf_12 +*I *39565:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *7152:DIODE 0 +2 *39059:A 0.000614996 +3 *39565:X 0.00169713 +4 *2363:22 0.00170056 +5 *2363:21 0.00301308 +6 *2363:11 0.00582852 +7 *2363:9 0.00559815 +8 *39059:A *40564:A 0 +9 *39059:A *4891:19 0 +10 *2363:9 *4810:5 0 +11 *2363:11 *4810:7 0 +12 *2363:11 *4811:10 0 +13 *2363:21 *3378:24 0 +14 *2363:22 *3127:17 0 +15 *2363:22 *3304:48 0 +16 *2363:22 *3431:22 0 +17 *2363:22 *4802:16 0 +18 *2363:22 *4814:8 0 +19 *2363:22 *4816:10 0 +20 *6916:DIODE *39059:A 0 +21 *38769:A *39059:A 0 +22 *39436:A *2363:9 0 +23 *39436:B *2363:9 0 +24 *303:16 *2363:9 0 +25 *426:7 *39059:A 0 +26 *554:7 *39059:A 0 +27 *1170:26 *2363:21 0 +28 *1170:35 *2363:11 0 +29 *1170:35 *2363:21 0 +30 *1768:10 *2363:9 0 +31 *1778:20 *2363:22 0 +32 *1782:15 *2363:21 0 +33 *1830:13 *39059:A 0 +34 *1830:13 *2363:22 0 +35 *2360:24 *2363:21 0 +*RES +1 *39565:X *2363:9 44.7821 +2 *2363:9 *2363:11 81.4464 +3 *2363:11 *2363:21 45.0357 +4 *2363:21 *2363:22 24.7143 +5 *2363:22 *39059:A 27.2107 +6 *2363:22 *7152:DIODE 13.8 +*END + +*D_NET *2364 0.0186272 +*CONN +*I *7151:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39057:A I *D sky130_fd_sc_hd__buf_12 +*I *39566:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *7151:DIODE 7.78663e-05 +2 *39057:A 0.000302243 +3 *39566:X 4.56186e-05 +4 *2364:30 0.00167961 +5 *2364:29 0.00252556 +6 *2364:21 0.00420364 +7 *2364:20 0.00441557 +8 *2364:14 0.00338475 +9 *2364:8 0.00199238 +10 *39057:A *40479:A 0 +11 *2364:8 *4493:38 0 +12 *2364:14 *4126:31 0 +13 *2364:20 *4126:28 0 +14 *2364:20 *4420:54 0 +15 *2364:21 *3149:25 0 +16 *2364:21 *4802:11 0 +17 *2364:29 *2796:15 0 +18 *2364:29 *3896:25 0 +19 *2364:30 *3378:24 0 +20 *2364:30 *3390:17 0 +21 *2364:30 *3889:26 0 +22 *2364:30 *4775:16 0 +23 *2364:30 *4802:16 0 +24 *2364:30 *4816:10 0 +25 *6768:DIODE *39057:A 0 +26 *6768:DIODE *2364:30 0 +27 *38407:A *2364:30 0 +28 *39454:A *2364:30 0 +29 *321:13 *2364:30 0 +30 *354:17 *2364:29 0 +31 *1629:10 *2364:8 0 +32 *1783:10 *2364:30 0 +33 *1786:35 *2364:14 0 +34 *1830:13 *39057:A 0 +35 *1830:13 *2364:30 0 +36 *2360:14 *2364:21 0 +37 *2360:27 *2364:21 0 +*RES +1 *39566:X *2364:8 19.3357 +2 *2364:8 *2364:14 48.5618 +3 *2364:14 *2364:20 13.3505 +4 *2364:20 *2364:21 62.1429 +5 *2364:21 *2364:29 39.1964 +6 *2364:29 *2364:30 29.5714 +7 *2364:30 *39057:A 20.6036 +8 *2364:30 *7151:DIODE 15.5679 +*END + +*D_NET *2365 0.0331928 +*CONN +*I *5265:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37407:A I *D sky130_fd_sc_hd__inv_2 +*I *39567:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *5265:DIODE 4.23535e-05 +2 *37407:A 0.000130286 +3 *39567:X 0.00302556 +4 *2365:76 0.00103367 +5 *2365:71 0.00404238 +6 *2365:51 0.00483929 +7 *2365:39 0.00718101 +8 *2365:38 0.00716464 +9 *2365:32 0.00217479 +10 *2365:24 0.00355878 +11 *37407:A *3440:67 0 +12 *2365:24 *3003:24 0 +13 *2365:24 *3005:76 0 +14 *2365:24 *3029:68 0 +15 *2365:24 *3114:15 0 +16 *2365:24 *3288:10 0 +17 *2365:24 *4014:66 0 +18 *2365:24 *4044:25 0 +19 *2365:24 *4232:40 0 +20 *2365:32 *2479:39 0 +21 *2365:32 *3007:29 0 +22 *2365:32 *3007:31 0 +23 *2365:32 *3278:21 0 +24 *2365:38 *3108:37 0 +25 *2365:39 *2958:35 0 +26 *2365:51 *2958:39 0 +27 *2365:51 *3162:23 0 +28 *2365:51 *3688:22 0 +29 *2365:51 *3701:20 0 +30 *2365:51 *3979:32 0 +31 *2365:71 *41262:A 0 +32 *2365:71 *2417:100 0 +33 *2365:71 *3011:36 0 +34 *2365:71 *3678:19 0 +35 *2365:71 *3717:31 0 +36 *2365:71 *3937:24 0 +37 *2365:71 *4248:45 0 +38 *2365:71 *4309:40 0 +39 *2365:71 *4520:107 0 +40 *2365:71 *4716:6 0 +41 *2365:76 *7601:DIODE 0 +42 *2365:76 *38080:C 0 +43 *2365:76 *3178:43 0 +44 *2365:76 *3289:19 0 +45 *2365:76 *3318:28 0 +46 *2365:76 *3440:67 0 +47 *2365:76 *3497:50 0 +48 *2365:76 *4012:117 0 +49 *2365:76 *4029:89 0 +50 *363:8 *2365:39 0 +51 *1015:110 *2365:39 0 +52 *1029:84 *2365:71 0 +53 *1516:22 *2365:71 0 +*RES +1 *39567:X *2365:24 47.1613 +2 *2365:24 *2365:32 24.9821 +3 *2365:32 *2365:38 43.5893 +4 *2365:38 *2365:39 115.33 +5 *2365:39 *2365:51 48.2589 +6 *2365:51 *2365:71 48.5359 +7 *2365:71 *2365:76 28.5536 +8 *2365:76 *37407:A 21.1036 +9 *2365:76 *5265:DIODE 10.2464 +*END + +*D_NET *2366 0.0297255 +*CONN +*I *39567:A I *D sky130_fd_sc_hd__buf_4 +*I *7565:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39568:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39567:A 0.00122399 +2 *7565:DIODE 0.000703058 +3 *39568:X 0.000709173 +4 *2366:112 0.00232188 +5 *2366:61 0.00332416 +6 *2366:49 0.00346403 +7 *2366:43 0.00409185 +8 *2366:40 0.00485981 +9 *2366:28 0.00449355 +10 *2366:12 0.00280479 +11 *2366:8 0.00172918 +12 *7565:DIODE *3116:71 0 +13 *7565:DIODE *3288:10 0 +14 *7565:DIODE *3417:67 0 +15 *7565:DIODE *3423:21 0 +16 *39567:A *8627:DIODE 0 +17 *39567:A *40163:A 0 +18 *39567:A *3288:10 0 +19 *39567:A *3313:59 0 +20 *39567:A *3313:73 0 +21 *39567:A *3506:24 0 +22 *39567:A *4014:66 0 +23 *39567:A *4031:81 0 +24 *39567:A *4254:78 0 +25 *39567:A *4319:37 0 +26 *2366:8 *2411:30 0 +27 *2366:8 *2970:56 0 +28 *2366:12 *2411:30 0 +29 *2366:12 *4903:6 0 +30 *2366:28 *39663:A 0 +31 *2366:28 *3024:61 0 +32 *2366:28 *3514:48 0 +33 *2366:28 *4729:10 0 +34 *2366:28 *4740:11 0 +35 *2366:28 *5018:11 0 +36 *2366:40 *2441:29 0 +37 *2366:40 *2831:63 0 +38 *2366:40 *2834:44 0 +39 *2366:40 *3036:72 0 +40 *2366:40 *3042:70 0 +41 *2366:40 *3290:42 0 +42 *2366:40 *3718:33 0 +43 *2366:40 *4033:77 0 +44 *2366:40 *4909:8 0 +45 *2366:40 *5018:18 0 +46 *2366:43 *3428:29 0 +47 *2366:43 *3428:43 0 +48 *2366:43 *4033:61 0 +49 *2366:49 *2385:22 0 +50 *2366:49 *2926:20 0 +51 *2366:49 *3428:29 0 +52 *2366:61 *3072:23 0 +53 *2366:61 *4229:69 0 +54 *2366:61 *5182:81 0 +55 *2366:112 *8729:DIODE 0 +56 *2366:112 *3107:83 0 +57 *2366:112 *3413:60 0 +58 *2366:112 *3950:18 0 +59 *2366:112 *3978:33 0 +60 *2366:112 *4065:7 0 +61 *2366:112 *4254:78 0 +62 *2366:112 *4319:37 0 +63 *2366:112 *4319:41 0 +64 *2366:112 *4407:39 0 +65 *7380:DIODE *2366:28 0 +66 *39419:A *2366:28 0 +67 *40173:A *2366:8 0 +68 *370:36 *2366:28 0 +69 *380:26 *2366:40 0 +70 *1016:232 *2366:12 0 +71 *1320:11 *2366:49 0 +72 *1333:20 *2366:40 0 +73 *1418:21 *2366:49 0 +74 *1449:47 *2366:49 0 +75 *1451:39 *2366:40 0 +76 *1451:63 *2366:28 0 +77 *1553:39 *2366:43 0 +78 *1553:46 *2366:43 0 +79 *1623:11 *2366:28 0 +80 *1844:9 *2366:28 0 +81 *1844:23 *2366:40 0 +82 *1844:39 *2366:40 0 +*RES +1 *39568:X *2366:8 29.9429 +2 *2366:8 *2366:12 27.6964 +3 *2366:12 *2366:28 49.5341 +4 *2366:28 *2366:40 48.7867 +5 *2366:40 *2366:43 49.3929 +6 *2366:43 *2366:49 49.5893 +7 *2366:49 *2366:61 20.2959 +8 *2366:61 *7565:DIODE 29.6482 +9 *2366:61 *2366:112 47.3482 +10 *2366:112 *39567:A 45.6571 +*END + +*D_NET *2367 0.0343453 +*CONN +*I *5264:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37406:A I *D sky130_fd_sc_hd__inv_2 +*I *39569:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *5264:DIODE 2.22194e-05 +2 *37406:A 0.000119436 +3 *39569:X 0.000104386 +4 *2367:44 0.00126705 +5 *2367:24 0.00365591 +6 *2367:23 0.00361973 +7 *2367:17 0.00847498 +8 *2367:16 0.00890146 +9 *2367:8 0.00479574 +10 *2367:7 0.00338442 +11 *5264:DIODE *3207:35 0 +12 *37406:A *3148:36 0 +13 *37406:A *3207:35 0 +14 *37406:A *4889:22 0 +15 *2367:7 *4019:45 0 +16 *2367:7 *4270:32 0 +17 *2367:8 *8482:DIODE 0 +18 *2367:8 *2446:17 0 +19 *2367:8 *3025:80 0 +20 *2367:8 *3094:31 0 +21 *2367:8 *3434:45 0 +22 *2367:8 *3658:85 0 +23 *2367:8 *3696:62 0 +24 *2367:8 *3756:37 0 +25 *2367:8 *3951:39 0 +26 *2367:8 *3955:55 0 +27 *2367:8 *4074:65 0 +28 *2367:8 *4229:51 0 +29 *2367:8 *4278:37 0 +30 *2367:16 *2479:49 0 +31 *2367:16 *2722:19 0 +32 *2367:16 *3946:48 0 +33 *2367:17 *2715:21 0 +34 *2367:17 *3688:16 0 +35 *2367:17 *3688:23 0 +36 *2367:17 *4245:33 0 +37 *2367:17 *5000:17 0 +38 *2367:23 *2917:11 0 +39 *2367:23 *3688:16 0 +40 *2367:24 *6368:DIODE 0 +41 *2367:24 *8736:DIODE 0 +42 *2367:24 *3008:20 0 +43 *2367:24 *3076:52 0 +44 *2367:24 *3080:50 0 +45 *2367:24 *4022:94 0 +46 *2367:24 *4099:29 0 +47 *2367:44 *3008:20 0 +48 *38283:A *2367:17 0 +49 *368:10 *2367:16 0 +50 *1222:49 *2367:24 0 +51 *1233:18 *2367:44 0 +52 *1287:28 *2367:24 0 +53 *1435:19 *5264:DIODE 0 +54 *1435:19 *2367:44 0 +55 *1437:30 *2367:24 0 +56 *1527:8 *2367:24 0 +57 *1540:15 *2367:17 0 +58 *2318:37 *2367:24 0 +*RES +1 *39569:X *2367:7 15.9786 +2 *2367:7 *2367:8 74.5 +3 *2367:8 *2367:16 45.3393 +4 *2367:16 *2367:17 154.143 +5 *2367:17 *2367:23 27.2321 +6 *2367:23 *2367:24 57.5 +7 *2367:24 *2367:44 34.4732 +8 *2367:44 *37406:A 16.425 +9 *2367:44 *5264:DIODE 14.3357 +*END + +*D_NET *2368 0.0248688 +*CONN +*I *7567:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39569:A I *D sky130_fd_sc_hd__buf_4 +*I *39570:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7567:DIODE 0 +2 *39569:A 0.00089216 +3 *39570:X 0 +4 *2368:41 0.000973873 +5 *2368:40 0.00323082 +6 *2368:15 0.00939197 +7 *2368:14 0.00663531 +8 *2368:8 0.00206858 +9 *2368:5 0.00167613 +10 *39569:A *8309:DIODE 0 +11 *39569:A *2972:118 0 +12 *39569:A *3167:22 0 +13 *39569:A *3179:43 0 +14 *39569:A *3422:67 0 +15 *39569:A *3513:71 0 +16 *39569:A *4019:45 0 +17 *39569:A *4270:32 0 +18 *2368:8 *2378:10 0 +19 *2368:8 *2380:13 0 +20 *2368:8 *2380:14 0 +21 *2368:8 *3474:38 0 +22 *2368:8 *4033:83 0 +23 *2368:8 *5018:11 0 +24 *2368:14 *2396:13 0 +25 *2368:14 *2411:41 0 +26 *2368:15 *2411:41 0 +27 *2368:15 *2839:25 0 +28 *2368:15 *3400:57 0 +29 *2368:40 *7589:DIODE 0 +30 *2368:40 *7996:DIODE 0 +31 *2368:40 *2839:25 0 +32 *2368:40 *3029:68 0 +33 *2368:40 *3113:70 0 +34 *2368:40 *3281:24 0 +35 *2368:40 *3400:52 0 +36 *2368:40 *3506:24 0 +37 *2368:40 *4097:60 0 +38 *2368:40 *5173:36 0 +39 *2368:41 *3179:43 0 +40 *2368:41 *3422:67 0 +41 *2368:41 *3793:42 0 +42 *1328:28 *2368:15 0 +43 *1328:36 *2368:40 0 +44 *1579:26 *2368:8 0 +*RES +1 *39570:X *2368:5 13.8 +2 *2368:5 *2368:8 42.5714 +3 *2368:8 *2368:14 17.25 +4 *2368:14 *2368:15 130.321 +5 *2368:15 *2368:40 49.9426 +6 *2368:40 *2368:41 1.76786 +7 *2368:41 *39569:A 38.1929 +8 *2368:41 *7567:DIODE 9.3 +*END + +*D_NET *2369 0.0265952 +*CONN +*I *5263:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37405:A I *D sky130_fd_sc_hd__inv_2 +*I *39571:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *5263:DIODE 0.000461264 +2 *37405:A 8.33326e-05 +3 *39571:X 0.00232876 +4 *2369:53 0.00283731 +5 *2369:35 0.00902906 +6 *2369:34 0.00813152 +7 *2369:20 0.00372394 +8 *5263:DIODE *40985:A 0 +9 *5263:DIODE *3279:51 0 +10 *5263:DIODE *4029:89 0 +11 *5263:DIODE *4279:39 0 +12 *5263:DIODE *4294:67 0 +13 *37405:A *40985:A 0 +14 *2369:20 *2391:59 0 +15 *2369:20 *2987:101 0 +16 *2369:20 *3070:50 0 +17 *2369:20 *3678:45 0 +18 *2369:20 *3708:8 0 +19 *2369:34 *2391:59 0 +20 *2369:34 *2391:76 0 +21 *2369:34 *2413:45 0 +22 *2369:34 *2814:36 0 +23 *2369:34 *3298:40 0 +24 *2369:34 *3713:51 0 +25 *2369:34 *3967:6 0 +26 *2369:35 *2413:45 0 +27 *2369:35 *2814:11 0 +28 *2369:35 *2817:32 0 +29 *2369:35 *2840:34 0 +30 *2369:35 *2840:49 0 +31 *2369:35 *3662:28 0 +32 *2369:35 *3968:23 0 +33 *2369:53 *3307:66 0 +34 *2369:53 *3531:36 0 +35 *2369:53 *3674:86 0 +36 *2369:53 *3688:8 0 +37 *2369:53 *3951:8 0 +38 *2369:53 *4031:17 0 +39 *2369:53 *4074:24 0 +40 *2369:53 *4088:18 0 +41 *2369:53 *4276:20 0 +42 *2369:53 *4881:48 0 +43 *1218:36 *5263:DIODE 0 +44 *1419:41 *2369:34 0 +45 *1557:45 *2369:35 0 +*RES +1 *39571:X *2369:20 49.2257 +2 *2369:20 *2369:34 35.2867 +3 *2369:34 *2369:35 140.589 +4 *2369:35 *2369:53 28.9806 +5 *2369:53 *37405:A 15.8268 +6 *2369:53 *5263:DIODE 32.9875 +*END + +*D_NET *2370 0.0224513 +*CONN +*I *7569:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39571:A I *D sky130_fd_sc_hd__buf_4 +*I *39572:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7569:DIODE 0 +2 *39571:A 0.000723095 +3 *39572:X 0.00598045 +4 *2370:81 0.00255712 +5 *2370:66 0.00343956 +6 *2370:38 0.00268809 +7 *2370:19 0.00706301 +8 *39571:A *40725:A 0 +9 *39571:A *4033:44 0 +10 *39571:A *4074:61 0 +11 *39571:A *4084:35 0 +12 *2370:19 *2375:27 0 +13 *2370:19 *2800:48 0 +14 *2370:19 *2803:17 0 +15 *2370:19 *2806:22 0 +16 *2370:19 *2815:70 0 +17 *2370:19 *2826:43 0 +18 *2370:19 *3301:81 0 +19 *2370:19 *3308:39 0 +20 *2370:19 *3308:56 0 +21 *2370:19 *3401:27 0 +22 *2370:19 *3409:138 0 +23 *2370:19 *3509:110 0 +24 *2370:19 *3542:20 0 +25 *2370:19 *3724:29 0 +26 *2370:19 *3734:21 0 +27 *2370:19 *3743:32 0 +28 *2370:19 *3745:27 0 +29 *2370:19 *3963:84 0 +30 *2370:19 *3987:43 0 +31 *2370:19 *3987:48 0 +32 *2370:19 *4010:139 0 +33 *2370:19 *4065:45 0 +34 *2370:19 *4069:68 0 +35 *2370:19 *4223:35 0 +36 *2370:19 *4334:86 0 +37 *2370:38 *3102:52 0 +38 *2370:38 *3280:64 0 +39 *2370:38 *3409:138 0 +40 *2370:38 *3657:31 0 +41 *2370:38 *4080:15 0 +42 *2370:66 *2430:23 0 +43 *2370:66 *2682:61 0 +44 *2370:66 *2824:63 0 +45 *2370:66 *2929:44 0 +46 *2370:66 *3306:96 0 +47 *2370:66 *4036:11 0 +48 *2370:66 *4084:43 0 +49 *2370:66 *4091:55 0 +50 *2370:66 *4224:27 0 +51 *2370:81 *2384:46 0 +52 *2370:81 *2419:42 0 +53 *2370:81 *2440:49 0 +54 *2370:81 *2682:45 0 +55 *2370:81 *2822:123 0 +56 *2370:81 *2992:82 0 +57 *2370:81 *3684:75 0 +58 *2370:81 *3996:20 0 +59 *2370:81 *4245:40 0 +60 *38313:A *2370:19 0 +61 *38329:A *2370:38 0 +62 *1207:74 *39571:A 0 +63 *1214:31 *2370:19 0 +64 *1273:62 *2370:81 0 +65 *1448:64 *2370:19 0 +66 *1448:71 *2370:19 0 +67 *1449:42 *2370:81 0 +68 *1562:23 *2370:19 0 +69 *1824:54 *2370:66 0 +*RES +1 *39572:X *2370:19 49.1597 +2 *2370:19 *2370:38 46.5089 +3 *2370:38 *2370:66 48.5359 +4 *2370:66 *2370:81 32.5833 +5 *2370:81 *39571:A 34.1571 +6 *2370:81 *7569:DIODE 9.3 +*END + +*D_NET *2371 0.0203234 +*CONN +*I *5262:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37404:A I *D sky130_fd_sc_hd__inv_2 +*I *39573:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5262:DIODE 0.000432721 +2 *37404:A 2.56688e-05 +3 *39573:X 0.00101738 +4 *2371:38 0.000819931 +5 *2371:30 0.00192992 +6 *2371:21 0.0083244 +7 *2371:20 0.0077734 +8 *5262:DIODE *2760:28 0 +9 *5262:DIODE *3217:33 0 +10 *37404:A *2683:27 0 +11 *37404:A *2760:28 0 +12 *2371:20 *2893:17 0 +13 *2371:20 *3145:24 0 +14 *2371:20 *3793:20 0 +15 *2371:20 *3904:26 0 +16 *2371:20 *5105:66 0 +17 *2371:21 *2408:37 0 +18 *2371:21 *2410:25 0 +19 *2371:21 *2978:9 0 +20 *2371:21 *5179:148 0 +21 *2371:30 *3141:33 0 +22 *2371:38 *39020:A 0 +23 *2371:38 *2727:18 0 +24 *2371:38 *4648:17 0 +25 *37445:A *2371:20 0 +26 *406:32 *2371:21 0 +27 *408:23 *2371:20 0 +28 *534:43 *2371:21 0 +29 *1220:37 *2371:30 0 +30 *1854:20 *2371:20 0 +*RES +1 *39573:X *2371:20 49.2821 +2 *2371:20 *2371:21 141 +3 *2371:21 *2371:30 46.5714 +4 *2371:30 *2371:38 21.3036 +5 *2371:38 *37404:A 9.83571 +6 *2371:38 *5262:DIODE 27.925 +*END + +*D_NET *2372 0.0103523 +*CONN +*I *40602:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8322:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38417:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40602:A 0.000450994 +2 *8322:DIODE 0.000143745 +3 *38417:X 0.000259495 +4 *2372:22 0.00103324 +5 *2372:20 0.00219054 +6 *2372:11 0.0038834 +7 *2372:8 0.00239086 +8 *8322:DIODE *2435:97 0 +9 *40602:A *4485:41 0 +10 *2372:8 *4857:30 0 +11 *2372:11 *4494:9 0 +12 *2372:11 *4857:30 0 +13 *2372:22 *3305:15 0 +14 *2372:22 *4861:13 0 +15 la_data_in_mprj[73] *2372:8 0 +16 *869:5 *2372:8 0 +17 *1960:13 *2372:8 0 +18 *1981:16 *2372:11 0 +19 *2051:21 *2372:20 0 +20 *2069:10 *2372:20 0 +21 *2080:16 *2372:11 0 +22 *2080:16 *2372:20 0 +23 *2116:45 *8322:DIODE 0 +24 *2121:30 *40602:A 0 +25 *2141:25 *2372:8 0 +26 *2361:16 *2372:8 0 +*RES +1 *38417:X *2372:8 24.1929 +2 *2372:8 *2372:11 48.9821 +3 *2372:11 *2372:20 46.3839 +4 *2372:20 *2372:22 9.99107 +5 *2372:22 *8322:DIODE 16.8 +6 *2372:22 *40602:A 23.55 +*END + +*D_NET *2373 0.0249655 +*CONN +*I *7571:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39573:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39574:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7571:DIODE 0 +2 *39573:A 0 +3 *39574:X 0.00102221 +4 *2373:145 0.00218547 +5 *2373:119 0.00267911 +6 *2373:99 0.00187998 +7 *2373:86 0.00257212 +8 *2373:67 0.00250259 +9 *2373:47 0.0044768 +10 *2373:20 0.00489249 +11 *2373:10 0.00275472 +12 *2373:10 *7301:DIODE 0 +13 *2373:10 *7537:DIODE 0 +14 *2373:10 *3116:22 0 +15 *2373:10 *4533:43 0 +16 *2373:10 *4539:54 0 +17 *2373:10 *4563:28 0 +18 *2373:20 *3264:17 0 +19 *2373:20 *4534:49 0 +20 *2373:20 *4563:28 0 +21 *2373:47 *2982:62 0 +22 *2373:47 *3030:23 0 +23 *2373:47 *3116:54 0 +24 *2373:47 *3164:55 0 +25 *2373:47 *3179:23 0 +26 *2373:47 *3205:47 0 +27 *2373:47 *3266:20 0 +28 *2373:47 *3392:35 0 +29 *2373:47 *3395:49 0 +30 *2373:47 *4761:31 0 +31 *2373:47 *4784:21 0 +32 *2373:47 *5075:37 0 +33 *2373:47 *5084:82 0 +34 *2373:47 *5164:24 0 +35 *2373:47 *5184:16 0 +36 *2373:47 *5185:14 0 +37 *2373:67 *3113:27 0 +38 *2373:67 *3184:35 0 +39 *2373:67 *3184:45 0 +40 *2373:67 *3264:37 0 +41 *2373:67 *4758:38 0 +42 *2373:86 *7982:DIODE 0 +43 *2373:86 *9006:DIODE 0 +44 *2373:86 *3101:39 0 +45 *2373:86 *3194:17 0 +46 *2373:86 *3194:36 0 +47 *2373:86 *3419:23 0 +48 *2373:86 *4427:42 0 +49 *2373:99 *2444:56 0 +50 *2373:99 *2712:45 0 +51 *2373:99 *3184:45 0 +52 *2373:99 *3184:56 0 +53 *2373:99 *3264:66 0 +54 *2373:99 *4586:63 0 +55 *2373:99 *4590:47 0 +56 *2373:99 *4603:30 0 +57 *2373:119 *3203:30 0 +58 *2373:119 *3479:20 0 +59 *2373:145 *8013:DIODE 0 +60 *2373:145 *40342:A 0 +61 *2373:145 *3077:108 0 +62 *2373:145 *3240:25 0 +63 *2373:145 *3257:23 0 +64 *2373:145 *3262:69 0 +65 *5279:DIODE *2373:20 0 +66 *6609:DIODE *2373:119 0 +67 *6612:DIODE *2373:99 0 +68 *6615:DIODE *2373:99 0 +69 *6936:DIODE *2373:20 0 +70 *6940:DIODE *2373:10 0 +71 *37445:A *2373:145 0 +72 *39394:B *2373:20 0 +73 *407:36 *2373:145 0 +74 *411:68 *2373:145 0 +75 *928:15 *2373:47 0 +76 *1000:78 *2373:145 0 +77 *1002:53 *2373:86 0 +78 *1010:169 *2373:145 0 +79 *1027:120 *2373:145 0 +80 *1248:43 *2373:67 0 +81 *1261:31 *2373:86 0 +82 *1598:21 *2373:20 0 +83 *1726:10 *2373:20 0 +84 *1728:28 *2373:47 0 +85 *1829:81 *2373:145 0 +86 *1840:93 *2373:145 0 +87 *1862:103 *2373:20 0 +88 *1864:36 *2373:145 0 +*RES +1 *39574:X *2373:10 39.6929 +2 *2373:10 *2373:20 45.75 +3 *2373:20 *2373:47 49.2818 +4 *2373:47 *2373:67 46.75 +5 *2373:67 *2373:86 43.7857 +6 *2373:86 *2373:99 47.5714 +7 *2373:99 *2373:119 28.9107 +8 *2373:119 *2373:145 42.4348 +9 *2373:145 *39573:A 9.3 +10 *2373:119 *7571:DIODE 9.3 +*END + +*D_NET *2374 0.0273259 +*CONN +*I *37403:A I *D sky130_fd_sc_hd__inv_2 +*I *5261:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39575:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *37403:A 0.000422881 +2 *5261:DIODE 4.53482e-05 +3 *39575:X 0.00156332 +4 *2374:107 0.0011343 +5 *2374:94 0.00279524 +6 *2374:76 0.00888427 +7 *2374:52 0.00883616 +8 *2374:23 0.00364437 +9 *37403:A *4006:45 0 +10 *37403:A *4022:68 0 +11 *37403:A *4083:131 0 +12 *2374:23 *8915:DIODE 0 +13 *2374:23 *2832:50 0 +14 *2374:23 *3485:23 0 +15 *2374:23 *3758:53 0 +16 *2374:23 *3765:22 0 +17 *2374:23 *3780:49 0 +18 *2374:23 *4111:16 0 +19 *2374:23 *4254:19 0 +20 *2374:52 *3433:30 0 +21 *2374:52 *3503:37 0 +22 *2374:52 *3976:40 0 +23 *2374:52 *3995:36 0 +24 *2374:52 *4034:62 0 +25 *2374:52 *4054:11 0 +26 *2374:52 *4094:9 0 +27 *2374:52 *4095:93 0 +28 *2374:52 *4225:59 0 +29 *2374:52 *4357:21 0 +30 *2374:76 *2400:56 0 +31 *2374:76 *2429:34 0 +32 *2374:76 *3025:46 0 +33 *2374:76 *3076:52 0 +34 *2374:76 *3218:68 0 +35 *2374:76 *3318:66 0 +36 *2374:76 *3691:37 0 +37 *2374:76 *3820:77 0 +38 *2374:76 *4050:44 0 +39 *2374:76 *4088:27 0 +40 *2374:76 *4215:74 0 +41 *2374:76 *4220:20 0 +42 *2374:76 *4254:19 0 +43 *2374:94 *3713:17 0 +44 *2374:94 *3757:22 0 +45 *2374:94 *4050:44 0 +46 *2374:94 *4098:59 0 +47 *2374:94 *4100:54 0 +48 *2374:94 *4362:54 0 +49 *2374:107 *3815:70 0 +50 *39974:A *2374:23 0 +51 *1204:25 *2374:107 0 +52 *1224:66 *5261:DIODE 0 +53 *1224:66 *2374:107 0 +54 *1319:27 *2374:76 0 +55 *1323:17 *2374:107 0 +56 *1330:22 *2374:76 0 +57 *1446:45 *2374:76 0 +58 *1532:17 *37403:A 0 +59 *1693:20 *2374:94 0 +*RES +1 *39575:X *2374:23 48.7266 +2 *2374:23 *2374:52 49.5488 +3 *2374:52 *2374:76 48.6619 +4 *2374:76 *2374:94 44.9879 +5 *2374:94 *2374:107 19.8304 +6 *2374:107 *5261:DIODE 10.2464 +7 *2374:107 *37403:A 27.6036 +*END + +*D_NET *2375 0.0227028 +*CONN +*I *39575:A I *D sky130_fd_sc_hd__buf_4 +*I *7572:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39576:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39575:A 0.000189293 +2 *7572:DIODE 0.000990886 +3 *39576:X 0.00502152 +4 *2375:48 0.00632986 +5 *2375:27 0.0101712 +6 *7572:DIODE *2987:78 0 +7 *7572:DIODE *3758:53 0 +8 *7572:DIODE *4354:27 0 +9 *39575:A *8915:DIODE 0 +10 *39575:A *3780:49 0 +11 *2375:27 *2806:22 0 +12 *2375:27 *3036:76 0 +13 *2375:27 *3045:21 0 +14 *2375:27 *3045:47 0 +15 *2375:27 *3297:81 0 +16 *2375:27 *3388:36 0 +17 *2375:27 *3408:18 0 +18 *2375:27 *3409:138 0 +19 *2375:27 *3469:49 0 +20 *2375:27 *3503:37 0 +21 *2375:27 *3700:65 0 +22 *2375:27 *3734:21 0 +23 *2375:27 *3743:32 0 +24 *2375:27 *3745:27 0 +25 *2375:27 *3994:28 0 +26 *2375:27 *4318:63 0 +27 *2375:27 *4334:86 0 +28 *2375:27 *4406:28 0 +29 *2375:48 *2422:48 0 +30 *2375:48 *3102:52 0 +31 *2375:48 *3275:32 0 +32 *2375:48 *3310:59 0 +33 *2375:48 *3388:55 0 +34 *2375:48 *3420:60 0 +35 *2375:48 *3439:51 0 +36 *2375:48 *3725:22 0 +37 *2375:48 *3776:50 0 +38 *2375:48 *4034:88 0 +39 *2375:48 *4247:51 0 +40 *2375:48 *4339:23 0 +41 *2375:48 *4343:15 0 +42 *1319:27 *7572:DIODE 0 +43 *1320:21 *7572:DIODE 0 +44 *1325:44 *7572:DIODE 0 +45 *1448:64 *2375:27 0 +46 *1456:22 *2375:27 0 +47 *1824:88 *2375:48 0 +48 *2370:19 *2375:27 0 +*RES +1 *39576:X *2375:27 47.4785 +2 *2375:27 *2375:48 42.6208 +3 *2375:48 *7572:DIODE 34.8432 +4 *2375:48 *39575:A 18.0768 +*END + +*D_NET *2376 0.0195473 +*CONN +*I *7573:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39576:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39577:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7573:DIODE 0.000168956 +2 *39576:A 1.91126e-05 +3 *39577:X 0.00863513 +4 *2376:24 0.00113852 +5 *2376:15 0.00958558 +6 *7573:DIODE *4065:45 0 +7 *7573:DIODE *4739:21 0 +8 *2376:15 *38056:C 0 +9 *2376:15 *2803:42 0 +10 *2376:15 *2811:81 0 +11 *2376:15 *2830:33 0 +12 *2376:15 *2833:43 0 +13 *2376:15 *2973:23 0 +14 *2376:15 *2973:36 0 +15 *2376:15 *3020:37 0 +16 *2376:15 *3286:114 0 +17 *2376:15 *3286:131 0 +18 *2376:15 *3476:45 0 +19 *2376:15 *3495:36 0 +20 *2376:15 *3764:69 0 +21 *2376:15 *3779:94 0 +22 *2376:15 *4405:35 0 +23 *2376:24 *3514:48 0 +24 *2376:24 *4224:69 0 +25 *2376:24 *4406:28 0 +26 *2376:24 *4600:69 0 +27 *2376:24 *4739:21 0 +28 *38367:A *2376:15 0 +29 *1244:30 *2376:15 0 +30 *1246:16 *2376:15 0 +31 *1456:22 *2376:24 0 +32 *1456:46 *2376:15 0 +33 *1568:32 *2376:24 0 +34 *1571:37 *2376:15 0 +35 *1571:37 *2376:24 0 +36 *1581:38 *2376:24 0 +37 *1601:20 *2376:15 0 +*RES +1 *39577:X *2376:15 49.8624 +2 *2376:15 *2376:24 16.8978 +3 *2376:24 *39576:A 14.3804 +4 *2376:24 *7573:DIODE 17.7554 +*END + +*D_NET *2377 0.0229926 +*CONN +*I *5260:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37402:A I *D sky130_fd_sc_hd__inv_2 +*I *39578:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *5260:DIODE 2.41714e-05 +2 *37402:A 0.000103745 +3 *39578:X 0.000969613 +4 *2377:26 0.000127916 +5 *2377:24 0.0103988 +6 *2377:14 0.0113684 +7 *5260:DIODE *3697:46 0 +8 *5260:DIODE *4509:69 0 +9 *37402:A *3210:35 0 +10 *37402:A *4509:69 0 +11 *2377:14 *2379:30 0 +12 *2377:14 *3037:66 0 +13 *2377:14 *3245:21 0 +14 *2377:14 *3264:128 0 +15 *2377:14 *3405:22 0 +16 *2377:14 *5165:61 0 +17 *2377:24 *2390:12 0 +18 *2377:24 *2401:13 0 +19 *2377:24 *2404:16 0 +20 *2377:24 *2428:17 0 +21 *2377:24 *2433:22 0 +22 *2377:24 *2445:43 0 +23 *2377:24 *2460:15 0 +24 *2377:24 *2782:14 0 +25 *2377:24 *2849:23 0 +26 *2377:24 *5163:22 0 +27 *2377:24 *5164:24 0 +28 *528:31 *2377:24 0 +29 *786:21 *2377:24 0 +*RES +1 *39578:X *2377:14 31.4382 +2 *2377:14 *2377:24 48.9321 +3 *2377:24 *2377:26 4.5 +4 *2377:26 *37402:A 11.4964 +5 *2377:26 *5260:DIODE 9.83571 +*END + +*D_NET *2378 0.0292221 +*CONN +*I *39578:A I *D sky130_fd_sc_hd__buf_4 +*I *7574:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39579:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39578:A 0.000179289 +2 *7574:DIODE 0.000755735 +3 *39579:X 6.50276e-05 +4 *2378:94 0.00179777 +5 *2378:58 0.00356693 +6 *2378:48 0.00276803 +7 *2378:28 0.00250587 +8 *2378:17 0.00518729 +9 *2378:16 0.00431573 +10 *2378:11 0.00234779 +11 *2378:10 0.00397818 +12 *2378:7 0.00175441 +13 *7574:DIODE *8642:DIODE 0 +14 *7574:DIODE *8865:DIODE 0 +15 *7574:DIODE *39596:A 0 +16 *7574:DIODE *3167:22 0 +17 *7574:DIODE *3184:84 0 +18 *7574:DIODE *3286:34 0 +19 *7574:DIODE *3512:22 0 +20 *7574:DIODE *4232:40 0 +21 *7574:DIODE *4591:67 0 +22 *7574:DIODE *5189:34 0 +23 *39578:A *40774:A 0 +24 *39578:A *3081:16 0 +25 *39578:A *3722:28 0 +26 *2378:7 *3975:106 0 +27 *2378:10 *3273:24 0 +28 *2378:10 *4010:139 0 +29 *2378:10 *4018:109 0 +30 *2378:10 *5018:11 0 +31 *2378:11 *39663:A 0 +32 *2378:11 *4033:77 0 +33 *2378:11 *5018:19 0 +34 *2378:16 *3490:20 0 +35 *2378:17 *2396:13 0 +36 *2378:17 *2396:30 0 +37 *2378:17 *2426:24 0 +38 *2378:17 *2839:25 0 +39 *2378:28 *2391:59 0 +40 *2378:28 *2821:36 0 +41 *2378:28 *2974:19 0 +42 *2378:28 *3079:33 0 +43 *2378:28 *3418:20 0 +44 *2378:48 *3802:21 0 +45 *2378:48 *4040:17 0 +46 *2378:48 *4097:60 0 +47 *2378:58 *8649:DIODE 0 +48 *2378:58 *8865:DIODE 0 +49 *2378:58 *38286:A 0 +50 *2378:94 *8642:DIODE 0 +51 *2378:94 *3025:80 0 +52 *2378:94 *3110:7 0 +53 *2378:94 *3298:63 0 +54 *2378:94 *3412:40 0 +55 *2378:94 *3430:104 0 +56 *2378:94 *3955:55 0 +57 *535:21 *2378:94 0 +58 *1020:15 *2378:10 0 +59 *1025:151 *2378:17 0 +60 *1418:21 *2378:17 0 +61 *1418:25 *2378:17 0 +62 *1451:39 *2378:17 0 +63 *1451:62 *2378:11 0 +64 *1863:36 *2378:11 0 +65 *2368:8 *2378:10 0 +*RES +1 *39579:X *2378:7 15.1571 +2 *2378:7 *2378:10 42.875 +3 *2378:10 *2378:11 47.7679 +4 *2378:11 *2378:16 10.3393 +5 *2378:16 *2378:17 88.8393 +6 *2378:17 *2378:28 38.25 +7 *2378:28 *2378:48 47.6875 +8 *2378:48 *2378:58 27.3125 +9 *2378:58 *7574:DIODE 37.3293 +10 *2378:58 *2378:94 48.2857 +11 *2378:94 *39578:A 22.3714 +*END + +*D_NET *2379 0.0215389 +*CONN +*I *37401:A I *D sky130_fd_sc_hd__inv_2 +*I *5259:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39580:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *37401:A 0.000133842 +2 *5259:DIODE 0 +3 *39580:X 0.00173616 +4 *2379:44 0.000813736 +5 *2379:39 0.00889946 +6 *2379:30 0.00995572 +7 *37401:A *3244:11 0 +8 *37401:A *3785:59 0 +9 *2379:30 *2916:29 0 +10 *2379:30 *3041:55 0 +11 *2379:30 *3230:27 0 +12 *2379:30 *3240:46 0 +13 *2379:30 *5163:22 0 +14 *2379:30 *5164:24 0 +15 *2379:39 *2404:16 0 +16 *2379:39 *2409:22 0 +17 *2379:39 *2445:43 0 +18 *2379:44 *3141:38 0 +19 *403:18 *2379:39 0 +20 *514:8 *2379:44 0 +21 *642:8 *2379:44 0 +22 *787:22 *2379:39 0 +23 *2377:14 *2379:30 0 +*RES +1 *39580:X *2379:30 48.7876 +2 *2379:30 *2379:39 47.1101 +3 *2379:39 *2379:44 15.5089 +4 *2379:44 *5259:DIODE 13.8 +5 *2379:44 *37401:A 16.7107 +*END + +*D_NET *2380 0.0346714 +*CONN +*I *39580:A I *D sky130_fd_sc_hd__buf_4 +*I *7576:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39581:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39580:A 0.00102961 +2 *7576:DIODE 0.000874256 +3 *39581:X 0.000723609 +4 *2380:60 0.00345412 +5 *2380:55 0.00353221 +6 *2380:43 0.00359424 +7 *2380:34 0.00450486 +8 *2380:17 0.00699189 +9 *2380:16 0.0040993 +10 *2380:14 0.00257185 +11 *2380:13 0.00329546 +12 *7576:DIODE *8269:DIODE 0 +13 *7576:DIODE *3179:64 0 +14 *7576:DIODE *3194:93 0 +15 *7576:DIODE *3198:24 0 +16 *7576:DIODE *3264:128 0 +17 *39580:A *40254:A 0 +18 *39580:A *2397:20 0 +19 *39580:A *3130:35 0 +20 *39580:A *3188:87 0 +21 *39580:A *3198:25 0 +22 *39580:A *3225:10 0 +23 *39580:A *3262:86 0 +24 *39580:A *3904:26 0 +25 *39580:A *5034:29 0 +26 *2380:13 *3099:15 0 +27 *2380:13 *3288:36 0 +28 *2380:13 *4018:109 0 +29 *2380:14 *3273:24 0 +30 *2380:14 *3474:38 0 +31 *2380:14 *4033:83 0 +32 *2380:14 *4725:10 0 +33 *2380:14 *4733:20 0 +34 *2380:14 *5018:11 0 +35 *2380:17 *39670:A 0 +36 *2380:17 *2475:15 0 +37 *2380:17 *4728:9 0 +38 *2380:34 *2469:21 0 +39 *2380:34 *2842:21 0 +40 *2380:34 *2991:33 0 +41 *2380:34 *3040:25 0 +42 *2380:34 *3280:43 0 +43 *2380:34 *3295:33 0 +44 *2380:43 *2991:33 0 +45 *2380:43 *3007:31 0 +46 *2380:55 *2837:67 0 +47 *2380:55 *2929:50 0 +48 *2380:55 *3014:55 0 +49 *2380:55 *3118:36 0 +50 *2380:55 *3725:22 0 +51 *2380:55 *4048:52 0 +52 *2380:55 *4354:27 0 +53 *2380:60 *2919:24 0 +54 *2380:60 *3073:29 0 +55 *2380:60 *3283:81 0 +56 *2380:60 *3410:33 0 +57 *2380:60 *3955:55 0 +58 *2380:60 *4005:32 0 +59 *2380:60 *4263:28 0 +60 *2380:60 *5182:81 0 +61 *789:49 *39580:A 0 +62 *1008:100 *2380:34 0 +63 *1019:20 *2380:34 0 +64 *1221:14 *2380:14 0 +65 *1451:62 *2380:14 0 +66 *1569:12 *2380:14 0 +67 *1579:26 *2380:14 0 +68 *2368:8 *2380:13 0 +69 *2368:8 *2380:14 0 +*RES +1 *39581:X *2380:13 38.9964 +2 *2380:13 *2380:14 58.4107 +3 *2380:14 *2380:16 4.5 +4 *2380:16 *2380:17 85.5536 +5 *2380:17 *2380:34 49.6483 +6 *2380:34 *2380:43 47.2321 +7 *2380:43 *2380:55 39.4654 +8 *2380:55 *2380:60 35.3482 +9 *2380:60 *7576:DIODE 41.5679 +10 *2380:60 *39580:A 45.2464 +*END + +*D_NET *2381 0.0190452 +*CONN +*I *5258:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37400:A I *D sky130_fd_sc_hd__inv_2 +*I *39582:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *5258:DIODE 8.29197e-05 +2 *37400:A 9.90435e-05 +3 *39582:X 0.00103813 +4 *2381:73 0.00166801 +5 *2381:49 0.0059214 +6 *2381:47 0.00477451 +7 *2381:35 0.00238112 +8 *2381:18 0.00308009 +9 *2381:18 *40254:A 0 +10 *2381:18 *3135:39 0 +11 *2381:18 *3135:59 0 +12 *2381:18 *3240:63 0 +13 *2381:35 *8203:DIODE 0 +14 *2381:35 *8213:DIODE 0 +15 *2381:35 *8300:DIODE 0 +16 *2381:35 *40216:A 0 +17 *2381:35 *3074:18 0 +18 *2381:35 *3235:111 0 +19 *2381:35 *3257:35 0 +20 *2381:35 *3435:76 0 +21 *2381:35 *3743:16 0 +22 *2381:35 *3777:65 0 +23 *2381:35 *4524:99 0 +24 *2381:47 *3106:96 0 +25 *2381:49 *7587:DIODE 0 +26 *2381:49 *8091:DIODE 0 +27 *2381:49 *39548:B 0 +28 *2381:49 *3154:35 0 +29 *2381:49 *3287:91 0 +30 *2381:49 *3296:29 0 +31 *2381:49 *3435:41 0 +32 *2381:49 *3435:45 0 +33 *2381:49 *3435:52 0 +34 *2381:73 *2749:40 0 +35 *2381:73 *3043:26 0 +36 *2381:73 *3086:51 0 +37 *2381:73 *3105:81 0 +38 *2381:73 *3174:40 0 +39 *2381:73 *3529:62 0 +40 *2381:73 *3785:66 0 +41 *2381:73 *3973:49 0 +42 *2381:73 *4517:62 0 +43 *6583:DIODE *2381:47 0 +44 *6583:DIODE *2381:49 0 +45 *6595:DIODE *2381:49 0 +46 *6735:DIODE *2381:49 0 +47 *6736:DIODE *2381:49 0 +48 *8012:DIODE *2381:49 0 +49 *400:29 *2381:35 0 +50 *404:34 *2381:18 0 +51 *1220:53 *2381:49 0 +52 *1447:9 *2381:35 0 +53 *1880:22 *2381:49 0 +*RES +1 *39582:X *2381:18 40.175 +2 *2381:18 *2381:35 45.8928 +3 *2381:35 *2381:47 16.6429 +4 *2381:47 *2381:49 92.5357 +5 *2381:49 *2381:73 31.4225 +6 *2381:73 *37400:A 16.05 +7 *2381:73 *5258:DIODE 15.7464 +*END + +*D_NET *2382 0.0300437 +*CONN +*I *7577:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39582:A I *D sky130_fd_sc_hd__buf_4 +*I *39583:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7577:DIODE 6.20329e-05 +2 *39582:A 0.000546966 +3 *39583:X 0.00110741 +4 *2382:110 0.00184835 +5 *2382:87 0.00287968 +6 *2382:70 0.00340101 +7 *2382:56 0.00361718 +8 *2382:31 0.00340628 +9 *2382:19 0.0057354 +10 *2382:18 0.00525881 +11 *2382:14 0.00218061 +12 *7577:DIODE *4550:91 0 +13 *39582:A *3043:52 0 +14 *39582:A *3240:63 0 +15 *39582:A *3245:51 0 +16 *39582:A *3682:15 0 +17 *2382:14 *2803:17 0 +18 *2382:14 *3097:16 0 +19 *2382:14 *3097:18 0 +20 *2382:14 *4219:76 0 +21 *2382:18 *2473:8 0 +22 *2382:18 *3010:38 0 +23 *2382:18 *3400:66 0 +24 *2382:19 *3489:17 0 +25 *2382:31 *2836:33 0 +26 *2382:31 *3000:37 0 +27 *2382:56 *2384:28 0 +28 *2382:56 *2398:38 0 +29 *2382:56 *4087:40 0 +30 *2382:70 *8642:DIODE 0 +31 *2382:70 *40588:A 0 +32 *2382:70 *3155:59 0 +33 *2382:70 *3184:84 0 +34 *2382:70 *3746:19 0 +35 *2382:70 *3958:19 0 +36 *2382:70 *3963:19 0 +37 *2382:87 *2389:21 0 +38 *2382:87 *2406:16 0 +39 *2382:87 *2445:35 0 +40 *2382:87 *2782:14 0 +41 *2382:87 *2849:23 0 +42 *2382:87 *2882:17 0 +43 *2382:87 *3034:73 0 +44 *2382:110 *7130:DIODE 0 +45 *2382:110 *39038:A 0 +46 *2382:110 *40493:A 0 +47 *2382:110 *3018:46 0 +48 *2382:110 *3106:69 0 +49 *2382:110 *3106:71 0 +50 *2382:110 *5163:18 0 +51 *2382:110 *5165:35 0 +52 la_data_in_mprj[118] *2382:110 0 +53 *370:24 *2382:31 0 +54 *404:34 *39582:A 0 +55 *405:35 *39582:A 0 +56 *406:32 *2382:110 0 +57 *409:61 *2382:87 0 +58 *537:43 *2382:70 0 +59 *539:29 *7577:DIODE 0 +60 *539:29 *2382:87 0 +61 *788:34 *39582:A 0 +62 *789:49 *39582:A 0 +63 *792:57 *7577:DIODE 0 +64 *1000:50 *2382:110 0 +65 *1010:119 *2382:70 0 +66 *1250:85 *2382:14 0 +67 *1272:18 *2382:19 0 +68 *1272:18 *2382:31 0 +69 *1328:36 *2382:56 0 +70 *1414:16 *2382:56 0 +71 *1553:23 *2382:14 0 +72 *1553:23 *2382:18 0 +73 *1822:16 *2382:19 0 +*RES +1 *39583:X *2382:14 39.1571 +2 *2382:14 *2382:18 28.9107 +3 *2382:18 *2382:19 87.4018 +4 *2382:19 *2382:31 45.9196 +5 *2382:31 *2382:56 49.374 +6 *2382:56 *2382:70 48.6964 +7 *2382:70 *2382:87 46.7593 +8 *2382:87 *2382:110 44.9546 +9 *2382:110 *39582:A 30.1929 +10 *2382:70 *7577:DIODE 10.6571 +*END + +*D_NET *2383 0.0164285 +*CONN +*I *40601:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8321:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38418:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40601:A 0.000143745 +2 *8321:DIODE 0 +3 *38418:X 0.000417346 +4 *2383:48 0.000892683 +5 *2383:42 0.00218074 +6 *2383:31 0.00366542 +7 *2383:26 0.00375637 +8 *2383:20 0.00323881 +9 *2383:10 0.00213339 +10 *40601:A *3316:17 0 +11 *40601:A *3511:32 0 +12 *2383:10 *3341:31 0 +13 *2383:10 *3760:17 0 +14 *2383:10 *5170:27 0 +15 *2383:20 *4857:26 0 +16 *2383:26 *4857:18 0 +17 *2383:31 *2418:37 0 +18 *2383:31 *2428:39 0 +19 *2383:31 *4494:22 0 +20 *2383:42 *2401:18 0 +21 *2383:42 *2407:35 0 +22 *2383:42 *3244:32 0 +23 *2383:42 *4495:40 0 +24 *2383:48 *40519:A 0 +25 *2383:48 *3144:50 0 +26 *2383:48 *3259:25 0 +27 *2383:48 *3511:32 0 +28 *2383:48 *3939:62 0 +29 la_data_in_mprj[78] *2383:20 0 +30 *38418:A *2383:10 0 +31 *615:12 *2383:10 0 +32 *874:12 *2383:20 0 +33 *1981:41 *2383:26 0 +34 *1982:20 *2383:20 0 +35 *2008:28 *2383:31 0 +36 *2069:9 *2383:10 0 +37 *2080:15 *2383:10 0 +38 *2080:16 *2383:31 0 +39 *2089:8 *2383:20 0 +40 *2089:14 *2383:26 0 +41 *2089:16 *2383:26 0 +42 *2115:70 *2383:42 0 +43 *2124:26 *2383:31 0 +44 *2141:57 *2383:48 0 +*RES +1 *38418:X *2383:10 27.5857 +2 *2383:10 *2383:20 45.0179 +3 *2383:20 *2383:26 41.0357 +4 *2383:26 *2383:31 46.6786 +5 *2383:31 *2383:42 49.6786 +6 *2383:42 *2383:48 24.9107 +7 *2383:48 *8321:DIODE 9.3 +8 *2383:48 *40601:A 12.3 +*END + +*D_NET *2384 0.0269129 +*CONN +*I *37399:A I *D sky130_fd_sc_hd__clkinv_2 +*I *5257:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39584:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *37399:A 0 +2 *5257:DIODE 0.000485571 +3 *39584:X 0.00136047 +4 *2384:118 0.00212442 +5 *2384:103 0.00306094 +6 *2384:90 0.00269823 +7 *2384:77 0.00219697 +8 *2384:63 0.00330055 +9 *2384:53 0.004676 +10 *2384:46 0.00397277 +11 *2384:28 0.00303695 +12 *5257:DIODE *38092:A_N 0 +13 *5257:DIODE *2417:100 0 +14 *2384:28 *8009:DIODE 0 +15 *2384:28 *40393:A 0 +16 *2384:28 *40509:A 0 +17 *2384:28 *2413:25 0 +18 *2384:28 *3000:32 0 +19 *2384:28 *3746:19 0 +20 *2384:28 *3978:20 0 +21 *2384:28 *4031:81 0 +22 *2384:28 *4054:66 0 +23 *2384:28 *5151:17 0 +24 *2384:46 *2417:63 0 +25 *2384:46 *2822:145 0 +26 *2384:46 *2992:82 0 +27 *2384:46 *3118:36 0 +28 *2384:46 *3218:60 0 +29 *2384:46 *3780:61 0 +30 *2384:46 *3780:65 0 +31 *2384:46 *3810:9 0 +32 *2384:46 *4033:56 0 +33 *2384:46 *4051:46 0 +34 *2384:46 *4069:5 0 +35 *2384:46 *4069:13 0 +36 *2384:46 *4097:54 0 +37 *2384:53 *6067:DIODE 0 +38 *2384:53 *7615:DIODE 0 +39 *2384:53 *8002:DIODE 0 +40 *2384:53 *8426:DIODE 0 +41 *2384:53 *8740:DIODE 0 +42 *2384:53 *37880:A 0 +43 *2384:53 *2839:23 0 +44 *2384:53 *2926:40 0 +45 *2384:53 *3647:63 0 +46 *2384:53 *3669:51 0 +47 *2384:53 *4051:46 0 +48 *2384:63 *5425:DIODE 0 +49 *2384:63 *5717:DIODE 0 +50 *2384:63 *8505:DIODE 0 +51 *2384:63 *8534:DIODE 0 +52 *2384:63 *8685:DIODE 0 +53 *2384:63 *37878:A 0 +54 *2384:63 *40592:A 0 +55 *2384:63 *40996:A 0 +56 *2384:63 *41046:A 0 +57 *2384:63 *2926:57 0 +58 *2384:63 *3520:61 0 +59 *2384:63 *3648:58 0 +60 *2384:63 *3648:61 0 +61 *2384:63 *3669:51 0 +62 *2384:63 *3968:35 0 +63 *2384:63 *4023:10 0 +64 *2384:63 *4097:29 0 +65 *2384:63 *4241:45 0 +66 *2384:77 *8749:DIODE 0 +67 *2384:77 *38024:A_N 0 +68 *2384:77 *41118:A 0 +69 *2384:77 *2722:16 0 +70 *2384:77 *2822:46 0 +71 *2384:77 *2822:55 0 +72 *2384:77 *3648:58 0 +73 *2384:77 *3650:58 0 +74 *2384:77 *4048:25 0 +75 *2384:77 *4092:83 0 +76 *2384:77 *4097:17 0 +77 *2384:77 *4241:45 0 +78 *2384:90 *5690:DIODE 0 +79 *2384:90 *8717:DIODE 0 +80 *2384:90 *4241:21 0 +81 *2384:90 *4241:45 0 +82 *2384:103 *3112:59 0 +83 *2384:103 *3669:17 0 +84 *2384:103 *4241:21 0 +85 *2384:118 *38234:A 0 +86 *2384:118 *2413:69 0 +87 *2384:118 *3274:74 0 +88 *2384:118 *3305:142 0 +89 *2384:118 *3772:66 0 +90 *2384:118 *3818:15 0 +91 *2384:118 *4230:99 0 +92 *7940:DIODE *2384:46 0 +93 *38091:A *2384:103 0 +94 *1211:36 *2384:90 0 +95 *1270:19 *2384:103 0 +96 *1271:36 *2384:103 0 +97 *1516:20 *2384:118 0 +98 *1516:22 *2384:118 0 +99 *1541:23 *2384:90 0 +100 *1541:23 *2384:103 0 +101 *2370:81 *2384:46 0 +102 *2382:56 *2384:28 0 +*RES +1 *39584:X *2384:28 49.2643 +2 *2384:28 *2384:46 45.7892 +3 *2384:46 *2384:53 48.2321 +4 *2384:53 *2384:63 49.6964 +5 *2384:63 *2384:77 37.5536 +6 *2384:77 *2384:90 44.8571 +7 *2384:90 *2384:103 47.9464 +8 *2384:103 *2384:118 42.4464 +9 *2384:118 *5257:DIODE 24.7821 +10 *2384:118 *37399:A 13.8 +*END + +*D_NET *2385 0.0242159 +*CONN +*I *7579:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39584:A I *D sky130_fd_sc_hd__buf_4 +*I *39585:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7579:DIODE 0 +2 *39584:A 0.000462121 +3 *39585:X 0.00131404 +4 *2385:41 0.00156331 +5 *2385:26 0.00309558 +6 *2385:22 0.00249428 +7 *2385:11 0.00723623 +8 *2385:10 0.00805038 +9 *39584:A *8267:DIODE 0 +10 *39584:A *8682:DIODE 0 +11 *39584:A *4319:41 0 +12 *2385:10 *2815:83 0 +13 *2385:10 *3489:26 0 +14 *2385:10 *3930:13 0 +15 *2385:11 *2819:21 0 +16 *2385:11 *2821:37 0 +17 *2385:11 *3000:51 0 +18 *2385:11 *4743:17 0 +19 *2385:11 *4744:25 0 +20 *2385:22 *2926:20 0 +21 *2385:22 *3428:29 0 +22 *2385:22 *3654:29 0 +23 *2385:26 *2807:26 0 +24 *2385:26 *2974:19 0 +25 *2385:26 *3000:49 0 +26 *2385:26 *3079:33 0 +27 *2385:26 *3528:54 0 +28 *2385:41 *3072:23 0 +29 *2385:41 *3159:21 0 +30 *2385:41 *3306:96 0 +31 *2385:41 *3743:32 0 +32 *2385:41 *4091:55 0 +33 *372:37 *2385:10 0 +34 *1001:43 *39584:A 0 +35 *1822:17 *2385:26 0 +36 *2366:49 *2385:22 0 +*RES +1 *39585:X *2385:10 48.1036 +2 *2385:10 *2385:11 140.589 +3 *2385:11 *2385:22 28.875 +4 *2385:22 *2385:26 46.9554 +5 *2385:26 *2385:41 23.4647 +6 *2385:41 *39584:A 28.425 +7 *2385:41 *7579:DIODE 9.3 +*END + +*D_NET *2386 0.0195128 +*CONN +*I *5256:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37398:A I *D sky130_fd_sc_hd__inv_2 +*I *39586:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *5256:DIODE 0 +2 *37398:A 0.000388796 +3 *39586:X 0.00181564 +4 *2386:67 0.000623472 +5 *2386:63 0.002374 +6 *2386:42 0.00407363 +7 *2386:25 0.00488771 +8 *2386:23 0.00324368 +9 *2386:19 0.00210591 +10 *37398:A *2549:37 0 +11 *37398:A *2660:17 0 +12 *37398:A *3296:9 0 +13 *37398:A *3435:37 0 +14 *2386:19 *40337:A 0 +15 *2386:19 *41251:A 0 +16 *2386:19 *2425:13 0 +17 *2386:19 *3113:117 0 +18 *2386:19 *3208:29 0 +19 *2386:19 *3783:41 0 +20 *2386:19 *3783:56 0 +21 *2386:19 *4149:68 0 +22 *2386:19 *4259:24 0 +23 *2386:23 *40337:A 0 +24 *2386:23 *4259:24 0 +25 *2386:25 *8660:DIODE 0 +26 *2386:25 *8873:DIODE 0 +27 *2386:25 *40233:A 0 +28 *2386:25 *40328:A 0 +29 *2386:25 *3502:9 0 +30 *2386:25 *3977:151 0 +31 *2386:25 *4220:20 0 +32 *2386:25 *4221:81 0 +33 *2386:25 *4259:24 0 +34 *2386:25 *4270:13 0 +35 *2386:42 *8692:DIODE 0 +36 *2386:42 *40281:A 0 +37 *2386:42 *40581:A 0 +38 *2386:42 *3208:47 0 +39 *2386:42 *3497:50 0 +40 *2386:42 *3502:9 0 +41 *2386:42 *3529:66 0 +42 *2386:42 *4221:78 0 +43 *2386:63 *38082:C 0 +44 *2386:63 *3158:16 0 +45 *2386:63 *3234:27 0 +46 *2386:63 *3309:23 0 +47 *2386:63 *3312:78 0 +48 *2386:63 *3517:65 0 +49 *2386:63 *3520:45 0 +50 *2386:63 *3525:29 0 +51 *2386:63 *3777:46 0 +52 *2386:63 *3960:35 0 +53 *2386:63 *4221:60 0 +54 *2386:63 *4221:68 0 +55 *2386:67 *3296:9 0 +56 *2386:67 *3435:37 0 +57 *2386:67 *4204:50 0 +58 *7880:DIODE *2386:25 0 +59 *512:11 *37398:A 0 +60 *1239:28 *2386:25 0 +61 *1435:66 *2386:42 0 +*RES +1 *39586:X *2386:19 47.4429 +2 *2386:19 *2386:23 6.08929 +3 *2386:23 *2386:25 61.7321 +4 *2386:25 *2386:42 49.75 +5 *2386:42 *2386:63 49.8909 +6 *2386:63 *2386:67 9.77679 +7 *2386:67 *37398:A 26.8179 +8 *2386:67 *5256:DIODE 9.3 +*END + +*D_NET *2387 0.0290854 +*CONN +*I *7581:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39586:A I *D sky130_fd_sc_hd__buf_6 +*I *39587:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *7581:DIODE 0.000279394 +2 *39586:A 0.000645021 +3 *39587:X 0.000104386 +4 *2387:63 0.00266589 +5 *2387:35 0.00555808 +6 *2387:22 0.0046175 +7 *2387:11 0.0063052 +8 *2387:10 0.00687554 +9 *2387:7 0.00203441 +10 *7581:DIODE *7612:DIODE 0 +11 *7581:DIODE *3697:99 0 +12 *39586:A *2426:84 0 +13 *39586:A *3084:10 0 +14 *39586:A *3261:15 0 +15 *39586:A *3783:56 0 +16 *2387:7 *3478:68 0 +17 *2387:7 *3767:36 0 +18 *2387:10 *2831:66 0 +19 *2387:10 *3010:38 0 +20 *2387:10 *3696:136 0 +21 *2387:10 *3718:33 0 +22 *2387:10 *4077:33 0 +23 *2387:11 *2807:35 0 +24 *2387:11 *2836:33 0 +25 *2387:11 *3489:17 0 +26 *2387:22 *2814:37 0 +27 *2387:35 *2426:40 0 +28 *2387:35 *2682:45 0 +29 *2387:35 *3036:57 0 +30 *2387:35 *3075:45 0 +31 *2387:35 *5173:66 0 +32 *2387:35 *5188:32 0 +33 *2387:63 *2397:21 0 +34 *2387:63 *3194:107 0 +35 *2387:63 *3406:32 0 +36 *2387:63 *3417:47 0 +37 *2387:63 *3430:104 0 +38 *2387:63 *3434:34 0 +39 *2387:63 *3696:62 0 +40 *2387:63 *3722:25 0 +41 *2387:63 *4259:40 0 +42 *2387:63 *4274:26 0 +43 *2387:63 *5173:66 0 +44 *2387:63 *5188:32 0 +45 *370:24 *2387:11 0 +46 *370:24 *2387:35 0 +47 *1272:18 *2387:11 0 +48 *1273:41 *2387:22 0 +49 *1449:17 *39586:A 0 +50 *1449:59 *2387:10 0 +51 *1822:16 *2387:11 0 +*RES +1 *39587:X *2387:7 15.9786 +2 *2387:7 *2387:10 48.3393 +3 *2387:10 *2387:11 103.214 +4 *2387:11 *2387:22 46.8393 +5 *2387:22 *2387:35 34.9635 +6 *2387:35 *2387:63 43.787 +7 *2387:63 *39586:A 28.1839 +8 *2387:35 *7581:DIODE 23.5582 +*END + +*D_NET *2388 0.0186809 +*CONN +*I *7582:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39587:A I *D sky130_fd_sc_hd__buf_4 +*I *39588:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7582:DIODE 2.26741e-05 +2 *39587:A 8.4707e-05 +3 *39588:X 0.000786808 +4 *2388:62 0.00191939 +5 *2388:48 0.00344471 +6 *2388:23 0.00447252 +7 *2388:22 0.00283982 +8 *2388:20 0.00216172 +9 *2388:10 0.00294853 +10 *7582:DIODE *3767:36 0 +11 *39587:A *3478:68 0 +12 *39587:A *3767:36 0 +13 *2388:10 *3009:64 0 +14 *2388:10 *3930:13 0 +15 *2388:20 *2800:75 0 +16 *2388:20 *2809:24 0 +17 *2388:20 *3401:36 0 +18 *2388:20 *3495:36 0 +19 *2388:20 *3962:15 0 +20 *2388:20 *4610:10 0 +21 *2388:23 *2392:13 0 +22 *2388:23 *3288:84 0 +23 *2388:23 *3498:53 0 +24 *2388:23 *3962:7 0 +25 *2388:23 *3962:11 0 +26 *2388:48 *38052:A_N 0 +27 *2388:48 *40144:A 0 +28 *2388:48 *40991:A 0 +29 *2388:48 *2815:70 0 +30 *2388:48 *3288:69 0 +31 *2388:48 *3498:51 0 +32 *2388:48 *3501:95 0 +33 *2388:48 *3950:83 0 +34 *2388:48 *3962:7 0 +35 *2388:48 *3978:127 0 +36 *2388:48 *4624:13 0 +37 *2388:62 *38362:A 0 +38 *2388:62 *3408:18 0 +39 *2388:62 *3734:21 0 +40 *2388:62 *3970:34 0 +41 *2388:62 *3981:59 0 +42 *2388:62 *4013:33 0 +43 *2388:62 *4322:103 0 +44 *8024:DIODE *2388:48 0 +45 *38109:A *2388:48 0 +46 *385:11 *2388:20 0 +47 *1017:93 *2388:10 0 +48 *1214:43 *2388:48 0 +49 *1461:11 *2388:20 0 +50 *1715:14 *2388:10 0 +51 *1839:12 *2388:20 0 +*RES +1 *39588:X *2388:10 34.8536 +2 *2388:10 *2388:20 47.3836 +3 *2388:20 *2388:22 4.5 +4 *2388:22 *2388:23 59.2679 +5 *2388:23 *2388:48 48.2589 +6 *2388:48 *2388:62 42.1923 +7 *2388:62 *39587:A 11.0679 +8 *2388:62 *7582:DIODE 9.83571 +*END + +*D_NET *2389 0.0211443 +*CONN +*I *5255:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37397:A I *D sky130_fd_sc_hd__clkinv_2 +*I *39589:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5255:DIODE 0 +2 *37397:A 0.000238908 +3 *39589:X 0.00181652 +4 *2389:29 0.00875563 +5 *2389:21 0.0103332 +6 *37397:A *3217:33 0 +7 *37397:A *3785:59 0 +8 *37397:A *3785:66 0 +9 *2389:21 *7978:DIODE 0 +10 *2389:21 *2406:16 0 +11 *2389:21 *2445:35 0 +12 *2389:21 *2782:14 0 +13 *2389:21 *3043:75 0 +14 *2389:21 *3262:86 0 +15 *2389:21 *3413:60 0 +16 *2389:21 *4524:73 0 +17 *2389:21 *5182:120 0 +18 *2389:21 *5186:85 0 +19 *2389:29 *2390:12 0 +20 *2389:29 *2401:13 0 +21 *2389:29 *2406:16 0 +22 *2389:29 *2410:34 0 +23 *2389:29 *2782:14 0 +24 *2389:29 *2816:19 0 +25 *2389:29 *3134:16 0 +26 *2389:29 *3141:14 0 +27 *2389:29 *3141:30 0 +28 *2389:29 *3217:33 0 +29 *2389:29 *3508:27 0 +30 *2389:29 *3973:49 0 +31 *2389:29 *4182:20 0 +32 *2389:29 *4182:68 0 +33 la_data_in_mprj[100] *2389:29 0 +34 *389:8 *2389:29 0 +35 *406:32 *2389:29 0 +36 *407:36 *2389:21 0 +37 *528:31 *2389:29 0 +38 *531:33 *2389:21 0 +39 *531:33 *2389:29 0 +40 *777:14 *2389:29 0 +41 *2382:87 *2389:21 0 +*RES +1 *39589:X *2389:21 34.9769 +2 *2389:21 *2389:29 48.6328 +3 *2389:29 *37397:A 23.3893 +4 *2389:29 *5255:DIODE 9.3 +*END + +*D_NET *2390 0.0216384 +*CONN +*I *37396:A I *D sky130_fd_sc_hd__inv_2 +*I *5254:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39590:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *37396:A 0.000143103 +2 *5254:DIODE 0 +3 *39590:X 0.00277017 +4 *2390:49 0.00189448 +5 *2390:30 0.00260617 +6 *2390:15 0.00615454 +7 *2390:14 0.00529975 +8 *2390:12 0.00277017 +9 *37396:A *3807:41 0 +10 *37396:A *3807:49 0 +11 *37396:A *3882:37 0 +12 *2390:12 *5506:DIODE 0 +13 *2390:12 *39026:A 0 +14 *2390:12 *40334:A 0 +15 *2390:12 *2401:13 0 +16 *2390:12 *2712:190 0 +17 *2390:12 *2782:14 0 +18 *2390:12 *4215:8 0 +19 *2390:12 *4754:12 0 +20 *2390:12 *4756:42 0 +21 *2390:15 *2424:31 0 +22 *2390:15 *2431:36 0 +23 *2390:15 *2727:34 0 +24 *2390:15 *3291:11 0 +25 *2390:15 *4875:42 0 +26 *2390:15 *4878:33 0 +27 *2390:15 *4884:14 0 +28 *2390:15 *4885:29 0 +29 *2390:30 *39138:A 0 +30 *2390:30 *39139:A 0 +31 *2390:30 *2549:19 0 +32 *2390:30 *4508:23 0 +33 *2390:30 *4875:47 0 +34 *2390:30 *4880:39 0 +35 *2390:49 *2418:28 0 +36 *2390:49 *3785:34 0 +37 *2390:49 *3882:37 0 +38 *2390:49 *3949:44 0 +39 la_data_in_mprj[93] *2390:30 0 +40 *396:25 *2390:12 0 +41 *508:12 *2390:30 0 +42 *525:10 *2390:12 0 +43 *635:22 *2390:30 0 +44 *636:10 *2390:30 0 +45 *1220:35 *2390:15 0 +46 *1220:37 *2390:15 0 +47 *1220:53 *2390:12 0 +48 *1230:21 *2390:15 0 +49 *2008:45 *2390:49 0 +50 *2008:48 *2390:49 0 +51 *2040:48 *2390:49 0 +52 *2051:33 *2390:49 0 +53 *2124:36 *2390:49 0 +54 *2377:24 *2390:12 0 +55 *2389:29 *2390:12 0 +*RES +1 *39590:X *2390:12 48.7251 +2 *2390:12 *2390:14 4.5 +3 *2390:14 *2390:15 110.607 +4 *2390:15 *2390:30 36.6607 +5 *2390:30 *2390:49 47.2143 +6 *2390:49 *5254:DIODE 9.3 +7 *2390:49 *37396:A 12.3179 +*END + +*D_NET *2391 0.0283707 +*CONN +*I *7585:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39590:A I *D sky130_fd_sc_hd__buf_6 +*I *39591:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *7585:DIODE 9.0962e-05 +2 *39590:A 0.00049221 +3 *39591:X 0.00263396 +4 *2391:76 0.00562763 +5 *2391:59 0.0109682 +6 *2391:30 0.00855772 +7 *7585:DIODE *2440:58 0 +8 *7585:DIODE *3294:53 0 +9 *7585:DIODE *4238:18 0 +10 *39590:A *40233:A 0 +11 *39590:A *3208:37 0 +12 *39590:A *4255:27 0 +13 *2391:30 *3031:66 0 +14 *2391:30 *3036:57 0 +15 *2391:30 *3107:73 0 +16 *2391:30 *3218:24 0 +17 *2391:30 *3218:36 0 +18 *2391:30 *3412:71 0 +19 *2391:30 *3975:29 0 +20 *2391:59 *2421:30 0 +21 *2391:59 *2426:40 0 +22 *2391:59 *2443:36 0 +23 *2391:59 *2682:45 0 +24 *2391:59 *2905:51 0 +25 *2391:59 *2977:62 0 +26 *2391:59 *3024:49 0 +27 *2391:59 *3036:57 0 +28 *2391:59 *3042:20 0 +29 *2391:59 *3042:48 0 +30 *2391:59 *3075:45 0 +31 *2391:59 *3075:73 0 +32 *2391:59 *3275:65 0 +33 *2391:59 *3275:85 0 +34 *2391:59 *3298:40 0 +35 *2391:59 *3310:59 0 +36 *2391:59 *3678:45 0 +37 *2391:59 *4323:77 0 +38 *2391:76 *8476:DIODE 0 +39 *2391:76 *2440:58 0 +40 *2391:76 *2682:45 0 +41 *2391:76 *2816:19 0 +42 *2391:76 *2826:11 0 +43 *2391:76 *3515:34 0 +44 *2391:76 *3709:38 0 +45 *2391:76 *4091:26 0 +46 *2391:76 *4233:71 0 +47 *370:32 *2391:30 0 +48 *1022:34 *2391:76 0 +49 *1239:28 *39590:A 0 +50 *1326:16 *2391:76 0 +51 *1338:14 *2391:76 0 +52 *1419:41 *2391:76 0 +53 *1462:33 *2391:30 0 +54 *2369:20 *2391:59 0 +55 *2369:34 *2391:59 0 +56 *2369:34 *2391:76 0 +57 *2378:28 *2391:59 0 +*RES +1 *39591:X *2391:30 47.6424 +2 *2391:30 *2391:59 48.4579 +3 *2391:59 *2391:76 47.263 +4 *2391:76 *39590:A 24.2018 +5 *2391:76 *7585:DIODE 15.8982 +*END + +*D_NET *2392 0.0231305 +*CONN +*I *7586:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39591:A I *D sky130_fd_sc_hd__buf_4 +*I *39592:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7586:DIODE 8.56726e-05 +2 *39591:A 4.53482e-05 +3 *39592:X 0.00137432 +4 *2392:43 0.000593695 +5 *2392:34 0.0020262 +6 *2392:15 0.00959722 +7 *2392:13 0.009408 +8 *39591:A *3412:71 0 +9 *39591:A *3975:29 0 +10 *2392:13 *39655:A 0 +11 *2392:13 *2441:10 0 +12 *2392:13 *2955:8 0 +13 *2392:13 *3480:28 0 +14 *2392:13 *3498:59 0 +15 *2392:13 *3541:10 0 +16 *2392:13 *3764:69 0 +17 *2392:13 *4579:22 0 +18 *2392:13 *4579:32 0 +19 *2392:15 *39655:A 0 +20 *2392:15 *2411:39 0 +21 *2392:15 *2426:25 0 +22 *2392:15 *2801:35 0 +23 *2392:15 *2922:19 0 +24 *2392:15 *3428:49 0 +25 *2392:15 *4725:10 0 +26 *2392:34 *3090:16 0 +27 *2392:34 *3724:29 0 +28 *2392:34 *3739:32 0 +29 *2392:34 *3755:13 0 +30 *2392:34 *4102:72 0 +31 *2392:34 *4360:104 0 +32 *2392:43 *3107:53 0 +33 *2392:43 *3388:24 0 +34 *1251:31 *2392:15 0 +35 *1333:9 *2392:15 0 +36 *1569:15 *2392:15 0 +37 *1744:16 *2392:13 0 +38 *1846:13 *2392:13 0 +39 *1846:13 *2392:15 0 +40 *2388:23 *2392:13 0 +*RES +1 *39592:X *2392:13 48.9429 +2 *2392:13 *2392:15 167.696 +3 *2392:15 *2392:34 48.875 +4 *2392:34 *2392:43 15.25 +5 *2392:43 *39591:A 10.2464 +6 *2392:43 *7586:DIODE 20.2464 +*END + +*D_NET *2393 0.0197624 +*CONN +*I *5253:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37395:A I *D sky130_fd_sc_hd__inv_2 +*I *39593:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *5253:DIODE 0 +2 *37395:A 0.000364459 +3 *39593:X 0.00228627 +4 *2393:90 0.0015214 +5 *2393:82 0.00204406 +6 *2393:63 0.00315624 +7 *2393:62 0.00343538 +8 *2393:44 0.00291731 +9 *2393:28 0.00403731 +10 *37395:A *2516:12 0 +11 *37395:A *3207:83 0 +12 *37395:A *3860:61 0 +13 *37395:A *4509:22 0 +14 *37395:A *4875:47 0 +15 *2393:28 *39600:A 0 +16 *2393:28 *40417:A 0 +17 *2393:28 *2401:10 0 +18 *2393:28 *2415:10 0 +19 *2393:28 *2425:25 0 +20 *2393:28 *3234:27 0 +21 *2393:28 *3309:49 0 +22 *2393:28 *3508:28 0 +23 *2393:28 *3529:66 0 +24 *2393:28 *3759:45 0 +25 *2393:28 *3777:65 0 +26 *2393:28 *3784:82 0 +27 *2393:28 *3977:151 0 +28 *2393:28 *4281:83 0 +29 *2393:28 *4517:81 0 +30 *2393:44 *3234:27 0 +31 *2393:44 *3311:37 0 +32 *2393:44 *3435:37 0 +33 *2393:44 *3435:41 0 +34 *2393:44 *3525:29 0 +35 *2393:44 *4275:56 0 +36 *2393:44 *4502:45 0 +37 *2393:62 *2460:44 0 +38 *2393:62 *3034:11 0 +39 *2393:62 *3435:37 0 +40 *2393:62 *3973:49 0 +41 *2393:62 *3977:108 0 +42 *2393:62 *4502:45 0 +43 *2393:63 *39137:A 0 +44 *2393:63 *3296:9 0 +45 *2393:63 *3785:48 0 +46 *2393:63 *3807:49 0 +47 *2393:63 *3882:44 0 +48 *2393:63 *4505:30 0 +49 *2393:63 *4506:62 0 +50 *2393:82 *39137:A 0 +51 *2393:82 *3055:71 0 +52 *2393:82 *3305:55 0 +53 *2393:82 *3529:22 0 +54 *2393:82 *4503:16 0 +55 *2393:90 *8318:DIODE 0 +56 *2393:90 *2405:40 0 +57 *2393:90 *3244:32 0 +58 *2393:90 *3814:43 0 +59 *2393:90 *4503:9 0 +60 *6712:DIODE *37395:A 0 +61 *6864:DIODE *2393:62 0 +62 *886:11 *37395:A 0 +63 *1230:20 *2393:62 0 +*RES +1 *39593:X *2393:28 48.8599 +2 *2393:28 *2393:44 46.5303 +3 *2393:44 *2393:62 41.2841 +4 *2393:62 *2393:63 47.3571 +5 *2393:63 *2393:82 45.9643 +6 *2393:82 *2393:90 29.6607 +7 *2393:90 *37395:A 21.9607 +8 *2393:90 *5253:DIODE 13.8 +*END + +*D_NET *2394 0.0116146 +*CONN +*I *8319:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40599:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38419:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8319:DIODE 0.00014332 +2 *40599:A 0.000214619 +3 *38419:X 0.0010906 +4 *2394:52 0.00153794 +5 *2394:46 0.00256789 +6 *2394:27 0.00317877 +7 *2394:19 0.00288148 +8 *8319:DIODE *3530:41 0 +9 *8319:DIODE *4286:20 0 +10 *40599:A *3243:27 0 +11 *40599:A *4061:53 0 +12 *40599:A *4250:42 0 +13 *40599:A *4297:38 0 +14 *40599:A *5159:63 0 +15 *2394:19 *3760:35 0 +16 *2394:19 *3827:14 0 +17 *2394:19 *4490:27 0 +18 *2394:19 *4490:38 0 +19 *2394:19 *4860:23 0 +20 *2394:27 *40412:A 0 +21 *2394:27 *2416:15 0 +22 *2394:27 *3760:50 0 +23 *2394:27 *3816:33 0 +24 *2394:27 *4490:38 0 +25 *2394:27 *4495:27 0 +26 *2394:46 *2433:22 0 +27 *2394:46 *3320:72 0 +28 *2394:46 *3523:32 0 +29 *2394:46 *3533:19 0 +30 *2394:46 *3782:35 0 +31 *2394:46 *3816:53 0 +32 *2394:46 *3849:29 0 +33 *2394:46 *3849:46 0 +34 *2394:46 *4488:45 0 +35 *2394:46 *4490:73 0 +36 *2394:46 *4495:36 0 +37 *2394:46 *5159:41 0 +38 *2394:52 *2415:50 0 +39 *2394:52 *2431:112 0 +40 *2394:52 *2472:21 0 +41 *2394:52 *3530:41 0 +42 *2394:52 *3939:44 0 +43 *2394:52 *4002:23 0 +44 *2394:52 *4500:13 0 +45 *2394:52 *5170:52 0 +46 *6562:DIODE *2394:46 0 +47 *6703:DIODE *2394:27 0 +48 *6843:DIODE *2394:27 0 +49 *6845:DIODE *2394:27 0 +50 *6847:DIODE *2394:46 0 +51 *38562:A *2394:19 0 +52 *38709:A *2394:27 0 +53 *1981:62 *8319:DIODE 0 +54 *1981:62 *40599:A 0 +*RES +1 *38419:X *2394:19 41.3357 +2 *2394:19 *2394:27 46.4286 +3 *2394:27 *2394:46 49.3114 +4 *2394:46 *2394:52 12.9768 +5 *2394:52 *40599:A 18.8714 +6 *2394:52 *8319:DIODE 16.925 +*END + +*D_NET *2395 0.0275182 +*CONN +*I *39593:A I *D sky130_fd_sc_hd__buf_4 +*I *7587:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39594:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39593:A 0 +2 *7587:DIODE 0.000384138 +3 *39594:X 0.00306364 +4 *2395:64 0.00386086 +5 *2395:52 0.0103113 +6 *2395:24 0.00989824 +7 *7587:DIODE *40597:A 0 +8 *2395:24 *2443:36 0 +9 *2395:24 *3036:57 0 +10 *2395:24 *3075:45 0 +11 *2395:24 *3275:85 0 +12 *2395:24 *3434:56 0 +13 *2395:24 *3701:50 0 +14 *2395:24 *4026:45 0 +15 *2395:24 *4228:22 0 +16 *2395:24 *4229:69 0 +17 *2395:24 *5182:66 0 +18 *2395:52 *8252:DIODE 0 +19 *2395:52 *2443:36 0 +20 *2395:52 *2925:25 0 +21 *2395:52 *2937:14 0 +22 *2395:52 *2959:32 0 +23 *2395:52 *2977:62 0 +24 *2395:52 *3050:10 0 +25 *2395:52 *3709:38 0 +26 *2395:64 *2443:59 0 +27 *2395:64 *2443:67 0 +28 *2395:64 *2937:14 0 +29 *2395:64 *3023:21 0 +30 *2395:64 *3520:45 0 +31 *2395:64 *3524:14 0 +32 *2395:64 *3709:38 0 +33 *2395:64 *4045:53 0 +34 *8012:DIODE *7587:DIODE 0 +35 *40159:A *7587:DIODE 0 +36 *1008:109 *2395:24 0 +37 *1325:17 *2395:52 0 +38 *1325:44 *2395:52 0 +39 *1330:22 *2395:52 0 +40 *1411:15 *2395:52 0 +41 *1419:41 *2395:52 0 +42 *2381:49 *7587:DIODE 0 +*RES +1 *39594:X *2395:24 45.9278 +2 *2395:24 *2395:52 47.0977 +3 *2395:52 *2395:64 27.9679 +4 *2395:64 *7587:DIODE 22.3714 +5 *2395:64 *39593:A 13.8 +*END + +*D_NET *2396 0.0215617 +*CONN +*I *7588:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39594:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39595:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7588:DIODE 0 +2 *39594:A 0.000431108 +3 *39595:X 0.000794296 +4 *2396:40 0.00183068 +5 *2396:39 0.00228238 +6 *2396:30 0.00268053 +7 *2396:13 0.00727308 +8 *2396:11 0.00626966 +9 *39594:A *3411:91 0 +10 *39594:A *3936:23 0 +11 *39594:A *4026:45 0 +12 *2396:11 *38077:A 0 +13 *2396:11 *2810:23 0 +14 *2396:11 *3425:24 0 +15 *2396:11 *3761:80 0 +16 *2396:11 *4908:12 0 +17 *2396:13 *2411:39 0 +18 *2396:13 *2411:41 0 +19 *2396:13 *2426:24 0 +20 *2396:13 *2810:23 0 +21 *2396:13 *2922:16 0 +22 *2396:13 *3117:20 0 +23 *2396:13 *3400:66 0 +24 *2396:30 *7942:DIODE 0 +25 *2396:30 *40067:A 0 +26 *2396:30 *3400:57 0 +27 *2396:30 *3400:61 0 +28 *2396:30 *3400:66 0 +29 *2396:39 *2823:19 0 +30 *2396:39 *2977:76 0 +31 *2396:39 *3428:29 0 +32 *2396:39 *4033:61 0 +33 *2396:40 *3479:30 0 +34 *2396:40 *3489:8 0 +35 *2396:40 *3543:38 0 +36 *1221:17 *2396:13 0 +37 *1243:10 *2396:11 0 +38 *1418:21 *2396:30 0 +39 *1451:39 *2396:13 0 +40 *1451:50 *2396:13 0 +41 *1544:8 *2396:30 0 +42 *1553:23 *2396:13 0 +43 *1751:9 *2396:11 0 +44 *1751:9 *2396:13 0 +45 *1863:39 *2396:13 0 +46 *2368:14 *2396:13 0 +47 *2378:17 *2396:13 0 +48 *2378:17 *2396:30 0 +*RES +1 *39595:X *2396:11 36.0321 +2 *2396:11 *2396:13 114.304 +3 *2396:13 *2396:30 46.8929 +4 *2396:30 *2396:39 23.5124 +5 *2396:39 *2396:40 31.8482 +6 *2396:40 *39594:A 22.9071 +7 *2396:40 *7588:DIODE 13.8 +*END + +*D_NET *2397 0.0202635 +*CONN +*I *37394:A I *D sky130_fd_sc_hd__clkinv_2 +*I *5252:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39596:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37394:A 0 +2 *5252:DIODE 0.000124693 +3 *39596:X 0.000809243 +4 *2397:36 0.000274448 +5 *2397:33 0.00161227 +6 *2397:21 0.00904807 +7 *2397:20 0.0083948 +8 *5252:DIODE *2425:42 0 +9 *5252:DIODE *3265:70 0 +10 *5252:DIODE *3436:41 0 +11 *5252:DIODE *3511:85 0 +12 *2397:20 *7612:DIODE 0 +13 *2397:20 *3163:40 0 +14 *2397:20 *3237:37 0 +15 *2397:20 *3434:45 0 +16 *2397:20 *4591:67 0 +17 *2397:20 *5034:29 0 +18 *2397:21 *8499:DIODE 0 +19 *2397:21 *3122:35 0 +20 *2397:21 *3178:21 0 +21 *2397:21 *3188:111 0 +22 *2397:21 *3192:11 0 +23 *2397:21 *3192:13 0 +24 *2397:21 *3192:37 0 +25 *2397:21 *3261:27 0 +26 *2397:21 *3261:53 0 +27 *2397:21 *3272:21 0 +28 *2397:21 *3276:74 0 +29 *2397:21 *3276:83 0 +30 *2397:21 *3302:37 0 +31 *2397:21 *3302:59 0 +32 *2397:21 *3430:104 0 +33 *2397:21 *3697:73 0 +34 *2397:21 *5182:81 0 +35 *2397:33 *2972:23 0 +36 *2397:33 *3148:20 0 +37 *2397:33 *3207:15 0 +38 *2397:33 *3207:35 0 +39 *2397:33 *3272:51 0 +40 *2397:33 *3697:59 0 +41 *2397:36 *2425:42 0 +42 *2397:36 *4233:54 0 +43 *39580:A *2397:20 0 +44 *2387:63 *2397:21 0 +*RES +1 *39596:X *2397:20 44.9607 +2 *2397:20 *2397:21 158.25 +3 *2397:21 *2397:33 48.9464 +4 *2397:33 *2397:36 7.96429 +5 *2397:36 *5252:DIODE 16.5857 +6 *2397:36 *37394:A 13.8 +*END + +*D_NET *2398 0.0303425 +*CONN +*I *7589:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39596:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39597:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7589:DIODE 0.000350639 +2 *39596:A 0.000696216 +3 *39597:X 0.000677237 +4 *2398:60 0.00195837 +5 *2398:38 0.00285509 +6 *2398:35 0.00574768 +7 *2398:34 0.00487642 +8 *2398:23 0.0047977 +9 *2398:22 0.00571565 +10 *2398:19 0.00266749 +11 *7589:DIODE *3179:43 0 +12 *7589:DIODE *3422:67 0 +13 *7589:DIODE *4268:48 0 +14 *7589:DIODE *5188:32 0 +15 *39596:A *2972:118 0 +16 *39596:A *3070:32 0 +17 *39596:A *3163:19 0 +18 *39596:A *3167:25 0 +19 *39596:A *3179:43 0 +20 *39596:A *3198:24 0 +21 *39596:A *4591:67 0 +22 *2398:19 *2970:58 0 +23 *2398:19 *3024:78 0 +24 *2398:19 *3724:87 0 +25 *2398:19 *3724:94 0 +26 *2398:22 *2970:56 0 +27 *2398:22 *3024:68 0 +28 *2398:22 *4903:6 0 +29 *2398:23 *39641:A 0 +30 *2398:23 *2818:73 0 +31 *2398:23 *2828:33 0 +32 *2398:23 *2828:35 0 +33 *2398:23 *3489:25 0 +34 *2398:23 *5019:23 0 +35 *2398:23 *5019:25 0 +36 *2398:34 *2831:63 0 +37 *2398:34 *2871:54 0 +38 *2398:34 *3042:70 0 +39 *2398:35 *2817:47 0 +40 *2398:35 *2834:35 0 +41 *2398:35 *3485:41 0 +42 *2398:35 *3485:59 0 +43 *2398:38 *7885:DIODE 0 +44 *2398:38 *2417:52 0 +45 *2398:38 *2430:23 0 +46 *2398:38 *2822:149 0 +47 *2398:60 *7994:DIODE 0 +48 *2398:60 *8307:DIODE 0 +49 *2398:60 *3028:25 0 +50 *2398:60 *3509:25 0 +51 *2398:60 *4268:48 0 +52 *2398:60 *4278:37 0 +53 *2398:60 *4322:22 0 +54 *7574:DIODE *39596:A 0 +55 *1016:232 *2398:19 0 +56 *1273:40 *2398:35 0 +57 *1273:41 *2398:35 0 +58 *1333:20 *2398:34 0 +59 *1425:12 *2398:22 0 +60 *2368:40 *7589:DIODE 0 +61 *2382:56 *2398:38 0 +*RES +1 *39597:X *2398:19 33.7415 +2 *2398:19 *2398:22 49.7054 +3 *2398:22 *2398:23 77.75 +4 *2398:23 *2398:34 20.9346 +5 *2398:34 *2398:35 79.3929 +6 *2398:35 *2398:38 48.6429 +7 *2398:38 *2398:60 30.4375 +8 *2398:60 *39596:A 40.6294 +9 *2398:60 *7589:DIODE 22.3804 +*END + +*D_NET *2399 0.0208654 +*CONN +*I *37393:A I *D sky130_fd_sc_hd__inv_2 +*I *5251:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39598:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *37393:A 0.000153521 +2 *5251:DIODE 0 +3 *39598:X 0.000609697 +4 *2399:12 0.000626736 +5 *2399:9 0.00966948 +6 *2399:8 0.00980597 +7 *37393:A *3305:35 0 +8 *37393:A *3882:30 0 +9 *2399:8 *2406:16 0 +10 *2399:8 *4756:49 0 +11 *2399:9 *2407:13 0 +12 *2399:9 *2424:31 0 +13 *2399:9 *2978:9 0 +14 *2399:9 *3927:66 0 +15 *2399:9 *3971:20 0 +16 la_data_in_mprj[106] *2399:8 0 +17 *6852:DIODE *2399:12 0 +18 *884:5 *2399:12 0 +19 *1220:53 *2399:8 0 +20 *2051:48 *2399:9 0 +21 *2089:16 *2399:9 0 +*RES +1 *39598:X *2399:8 32.0857 +2 *2399:8 *2399:9 191.929 +3 *2399:9 *2399:12 15.25 +4 *2399:12 *5251:DIODE 13.8 +5 *2399:12 *37393:A 17.1214 +*END + +*D_NET *2400 0.0352723 +*CONN +*I *39598:A I *D sky130_fd_sc_hd__buf_4 +*I *7590:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39599:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39598:A 0 +2 *7590:DIODE 0.000244992 +3 *39599:X 0.00143075 +4 *2400:60 0.00168359 +5 *2400:56 0.00581986 +6 *2400:39 0.0126224 +7 *2400:20 0.0101406 +8 *2400:11 0.00333013 +9 *7590:DIODE *3287:66 0 +10 *7590:DIODE *3435:45 0 +11 *2400:11 *2444:48 0 +12 *2400:11 *3038:42 0 +13 *2400:11 *3188:46 0 +14 *2400:11 *3434:56 0 +15 *2400:11 *3477:23 0 +16 *2400:11 *3976:60 0 +17 *2400:11 *5034:57 0 +18 *2400:20 *3275:85 0 +19 *2400:20 *3420:55 0 +20 *2400:20 *3423:37 0 +21 *2400:20 *5028:6 0 +22 *2400:39 *2402:8 0 +23 *2400:39 *2469:25 0 +24 *2400:39 *2479:39 0 +25 *2400:39 *3040:21 0 +26 *2400:39 *3040:25 0 +27 *2400:39 *3050:42 0 +28 *2400:39 *3114:47 0 +29 *2400:39 *3492:17 0 +30 *2400:39 *3528:37 0 +31 *2400:39 *3528:45 0 +32 *2400:39 *3584:37 0 +33 *2400:39 *4021:22 0 +34 *2400:39 *4102:62 0 +35 *2400:39 *4241:110 0 +36 *2400:39 *5028:6 0 +37 *2400:56 *8097:DIODE 0 +38 *2400:56 *3025:46 0 +39 *2400:56 *3100:31 0 +40 *2400:56 *3198:47 0 +41 *2400:56 *3229:18 0 +42 *2400:56 *3497:59 0 +43 *2400:56 *3528:37 0 +44 *2400:56 *3735:22 0 +45 *2400:60 *40417:A 0 +46 *2400:60 *3154:14 0 +47 *2400:60 *3192:13 0 +48 *2400:60 *3198:47 0 +49 *2400:60 *3510:9 0 +50 *2400:60 *4259:18 0 +51 *361:25 *2400:39 0 +52 *1030:8 *2400:39 0 +53 *1030:8 *2400:56 0 +54 *1261:24 *2400:11 0 +55 *1330:22 *2400:56 0 +56 *1428:19 *2400:39 0 +57 *1445:37 *2400:56 0 +58 *2374:76 *2400:56 0 +*RES +1 *39599:X *2400:11 48.3208 +2 *2400:11 *2400:20 46.6421 +3 *2400:20 *2400:39 48.7477 +4 *2400:39 *2400:56 33.5124 +5 *2400:56 *2400:60 35.2321 +6 *2400:60 *7590:DIODE 19.3179 +7 *2400:60 *39598:A 13.8 +*END + +*D_NET *2401 0.0223363 +*CONN +*I *5250:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37392:A I *D sky130_fd_sc_hd__inv_2 +*I *39600:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *5250:DIODE 0.000118937 +2 *37392:A 5.51636e-05 +3 *39600:X 0.000792648 +4 *2401:18 0.00116916 +5 *2401:13 0.0102014 +6 *2401:10 0.00999899 +7 *5250:DIODE *3270:62 0 +8 *5250:DIODE *3939:44 0 +9 *5250:DIODE *4286:20 0 +10 *37392:A *4286:20 0 +11 *37392:A *4300:15 0 +12 *2401:10 *3287:47 0 +13 *2401:10 *3311:61 0 +14 *2401:10 *4193:27 0 +15 *2401:13 *2418:28 0 +16 *2401:13 *2428:17 0 +17 *2401:13 *2433:22 0 +18 *2401:13 *2460:15 0 +19 *2401:13 *3973:49 0 +20 *2401:18 *2431:112 0 +21 *2401:18 *3939:44 0 +22 *2401:18 *4250:42 0 +23 *2401:18 *4873:10 0 +24 *6592:DIODE *2401:10 0 +25 *500:5 *2401:18 0 +26 *522:8 *2401:10 0 +27 *778:8 *2401:10 0 +28 *1216:17 *2401:13 0 +29 *2051:48 *2401:13 0 +30 *2377:24 *2401:13 0 +31 *2383:42 *2401:18 0 +32 *2389:29 *2401:13 0 +33 *2390:12 *2401:13 0 +34 *2393:28 *2401:10 0 +*RES +1 *39600:X *2401:10 35.1296 +2 *2401:10 *2401:13 36.7518 +3 *2401:13 *2401:18 22.5982 +4 *2401:18 *37392:A 15.0679 +5 *2401:18 *5250:DIODE 16.5143 +*END + +*D_NET *2402 0.0338776 +*CONN +*I *39600:A I *D sky130_fd_sc_hd__buf_4 +*I *7592:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39601:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39600:A 8.4707e-05 +2 *7592:DIODE 0.000291512 +3 *39601:X 0.000104386 +4 *2402:56 0.00109385 +5 *2402:55 0.0083421 +6 *2402:30 0.0125738 +7 *2402:8 0.0081161 +8 *2402:7 0.00327118 +9 *7592:DIODE *5267:DIODE 0 +10 *7592:DIODE *8131:DIODE 0 +11 *7592:DIODE *37409:A 0 +12 *7592:DIODE *40417:A 0 +13 *7592:DIODE *3121:9 0 +14 *7592:DIODE *3265:57 0 +15 *39600:A *2425:25 0 +16 *39600:A *3977:151 0 +17 *2402:7 *3113:35 0 +18 *2402:7 *3184:56 0 +19 *2402:8 *2444:48 0 +20 *2402:8 *2817:44 0 +21 *2402:8 *2871:39 0 +22 *2402:8 *3418:26 0 +23 *2402:8 *3420:55 0 +24 *2402:8 *3420:60 0 +25 *2402:8 *3719:52 0 +26 *2402:8 *4021:22 0 +27 *2402:8 *4021:26 0 +28 *2402:8 *4091:64 0 +29 *2402:8 *4266:38 0 +30 *2402:30 *2824:49 0 +31 *2402:30 *3040:21 0 +32 *2402:30 *3114:15 0 +33 *2402:30 *3295:33 0 +34 *2402:30 *3499:67 0 +35 *2402:30 *3521:26 0 +36 *2402:30 *3528:37 0 +37 *2402:55 *3031:17 0 +38 *2402:55 *3073:74 0 +39 *2402:55 *3119:22 0 +40 *2402:55 *3295:20 0 +41 *2402:55 *3433:20 0 +42 *2402:55 *3439:38 0 +43 *2402:55 *3490:17 0 +44 *2402:55 *3499:48 0 +45 *2402:55 *3543:21 0 +46 *2402:55 *3543:35 0 +47 *2402:55 *3655:62 0 +48 *2402:55 *3735:22 0 +49 *2402:55 *4268:24 0 +50 *2402:56 *8846:DIODE 0 +51 *2402:56 *3150:43 0 +52 *2402:56 *4261:62 0 +53 *2402:56 *4276:34 0 +54 *2402:56 *4281:83 0 +55 *1000:33 *2402:55 0 +56 *1006:10 *2402:30 0 +57 *1006:28 *2402:30 0 +58 *1012:10 *2402:55 0 +59 *1012:34 *2402:30 0 +60 *1019:20 *2402:30 0 +61 *1022:16 *2402:55 0 +62 *1022:34 *2402:55 0 +63 *1026:101 *2402:55 0 +64 *2393:28 *39600:A 0 +65 *2400:39 *2402:8 0 +*RES +1 *39601:X *2402:7 15.9786 +2 *2402:7 *2402:8 71.9196 +3 *2402:8 *2402:30 45.1435 +4 *2402:30 *2402:55 39.2635 +5 *2402:55 *2402:56 16.3661 +6 *2402:56 *7592:DIODE 20.3357 +7 *2402:56 *39600:A 15.5679 +*END + +*D_NET *2403 0.0290691 +*CONN +*I *7593:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39601:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39602:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7593:DIODE 0 +2 *39601:A 0.00013106 +3 *39602:X 0 +4 *2403:52 0.00294162 +5 *2403:27 0.00377037 +6 *2403:16 0.00301449 +7 *2403:9 0.00821883 +8 *2403:8 0.00616414 +9 *2403:6 0.00241429 +10 *2403:5 0.00241429 +11 *39601:A *3478:17 0 +12 *39601:A *4758:49 0 +13 *2403:6 *6506:DIODE 0 +14 *2403:6 *3413:136 0 +15 *2403:9 *2473:21 0 +16 *2403:9 *3418:39 0 +17 *2403:16 *40758:A 0 +18 *2403:16 *2997:9 0 +19 *2403:16 *3418:27 0 +20 *2403:27 *2812:27 0 +21 *2403:27 *3028:29 0 +22 *2403:27 *3418:27 0 +23 *2403:52 *2444:38 0 +24 *2403:52 *2807:26 0 +25 *2403:52 *2821:36 0 +26 *2403:52 *2982:51 0 +27 *2403:52 *3218:36 0 +28 *2403:52 *3281:24 0 +29 *2403:52 *3413:132 0 +30 *2403:52 *3481:22 0 +31 *2403:52 *3661:25 0 +32 *2403:52 *3758:85 0 +33 *2403:52 *4044:49 0 +34 *2403:52 *4351:33 0 +35 *369:31 *2403:6 0 +36 *374:20 *2403:9 0 +37 *374:20 *2403:16 0 +38 *1565:35 *2403:27 0 +39 *1572:16 *2403:52 0 +40 *1572:21 *2403:52 0 +41 *1822:16 *2403:52 0 +42 *1863:48 *2403:6 0 +*RES +1 *39602:X *2403:5 13.8 +2 *2403:5 *2403:6 54.7679 +3 *2403:6 *2403:8 4.5 +4 *2403:8 *2403:9 128.679 +5 *2403:9 *2403:16 47.5089 +6 *2403:16 *2403:27 29.8153 +7 *2403:27 *2403:52 46.8035 +8 *2403:52 *39601:A 21.1929 +9 *2403:52 *7593:DIODE 9.3 +*END + +*D_NET *2404 0.0207442 +*CONN +*I *5249:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37391:A I *D sky130_fd_sc_hd__inv_2 +*I *39603:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *5249:DIODE 0 +2 *37391:A 0.000156274 +3 *39603:X 0.000722619 +4 *2404:16 0.00964946 +5 *2404:10 0.0102158 +6 *2404:10 *7121:DIODE 0 +7 *2404:10 *39024:A 0 +8 *2404:10 *2460:15 0 +9 *2404:10 *3105:42 0 +10 *2404:10 *3125:46 0 +11 *2404:10 *3154:35 0 +12 *2404:10 *3296:49 0 +13 *2404:10 *3500:32 0 +14 *2404:16 *2409:22 0 +15 *2404:16 *2415:26 0 +16 *2404:16 *2415:50 0 +17 *2404:16 *2428:34 0 +18 *2404:16 *2433:22 0 +19 *2404:16 *2445:43 0 +20 *2404:16 *3949:44 0 +21 *2404:16 *4878:33 0 +22 *777:14 *2404:10 0 +23 *2080:33 *2404:16 0 +24 *2087:35 *2404:16 0 +25 *2106:23 *2404:16 0 +26 *2377:24 *2404:16 0 +27 *2379:39 *2404:16 0 +*RES +1 *39603:X *2404:10 33.2546 +2 *2404:10 *2404:16 49.6683 +3 *2404:16 *37391:A 21.6214 +4 *2404:16 *5249:DIODE 9.3 +*END + +*D_NET *2405 0.0134728 +*CONN +*I *8318:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40598:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38420:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8318:DIODE 0.000133576 +2 *40598:A 0.000112365 +3 *38420:X 0.00177714 +4 *2405:40 0.00385819 +5 *2405:24 0.00471331 +6 *2405:5 0.0028782 +7 *8318:DIODE *3311:5 0 +8 *40598:A *3055:55 0 +9 *40598:A *3216:15 0 +10 *40598:A *3525:17 0 +11 *2405:5 *3320:29 0 +12 *2405:5 *3320:54 0 +13 *2405:5 *3328:30 0 +14 *2405:5 *3816:20 0 +15 *2405:5 *4488:16 0 +16 *2405:5 *4488:24 0 +17 *2405:24 *3782:35 0 +18 *2405:24 *3893:22 0 +19 *2405:24 *3905:22 0 +20 *2405:24 *4490:55 0 +21 *2405:40 *2431:86 0 +22 *2405:40 *2538:19 0 +23 *2405:40 *3140:60 0 +24 *2405:40 *3248:42 0 +25 *2405:40 *3893:22 0 +26 *2405:40 *4253:72 0 +27 *2405:40 *4496:33 0 +28 *2405:40 *5192:9 0 +29 *6564:DIODE *2405:40 0 +30 *6570:DIODE *2405:40 0 +31 *6841:DIODE *2405:5 0 +32 *496:10 *2405:40 0 +33 *879:8 *2405:24 0 +34 *1987:36 *2405:40 0 +35 *2072:48 *2405:40 0 +36 *2115:49 *2405:24 0 +37 *2115:66 *2405:40 0 +38 *2141:34 *2405:40 0 +39 *2393:90 *8318:DIODE 0 +40 *2393:90 *2405:40 0 +*RES +1 *38420:X *2405:5 46.3893 +2 *2405:5 *2405:24 46.3036 +3 *2405:24 *2405:40 47.7104 +4 *2405:40 *40598:A 16.5411 +5 *2405:40 *8318:DIODE 16.8625 +*END + +*D_NET *2406 0.020383 +*CONN +*I *7594:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39603:A I *D sky130_fd_sc_hd__buf_4 +*I *39604:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7594:DIODE 0 +2 *39603:A 0.000175654 +3 *39604:X 0.000443632 +4 *2406:17 0.000277046 +5 *2406:16 0.00957222 +6 *2406:10 0.00991446 +7 *39603:A *3287:47 0 +8 *39603:A *3296:49 0 +9 *39603:A *3435:45 0 +10 *2406:10 *3106:23 0 +11 *2406:16 *38888:A 0 +12 *2406:16 *2445:35 0 +13 *2406:16 *2849:23 0 +14 *2406:16 *2882:46 0 +15 *2406:16 *2960:43 0 +16 *2406:16 *2984:58 0 +17 *2406:16 *3032:36 0 +18 *2406:16 *3077:91 0 +19 *2406:16 *3125:46 0 +20 *2406:16 *3134:16 0 +21 *2406:16 *3141:14 0 +22 *2406:16 *3141:30 0 +23 *2406:16 *3413:60 0 +24 *2406:16 *4182:68 0 +25 *2406:16 *4550:63 0 +26 *2406:16 *5072:32 0 +27 *2406:16 *5164:19 0 +28 *2406:16 *5165:35 0 +29 *2406:16 *5186:85 0 +30 *2406:16 *5191:27 0 +31 *2406:16 *5194:29 0 +32 *2406:17 *3287:47 0 +33 *6592:DIODE *2406:16 0 +34 *6756:DIODE *2406:16 0 +35 *6757:DIODE *2406:10 0 +36 *416:21 *2406:10 0 +37 *528:31 *2406:16 0 +38 *531:33 *2406:16 0 +39 *541:59 *2406:16 0 +40 *779:17 *2406:16 0 +41 *791:50 *2406:16 0 +42 *793:49 *2406:16 0 +43 *2382:87 *2406:16 0 +44 *2389:21 *2406:16 0 +45 *2389:29 *2406:16 0 +46 *2399:8 *2406:16 0 +*RES +1 *39604:X *2406:10 27.0582 +2 *2406:10 *2406:16 48.063 +3 *2406:16 *2406:17 2.17857 +4 *2406:17 *39603:A 22.05 +5 *2406:17 *7594:DIODE 9.3 +*END + +*D_NET *2407 0.0203646 +*CONN +*I *37390:A I *D sky130_fd_sc_hd__inv_2 +*I *5248:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39605:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *37390:A 0.000180175 +2 *5248:DIODE 0.000237831 +3 *39605:X 0.000973985 +4 *2407:41 0.000960015 +5 *2407:35 0.00229311 +6 *2407:13 0.0082483 +7 *2407:11 0.00747118 +8 *5248:DIODE *3782:61 0 +9 *5248:DIODE *4495:36 0 +10 *2407:11 *2410:25 0 +11 *2407:11 *2418:14 0 +12 *2407:11 *2516:30 0 +13 *2407:11 *2978:9 0 +14 *2407:11 *3141:33 0 +15 *2407:13 *2418:14 0 +16 *2407:13 *2424:31 0 +17 *2407:13 *2431:49 0 +18 *2407:13 *2460:29 0 +19 *2407:13 *2978:9 0 +20 *2407:13 *3508:27 0 +21 *2407:13 *3927:66 0 +22 *2407:13 *3971:20 0 +23 *2407:35 *39129:A 0 +24 *2407:35 *39131:A 0 +25 *2407:35 *39132:A 0 +26 *2407:35 *3927:23 0 +27 *2407:35 *4253:72 0 +28 *2407:35 *4495:40 0 +29 *2407:35 *4875:55 0 +30 *2407:35 *5170:45 0 +31 *2407:41 *2483:19 0 +32 *2407:41 *2505:15 0 +33 *2407:41 *3782:61 0 +34 *2407:41 *4488:74 0 +35 la_data_in_mprj[86] *2407:35 0 +36 la_data_in_mprj[87] *2407:35 0 +37 *6566:DIODE *5248:DIODE 0 +38 *38572:A *2407:41 0 +39 *38574:A *2407:35 0 +40 *40161:A *2407:13 0 +41 *520:7 *2407:11 0 +42 *628:16 *2407:35 0 +43 *630:7 *2407:35 0 +44 *776:5 *2407:11 0 +45 *1219:13 *2407:13 0 +46 *1223:15 *2407:13 0 +47 *1236:11 *2407:13 0 +48 *2051:33 *2407:13 0 +49 *2051:48 *2407:13 0 +50 *2089:16 *2407:13 0 +51 *2383:42 *2407:35 0 +52 *2399:9 *2407:13 0 +*RES +1 *39605:X *2407:11 39.0321 +2 *2407:11 *2407:13 135.661 +3 *2407:13 *2407:35 45.9286 +4 *2407:35 *2407:41 20.6071 +5 *2407:41 *5248:DIODE 23.6214 +6 *2407:41 *37390:A 22.2107 +*END + +*D_NET *2408 0.0202443 +*CONN +*I *7596:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39605:A I *D sky130_fd_sc_hd__buf_4 +*I *39606:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7596:DIODE 0.000263878 +2 *39605:A 3.6578e-05 +3 *39606:X 0.000482345 +4 *2408:40 0.000519819 +5 *2408:37 0.00748555 +6 *2408:35 0.00750855 +7 *2408:26 0.0018538 +8 *2408:16 0.00209379 +9 *7596:DIODE *3250:64 0 +10 *7596:DIODE *3296:49 0 +11 *2408:16 *40252:A 0 +12 *2408:16 *5179:115 0 +13 *2408:26 *2882:17 0 +14 *2408:26 *2893:39 0 +15 *2408:26 *3142:91 0 +16 *2408:26 *5103:18 0 +17 *2408:26 *5103:23 0 +18 *2408:26 *5171:118 0 +19 *2408:26 *5175:86 0 +20 *2408:26 *5175:108 0 +21 *2408:35 *2882:17 0 +22 *2408:35 *3142:91 0 +23 *2408:35 *5175:108 0 +24 *2408:37 *2445:35 0 +25 *2408:37 *2882:17 0 +26 *2408:37 *2978:9 0 +27 *2408:37 *3142:91 0 +28 *2408:37 *3142:106 0 +29 *2408:40 *3250:64 0 +30 la_data_in_mprj[123] *2408:16 0 +31 *6732:DIODE *7596:DIODE 0 +32 *409:29 *2408:35 0 +33 *534:43 *2408:37 0 +34 *776:5 *7596:DIODE 0 +35 *776:5 *2408:40 0 +36 *788:17 *2408:37 0 +37 *789:11 *2408:37 0 +38 *790:29 *2408:37 0 +39 *2371:21 *2408:37 0 +*RES +1 *39606:X *2408:16 38.05 +2 *2408:16 *2408:26 47.25 +3 *2408:26 *2408:35 9.71429 +4 *2408:35 *2408:37 151.679 +5 *2408:37 *2408:40 9.48214 +6 *2408:40 *39605:A 14.6393 +7 *2408:40 *7596:DIODE 19.6393 +*END + +*D_NET *2409 0.0216455 +*CONN +*I *5247:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37389:A I *D sky130_fd_sc_hd__inv_2 +*I *39607:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *5247:DIODE 0 +2 *37389:A 0.000175674 +3 *39607:X 0.000786438 +4 *2409:29 0.000353536 +5 *2409:22 0.00986066 +6 *2409:10 0.0104692 +7 *37389:A *40414:A 0 +8 *37389:A *3444:5 0 +9 *37389:A *3800:41 0 +10 *37389:A *3882:13 0 +11 *2409:10 *2760:28 0 +12 *2409:10 *3154:47 0 +13 *2409:10 *3311:37 0 +14 *2409:10 *3430:21 0 +15 *2409:10 *3497:27 0 +16 *2409:22 *2749:56 0 +17 *2409:22 *3893:22 0 +18 *2409:22 *4490:55 0 +19 *2409:22 *4501:20 0 +20 *2409:29 *3792:37 0 +21 *2409:29 *3882:13 0 +22 *2409:29 *4488:45 0 +23 *6846:DIODE *2409:22 0 +24 *390:10 *2409:10 0 +25 *1220:28 *2409:22 0 +26 *1223:24 *2409:10 0 +27 *1960:22 *2409:22 0 +28 *2106:23 *2409:22 0 +29 *2115:49 *2409:22 0 +30 *2361:22 *2409:22 0 +31 *2379:39 *2409:22 0 +32 *2404:16 *2409:22 0 +*RES +1 *39607:X *2409:10 35.0225 +2 *2409:10 *2409:22 49.2492 +3 *2409:22 *2409:29 8.50893 +4 *2409:29 *37389:A 22.05 +5 *2409:29 *5247:DIODE 9.3 +*END + +*D_NET *2410 0.0215614 +*CONN +*I *39607:A I *D sky130_fd_sc_hd__buf_6 +*I *7598:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39608:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *39607:A 0.000250949 +2 *7598:DIODE 4.53482e-05 +3 *39608:X 0.00100772 +4 *2410:34 0.00123285 +5 *2410:25 0.00771226 +6 *2410:24 0.00854015 +7 *2410:18 0.00277217 +8 *39607:A *3309:39 0 +9 *2410:18 *8466:DIODE 0 +10 *2410:18 *3150:18 0 +11 *2410:18 *3235:63 0 +12 *2410:18 *3402:26 0 +13 *2410:18 *3722:69 0 +14 *2410:18 *4149:121 0 +15 *2410:24 *2927:41 0 +16 *2410:24 *3142:91 0 +17 *2410:24 *5191:31 0 +18 *2410:25 *2978:9 0 +19 *2410:25 *3141:33 0 +20 *2410:25 *3250:49 0 +21 *2410:25 *3430:65 0 +22 *2410:25 *5179:148 0 +23 *2410:34 *2516:30 0 +24 *2410:34 *3274:17 0 +25 *2410:34 *3973:49 0 +26 *2410:34 *4507:38 0 +27 *2410:34 *4890:10 0 +28 *411:8 *2410:18 0 +29 *774:11 *2410:34 0 +30 *787:22 *2410:24 0 +31 *2371:21 *2410:25 0 +32 *2389:29 *2410:34 0 +33 *2407:11 *2410:25 0 +*RES +1 *39608:X *2410:18 41.1571 +2 *2410:18 *2410:24 45.9821 +3 *2410:24 *2410:25 141.411 +4 *2410:25 *2410:34 25.1291 +5 *2410:34 *7598:DIODE 14.7464 +6 *2410:34 *39607:A 19.3893 +*END + +*D_NET *2411 0.0297776 +*CONN +*I *7599:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39608:A I *D sky130_fd_sc_hd__buf_4 +*I *39609:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7599:DIODE 0 +2 *39608:A 0.000393245 +3 *39609:X 0.000942455 +4 *2411:93 0.00170695 +5 *2411:65 0.00178532 +6 *2411:60 0.00247834 +7 *2411:49 0.0025377 +8 *2411:41 0.00677384 +9 *2411:39 0.00767176 +10 *2411:30 0.00298723 +11 *2411:18 0.00250078 +12 *39608:A *40142:A 0 +13 *39608:A *3150:18 0 +14 *39608:A *3179:64 0 +15 *39608:A *3194:93 0 +16 *39608:A *3264:105 0 +17 *2411:18 *2970:56 0 +18 *2411:18 *2979:10 0 +19 *2411:18 *2979:11 0 +20 *2411:18 *3391:42 0 +21 *2411:18 *3484:37 0 +22 *2411:18 *3975:106 0 +23 *2411:30 *6519:DIODE 0 +24 *2411:30 *40150:A 0 +25 *2411:30 *3013:82 0 +26 *2411:41 *2921:10 0 +27 *2411:41 *2922:16 0 +28 *2411:41 *3400:57 0 +29 *2411:41 *3400:61 0 +30 *2411:41 *3400:66 0 +31 *2411:41 *3718:33 0 +32 *2411:49 *2426:25 0 +33 *2411:60 *41241:A 0 +34 *2411:60 *3414:6 0 +35 *2411:60 *3417:67 0 +36 *2411:65 *3188:66 0 +37 *2411:65 *3251:43 0 +38 *2411:65 *3264:93 0 +39 *2411:93 *7134:DIODE 0 +40 *2411:93 *40142:A 0 +41 *2411:93 *40317:A 0 +42 *2411:93 *3113:70 0 +43 *2411:93 *3188:66 0 +44 *2411:93 *3194:63 0 +45 *2411:93 *3203:60 0 +46 *2411:93 *3221:36 0 +47 *2411:93 *3235:63 0 +48 *2411:93 *3264:93 0 +49 *2411:93 *3264:105 0 +50 *2411:93 *4149:121 0 +51 *2411:93 *4591:34 0 +52 *2411:93 *5175:74 0 +53 *6609:DIODE *2411:93 0 +54 *6783:DIODE *2411:60 0 +55 *38357:A *2411:30 0 +56 *287:29 *2411:18 0 +57 *371:15 *2411:39 0 +58 *1016:232 *2411:30 0 +59 *1016:235 *2411:18 0 +60 *1208:53 *2411:49 0 +61 *1221:17 *2411:39 0 +62 *1333:9 *2411:39 0 +63 *1554:6 *2411:39 0 +64 *1562:29 *2411:41 0 +65 *1575:15 *2411:30 0 +66 *1840:72 *2411:93 0 +67 *1850:36 *2411:18 0 +68 *2366:8 *2411:30 0 +69 *2366:12 *2411:30 0 +70 *2368:14 *2411:41 0 +71 *2368:15 *2411:41 0 +72 *2392:15 *2411:39 0 +73 *2396:13 *2411:39 0 +74 *2396:13 *2411:41 0 +*RES +1 *39609:X *2411:18 44.3536 +2 *2411:18 *2411:30 40.0536 +3 *2411:30 *2411:39 39.0357 +4 *2411:39 *2411:41 130.321 +5 *2411:41 *2411:49 24.7143 +6 *2411:49 *2411:60 45.6696 +7 *2411:60 *2411:65 14.9732 +8 *2411:65 *2411:93 46.3099 +9 *2411:93 *39608:A 26.925 +10 *2411:65 *7599:DIODE 9.3 +*END + +*D_NET *2412 0.0279772 +*CONN +*I *37388:A I *D sky130_fd_sc_hd__inv_2 +*I *5246:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39610:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *37388:A 0 +2 *5246:DIODE 9.79114e-05 +3 *39610:X 0.00145815 +4 *2412:44 0.00480097 +5 *2412:31 0.0124326 +6 *2412:16 0.00918764 +7 *5246:DIODE *3530:20 0 +8 *2412:16 *3021:14 0 +9 *2412:16 *3584:21 0 +10 *2412:16 *3937:24 0 +11 *2412:16 *4008:99 0 +12 *2412:16 *4059:42 0 +13 *2412:16 *4117:66 0 +14 *2412:31 *2930:19 0 +15 *2412:31 *2930:40 0 +16 *2412:31 *3080:50 0 +17 *2412:31 *3083:44 0 +18 *2412:31 *3307:46 0 +19 *2412:31 *3307:66 0 +20 *2412:31 *3531:36 0 +21 *2412:31 *3647:16 0 +22 *2412:31 *3691:37 0 +23 *2412:31 *3709:27 0 +24 *2412:31 *3733:72 0 +25 *2412:31 *3741:79 0 +26 *2412:31 *3790:42 0 +27 *2412:31 *4103:44 0 +28 *2412:31 *4103:47 0 +29 *2412:31 *4114:53 0 +30 *2412:31 *4323:14 0 +31 *2412:31 *4341:22 0 +32 *2412:31 *4369:67 0 +33 *2412:44 *3083:60 0 +34 *2412:44 *3307:21 0 +35 *2412:44 *3334:19 0 +36 *2412:44 *3438:25 0 +37 *2412:44 *3808:19 0 +38 *2412:44 *4067:17 0 +39 *2412:44 *4166:35 0 +40 *2412:44 *4284:51 0 +41 *2412:44 *4287:49 0 +42 *2412:44 *4709:10 0 +43 *2412:44 *5116:16 0 +44 *1444:32 *2412:16 0 +45 *1532:36 *2412:31 0 +46 *2227:83 *2412:44 0 +47 *2300:38 *2412:31 0 +48 *2304:32 *2412:44 0 +49 *2304:51 *2412:44 0 +50 *2325:78 *2412:31 0 +*RES +1 *39610:X *2412:16 47.1304 +2 *2412:16 *2412:31 46.8677 +3 *2412:31 *2412:44 35.5255 +4 *2412:44 *5246:DIODE 15.9786 +5 *2412:44 *37388:A 13.8 +*END + +*D_NET *2413 0.0286864 +*CONN +*I *39610:A I *D sky130_fd_sc_hd__buf_6 +*I *7601:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39611:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *39610:A 0 +2 *7601:DIODE 0.000344858 +3 *39611:X 0.0018925 +4 *2413:101 0.000429565 +5 *2413:98 0.00157372 +6 *2413:69 0.00310868 +7 *2413:45 0.00695878 +8 *2413:44 0.00545158 +9 *2413:39 0.00232256 +10 *2413:38 0.00346088 +11 *2413:25 0.0031433 +12 *7601:DIODE *3436:64 0 +13 *7601:DIODE *4294:103 0 +14 *7601:DIODE *4297:122 0 +15 *2413:25 *8009:DIODE 0 +16 *2413:25 *2968:34 0 +17 *2413:25 *2977:62 0 +18 *2413:25 *3029:68 0 +19 *2413:25 *3308:39 0 +20 *2413:25 *3776:50 0 +21 *2413:25 *4322:22 0 +22 *2413:38 *2458:10 0 +23 *2413:38 *2682:45 0 +24 *2413:38 *2814:37 0 +25 *2413:38 *2972:64 0 +26 *2413:38 *3485:41 0 +27 *2413:39 *2478:5 0 +28 *2413:39 *2817:33 0 +29 *2413:39 *2837:38 0 +30 *2413:45 *2814:11 0 +31 *2413:45 *2814:36 0 +32 *2413:45 *2817:32 0 +33 *2413:45 *2840:49 0 +34 *2413:45 *3021:15 0 +35 *2413:45 *3713:51 0 +36 *2413:45 *3968:23 0 +37 *2413:69 *2436:27 0 +38 *2413:69 *2939:31 0 +39 *2413:69 *2972:51 0 +40 *2413:69 *3005:32 0 +41 *2413:69 *3005:33 0 +42 *2413:69 *3681:56 0 +43 *2413:69 *3742:40 0 +44 *2413:69 *3818:15 0 +45 *2413:69 *4070:95 0 +46 *2413:98 *8657:DIODE 0 +47 *2413:98 *38080:C 0 +48 *2413:98 *3054:78 0 +49 *2413:98 *3316:115 0 +50 *2413:98 *3318:28 0 +51 *2413:98 *3354:30 0 +52 *2413:98 *3678:19 0 +53 *2413:98 *3964:15 0 +54 *2413:98 *4078:26 0 +55 *2413:98 *4225:35 0 +56 *2413:98 *4291:75 0 +57 *2413:98 *4408:108 0 +58 *2413:101 *4059:42 0 +59 *1273:41 *2413:38 0 +60 *1273:62 *2413:38 0 +61 *1329:30 *2413:69 0 +62 *1444:32 *2413:98 0 +63 *1516:22 *2413:69 0 +64 *2365:76 *7601:DIODE 0 +65 *2369:34 *2413:45 0 +66 *2369:35 *2413:45 0 +67 *2384:28 *2413:25 0 +68 *2384:118 *2413:69 0 +*RES +1 *39611:X *2413:25 45.2574 +2 *2413:25 *2413:38 40.5089 +3 *2413:38 *2413:39 46.125 +4 *2413:39 *2413:44 11.5536 +5 *2413:44 *2413:45 111.429 +6 *2413:45 *2413:69 49.2931 +7 *2413:69 *2413:98 46.7939 +8 *2413:98 *2413:101 6.26786 +9 *2413:101 *7601:DIODE 26.05 +10 *2413:101 *39610:A 9.3 +*END + +*D_NET *2414 0.0215463 +*CONN +*I *7602:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39611:A I *D sky130_fd_sc_hd__buf_4 +*I *39612:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7602:DIODE 0.000127573 +2 *39611:A 0.000702217 +3 *39612:X 0.000829016 +4 *2414:126 0.00175617 +5 *2414:109 0.00221221 +6 *2414:89 0.00298387 +7 *2414:55 0.00477252 +8 *2414:53 0.00326549 +9 *2414:41 0.00212959 +10 *2414:22 0.0027676 +11 *7602:DIODE *8688:DIODE 0 +12 *7602:DIODE *3509:52 0 +13 *39611:A *3348:75 0 +14 *39611:A *3963:19 0 +15 *39611:A *3972:39 0 +16 *39611:A *4054:48 0 +17 *2414:22 *2808:17 0 +18 *2414:22 *3478:98 0 +19 *2414:22 *3935:17 0 +20 *2414:22 *3948:28 0 +21 *2414:22 *3981:67 0 +22 *2414:22 *3981:89 0 +23 *2414:22 *3986:13 0 +24 *2414:22 *4240:28 0 +25 *2414:41 *6485:DIODE 0 +26 *2414:41 *38338:A 0 +27 *2414:41 *38338:B 0 +28 *2414:41 *40983:A 0 +29 *2414:41 *2806:50 0 +30 *2414:41 *3288:36 0 +31 *2414:41 *3397:17 0 +32 *2414:41 *3400:108 0 +33 *2414:41 *3478:98 0 +34 *2414:41 *3981:59 0 +35 *2414:41 *3981:67 0 +36 *2414:53 *38364:A 0 +37 *2414:53 *2806:50 0 +38 *2414:53 *3981:59 0 +39 *2414:55 *7867:DIODE 0 +40 *2414:55 *7985:DIODE 0 +41 *2414:55 *8507:DIODE 0 +42 *2414:55 *38362:A 0 +43 *2414:55 *38362:B 0 +44 *2414:55 *39968:A 0 +45 *2414:55 *39983:A 0 +46 *2414:55 *40995:A 0 +47 *2414:55 *2806:50 0 +48 *2414:55 *3286:72 0 +49 *2414:55 *3410:112 0 +50 *2414:55 *3981:21 0 +51 *2414:55 *3981:39 0 +52 *2414:55 *3981:59 0 +53 *2414:55 *4013:24 0 +54 *2414:55 *4013:33 0 +55 *2414:55 *4013:67 0 +56 *2414:55 *4219:42 0 +57 *2414:55 *4244:11 0 +58 *2414:89 *8469:DIODE 0 +59 *2414:89 *38108:C 0 +60 *2414:89 *40777:A 0 +61 *2414:89 *41003:A 0 +62 *2414:89 *3406:51 0 +63 *2414:89 *3724:29 0 +64 *2414:89 *3954:53 0 +65 *2414:89 *3975:51 0 +66 *2414:89 *3976:67 0 +67 *2414:89 *4013:24 0 +68 *2414:89 *4244:11 0 +69 *2414:89 *5182:46 0 +70 *2414:109 *3101:55 0 +71 *2414:109 *3420:60 0 +72 *2414:109 *3904:80 0 +73 *2414:109 *4013:11 0 +74 *2414:109 *4021:26 0 +75 *2414:109 *4073:22 0 +76 *2414:109 *4220:88 0 +77 *2414:109 *4244:11 0 +78 *2414:126 *8449:DIODE 0 +79 *2414:126 *8656:DIODE 0 +80 *2414:126 *8861:DIODE 0 +81 *2414:126 *40755:A 0 +82 *2414:126 *40796:A 0 +83 *2414:126 *3700:18 0 +84 *2414:126 *3746:19 0 +85 *2414:126 *3963:19 0 +86 *2414:126 *4010:27 0 +87 *2414:126 *4220:73 0 +88 *2414:126 *4360:66 0 +89 *39965:A *2414:55 0 +90 *1025:151 *2414:126 0 +91 *1416:17 *2414:126 0 +92 *1458:37 *2414:41 0 +93 *1458:37 *2414:53 0 +94 *1459:40 *2414:55 0 +95 *1462:63 *2414:55 0 +*RES +1 *39612:X *2414:22 47.924 +2 *2414:22 *2414:41 49.9375 +3 *2414:41 *2414:53 13.2232 +4 *2414:53 *2414:55 64.1964 +5 *2414:55 *2414:89 45.5357 +6 *2414:89 *2414:109 46.0893 +7 *2414:109 *2414:126 33.2321 +8 *2414:126 *39611:A 37.7107 +9 *2414:126 *7602:DIODE 16.6036 +*END + +*D_NET *2415 0.0226993 +*CONN +*I *37387:A I *D sky130_fd_sc_hd__inv_2 +*I *5245:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39613:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *37387:A 0 +2 *5245:DIODE 0.000143745 +3 *39613:X 0.000924473 +4 *2415:50 0.00247764 +5 *2415:29 0.00446526 +6 *2415:26 0.00599242 +7 *2415:13 0.00581616 +8 *2415:10 0.00287958 +9 *5245:DIODE *3316:7 0 +10 *2415:10 *2418:8 0 +11 *2415:10 *3154:35 0 +12 *2415:10 *3174:40 0 +13 *2415:10 *3287:25 0 +14 *2415:10 *3296:29 0 +15 *2415:10 *3508:28 0 +16 *2415:10 *4275:67 0 +17 *2415:10 *5092:21 0 +18 *2415:13 *39145:A 0 +19 *2415:13 *3018:21 0 +20 *2415:13 *3141:41 0 +21 *2415:13 *4752:24 0 +22 *2415:26 *2433:22 0 +23 *2415:26 *2727:34 0 +24 *2415:26 *3141:41 0 +25 *2415:26 *4884:17 0 +26 *2415:50 *37632:B 0 +27 *2415:50 *2428:34 0 +28 *2415:50 *3511:15 0 +29 *2415:50 *3792:37 0 +30 *2415:50 *3792:41 0 +31 *2415:50 *3939:24 0 +32 *2415:50 *3973:21 0 +33 *2415:50 *4002:23 0 +34 *2415:50 *4118:42 0 +35 *2415:50 *4500:13 0 +36 *6979:DIODE *2415:10 0 +37 *8658:DIODE *2415:50 0 +38 *519:8 *2415:10 0 +39 *1223:23 *2415:13 0 +40 *1230:21 *2415:13 0 +41 *2008:28 *2415:29 0 +42 *2103:48 *2415:50 0 +43 *2121:45 *5245:DIODE 0 +44 *2124:26 *2415:29 0 +45 *2393:28 *2415:10 0 +46 *2394:52 *2415:50 0 +47 *2404:16 *2415:26 0 +48 *2404:16 *2415:50 0 +*RES +1 *39613:X *2415:10 39.0321 +2 *2415:10 *2415:13 40.8036 +3 *2415:13 *2415:26 42.5208 +4 *2415:26 *2415:29 48.9821 +5 *2415:29 *2415:50 43.7436 +6 *2415:50 *5245:DIODE 12.3 +7 *2415:50 *37387:A 9.3 +*END + +*D_NET *2416 0.0028936 +*CONN +*I *40596:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38421:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40596:A 0 +2 *38421:X 0.0014468 +3 *2416:15 0.0014468 +4 *2416:15 *2427:21 0 +5 *2416:15 *3328:43 0 +6 *2416:15 *3816:33 0 +7 *2416:15 *4490:38 0 +8 *2416:15 *4495:16 0 +9 *2416:15 *4495:27 0 +10 *2416:15 *5170:31 0 +11 *6700:DIODE *2416:15 0 +12 *6843:DIODE *2416:15 0 +13 *38422:A *2416:15 0 +14 *2394:27 *2416:15 0 +*RES +1 *38421:X *2416:15 48.7643 +2 *2416:15 *40596:A 9.3 +*END + +*D_NET *2417 0.0362125 +*CONN +*I *7604:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39613:A I *D sky130_fd_sc_hd__buf_4 +*I *39614:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7604:DIODE 2.26741e-05 +2 *39613:A 8.4707e-05 +3 *39614:X 0.000690448 +4 *2417:100 0.00361836 +5 *2417:90 0.00703332 +6 *2417:78 0.00450383 +7 *2417:75 0.00311136 +8 *2417:71 0.00332625 +9 *2417:63 0.00293949 +10 *2417:52 0.00335564 +11 *2417:43 0.00422424 +12 *2417:19 0.00330216 +13 *7604:DIODE *3287:25 0 +14 *7604:DIODE *3309:39 0 +15 *39613:A *3287:25 0 +16 *39613:A *3309:39 0 +17 *2417:19 *2982:29 0 +18 *2417:19 *3184:57 0 +19 *2417:19 *3194:63 0 +20 *2417:19 *3203:30 0 +21 *2417:19 *3402:56 0 +22 *2417:19 *4586:92 0 +23 *2417:43 *7885:DIODE 0 +24 *2417:43 *40722:A 0 +25 *2417:43 *2821:23 0 +26 *2417:43 *2822:176 0 +27 *2417:43 *2968:34 0 +28 *2417:43 *2977:62 0 +29 *2417:43 *3028:25 0 +30 *2417:43 *3417:47 0 +31 *2417:43 *3427:100 0 +32 *2417:43 *4087:40 0 +33 *2417:43 *4247:51 0 +34 *2417:52 *2837:91 0 +35 *2417:52 *2997:9 0 +36 *2417:52 *3005:66 0 +37 *2417:52 *3021:19 0 +38 *2417:52 *3664:18 0 +39 *2417:52 *3719:43 0 +40 *2417:63 *8939:DIODE 0 +41 *2417:63 *2442:31 0 +42 *2417:63 *3218:60 0 +43 *2417:63 *3275:39 0 +44 *2417:63 *3428:27 0 +45 *2417:63 *4048:52 0 +46 *2417:63 *4097:54 0 +47 *2417:71 *7609:DIODE 0 +48 *2417:71 *2926:40 0 +49 *2417:71 *2987:78 0 +50 *2417:71 *4048:43 0 +51 *2417:71 *4048:52 0 +52 *2417:75 *8266:DIODE 0 +53 *2417:75 *2987:35 0 +54 *2417:75 *4048:25 0 +55 *2417:75 *4048:40 0 +56 *2417:75 *4048:43 0 +57 *2417:78 *4023:18 0 +58 *2417:78 *4102:20 0 +59 *2417:90 *3050:11 0 +60 *2417:90 *3073:74 0 +61 *2417:90 *3951:11 0 +62 *2417:90 *4613:15 0 +63 *2417:100 *3029:46 0 +64 *2417:100 *3319:103 0 +65 *2417:100 *3717:31 0 +66 *2417:100 *3942:80 0 +67 *2417:100 *4022:130 0 +68 *2417:100 *4309:40 0 +69 *2417:100 *4870:16 0 +70 *2417:100 *5092:21 0 +71 *5257:DIODE *2417:100 0 +72 *1001:43 *2417:43 0 +73 *1012:10 *2417:90 0 +74 *1022:16 *2417:90 0 +75 *1273:62 *2417:52 0 +76 *1320:11 *2417:63 0 +77 *1320:11 *2417:71 0 +78 *1320:21 *2417:71 0 +79 *1320:28 *2417:71 0 +80 *1320:28 *2417:75 0 +81 *1338:14 *2417:78 0 +82 *1414:16 *2417:43 0 +83 *1840:72 *2417:19 0 +84 *2365:71 *2417:100 0 +85 *2384:46 *2417:63 0 +86 *2398:38 *2417:52 0 +*RES +1 *39614:X *2417:19 38.4786 +2 *2417:19 *2417:43 48.9448 +3 *2417:43 *2417:52 47.535 +4 *2417:52 *2417:63 38.9455 +5 *2417:63 *2417:71 25.3393 +6 *2417:71 *2417:75 48.9821 +7 *2417:75 *2417:78 26.7857 +8 *2417:78 *2417:90 46.7864 +9 *2417:90 *2417:100 47.8004 +10 *2417:100 *39613:A 11.0679 +11 *2417:100 *7604:DIODE 9.83571 +*END + +*D_NET *2418 0.0235094 +*CONN +*I *37386:A I *D sky130_fd_sc_hd__inv_2 +*I *5244:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39615:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *37386:A 0 +2 *5244:DIODE 0.000163425 +3 *39615:X 0.000767396 +4 *2418:53 0.000245137 +5 *2418:51 0.00146825 +6 *2418:37 0.00404924 +7 *2418:36 0.00326197 +8 *2418:28 0.00469274 +9 *2418:14 0.00609367 +10 *2418:8 0.00276758 +11 *5244:DIODE *3055:33 0 +12 *5244:DIODE *4858:15 0 +13 *2418:8 *3154:35 0 +14 *2418:8 *3174:16 0 +15 *2418:8 *4182:20 0 +16 *2418:8 *4870:16 0 +17 *2418:14 *2460:29 0 +18 *2418:14 *3141:33 0 +19 *2418:14 *3508:27 0 +20 *2418:28 *2428:17 0 +21 *2418:28 *2516:30 0 +22 *2418:28 *2749:56 0 +23 *2418:28 *3444:27 0 +24 *2418:28 *4038:36 0 +25 *2418:28 *4508:41 0 +26 *2418:36 *2560:15 0 +27 *2418:36 *3444:17 0 +28 *2418:36 *4875:47 0 +29 *2418:37 *2424:54 0 +30 *2418:37 *2428:34 0 +31 *2418:37 *2428:39 0 +32 *2418:51 *2428:46 0 +33 *2418:51 *3055:33 0 +34 *2418:51 *3055:35 0 +35 *2418:51 *3320:72 0 +36 *2418:51 *3816:53 0 +37 *2418:51 *4858:15 0 +38 *2418:53 *3055:33 0 +39 *2418:53 *3816:53 0 +40 *2418:53 *4858:15 0 +41 *40161:A *2418:14 0 +42 *507:17 *2418:28 0 +43 *519:8 *2418:8 0 +44 *775:12 *2418:8 0 +45 *887:7 *2418:36 0 +46 *1216:17 *2418:28 0 +47 *1236:11 *2418:28 0 +48 *1987:61 *2418:36 0 +49 *2040:48 *2418:28 0 +50 *2051:22 *2418:51 0 +51 *2051:48 *2418:28 0 +52 *2089:16 *2418:51 0 +53 *2124:26 *2418:37 0 +54 *2129:24 *2418:37 0 +55 *2383:31 *2418:37 0 +56 *2390:49 *2418:28 0 +57 *2401:13 *2418:28 0 +58 *2407:11 *2418:14 0 +59 *2407:13 *2418:14 0 +60 *2415:10 *2418:8 0 +*RES +1 *39615:X *2418:8 35.7286 +2 *2418:8 *2418:14 49.7761 +3 *2418:14 *2418:28 46.6509 +4 *2418:28 *2418:36 26.4107 +5 *2418:36 *2418:37 55.5714 +6 *2418:37 *2418:51 48.4464 +7 *2418:51 *2418:53 1.76786 +8 *2418:53 *5244:DIODE 12.7107 +9 *2418:53 *37386:A 9.3 +*END + +*D_NET *2419 0.0303335 +*CONN +*I *7606:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39615:A I *D sky130_fd_sc_hd__buf_4 +*I *39616:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7606:DIODE 0 +2 *39615:A 0.000182799 +3 *39616:X 0.00135069 +4 *2419:97 0.00159237 +5 *2419:88 0.00258154 +6 *2419:68 0.00284171 +7 *2419:55 0.00400026 +8 *2419:49 0.00456113 +9 *2419:45 0.00413972 +10 *2419:42 0.00338449 +11 *2419:30 0.00291175 +12 *2419:26 0.00278707 +13 *39615:A *2425:25 0 +14 *39615:A *3513:38 0 +15 *39615:A *3977:137 0 +16 *2419:26 *40242:A 0 +17 *2419:26 *3211:42 0 +18 *2419:26 *3237:64 0 +19 *2419:26 *3416:26 0 +20 *2419:26 *3783:76 0 +21 *2419:30 *3425:8 0 +22 *2419:42 *2974:15 0 +23 *2419:42 *3023:37 0 +24 *2419:45 *2921:11 0 +25 *2419:45 *3073:49 0 +26 *2419:45 *3073:53 0 +27 *2419:45 *3183:24 0 +28 *2419:49 *40066:A 0 +29 *2419:49 *40762:A 0 +30 *2419:49 *2421:42 0 +31 *2419:49 *2421:49 0 +32 *2419:49 *2921:11 0 +33 *2419:49 *2921:19 0 +34 *2419:49 *2923:36 0 +35 *2419:49 *3073:53 0 +36 *2419:49 *3424:21 0 +37 *2419:49 *4323:43 0 +38 *2419:55 *2421:49 0 +39 *2419:55 *2421:51 0 +40 *2419:55 *2923:36 0 +41 *2419:55 *2923:43 0 +42 *2419:55 *3650:43 0 +43 *2419:68 *6402:DIODE 0 +44 *2419:68 *2939:10 0 +45 *2419:68 *3669:22 0 +46 *2419:68 *3672:50 0 +47 *2419:68 *3730:101 0 +48 *2419:68 *4344:17 0 +49 *2419:68 *4352:58 0 +50 *2419:88 *3167:59 0 +51 *2419:88 *3274:74 0 +52 *2419:88 *3279:94 0 +53 *2419:88 *3932:11 0 +54 *2419:88 *3964:26 0 +55 *2419:88 *4014:13 0 +56 *2419:88 *4014:15 0 +57 *2419:88 *4054:10 0 +58 *2419:88 *4111:16 0 +59 *2419:88 *4229:28 0 +60 *2419:88 *4289:21 0 +61 *2419:97 *2425:25 0 +62 *2419:97 *3279:94 0 +63 *2419:97 *3427:9 0 +64 *2419:97 *3964:26 0 +65 *2419:97 *3977:137 0 +66 *2419:97 *4078:41 0 +67 *2419:97 *4119:109 0 +68 *2419:97 *4149:8 0 +69 *2419:97 *4230:99 0 +70 *2419:97 *4870:16 0 +71 *40101:A *2419:42 0 +72 *1211:80 *2419:45 0 +73 *1273:65 *2419:42 0 +74 *1328:39 *2419:42 0 +75 *1328:85 *2419:55 0 +76 *1330:25 *2419:55 0 +77 *1337:26 *2419:45 0 +78 *1339:36 *2419:55 0 +79 *1538:19 *2419:68 0 +80 *2370:81 *2419:42 0 +*RES +1 *39616:X *2419:26 44.7375 +2 *2419:26 *2419:30 37.1518 +3 *2419:30 *2419:42 40.0893 +4 *2419:42 *2419:45 39.875 +5 *2419:45 *2419:49 46.5536 +6 *2419:49 *2419:55 48.7321 +7 *2419:55 *2419:68 47.4767 +8 *2419:68 *2419:88 47.2108 +9 *2419:88 *2419:97 45.1071 +10 *2419:97 *39615:A 22.175 +11 *2419:97 *7606:DIODE 9.3 +*END + +*D_NET *2420 0.0321208 +*CONN +*I *37385:A I *D sky130_fd_sc_hd__inv_2 +*I *5243:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39617:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *37385:A 0 +2 *5243:DIODE 0.000111341 +3 *39617:X 0.00136027 +4 *2420:76 0.00576312 +5 *2420:57 0.0110669 +6 *2420:40 0.00729949 +7 *2420:34 0.00352185 +8 *2420:26 0.00299778 +9 *5243:DIODE *3355:42 0 +10 *2420:26 *6384:DIODE 0 +11 *2420:26 *8741:DIODE 0 +12 *2420:26 *37848:B 0 +13 *2420:26 *38230:A 0 +14 *2420:26 *41089:A 0 +15 *2420:26 *3112:82 0 +16 *2420:26 *3702:100 0 +17 *2420:26 *3939:117 0 +18 *2420:26 *4070:20 0 +19 *2420:26 *4345:117 0 +20 *2420:26 *4516:83 0 +21 *2420:34 *3080:61 0 +22 *2420:34 *3252:34 0 +23 *2420:34 *3362:26 0 +24 *2420:34 *3713:17 0 +25 *2420:34 *3825:52 0 +26 *2420:34 *3984:90 0 +27 *2420:34 *4309:14 0 +28 *2420:40 *2851:48 0 +29 *2420:40 *2946:13 0 +30 *2420:40 *3679:41 0 +31 *2420:40 *4257:26 0 +32 *2420:57 *2931:22 0 +33 *2420:57 *2940:33 0 +34 *2420:57 *4695:14 0 +35 *2420:76 *2535:10 0 +36 *2420:76 *3809:30 0 +37 *2420:76 *3934:77 0 +38 *2420:76 *3998:19 0 +39 *2420:76 *4089:17 0 +40 *2420:76 *4287:49 0 +41 *2420:76 *5110:14 0 +42 *336:23 *2420:57 0 +43 *1000:14 *2420:76 0 +44 *1012:10 *2420:57 0 +45 *1012:10 *2420:76 0 +46 *1019:8 *2420:76 0 +47 *1022:10 *2420:76 0 +48 *1022:16 *2420:57 0 +49 *1285:15 *2420:76 0 +50 *1432:41 *2420:26 0 +51 *1436:22 *2420:34 0 +52 *1436:25 *2420:40 0 +53 *1692:21 *2420:34 0 +54 *1820:33 *2420:57 0 +55 *2121:30 *5243:DIODE 0 +56 *2278:35 *2420:76 0 +57 *2286:63 *2420:40 0 +58 *2308:27 *2420:76 0 +59 *2309:21 *2420:76 0 +60 *2309:29 *2420:76 0 +61 *2317:42 *2420:57 0 +*RES +1 *39617:X *2420:26 45.1036 +2 *2420:26 *2420:34 45.6786 +3 *2420:34 *2420:40 48.6696 +4 *2420:40 *2420:57 46.8986 +5 *2420:57 *2420:76 47.7229 +6 *2420:76 *5243:DIODE 16.2821 +7 *2420:76 *37385:A 13.8 +*END + +*D_NET *2421 0.0246459 +*CONN +*I *39617:A I *D sky130_fd_sc_hd__buf_6 +*I *7608:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39618:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *39617:A 0.000140527 +2 *7608:DIODE 0.000270308 +3 *39618:X 0.00085578 +4 *2421:73 0.00172394 +5 *2421:63 0.00286572 +6 *2421:51 0.00582754 +7 *2421:49 0.00535622 +8 *2421:42 0.00318216 +9 *2421:30 0.00283441 +10 *2421:18 0.00158932 +11 *7608:DIODE *38094:B 0 +12 *7608:DIODE *38094:C 0 +13 *7608:DIODE *3317:81 0 +14 *7608:DIODE *4029:81 0 +15 *7608:DIODE *4046:91 0 +16 *39617:A *8733:DIODE 0 +17 *39617:A *4516:83 0 +18 *2421:18 *6067:DIODE 0 +19 *2421:18 *2831:39 0 +20 *2421:18 *3650:75 0 +21 *2421:18 *3667:93 0 +22 *2421:18 *3780:49 0 +23 *2421:18 *4241:75 0 +24 *2421:30 *2682:45 0 +25 *2421:30 *2818:17 0 +26 *2421:30 *2831:39 0 +27 *2421:30 *3073:53 0 +28 *2421:42 *2443:36 0 +29 *2421:42 *2921:19 0 +30 *2421:42 *3218:60 0 +31 *2421:42 *3709:38 0 +32 *2421:49 *6006:DIODE 0 +33 *2421:49 *2443:59 0 +34 *2421:49 *2918:16 0 +35 *2421:49 *4323:42 0 +36 *2421:51 *8666:DIODE 0 +37 *2421:51 *2939:31 0 +38 *2421:51 *2939:35 0 +39 *2421:51 *2981:39 0 +40 *2421:51 *3054:70 0 +41 *2421:51 *3183:36 0 +42 *2421:51 *3317:183 0 +43 *2421:51 *3650:43 0 +44 *2421:51 *3822:58 0 +45 *2421:51 *4323:30 0 +46 *2421:51 *4323:42 0 +47 *2421:63 *2432:54 0 +48 *2421:63 *2962:12 0 +49 *2421:63 *3124:31 0 +50 *2421:63 *3334:53 0 +51 *2421:63 *3687:31 0 +52 *2421:63 *3763:21 0 +53 *2421:63 *4089:41 0 +54 *2421:63 *4374:21 0 +55 *2421:63 *4719:8 0 +56 *2421:73 *38076:A_N 0 +57 *2421:73 *2840:27 0 +58 *2421:73 *3279:51 0 +59 *2421:73 *3956:80 0 +60 *2421:73 *4017:97 0 +61 *2421:73 *4035:49 0 +62 *2421:73 *4272:28 0 +63 *40064:A *2421:49 0 +64 *1208:41 *2421:18 0 +65 *1218:36 *2421:73 0 +66 *1328:72 *2421:42 0 +67 *1328:85 *2421:51 0 +68 *1330:25 *2421:51 0 +69 *1330:35 *2421:51 0 +70 *1411:40 *2421:51 0 +71 *1432:41 *39617:A 0 +72 *1439:46 *2421:63 0 +73 *1822:25 *2421:30 0 +74 *2391:59 *2421:30 0 +75 *2419:49 *2421:42 0 +76 *2419:49 *2421:49 0 +77 *2419:55 *2421:49 0 +78 *2419:55 *2421:51 0 +*RES +1 *39618:X *2421:18 37.7107 +2 *2421:18 *2421:30 29.9939 +3 *2421:30 *2421:42 43.0941 +4 *2421:42 *2421:49 22.875 +5 *2421:49 *2421:51 89.25 +6 *2421:51 *2421:63 49.5753 +7 *2421:63 *2421:73 15.5708 +8 *2421:73 *7608:DIODE 19.8446 +9 *2421:73 *39617:A 16.8625 +*END + +*D_NET *2422 0.0223043 +*CONN +*I *7609:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39618:A I *D sky130_fd_sc_hd__buf_4 +*I *39619:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *7609:DIODE 0.000559721 +2 *39618:A 0.000145352 +3 *39619:X 0.0028421 +4 *2422:92 0.00079108 +5 *2422:89 0.00154403 +6 *2422:66 0.00331761 +7 *2422:48 0.00606094 +8 *2422:26 0.00704346 +9 *7609:DIODE *6065:DIODE 0 +10 *7609:DIODE *6424:DIODE 0 +11 *7609:DIODE *3990:16 0 +12 *7609:DIODE *4048:43 0 +13 *39618:A *6065:DIODE 0 +14 *39618:A *3650:93 0 +15 *39618:A *3669:69 0 +16 *2422:26 *3045:47 0 +17 *2422:26 *3102:52 0 +18 *2422:26 *3299:75 0 +19 *2422:26 *3408:18 0 +20 *2422:26 *3498:43 0 +21 *2422:26 *3700:82 0 +22 *2422:26 *3724:29 0 +23 *2422:26 *3724:53 0 +24 *2422:26 *4051:68 0 +25 *2422:26 *4334:86 0 +26 *2422:26 *4406:28 0 +27 *2422:48 *2905:66 0 +28 *2422:48 *3020:11 0 +29 *2422:48 *3020:37 0 +30 *2422:48 *3090:16 0 +31 *2422:48 *3102:52 0 +32 *2422:48 *3308:69 0 +33 *2422:48 *3542:41 0 +34 *2422:48 *3666:60 0 +35 *2422:48 *3696:96 0 +36 *2422:48 *3700:36 0 +37 *2422:48 *3773:32 0 +38 *2422:48 *4220:53 0 +39 *2422:48 *4247:51 0 +40 *2422:48 *4343:15 0 +41 *2422:48 *4406:22 0 +42 *2422:66 *8922:DIODE 0 +43 *2422:66 *3000:32 0 +44 *2422:66 *3013:11 0 +45 *2422:66 *3014:78 0 +46 *2422:66 *3045:21 0 +47 *2422:66 *3114:15 0 +48 *2422:66 *3308:39 0 +49 *2422:66 *3743:32 0 +50 *2422:66 *4220:53 0 +51 *2422:66 *4235:41 0 +52 *2422:66 *4256:77 0 +53 *2422:89 *2987:101 0 +54 *2422:89 *3732:17 0 +55 *2422:89 *3750:98 0 +56 *2422:89 *3758:53 0 +57 *2422:89 *4033:44 0 +58 *2422:89 *4034:95 0 +59 *2422:89 *4313:14 0 +60 *39630:A *2422:89 0 +61 *1207:74 *2422:89 0 +62 *1571:37 *2422:48 0 +63 *1863:32 *2422:26 0 +64 *2375:48 *2422:48 0 +65 *2417:71 *7609:DIODE 0 +*RES +1 *39619:X *2422:26 49.3826 +2 *2422:26 *2422:48 46.6824 +3 *2422:48 *2422:66 48.7803 +4 *2422:66 *2422:89 47.2978 +5 *2422:89 *2422:92 6.59821 +6 *2422:92 *39618:A 17.0946 +7 *2422:92 *7609:DIODE 26.9518 +*END + +*D_NET *2423 0.021025 +*CONN +*I *7610:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39619:A I *D sky130_fd_sc_hd__buf_2 +*I *39620:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7610:DIODE 0 +2 *39619:A 0.000124066 +3 *39620:X 0.000605333 +4 *2423:31 0.00134064 +5 *2423:11 0.0097831 +6 *2423:10 0.00917186 +7 *39619:A *3481:57 0 +8 *2423:10 *2990:42 0 +9 *2423:10 *3476:45 0 +10 *2423:11 *2922:5 0 +11 *2423:11 *3432:97 0 +12 *2423:11 *4601:52 0 +13 *2423:11 *4616:13 0 +14 *2423:31 *37874:B 0 +15 *2423:31 *2721:10 0 +16 *2423:31 *2801:26 0 +17 *2423:31 *2813:46 0 +18 *2423:31 *3739:57 0 +19 *2423:31 *3755:31 0 +20 *39418:B *2423:11 0 +21 *261:15 *2423:31 0 +22 *265:23 *2423:11 0 +23 *288:11 *2423:10 0 +24 *368:65 *2423:31 0 +25 *1214:31 *39619:A 0 +26 *1214:31 *2423:31 0 +27 *1251:31 *2423:11 0 +28 *1274:11 *2423:11 0 +29 *1332:19 *2423:11 0 +30 *1335:19 *2423:11 0 +31 *1593:13 *2423:11 0 +32 *1605:11 *2423:11 0 +33 *1623:11 *2423:11 0 +34 *1737:7 *2423:11 0 +35 *1737:16 *2423:11 0 +36 *1744:7 *2423:11 0 +37 *1846:10 *2423:10 0 +*RES +1 *39620:X *2423:10 31.925 +2 *2423:10 *2423:11 178.786 +3 *2423:11 *2423:31 45.6071 +4 *2423:31 *39619:A 11.8893 +5 *2423:31 *7610:DIODE 9.3 +*END + +*D_NET *2424 0.0228983 +*CONN +*I *5242:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37384:A I *D sky130_fd_sc_hd__inv_2 +*I *39621:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *5242:DIODE 0 +2 *37384:A 0.000157649 +3 *39621:X 0.00130722 +4 *2424:77 0.000299897 +5 *2424:74 0.00219335 +6 *2424:69 0.00404792 +7 *2424:62 0.00298824 +8 *2424:54 0.00301772 +9 *2424:50 0.0034645 +10 *2424:31 0.00277638 +11 *2424:19 0.0026454 +12 *37384:A *3335:5 0 +13 *37384:A *4860:11 0 +14 *2424:19 *39621:A 0 +15 *2424:19 *2431:24 0 +16 *2424:19 *2549:19 0 +17 *2424:19 *3192:66 0 +18 *2424:19 *3207:49 0 +19 *2424:19 *3224:21 0 +20 *2424:19 *3508:18 0 +21 *2424:19 *4049:18 0 +22 *2424:19 *4112:119 0 +23 *2424:19 *4264:30 0 +24 *2424:19 *4281:59 0 +25 *2424:19 *4508:23 0 +26 *2424:19 *4875:36 0 +27 *2424:31 *2749:56 0 +28 *2424:31 *2978:8 0 +29 *2424:31 *3444:27 0 +30 *2424:31 *4508:41 0 +31 *2424:31 *4875:42 0 +32 *2424:31 *4883:9 0 +33 *2424:50 *2431:86 0 +34 *2424:50 *2749:56 0 +35 *2424:50 *3444:27 0 +36 *2424:54 *2428:21 0 +37 *2424:54 *2428:34 0 +38 *2424:54 *2431:86 0 +39 *2424:54 *2505:26 0 +40 *2424:62 *3055:35 0 +41 *2424:62 *3816:61 0 +42 *2424:69 *39130:A 0 +43 *2424:69 *40421:A 0 +44 *2424:69 *3305:15 0 +45 *2424:69 *3305:34 0 +46 *2424:69 *3322:37 0 +47 *2424:69 *3882:23 0 +48 *2424:69 *3893:22 0 +49 *2424:69 *4002:23 0 +50 *2424:69 *5159:41 0 +51 *2424:74 *3305:15 0 +52 *2424:74 *3335:27 0 +53 *2424:74 *3449:37 0 +54 *2424:74 *3814:30 0 +55 *2424:74 *5159:41 0 +56 *2424:77 *3335:5 0 +57 *2424:77 *3814:17 0 +58 la_data_in_mprj[94] *2424:31 0 +59 *6704:DIODE *2424:74 0 +60 *6708:DIODE *2424:69 0 +61 *6850:DIODE *2424:69 0 +62 *629:7 *2424:54 0 +63 *638:12 *2424:31 0 +64 *892:5 *2424:31 0 +65 *894:8 *2424:19 0 +66 *1215:13 *2424:62 0 +67 *1215:15 *2424:62 0 +68 *1220:35 *2424:31 0 +69 *2008:45 *2424:50 0 +70 *2008:48 *2424:50 0 +71 *2040:48 *2424:50 0 +72 *2118:39 *2424:74 0 +73 *2118:56 *2424:69 0 +74 *2124:26 *2424:54 0 +75 *2124:36 *2424:50 0 +76 *2124:66 *2424:19 0 +77 *2129:29 *2424:54 0 +78 *2139:46 *2424:74 0 +79 *2361:22 *2424:62 0 +80 *2390:15 *2424:31 0 +81 *2399:9 *2424:31 0 +82 *2407:13 *2424:31 0 +83 *2418:37 *2424:54 0 +*RES +1 *39621:X *2424:19 47.5857 +2 *2424:19 *2424:31 46.2679 +3 *2424:31 *2424:50 48.5179 +4 *2424:50 *2424:54 48.2321 +5 *2424:54 *2424:62 34.4464 +6 *2424:62 *2424:69 41.7679 +7 *2424:69 *2424:74 47.4464 +8 *2424:74 *2424:77 7.5 +9 *2424:77 *37384:A 12.6214 +10 *2424:77 *5242:DIODE 9.3 +*END + +*D_NET *2425 0.0189188 +*CONN +*I *7611:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39621:A I *D sky130_fd_sc_hd__buf_6 +*I *39622:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *7611:DIODE 2.0535e-05 +2 *39621:A 0.000143745 +3 *39622:X 0.00145976 +4 *2425:67 0.000787446 +5 *2425:57 0.00238063 +6 *2425:42 0.0027519 +7 *2425:25 0.00403404 +8 *2425:24 0.00446027 +9 *2425:13 0.00288042 +10 *39621:A *3207:49 0 +11 *39621:A *3224:21 0 +12 *39621:A *4112:119 0 +13 *2425:13 *40205:A 0 +14 *2425:13 *3208:29 0 +15 *2425:13 *3294:59 0 +16 *2425:13 *3783:41 0 +17 *2425:13 *4149:68 0 +18 *2425:13 *4270:13 0 +19 *2425:24 *6123:DIODE 0 +20 *2425:24 *40334:A 0 +21 *2425:24 *3294:53 0 +22 *2425:24 *3294:59 0 +23 *2425:24 *3299:16 0 +24 *2425:24 *3309:61 0 +25 *2425:24 *3783:14 0 +26 *2425:24 *3783:24 0 +27 *2425:25 *8846:DIODE 0 +28 *2425:25 *40417:A 0 +29 *2425:25 *3502:28 0 +30 *2425:25 *3783:14 0 +31 *2425:25 *3977:137 0 +32 *2425:25 *3977:151 0 +33 *2425:25 *4281:77 0 +34 *2425:25 *4281:83 0 +35 *2425:42 *8271:DIODE 0 +36 *2425:42 *40589:A 0 +37 *2425:42 *3121:42 0 +38 *2425:42 *3248:24 0 +39 *2425:42 *3261:59 0 +40 *2425:42 *3515:28 0 +41 *2425:42 *3529:66 0 +42 *2425:42 *3960:35 0 +43 *2425:42 *4233:71 0 +44 *2425:57 *3148:36 0 +45 *2425:57 *3207:35 0 +46 *2425:57 *3207:49 0 +47 *2425:57 *4242:71 0 +48 *2425:57 *4297:91 0 +49 *2425:67 *3207:49 0 +50 *2425:67 *4112:119 0 +51 *2425:67 *4242:51 0 +52 *5252:DIODE *2425:42 0 +53 *39600:A *2425:25 0 +54 *39615:A *2425:25 0 +55 *1227:67 *2425:42 0 +56 *2386:19 *2425:13 0 +57 *2393:28 *2425:25 0 +58 *2397:36 *2425:42 0 +59 *2419:97 *2425:25 0 +60 *2424:19 *39621:A 0 +*RES +1 *39622:X *2425:13 48.9607 +2 *2425:13 *2425:24 38.9643 +3 *2425:24 *2425:25 63.375 +4 *2425:25 *2425:42 48.2679 +5 *2425:42 *2425:57 46.7857 +6 *2425:57 *2425:67 22.2143 +7 *2425:67 *39621:A 12.3 +8 *2425:67 *7611:DIODE 9.72857 +*END + +*D_NET *2426 0.0289589 +*CONN +*I *7612:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39622:A I *D sky130_fd_sc_hd__buf_4 +*I *39623:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7612:DIODE 0.000772057 +2 *39622:A 0 +3 *39623:X 0.0010573 +4 *2426:84 0.00130341 +5 *2426:65 0.0036112 +6 *2426:43 0.00319574 +7 *2426:40 0.0045586 +8 *2426:25 0.00755805 +9 *2426:24 0.00448027 +10 *2426:12 0.00242224 +11 *7612:DIODE *3208:27 0 +12 *7612:DIODE *4590:105 0 +13 *2426:12 *37748:B 0 +14 *2426:12 *2839:40 0 +15 *2426:12 *3297:81 0 +16 *2426:12 *3625:50 0 +17 *2426:12 *3967:40 0 +18 *2426:24 *2922:22 0 +19 *2426:24 *3469:30 0 +20 *2426:25 *2440:31 0 +21 *2426:25 *3802:32 0 +22 *2426:25 *3945:25 0 +23 *2426:40 *2812:27 0 +24 *2426:40 *2905:51 0 +25 *2426:40 *3021:33 0 +26 *2426:40 *3028:25 0 +27 *2426:40 *3310:59 0 +28 *2426:40 *3411:42 0 +29 *2426:40 *3414:6 0 +30 *2426:40 *3418:20 0 +31 *2426:43 *4232:40 0 +32 *2426:43 *5189:34 0 +33 *2426:65 *40512:A 0 +34 *2426:65 *3037:48 0 +35 *2426:65 *3194:125 0 +36 *2426:65 *3208:29 0 +37 *2426:65 *3301:42 0 +38 *2426:65 *3407:18 0 +39 *2426:65 *3696:62 0 +40 *2426:65 *3783:56 0 +41 *2426:65 *4268:24 0 +42 *2426:65 *4590:105 0 +43 *2426:65 *4591:67 0 +44 *2426:84 *40377:A 0 +45 *2426:84 *3188:111 0 +46 *2426:84 *3266:134 0 +47 *2426:84 *3287:103 0 +48 *2426:84 *3302:59 0 +49 *2426:84 *3409:21 0 +50 *2426:84 *3410:14 0 +51 *2426:84 *3783:56 0 +52 *2426:84 *4149:88 0 +53 *2426:84 *4276:37 0 +54 *7581:DIODE *7612:DIODE 0 +55 *39586:A *2426:84 0 +56 *1028:55 *2426:40 0 +57 *1208:53 *2426:25 0 +58 *1448:64 *2426:12 0 +59 *1553:39 *2426:24 0 +60 *1569:15 *2426:25 0 +61 *2378:17 *2426:24 0 +62 *2387:35 *2426:40 0 +63 *2391:59 *2426:40 0 +64 *2392:15 *2426:25 0 +65 *2396:13 *2426:24 0 +66 *2397:20 *7612:DIODE 0 +67 *2411:49 *2426:25 0 +*RES +1 *39623:X *2426:12 42.2643 +2 *2426:12 *2426:24 46.875 +3 *2426:24 *2426:25 65.0179 +4 *2426:25 *2426:40 47.2625 +5 *2426:40 *2426:43 3.85337 +6 *2426:43 *2426:65 48.2367 +7 *2426:65 *2426:84 49.462 +8 *2426:84 *39622:A 9.3 +9 *2426:43 *7612:DIODE 34.6296 +*END + +*D_NET *2427 0.01497 +*CONN +*I *40593:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8314:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38422:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40593:A 0.000194928 +2 *8314:DIODE 0 +3 *38422:X 0.00219374 +4 *2427:26 0.00529123 +5 *2427:21 0.00729005 +6 *40593:A *2727:65 0 +7 *40593:A *3144:46 0 +8 *40593:A *3148:61 0 +9 *40593:A *3511:66 0 +10 *40593:A *4509:53 0 +11 *2427:21 *2844:27 0 +12 *2427:21 *3055:9 0 +13 *2427:21 *3140:84 0 +14 *2427:21 *3206:46 0 +15 *2427:21 *3328:43 0 +16 *2427:21 *3330:12 0 +17 *2427:21 *3807:40 0 +18 *2427:21 *4118:42 0 +19 *2427:21 *4122:74 0 +20 *2427:21 *4490:38 0 +21 *2427:26 *2844:41 0 +22 *2427:26 *3148:61 0 +23 *2427:26 *3148:74 0 +24 *2427:26 *3158:16 0 +25 *2427:26 *3440:38 0 +26 *2427:26 *3511:66 0 +27 *2427:26 *3533:49 0 +28 *2427:26 *3838:47 0 +29 *2427:26 *3939:62 0 +30 *2427:26 *4002:30 0 +31 *2427:26 *4496:52 0 +32 *2427:26 *4500:13 0 +33 *2427:26 *4867:28 0 +34 *1215:32 *2427:26 0 +35 *1227:26 *2427:26 0 +36 *1227:42 *40593:A 0 +37 *2073:42 *2427:21 0 +38 *2416:15 *2427:21 0 +*RES +1 *38422:X *2427:21 36.0814 +2 *2427:21 *2427:26 23.1449 +3 *2427:26 *8314:DIODE 13.8 +4 *2427:26 *40593:A 27.0857 +*END + +*D_NET *2428 0.0230243 +*CONN +*I *5241:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37383:A I *D sky130_fd_sc_hd__inv_2 +*I *39624:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *5241:DIODE 2.91802e-05 +2 *37383:A 0.000195493 +3 *39624:X 0.00352019 +4 *2428:46 0.00178485 +5 *2428:39 0.00284532 +6 *2428:34 0.00292908 +7 *2428:21 0.00474942 +8 *2428:20 0.00327806 +9 *2428:17 0.00369275 +10 *37383:A *40520:A 0 +11 *37383:A *3216:25 0 +12 *2428:17 *7614:DIODE 0 +13 *2428:17 *37666:B 0 +14 *2428:17 *2516:30 0 +15 *2428:17 *3653:47 0 +16 *2428:17 *3973:49 0 +17 *2428:17 *4045:14 0 +18 *2428:17 *4288:94 0 +19 *2428:17 *4508:41 0 +20 *2428:17 *4888:26 0 +21 *2428:21 *2431:86 0 +22 *2428:21 *4884:17 0 +23 *2428:39 *4494:22 0 +24 *2428:46 *2433:22 0 +25 *2428:46 *3259:77 0 +26 *2428:46 *3322:18 0 +27 *2428:46 *3792:37 0 +28 *2428:46 *3807:40 0 +29 *2428:46 *3809:30 0 +30 la_data_in_mprj[95] *2428:20 0 +31 *883:5 *2428:34 0 +32 *2069:19 *2428:34 0 +33 *2072:14 *2428:39 0 +34 *2087:16 *2428:39 0 +35 *2089:16 *2428:34 0 +36 *2103:48 *2428:46 0 +37 *2124:26 *2428:21 0 +38 *2124:36 *2428:21 0 +39 *2124:55 *2428:21 0 +40 *2129:24 *2428:34 0 +41 *2129:24 *2428:39 0 +42 *2377:24 *2428:17 0 +43 *2383:31 *2428:39 0 +44 *2401:13 *2428:17 0 +45 *2404:16 *2428:34 0 +46 *2415:50 *2428:34 0 +47 *2418:28 *2428:17 0 +48 *2418:37 *2428:34 0 +49 *2418:37 *2428:39 0 +50 *2418:51 *2428:46 0 +51 *2424:54 *2428:21 0 +52 *2424:54 *2428:34 0 +*RES +1 *39624:X *2428:17 47.0752 +2 *2428:17 *2428:20 8.41964 +3 *2428:20 *2428:21 64.8125 +4 *2428:21 *2428:34 48.5089 +5 *2428:34 *2428:39 35.8214 +6 *2428:39 *2428:46 26.0813 +7 *2428:46 *37383:A 18.3089 +8 *2428:46 *5241:DIODE 14.6839 +*END + +*D_NET *2429 0.0238639 +*CONN +*I *39624:A I *D sky130_fd_sc_hd__buf_4 +*I *7614:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39625:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39624:A 0 +2 *7614:DIODE 0.000111341 +3 *39625:X 0.00112173 +4 *2429:34 0.00478231 +5 *2429:17 0.0106989 +6 *2429:16 0.00602789 +7 *2429:14 0.00112173 +8 *7614:DIODE *3084:34 0 +9 *7614:DIODE *3187:21 0 +10 *2429:14 *2478:18 0 +11 *2429:14 *2905:51 0 +12 *2429:14 *3021:19 0 +13 *2429:14 *3036:46 0 +14 *2429:14 *3310:40 0 +15 *2429:14 *3946:48 0 +16 *2429:14 *4051:34 0 +17 *2429:17 *2717:19 0 +18 *2429:17 *2717:21 0 +19 *2429:17 *3662:29 0 +20 *2429:17 *3662:35 0 +21 *2429:17 *3719:21 0 +22 *2429:34 *37666:B 0 +23 *2429:34 *2920:19 0 +24 *2429:34 *3074:45 0 +25 *2429:34 *3177:26 0 +26 *2429:34 *3307:85 0 +27 *2429:34 *3317:119 0 +28 *2429:34 *3752:16 0 +29 *2429:34 *3790:64 0 +30 *2429:34 *3818:15 0 +31 *2429:34 *3991:68 0 +32 *2429:34 *4067:39 0 +33 *2429:34 *4088:27 0 +34 *2429:34 *4225:35 0 +35 *2429:34 *4309:40 0 +36 *2429:34 *4717:6 0 +37 *1228:49 *2429:34 0 +38 *1287:25 *2429:34 0 +39 *1437:59 *2429:34 0 +40 *2374:76 *2429:34 0 +41 *2428:17 *7614:DIODE 0 +*RES +1 *39625:X *2429:14 47.8536 +2 *2429:14 *2429:16 4.5 +3 *2429:16 *2429:17 125.804 +4 *2429:17 *2429:34 47.661 +5 *2429:34 *7614:DIODE 16.2821 +6 *2429:34 *39624:A 13.8 +*END + +*D_NET *2430 0.0236079 +*CONN +*I *7615:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39625:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39626:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7615:DIODE 4.53482e-05 +2 *39625:A 0.000362314 +3 *39626:X 7.12874e-05 +4 *2430:54 0.00272239 +5 *2430:40 0.003866 +6 *2430:23 0.00292035 +7 *2430:11 0.00745899 +8 *2430:10 0.00616121 +9 *39625:A *3802:20 0 +10 *39625:A *4051:34 0 +11 *2430:11 *5582:DIODE 0 +12 *2430:11 *2926:13 0 +13 *2430:11 *2929:17 0 +14 *2430:11 *2929:21 0 +15 *2430:11 *3308:99 0 +16 *2430:11 *3432:75 0 +17 *2430:11 *3432:97 0 +18 *2430:11 *3810:9 0 +19 *2430:11 *4040:31 0 +20 *2430:11 *4069:21 0 +21 *2430:11 *4069:41 0 +22 *2430:23 *2837:91 0 +23 *2430:23 *3485:41 0 +24 *2430:23 *3810:9 0 +25 *2430:23 *4069:13 0 +26 *2430:23 *4097:94 0 +27 *2430:40 *2458:10 0 +28 *2430:40 *2837:91 0 +29 *2430:40 *3003:24 0 +30 *2430:40 *4102:53 0 +31 *2430:54 *3490:17 0 +32 *2430:54 *3543:35 0 +33 *2430:54 *4229:51 0 +34 *39490:B *2430:11 0 +35 *1009:120 *2430:40 0 +36 *1018:60 *2430:54 0 +37 *1018:62 *2430:54 0 +38 *1027:74 *39625:A 0 +39 *1273:41 *2430:23 0 +40 *1332:27 *2430:11 0 +41 *1336:38 *2430:23 0 +42 *1416:47 *2430:23 0 +43 *1549:43 *2430:11 0 +44 *1822:10 *2430:11 0 +45 *2370:66 *2430:23 0 +46 *2384:53 *7615:DIODE 0 +47 *2398:38 *2430:23 0 +*RES +1 *39626:X *2430:10 19.8714 +2 *2430:10 *2430:11 127.036 +3 *2430:11 *2430:23 48.0179 +4 *2430:23 *2430:40 48.3661 +5 *2430:40 *2430:54 33.1756 +6 *2430:54 *39625:A 21.6929 +7 *2430:54 *7615:DIODE 14.7464 +*END + +*D_NET *2431 0.0241823 +*CONN +*I *5240:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37382:A I *D sky130_fd_sc_hd__inv_2 +*I *39627:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *5240:DIODE 0 +2 *37382:A 0.000124066 +3 *39627:X 0.000446428 +4 *2431:112 0.00499114 +5 *2431:86 0.0066969 +6 *2431:71 0.00254877 +7 *2431:49 0.002212 +8 *2431:36 0.00252826 +9 *2431:24 0.00261178 +10 *2431:15 0.00202299 +11 *37382:A *3216:25 0 +12 *37382:A *4858:25 0 +13 *2431:15 *38094:B 0 +14 *2431:15 *3202:48 0 +15 *2431:15 *3256:38 0 +16 *2431:15 *3534:58 0 +17 *2431:15 *4029:65 0 +18 *2431:15 *4046:91 0 +19 *2431:15 *4301:67 0 +20 *2431:24 *2649:11 0 +21 *2431:24 *3105:81 0 +22 *2431:24 *3279:12 0 +23 *2431:36 *4883:9 0 +24 *2431:49 *2749:56 0 +25 *2431:49 *3444:27 0 +26 *2431:49 *4038:36 0 +27 *2431:71 *37648:B 0 +28 *2431:71 *2560:15 0 +29 *2431:71 *3849:67 0 +30 *2431:71 *4038:49 0 +31 *2431:71 *4878:51 0 +32 *2431:71 *4880:39 0 +33 *2431:86 *2516:12 0 +34 *2431:112 *2437:40 0 +35 *2431:112 *2472:21 0 +36 *2431:112 *3140:84 0 +37 *2431:112 *3307:21 0 +38 *2431:112 *3314:28 0 +39 *2431:112 *3438:25 0 +40 *2431:112 *3508:18 0 +41 *2431:112 *3939:24 0 +42 *2431:112 *3973:21 0 +43 *2431:112 *4002:23 0 +44 *2431:112 *4112:19 0 +45 *2431:112 *4489:26 0 +46 *6712:DIODE *2431:86 0 +47 *6857:DIODE *2431:71 0 +48 *505:12 *2431:86 0 +49 *630:7 *2431:86 0 +50 *886:11 *2431:86 0 +51 *889:17 *2431:71 0 +52 *1220:35 *2431:36 0 +53 *1223:15 *2431:36 0 +54 *1223:15 *2431:49 0 +55 *1236:19 *2431:49 0 +56 *1967:37 *2431:112 0 +57 *2051:48 *2431:49 0 +58 *2103:48 *2431:112 0 +59 *2390:15 *2431:36 0 +60 *2394:52 *2431:112 0 +61 *2401:18 *2431:112 0 +62 *2405:40 *2431:86 0 +63 *2407:13 *2431:49 0 +64 *2424:19 *2431:24 0 +65 *2424:50 *2431:86 0 +66 *2424:54 *2431:86 0 +67 *2428:21 *2431:86 0 +*RES +1 *39627:X *2431:15 32.3 +2 *2431:15 *2431:24 48.8571 +3 *2431:24 *2431:36 39.8214 +4 *2431:36 *2431:49 49.3036 +5 *2431:49 *2431:71 47.25 +6 *2431:71 *2431:86 49.1518 +7 *2431:86 *2431:112 41.6112 +8 *2431:112 *37382:A 11.8893 +9 *2431:112 *5240:DIODE 9.3 +*END + +*D_NET *2432 0.0234758 +*CONN +*I *7616:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39627:A I *D sky130_fd_sc_hd__buf_4 +*I *39628:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7616:DIODE 0 +2 *39627:A 0.00047172 +3 *39628:X 0.000538144 +4 *2432:57 0.000494394 +5 *2432:54 0.00230117 +6 *2432:41 0.00388843 +7 *2432:33 0.00490238 +8 *2432:32 0.0045568 +9 *2432:13 0.00352448 +10 *2432:10 0.00279828 +11 *39627:A *8715:DIODE 0 +12 *39627:A *3534:58 0 +13 *39627:A *4029:81 0 +14 *39627:A *4045:14 0 +15 *39627:A *4046:91 0 +16 *39627:A *4301:79 0 +17 *2432:10 *3485:23 0 +18 *2432:10 *3810:9 0 +19 *2432:13 *2923:26 0 +20 *2432:32 *5726:DIODE 0 +21 *2432:32 *6004:DIODE 0 +22 *2432:32 *6407:DIODE 0 +23 *2432:32 *7947:DIODE 0 +24 *2432:32 *8743:DIODE 0 +25 *2432:32 *2923:36 0 +26 *2432:32 *3317:197 0 +27 *2432:33 *8333:DIODE 0 +28 *2432:33 *2915:10 0 +29 *2432:33 *2937:29 0 +30 *2432:33 *3124:29 0 +31 *2432:33 *3687:55 0 +32 *2432:41 *2436:27 0 +33 *2432:41 *2717:8 0 +34 *2432:41 *2915:10 0 +35 *2432:41 *2915:16 0 +36 *2432:41 *2944:24 0 +37 *2432:41 *3014:22 0 +38 *2432:41 *3197:41 0 +39 *2432:54 *2436:53 0 +40 *2432:54 *3084:62 0 +41 *2432:54 *3307:66 0 +42 *2432:54 *3317:119 0 +43 *2432:54 *3323:44 0 +44 *2432:54 *4031:17 0 +45 *2432:57 *4029:81 0 +46 *2432:57 *4045:14 0 +47 *40062:A *2432:33 0 +48 *1211:54 *2432:33 0 +49 *1211:63 *2432:13 0 +50 *1211:63 *2432:32 0 +51 *1211:68 *2432:13 0 +52 *1329:20 *2432:33 0 +53 *1337:41 *2432:13 0 +54 *1339:16 *2432:13 0 +55 *1339:36 *2432:32 0 +56 *1339:51 *2432:33 0 +57 *1439:46 *2432:54 0 +58 *2309:38 *2432:41 0 +59 *2421:63 *2432:54 0 +*RES +1 *39628:X *2432:10 30.4071 +2 *2432:10 *2432:13 47.1696 +3 *2432:13 *2432:32 35.8482 +4 *2432:32 *2432:33 68.7143 +5 *2432:33 *2432:41 47.5536 +6 *2432:41 *2432:54 48.78 +7 *2432:54 *2432:57 5.03571 +8 *2432:57 *39627:A 28.2286 +9 *2432:57 *7616:DIODE 9.3 +*END + +*D_NET *2433 0.0215493 +*CONN +*I *5239:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37381:A I *D sky130_fd_sc_hd__clkinv_2 +*I *39629:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *5239:DIODE 0 +2 *37381:A 0.000104386 +3 *39629:X 0.00181126 +4 *2433:24 0.000104386 +5 *2433:22 0.00885903 +6 *2433:16 0.0106703 +7 *37381:A *3055:35 0 +8 *37381:A *3816:53 0 +9 *37381:A *3816:61 0 +10 *2433:16 *3306:20 0 +11 *2433:16 *3312:96 0 +12 *2433:16 *3319:76 0 +13 *2433:22 *3949:44 0 +14 *2433:22 *4878:33 0 +15 *1216:17 *2433:22 0 +16 *2072:34 *2433:22 0 +17 *2087:35 *2433:22 0 +18 *2106:23 *2433:22 0 +19 *2124:23 *2433:22 0 +20 *2377:24 *2433:22 0 +21 *2394:46 *2433:22 0 +22 *2401:13 *2433:22 0 +23 *2404:16 *2433:22 0 +24 *2415:26 *2433:22 0 +25 *2428:46 *2433:22 0 +*RES +1 *39629:X *2433:16 34.7477 +2 *2433:16 *2433:22 49.1909 +3 *2433:22 *2433:24 4.5 +4 *2433:24 *37381:A 11.4786 +5 *2433:24 *5239:DIODE 9.3 +*END + +*D_NET *2434 0.023265 +*CONN +*I *7618:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39629:A I *D sky130_fd_sc_hd__buf_4 +*I *39630:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7618:DIODE 0 +2 *39629:A 0.00022362 +3 *39630:X 0.00136028 +4 *2434:61 0.00110786 +5 *2434:47 0.0024124 +6 *2434:37 0.00215677 +7 *2434:29 0.00653841 +8 *2434:28 0.00700762 +9 *2434:15 0.00245809 +10 *39629:A *3441:41 0 +11 *39629:A *4001:105 0 +12 *39629:A *4017:97 0 +13 *39629:A *4236:79 0 +14 *2434:15 *5728:DIODE 0 +15 *2434:15 *2814:37 0 +16 *2434:15 *3273:8 0 +17 *2434:15 *3945:18 0 +18 *2434:15 *4069:5 0 +19 *2434:28 *2834:24 0 +20 *2434:28 *2840:68 0 +21 *2434:28 *3005:60 0 +22 *2434:28 *3420:40 0 +23 *2434:29 *2837:31 0 +24 *2434:29 *2981:53 0 +25 *2434:29 *3662:29 0 +26 *2434:37 *2981:53 0 +27 *2434:37 *3197:38 0 +28 *2434:37 *3283:37 0 +29 *2434:37 *4055:39 0 +30 *2434:37 *4735:11 0 +31 *2434:47 *2917:10 0 +32 *2434:47 *2919:91 0 +33 *2434:47 *2928:39 0 +34 *2434:47 *2992:22 0 +35 *2434:47 *3001:22 0 +36 *2434:47 *3658:28 0 +37 *2434:47 *3819:71 0 +38 *2434:47 *4335:11 0 +39 *2434:47 *4369:67 0 +40 *2434:61 *2972:23 0 +41 *2434:61 *3312:96 0 +42 *2434:61 *3312:98 0 +43 *2434:61 *3441:41 0 +44 *1330:34 *2434:47 0 +45 *2336:47 *2434:47 0 +*RES +1 *39630:X *2434:15 48.2286 +2 *2434:15 *2434:28 33.0207 +3 *2434:28 *2434:29 123.339 +4 *2434:29 *2434:37 26.6786 +5 *2434:37 *2434:47 48.0357 +6 *2434:47 *2434:61 29.5 +7 *2434:61 *39629:A 23.5143 +8 *2434:61 *7618:DIODE 9.3 +*END + +*D_NET *2435 0.0207049 +*CONN +*I *5238:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37380:A I *D sky130_fd_sc_hd__inv_2 +*I *39631:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *5238:DIODE 0 +2 *37380:A 0.000159146 +3 *39631:X 0.00114139 +4 *2435:97 0.00149462 +5 *2435:68 0.00298749 +6 *2435:52 0.00771643 +7 *2435:26 0.00720581 +8 *37380:A *3228:47 0 +9 *37380:A *3807:17 0 +10 *2435:26 *38094:C 0 +11 *2435:26 *3446:52 0 +12 *2435:26 *4233:26 0 +13 *2435:26 *4509:69 0 +14 *2435:26 *4516:83 0 +15 *2435:52 *40518:A 0 +16 *2435:52 *2605:23 0 +17 *2435:52 *2760:57 0 +18 *2435:52 *3086:51 0 +19 *2435:52 *3140:60 0 +20 *2435:52 *3140:84 0 +21 *2435:52 *3314:28 0 +22 *2435:52 *3322:37 0 +23 *2435:52 *3504:20 0 +24 *2435:52 *3518:39 0 +25 *2435:52 *3525:17 0 +26 *2435:52 *3525:29 0 +27 *2435:52 *3744:36 0 +28 *2435:52 *3744:63 0 +29 *2435:52 *3787:92 0 +30 *2435:52 *3792:41 0 +31 *2435:52 *3960:18 0 +32 *2435:52 *4004:18 0 +33 *2435:52 *4509:22 0 +34 *2435:52 *4513:31 0 +35 *2435:68 *3216:17 0 +36 *2435:68 *3307:21 0 +37 *2435:68 *3838:47 0 +38 *2435:68 *3893:22 0 +39 *2435:97 *3228:37 0 +40 *2435:97 *3228:47 0 +41 *2435:97 *3316:7 0 +42 *6858:DIODE *2435:52 0 +43 *8322:DIODE *2435:97 0 +44 *41043:A *2435:68 0 +45 *2077:53 *37380:A 0 +46 *2077:53 *2435:97 0 +47 *2116:45 *2435:97 0 +48 *2118:56 *2435:68 0 +49 *2121:45 *2435:97 0 +50 *2141:57 *2435:52 0 +*RES +1 *39631:X *2435:26 49.0589 +2 *2435:26 *2435:52 48.3743 +3 *2435:52 *2435:68 48.7143 +4 *2435:68 *2435:97 42.2857 +5 *2435:97 *37380:A 12.6214 +6 *2435:97 *5238:DIODE 9.3 +*END + +*D_NET *2436 0.0233168 +*CONN +*I *7619:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39631:A I *D sky130_fd_sc_hd__buf_4 +*I *39632:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7619:DIODE 0 +2 *39631:A 0.000364771 +3 *39632:X 0.000905987 +4 *2436:53 0.000892531 +5 *2436:44 0.00247362 +6 *2436:27 0.00433305 +7 *2436:26 0.00261041 +8 *2436:15 0.00343545 +9 *2436:13 0.0053036 +10 *2436:10 0.00299735 +11 *39631:A *8733:DIODE 0 +12 *39631:A *4516:83 0 +13 *2436:10 *8875:DIODE 0 +14 *2436:10 *2831:20 0 +15 *2436:10 *3708:8 0 +16 *2436:10 *4084:31 0 +17 *2436:13 *2817:16 0 +18 *2436:13 *2972:51 0 +19 *2436:13 *3005:52 0 +20 *2436:13 *3283:45 0 +21 *2436:15 *2972:51 0 +22 *2436:15 *3005:33 0 +23 *2436:15 *3283:45 0 +24 *2436:26 *2925:25 0 +25 *2436:26 *3197:34 0 +26 *2436:26 *3298:40 0 +27 *2436:27 *2840:48 0 +28 *2436:27 *3005:32 0 +29 *2436:27 *3197:41 0 +30 *2436:27 *3691:49 0 +31 *2436:44 *2941:33 0 +32 *2436:44 *3702:100 0 +33 *2436:44 *3730:67 0 +34 *2436:44 *3742:40 0 +35 *2436:44 *3951:8 0 +36 *2436:44 *4041:54 0 +37 *2436:44 *4061:146 0 +38 *2436:44 *4081:24 0 +39 *2436:53 *4058:18 0 +40 *2436:53 *4279:39 0 +41 *40063:A *2436:27 0 +42 *1329:30 *2436:27 0 +43 *1432:41 *39631:A 0 +44 *1437:30 *2436:44 0 +45 *1439:46 *2436:44 0 +46 *2413:69 *2436:27 0 +47 *2432:41 *2436:27 0 +48 *2432:54 *2436:53 0 +*RES +1 *39632:X *2436:10 38.8 +2 *2436:10 *2436:13 43.6786 +3 *2436:13 *2436:15 67.0714 +4 *2436:15 *2436:26 17.6042 +5 *2436:26 *2436:27 49.8214 +6 *2436:27 *2436:44 47.3721 +7 *2436:44 *2436:53 22.7512 +8 *2436:53 *39631:A 26.3714 +9 *2436:53 *7619:DIODE 9.3 +*END + +*D_NET *2437 0.0210639 +*CONN +*I *5237:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37379:A I *D sky130_fd_sc_hd__inv_2 +*I *39633:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5237:DIODE 0 +2 *37379:A 0.000570867 +3 *39633:X 0.00200455 +4 *2437:48 0.00177002 +5 *2437:40 0.00795654 +6 *2437:20 0.00876194 +7 *37379:A *37634:B 0 +8 *37379:A *3139:11 0 +9 *37379:A *3782:9 0 +10 *37379:A *4489:26 0 +11 *37379:A *4860:23 0 +12 *2437:20 *2726:18 0 +13 *2437:20 *3085:46 0 +14 *2437:20 *3689:15 0 +15 *2437:20 *3698:48 0 +16 *2437:20 *3781:24 0 +17 *2437:20 *3787:67 0 +18 *2437:20 *4218:43 0 +19 *2437:20 *4377:45 0 +20 *2437:40 *2439:14 0 +21 *2437:40 *2947:87 0 +22 *2437:40 *3103:22 0 +23 *2437:40 *3140:84 0 +24 *2437:40 *3180:14 0 +25 *2437:40 *3330:12 0 +26 *2437:40 *3386:42 0 +27 *2437:40 *3447:25 0 +28 *2437:40 *3807:40 0 +29 *2437:40 *3814:17 0 +30 *2437:40 *3934:88 0 +31 *2437:40 *3956:24 0 +32 *2437:40 *4122:65 0 +33 *2437:40 *4310:59 0 +34 *2437:40 *4489:26 0 +35 *2437:48 *3172:13 0 +36 *6837:DIODE *37379:A 0 +37 *871:5 *37379:A 0 +38 *2069:9 *37379:A 0 +39 *2103:48 *2437:40 0 +40 *2115:24 *37379:A 0 +41 *2115:24 *2437:48 0 +42 *2115:49 *2437:48 0 +43 *2116:45 *2437:40 0 +44 *2141:25 *37379:A 0 +45 *2233:17 *37379:A 0 +46 *2248:29 *2437:40 0 +47 *2260:59 *2437:20 0 +48 *2347:33 *2437:20 0 +49 *2431:112 *2437:40 0 +*RES +1 *39633:X *2437:20 40.8847 +2 *2437:20 *2437:40 48.7528 +3 *2437:40 *2437:48 15.8957 +4 *2437:48 *37379:A 30.7821 +5 *2437:48 *5237:DIODE 9.3 +*END + +*D_NET *2438 0.000831361 +*CONN +*I *40591:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38423:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40591:A 0.00041568 +2 *38423:X 0.00041568 +3 *40591:A *4861:13 0 +4 la_data_in_mprj[80] *40591:A 0 +5 *494:16 *40591:A 0 +*RES +1 *38423:X *40591:A 36.7071 +*END + +*D_NET *2439 0.0194634 +*CONN +*I *5236:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37378:A I *D sky130_fd_sc_hd__inv_2 +*I *39634:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5236:DIODE 0 +2 *37378:A 0.000162303 +3 *39634:X 0.00502686 +4 *2439:38 0.00470482 +5 *2439:14 0.00956938 +6 *37378:A *3727:23 0 +7 *37378:A *3760:17 0 +8 *37378:A *4851:20 0 +9 *2439:14 *3947:27 0 +10 *2439:14 *4063:22 0 +11 *2439:14 *4096:44 0 +12 *2439:14 *4277:33 0 +13 *2439:38 *3328:22 0 +14 *2439:38 *3523:32 0 +15 *2439:38 *3533:19 0 +16 *2439:38 *3771:17 0 +17 *2439:38 *3816:20 0 +18 *2439:38 *3816:33 0 +19 *2439:38 *3838:11 0 +20 *2439:38 *5159:20 0 +21 *6557:DIODE *2439:38 0 +22 *6692:DIODE *37378:A 0 +23 *1999:52 *2439:14 0 +24 *2008:13 *2439:38 0 +25 *2073:80 *2439:14 0 +26 *2115:24 *2439:38 0 +27 *2115:49 *2439:38 0 +28 *2128:28 *2439:14 0 +29 *2233:17 *2439:38 0 +30 *2248:29 *2439:14 0 +31 *2437:40 *2439:14 0 +*RES +1 *39634:X *2439:14 45.9153 +2 *2439:14 *2439:38 49.4532 +3 *2439:38 *37378:A 21.7464 +4 *2439:38 *5236:DIODE 9.3 +*END + +*D_NET *2440 0.0269086 +*CONN +*I *5269:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37411:A I *D sky130_fd_sc_hd__inv_2 +*I *39635:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5269:DIODE 0.000403209 +2 *37411:A 0.000419257 +3 *39635:X 0.0011817 +4 *2440:58 0.00342501 +5 *2440:49 0.00849512 +6 *2440:31 0.00884759 +7 *2440:16 0.00413671 +8 *5269:DIODE *40350:A 0 +9 *5269:DIODE *3086:28 0 +10 *5269:DIODE *3245:51 0 +11 *5269:DIODE *3257:35 0 +12 *37411:A *40350:A 0 +13 *2440:16 *6170:DIODE 0 +14 *2440:16 *3277:52 0 +15 *2440:16 *4026:62 0 +16 *2440:16 *4319:47 0 +17 *2440:31 *2682:61 0 +18 *2440:31 *2995:42 0 +19 *2440:31 *3072:23 0 +20 *2440:31 *3218:36 0 +21 *2440:31 *3277:52 0 +22 *2440:31 *3411:42 0 +23 *2440:31 *3945:25 0 +24 *2440:31 *3996:20 0 +25 *2440:31 *4048:74 0 +26 *2440:31 *4895:20 0 +27 *2440:49 *2959:19 0 +28 *2440:49 *2968:34 0 +29 *2440:49 *2992:82 0 +30 *2440:49 *2995:19 0 +31 *2440:49 *3076:52 0 +32 *2440:49 *3112:24 0 +33 *2440:49 *3218:60 0 +34 *2440:49 *3411:17 0 +35 *2440:49 *3411:42 0 +36 *2440:49 *3625:16 0 +37 *2440:49 *3667:93 0 +38 *2440:49 *3688:32 0 +39 *2440:49 *3996:20 0 +40 *2440:49 *4007:12 0 +41 *2440:58 *8252:DIODE 0 +42 *2440:58 *40808:A 0 +43 *2440:58 *2682:21 0 +44 *2440:58 *3294:53 0 +45 *2440:58 *3388:60 0 +46 *2440:58 *3422:46 0 +47 *2440:58 *3423:10 0 +48 *2440:58 *3522:24 0 +49 *2440:58 *3532:24 0 +50 *2440:58 *4254:19 0 +51 *7585:DIODE *2440:58 0 +52 *1208:53 *2440:31 0 +53 *1319:27 *2440:49 0 +54 *1446:45 *2440:49 0 +55 *1447:9 *5269:DIODE 0 +56 *1447:9 *37411:A 0 +57 *1448:22 *2440:16 0 +58 *2370:81 *2440:49 0 +59 *2391:76 *2440:58 0 +60 *2426:25 *2440:31 0 +*RES +1 *39635:X *2440:16 49.3982 +2 *2440:16 *2440:31 49.8983 +3 *2440:31 *2440:49 43.2375 +4 *2440:49 *2440:58 30.1079 +5 *2440:58 *37411:A 18.05 +6 *2440:58 *5269:DIODE 29.799 +*END + +*D_NET *2441 0.0231955 +*CONN +*I *7621:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39635:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39636:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7621:DIODE 0 +2 *39635:A 0.000463321 +3 *39636:X 0.00107378 +4 *2441:37 0.00219676 +5 *2441:29 0.00367471 +6 *2441:15 0.00507628 +7 *2441:13 0.00315555 +8 *2441:11 0.00325095 +9 *2441:10 0.00430419 +10 *39635:A *6170:DIODE 0 +11 *2441:10 *2813:58 0 +12 *2441:10 *2830:56 0 +13 *2441:10 *2955:8 0 +14 *2441:10 *3718:64 0 +15 *2441:10 *3737:32 0 +16 *2441:10 *4405:9 0 +17 *2441:11 *39659:A 0 +18 *2441:11 *39660:A 0 +19 *2441:11 *2807:35 0 +20 *2441:11 *2836:39 0 +21 *2441:15 *2836:33 0 +22 *2441:15 *2836:39 0 +23 *2441:15 *5106:23 0 +24 *2441:29 *2814:46 0 +25 *2441:29 *2831:63 0 +26 *2441:29 *2955:9 0 +27 *2441:29 *2974:29 0 +28 *2441:29 *3275:85 0 +29 *2441:37 *3432:74 0 +30 *2441:37 *3734:21 0 +31 *2441:37 *4102:72 0 +32 *39412:B *2441:10 0 +33 *39422:A *2441:11 0 +34 *39422:B *2441:11 0 +35 *266:23 *2441:29 0 +36 *289:16 *2441:11 0 +37 *369:31 *2441:10 0 +38 *380:26 *2441:29 0 +39 *385:11 *2441:10 0 +40 *1451:39 *2441:29 0 +41 *1459:21 *39635:A 0 +42 *1459:21 *2441:37 0 +43 *1545:8 *2441:37 0 +44 *1562:29 *2441:37 0 +45 *1744:16 *2441:10 0 +46 *1754:7 *2441:11 0 +47 *2366:40 *2441:29 0 +48 *2392:13 *2441:10 0 +*RES +1 *39636:X *2441:10 42.6393 +2 *2441:10 *2441:11 67.4821 +3 *2441:11 *2441:13 0.428571 +4 *2441:13 *2441:15 65.4286 +5 *2441:15 *2441:29 49.4773 +6 *2441:29 *2441:37 43.7857 +7 *2441:37 *39635:A 19.1571 +8 *2441:37 *7621:DIODE 9.3 +*END + +*D_NET *2442 0.030687 +*CONN +*I *5268:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37410:A I *D sky130_fd_sc_hd__inv_2 +*I *39637:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5268:DIODE 0.000174998 +2 *37410:A 0.000397805 +3 *39637:X 0.00255636 +4 *2442:79 0.00214587 +5 *2442:62 0.00353423 +6 *2442:54 0.00298935 +7 *2442:38 0.00304195 +8 *2442:31 0.00747694 +9 *2442:16 0.00836953 +10 *5268:DIODE *40566:A 0 +11 *5268:DIODE *3230:39 0 +12 *5268:DIODE *3348:15 0 +13 *37410:A *3015:12 0 +14 *37410:A *3417:7 0 +15 *37410:A *5097:13 0 +16 *2442:16 *3075:45 0 +17 *2442:16 *3108:27 0 +18 *2442:16 *3251:35 0 +19 *2442:16 *3407:52 0 +20 *2442:16 *4780:86 0 +21 *2442:16 *5179:56 0 +22 *2442:31 *2823:19 0 +23 *2442:31 *2839:40 0 +24 *2442:31 *2968:34 0 +25 *2442:31 *2970:29 0 +26 *2442:31 *2970:45 0 +27 *2442:31 *2995:19 0 +28 *2442:31 *2995:42 0 +29 *2442:31 *3024:34 0 +30 *2442:31 *3218:60 0 +31 *2442:31 *3411:17 0 +32 *2442:31 *3732:49 0 +33 *2442:31 *3996:20 0 +34 *2442:31 *4048:74 0 +35 *2442:38 *3073:37 0 +36 *2442:38 *4054:22 0 +37 *2442:38 *4094:9 0 +38 *2442:54 *3937:37 0 +39 *2442:54 *4011:35 0 +40 *2442:54 *4011:37 0 +41 *2442:54 *4220:23 0 +42 *2442:62 *3469:14 0 +43 *2442:79 *2805:27 0 +44 *2442:79 *2871:21 0 +45 *2442:79 *3106:71 0 +46 *2442:79 *3230:39 0 +47 *2442:79 *3245:51 0 +48 *2442:79 *3291:52 0 +49 *2442:79 *3348:15 0 +50 *2442:79 *4756:35 0 +51 *2442:79 *4756:42 0 +52 la_data_in_mprj[113] *2442:79 0 +53 *400:12 *37410:A 0 +54 *401:51 *2442:79 0 +55 *1012:34 *2442:16 0 +56 *1012:52 *2442:16 0 +57 *1030:54 *2442:79 0 +58 *1248:43 *2442:16 0 +59 *1337:12 *2442:31 0 +60 *1449:24 *2442:38 0 +61 *2417:63 *2442:31 0 +*RES +1 *39637:X *2442:16 45.8062 +2 *2442:16 *2442:31 39.8221 +3 *2442:31 *2442:38 45.7232 +4 *2442:38 *2442:54 47.2054 +5 *2442:54 *2442:62 16.7896 +6 *2442:62 *2442:79 48.9669 +7 *2442:79 *37410:A 22.3714 +8 *2442:62 *5268:DIODE 19.3759 +*END + +*D_NET *2443 0.0319368 +*CONN +*I *37409:A I *D sky130_fd_sc_hd__inv_2 +*I *5267:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39638:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *37409:A 0.000166554 +2 *5267:DIODE 0.000199346 +3 *39638:X 4.92646e-05 +4 *2443:67 0.00329474 +5 *2443:59 0.00532937 +6 *2443:36 0.010086 +7 *2443:10 0.0102239 +8 *2443:8 0.00258767 +9 *5267:DIODE *3296:49 0 +10 *37409:A *3154:14 0 +11 *37409:A *4275:81 0 +12 *2443:8 *3402:78 0 +13 *2443:8 *4603:43 0 +14 *2443:10 *3107:83 0 +15 *2443:10 *3489:8 0 +16 *2443:10 *5028:6 0 +17 *2443:36 *2682:45 0 +18 *2443:36 *2816:39 0 +19 *2443:36 *2831:63 0 +20 *2443:36 *2925:25 0 +21 *2443:36 *2977:62 0 +22 *2443:36 *3028:25 0 +23 *2443:36 *3036:57 0 +24 *2443:36 *3075:45 0 +25 *2443:36 *3159:24 0 +26 *2443:36 *3218:60 0 +27 *2443:36 *3310:59 0 +28 *2443:36 *3709:38 0 +29 *2443:36 *4023:18 0 +30 *2443:59 *2935:20 0 +31 *2443:59 *2959:32 0 +32 *2443:59 *3072:48 0 +33 *2443:59 *3074:18 0 +34 *2443:59 *3303:17 0 +35 *2443:59 *3310:20 0 +36 *2443:59 *3387:14 0 +37 *2443:59 *3420:20 0 +38 *2443:59 *3709:38 0 +39 *2443:59 *3740:46 0 +40 *2443:67 *3023:21 0 +41 *2443:67 *3234:27 0 +42 *2443:67 *3310:20 0 +43 *2443:67 *3759:45 0 +44 *7592:DIODE *5267:DIODE 0 +45 *7592:DIODE *37409:A 0 +46 *380:22 *2443:36 0 +47 *1325:44 *2443:36 0 +48 *1328:72 *2443:36 0 +49 *2391:59 *2443:36 0 +50 *2395:24 *2443:36 0 +51 *2395:52 *2443:36 0 +52 *2395:64 *2443:59 0 +53 *2395:64 *2443:67 0 +54 *2421:42 *2443:36 0 +55 *2421:49 *2443:59 0 +*RES +1 *39638:X *2443:8 14.8714 +2 *2443:8 *2443:10 57.6518 +3 *2443:10 *2443:36 49.7642 +4 *2443:36 *2443:59 47.2048 +5 *2443:59 *2443:67 22.1226 +6 *2443:67 *5267:DIODE 18.3268 +7 *2443:67 *37409:A 17.3804 +*END + +*D_NET *2444 0.0294612 +*CONN +*I *7623:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39638:A I *D sky130_fd_sc_hd__buf_4 +*I *39639:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7623:DIODE 2.26741e-05 +2 *39638:A 8.4707e-05 +3 *39639:X 0 +4 *2444:56 0.000264449 +5 *2444:48 0.00178717 +6 *2444:38 0.00403673 +7 *2444:21 0.00416436 +8 *2444:9 0.00788401 +9 *2444:8 0.00612628 +10 *2444:6 0.00254542 +11 *2444:5 0.00254542 +12 *7623:DIODE *3421:11 0 +13 *39638:A *3421:11 0 +14 *39638:A *4603:43 0 +15 *2444:6 *2806:108 0 +16 *2444:6 *2807:40 0 +17 *2444:6 *2815:94 0 +18 *2444:6 *3539:10 0 +19 *2444:6 *4623:6 0 +20 *2444:6 *4728:6 0 +21 *2444:6 *4914:19 0 +22 *2444:9 *2446:9 0 +23 *2444:9 *4729:11 0 +24 *2444:21 *39669:A 0 +25 *2444:21 *2818:51 0 +26 *2444:21 *2980:41 0 +27 *2444:21 *3506:37 0 +28 *2444:21 *4729:23 0 +29 *2444:38 *2817:44 0 +30 *2444:38 *2820:29 0 +31 *2444:38 *3429:39 0 +32 *2444:38 *3489:16 0 +33 *2444:38 *4021:26 0 +34 *2444:48 *3666:45 0 +35 *2444:48 *4087:47 0 +36 *2444:48 *4091:64 0 +37 *265:10 *2444:9 0 +38 *383:17 *2444:6 0 +39 *1016:220 *2444:9 0 +40 *1208:56 *2444:38 0 +41 *1572:21 *2444:38 0 +42 *1578:11 *2444:6 0 +43 *2373:99 *2444:56 0 +44 *2400:11 *2444:48 0 +45 *2402:8 *2444:48 0 +46 *2403:52 *2444:38 0 +*RES +1 *39639:X *2444:5 13.8 +2 *2444:5 *2444:6 57.8036 +3 *2444:6 *2444:8 4.5 +4 *2444:8 *2444:9 127.857 +5 *2444:9 *2444:21 49.9965 +6 *2444:21 *2444:38 47.6833 +7 *2444:38 *2444:48 45.7857 +8 *2444:48 *2444:56 16.9107 +9 *2444:56 *39638:A 11.0679 +10 *2444:56 *7623:DIODE 9.83571 +*END + +*D_NET *2445 0.0211929 +*CONN +*I *37408:A I *D sky130_fd_sc_hd__inv_2 +*I *5266:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39640:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37408:A 0.000186611 +2 *5266:DIODE 2.56688e-05 +3 *39640:X 0.000750072 +4 *2445:48 0.000776928 +5 *2445:43 0.00758871 +6 *2445:35 0.00906943 +7 *2445:22 0.00279544 +8 *37408:A *3274:17 0 +9 *37408:A *3296:29 0 +10 *37408:A *3435:41 0 +11 *37408:A *3508:28 0 +12 *2445:22 *39040:A 0 +13 *2445:22 *2938:24 0 +14 *2445:22 *3106:51 0 +15 *2445:22 *3571:11 0 +16 *2445:22 *5194:22 0 +17 *2445:35 *39040:A 0 +18 *2445:35 *3106:69 0 +19 *2445:35 *3250:48 0 +20 *2445:35 *3571:11 0 +21 *2445:43 *5164:19 0 +22 *2445:48 *2760:13 0 +23 *2445:48 *3274:17 0 +24 *2445:48 *3508:28 0 +25 *2445:48 *4752:24 0 +26 la_data_in_mprj[102] *2445:48 0 +27 *406:49 *2445:22 0 +28 *409:61 *2445:22 0 +29 *528:31 *2445:43 0 +30 *786:21 *2445:43 0 +31 *789:11 *2445:35 0 +32 *790:8 *2445:35 0 +33 *790:29 *2445:35 0 +34 *791:7 *2445:35 0 +35 *2377:24 *2445:43 0 +36 *2379:39 *2445:43 0 +37 *2382:87 *2445:35 0 +38 *2389:21 *2445:35 0 +39 *2404:16 *2445:43 0 +40 *2406:16 *2445:35 0 +41 *2408:37 *2445:35 0 +*RES +1 *39640:X *2445:22 43.7643 +2 *2445:22 *2445:35 47.1559 +3 *2445:35 *2445:43 39.5425 +4 *2445:43 *2445:48 12.8839 +5 *2445:48 *5266:DIODE 14.3357 +6 *2445:48 *37408:A 17.8357 +*END + +*D_NET *2446 0.0180192 +*CONN +*I *39261:A I *D sky130_fd_sc_hd__buf_12 +*I *7248:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39641:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *39261:A 0.000109681 +2 *7248:DIODE 6.50276e-05 +3 *39641:X 0.000219323 +4 *2446:18 0.000955554 +5 *2446:17 0.00197762 +6 *2446:9 0.00783472 +7 *2446:8 0.00685727 +8 *7248:DIODE *39262:A 0 +9 *7248:DIODE *5010:31 0 +10 *39261:A *5010:40 0 +11 *39261:A *5016:41 0 +12 *2446:8 *2473:8 0 +13 *2446:8 *2957:10 0 +14 *2446:8 *3010:38 0 +15 *2446:9 *2478:5 0 +16 *2446:9 *2817:33 0 +17 *2446:9 *2818:51 0 +18 *2446:9 *4729:11 0 +19 *2446:17 *4007:13 0 +20 *2446:17 *4229:51 0 +21 *2446:17 *4720:24 0 +22 *2446:18 *3664:17 0 +23 *2446:18 *5002:13 0 +24 *2446:18 *5024:28 0 +25 *38287:A *2446:17 0 +26 *359:19 *2446:18 0 +27 *372:13 *2446:17 0 +28 *1016:212 *2446:9 0 +29 *1016:216 *2446:9 0 +30 *1016:220 *2446:9 0 +31 *2367:8 *2446:17 0 +32 *2444:9 *2446:9 0 +*RES +1 *39641:X *2446:8 23.2821 +2 *2446:8 *2446:9 138.536 +3 *2446:9 *2446:17 40.2321 +4 *2446:17 *2446:18 17.7321 +5 *2446:18 *7248:DIODE 15.1571 +6 *2446:18 *39261:A 16.3536 +*END + +*D_NET *2447 0.0175103 +*CONN +*I *7247:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39260:A I *D sky130_fd_sc_hd__buf_12 +*I *39642:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *7247:DIODE 0 +2 *39260:A 0.000159146 +3 *39642:X 0 +4 *2447:31 0.00329416 +5 *2447:30 0.00381959 +6 *2447:15 0.00307177 +7 *2447:14 0.00273622 +8 *2447:6 0.0023892 +9 *2447:5 0.00204017 +10 *39260:A *2450:27 0 +11 *39260:A *4738:29 0 +12 *2447:6 *2924:10 0 +13 *2447:6 *3625:50 0 +14 *2447:6 *3967:40 0 +15 *2447:6 *4731:8 0 +16 *2447:6 *4902:6 0 +17 *2447:6 *4909:8 0 +18 *2447:14 *3967:39 0 +19 *2447:14 *4731:8 0 +20 *2447:15 *39015:A 0 +21 *2447:15 *2458:36 0 +22 *2447:15 *2459:30 0 +23 *2447:15 *2470:61 0 +24 *2447:15 *4745:31 0 +25 *2447:30 *39268:A 0 +26 *2447:30 *2469:25 0 +27 *2447:31 *6457:DIODE 0 +28 *2447:31 *39008:A 0 +29 *2447:31 *2459:19 0 +30 *2447:31 *2459:30 0 +31 *2447:31 *4738:29 0 +32 *2447:31 *4738:31 0 +33 *2447:31 *5024:40 0 +34 la_data_in_core[90] *2447:31 0 +35 *38053:A *2447:6 0 +36 *262:7 *2447:14 0 +37 *383:12 *2447:30 0 +38 *1425:18 *2447:6 0 +39 *1552:19 *2447:14 0 +40 *1552:37 *2447:14 0 +41 *1552:61 *2447:30 0 +*RES +1 *39642:X *2447:5 13.8 +2 *2447:5 *2447:6 46.2679 +3 *2447:6 *2447:14 21.0893 +4 *2447:14 *2447:15 49.8214 +5 *2447:15 *2447:30 32.7679 +6 *2447:30 *2447:31 65.4286 +7 *2447:31 *39260:A 12.6214 +8 *2447:31 *7247:DIODE 9.3 +*END + +*D_NET *2448 0.0184213 +*CONN +*I *7241:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39254:A I *D sky130_fd_sc_hd__buf_12 +*I *39643:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *7241:DIODE 0 +2 *39254:A 0.000144431 +3 *39643:X 0.000286257 +4 *2448:59 0.00180734 +5 *2448:54 0.00294694 +6 *2448:36 0.00242701 +7 *2448:15 0.00398279 +8 *2448:14 0.00469005 +9 *2448:8 0.00213649 +10 *39254:A *2480:16 0 +11 *39254:A *5002:39 0 +12 *39254:A *5006:31 0 +13 *2448:14 *3735:41 0 +14 *2448:14 *3967:35 0 +15 *2448:14 *3967:39 0 +16 *2448:36 *2475:61 0 +17 *2448:36 *2481:21 0 +18 *2448:36 *2720:23 0 +19 *2448:36 *4331:21 0 +20 *2448:36 *5002:13 0 +21 *2448:36 *5002:31 0 +22 *2448:54 *39004:A 0 +23 *2448:54 *5002:31 0 +24 *2448:54 *5008:11 0 +25 *2448:54 *5012:22 0 +26 *2448:54 *5014:21 0 +27 *2448:59 *39000:A 0 +28 *2448:59 *2450:29 0 +29 *2448:59 *4738:21 0 +30 *2448:59 *4738:29 0 +31 la_data_in_core[86] *2448:54 0 +32 la_data_in_core[87] *2448:54 0 +33 *368:9 *39254:A 0 +34 *369:28 *2448:15 0 +35 *377:33 *2448:14 0 +36 *385:8 *2448:14 0 +37 *386:12 *2448:8 0 +38 *1013:74 *2448:36 0 +39 *1024:126 *2448:15 0 +40 *1547:76 *2448:36 0 +*RES +1 *39643:X *2448:8 24.8 +2 *2448:8 *2448:14 47.8214 +3 *2448:14 *2448:15 59.2679 +4 *2448:15 *2448:36 44.2152 +5 *2448:36 *2448:54 36.1429 +6 *2448:54 *2448:59 34.7679 +7 *2448:59 *39254:A 21.4964 +8 *2448:59 *7241:DIODE 9.3 +*END + +*D_NET *2449 0.0164291 +*CONN +*I *5778:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37896:C I *D sky130_fd_sc_hd__and3b_1 +*I *38424:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5778:DIODE 0.000228723 +2 *37896:C 0 +3 *38424:X 0.000905508 +4 *2449:18 0.00155795 +5 *2449:15 0.00552394 +6 *2449:13 0.00575109 +7 *2449:10 0.00246189 +8 *2449:10 *3069:34 0 +9 *2449:10 *3749:9 0 +10 *2449:10 *4439:24 0 +11 *2449:10 *4487:8 0 +12 *2449:10 *4504:43 0 +13 *2449:10 *4571:19 0 +14 *2449:13 *3082:19 0 +15 *2449:15 *2694:19 0 +16 *2449:18 *38148:A 0 +17 *2449:18 *4795:11 0 +18 *38400:A *2449:15 0 +19 *39465:A *2449:15 0 +20 *39465:B *2449:13 0 +21 *39465:B *2449:15 0 +22 *866:7 *2449:10 0 +23 *1138:11 *2449:13 0 +24 *1775:10 *2449:10 0 +*RES +1 *38424:X *2449:10 38.7107 +2 *2449:10 *2449:13 32.4821 +3 *2449:13 *2449:15 87.6071 +4 *2449:15 *2449:18 34.6786 +5 *2449:18 *37896:C 13.8 +6 *2449:18 *5778:DIODE 18.6571 +*END + +*D_NET *2450 0.0178601 +*CONN +*I *7237:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39245:A I *D sky130_fd_sc_hd__buf_12 +*I *39644:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *7237:DIODE 0 +2 *39245:A 0.000159146 +3 *39644:X 0.0021047 +4 *2450:29 0.00551194 +5 *2450:27 0.00666618 +6 *2450:14 0.00341809 +7 *2450:14 *39265:A 0 +8 *2450:14 *2458:20 0 +9 *2450:14 *2465:23 0 +10 *2450:14 *2477:17 0 +11 *2450:14 *3424:25 0 +12 *2450:14 *3427:87 0 +13 *2450:27 *39003:A 0 +14 *2450:27 *4738:29 0 +15 *2450:27 *5012:22 0 +16 *2450:29 *7094:DIODE 0 +17 *2450:29 *4723:25 0 +18 *2450:29 *4738:9 0 +19 *2450:29 *4738:29 0 +20 *2450:29 *5003:16 0 +21 *2450:29 *5010:51 0 +22 la_oenb_core[80] *2450:29 0 +23 la_oenb_core[87] *2450:27 0 +24 *38297:A *2450:14 0 +25 *39260:A *2450:27 0 +26 *374:5 *2450:14 0 +27 *377:17 *2450:14 0 +28 *1001:30 *2450:14 0 +29 *1025:126 *2450:14 0 +30 *1538:23 *2450:29 0 +31 *2448:59 *2450:29 0 +*RES +1 *39644:X *2450:14 46.667 +2 *2450:14 *2450:27 32.0357 +3 *2450:27 *2450:29 111.839 +4 *2450:29 *39245:A 12.6214 +5 *2450:29 *7237:DIODE 9.3 +*END + +*D_NET *2451 0.0196883 +*CONN +*I *39214:A I *D sky130_fd_sc_hd__buf_12 +*I *7223:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39645:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *39214:A 4.23535e-05 +2 *7223:DIODE 7.22819e-05 +3 *39645:X 0.000986492 +4 *2451:47 0.000455175 +5 *2451:44 0.00233699 +6 *2451:37 0.00679212 +7 *2451:36 0.00479567 +8 *2451:34 0.00161035 +9 *2451:19 0.00259684 +10 *7223:DIODE *4973:30 0 +11 *7223:DIODE *4976:12 0 +12 *39214:A *3799:25 0 +13 *2451:19 *39815:A 0 +14 *2451:19 *2640:16 0 +15 *2451:19 *2711:25 0 +16 *2451:19 *4665:53 0 +17 *2451:19 *4665:64 0 +18 *2451:19 *4946:24 0 +19 *2451:19 *4955:10 0 +20 *2451:34 *38942:A 0 +21 *2451:34 *2452:47 0 +22 *2451:34 *2485:41 0 +23 *2451:34 *2575:28 0 +24 *2451:34 *2711:25 0 +25 *2451:34 *2758:73 0 +26 *2451:34 *4665:64 0 +27 *2451:34 *4665:65 0 +28 *2451:34 *4673:23 0 +29 *2451:34 *4673:37 0 +30 *2451:34 *4675:14 0 +31 *2451:34 *5049:32 0 +32 *2451:37 *38943:A 0 +33 *2451:37 *39200:A 0 +34 *2451:37 *39201:A 0 +35 *2451:37 *2484:49 0 +36 *2451:37 *2484:51 0 +37 *2451:37 *4951:43 0 +38 *2451:37 *4971:18 0 +39 *2451:44 *38955:A 0 +40 *2451:44 *38956:A 0 +41 *2451:44 *3799:23 0 +42 *2451:44 *4684:30 0 +43 *2451:44 *4964:9 0 +44 *2451:44 *4971:18 0 +45 *2451:47 *3799:23 0 +46 *2451:47 *3799:25 0 +47 la_data_in_core[28] *2451:34 0 +48 la_data_in_core[29] *2451:34 0 +49 la_data_in_core[30] *2451:34 0 +50 la_data_in_core[42] *2451:44 0 +51 la_data_in_core[43] *2451:44 0 +52 la_data_in_core[45] *2451:47 0 +53 la_oenb_core[31] *2451:37 0 +54 la_oenb_core[32] *2451:37 0 +55 la_oenb_core[39] *2451:37 0 +56 la_oenb_core[40] *2451:44 0 +57 la_oenb_core[45] *39214:A 0 +58 *327:12 *2451:44 0 +59 *1007:112 *2451:19 0 +*RES +1 *39645:X *2451:19 39.3536 +2 *2451:19 *2451:34 47.6429 +3 *2451:34 *2451:36 4.5 +4 *2451:36 *2451:37 100.134 +5 *2451:37 *2451:44 46.2411 +6 *2451:44 *2451:47 11.6071 +7 *2451:47 *7223:DIODE 19.9429 +8 *2451:47 *39214:A 10.2464 +*END + +*D_NET *2452 0.0186508 +*CONN +*I *39207:A I *D sky130_fd_sc_hd__buf_12 +*I *7218:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39646:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *39207:A 0 +2 *7218:DIODE 0.0001114 +3 *39646:X 0.000928204 +4 *2452:55 0.0017003 +5 *2452:49 0.00431899 +6 *2452:47 0.00409717 +7 *2452:40 0.00284468 +8 *2452:22 0.00259972 +9 *2452:15 0.00205032 +10 *7218:DIODE *4956:15 0 +11 *2452:15 *2519:36 0 +12 *2452:15 *2521:38 0 +13 *2452:15 *2606:11 0 +14 *2452:15 *2765:47 0 +15 *2452:15 *2889:42 0 +16 *2452:15 *4938:8 0 +17 *2452:22 *2521:23 0 +18 *2452:22 *2606:11 0 +19 *2452:40 *7758:DIODE 0 +20 *2452:40 *39815:A 0 +21 *2452:40 *2453:38 0 +22 *2452:40 *2453:42 0 +23 *2452:40 *2488:29 0 +24 *2452:40 *2491:13 0 +25 *2452:40 *2640:16 0 +26 *2452:47 *2758:73 0 +27 *2452:47 *4675:26 0 +28 *2452:49 *2484:49 0 +29 *2452:49 *2484:51 0 +30 *2452:49 *4951:43 0 +31 *2452:49 *5049:18 0 +32 *2452:55 *38950:A 0 +33 *2452:55 *2484:51 0 +34 *2452:55 *5049:18 0 +35 la_oenb_core[27] *2452:40 0 +36 la_oenb_core[39] *2452:55 0 +37 *312:25 *2452:22 0 +38 *1023:78 *2452:40 0 +39 *1024:76 *2452:40 0 +40 *1028:32 *2452:15 0 +41 *1031:47 *2452:40 0 +42 *2451:34 *2452:47 0 +*RES +1 *39646:X *2452:15 37.9786 +2 *2452:15 *2452:22 32.625 +3 *2452:22 *2452:40 46.9397 +4 *2452:40 *2452:47 28.625 +5 *2452:47 *2452:49 57.0089 +6 *2452:49 *2452:55 33.2232 +7 *2452:55 *7218:DIODE 20.7821 +8 *2452:55 *39207:A 9.3 +*END + +*D_NET *2453 0.0180232 +*CONN +*I *7215:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39194:A I *D sky130_fd_sc_hd__buf_12 +*I *39647:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *7215:DIODE 0 +2 *39194:A 0.000138184 +3 *39647:X 2.56688e-05 +4 *2453:42 0.000242536 +5 *2453:38 0.00222041 +6 *2453:31 0.00367458 +7 *2453:28 0.00180199 +8 *2453:17 0.00270938 +9 *2453:16 0.00250485 +10 *2453:11 0.00235944 +11 *2453:7 0.00234617 +12 *39194:A *2575:28 0 +13 *39194:A *2711:25 0 +14 *2453:7 *2869:55 0 +15 *2453:11 *38173:A 0 +16 *2453:11 *39716:A 0 +17 *2453:11 *2490:36 0 +18 *2453:11 *2498:50 0 +19 *2453:11 *2500:37 0 +20 *2453:11 *2623:45 0 +21 *2453:11 *2658:14 0 +22 *2453:11 *2757:14 0 +23 *2453:11 *2900:32 0 +24 *2453:11 *2903:21 0 +25 *2453:11 *3353:26 0 +26 *2453:16 *2526:13 0 +27 *2453:17 *2651:5 0 +28 *2453:28 *2574:16 0 +29 *2453:28 *2758:32 0 +30 *2453:31 *2484:17 0 +31 *2453:31 *2519:36 0 +32 *2453:31 *2765:47 0 +33 *2453:38 *2878:34 0 +34 *2453:38 *4955:10 0 +35 *2453:42 *4955:10 0 +36 la_oenb_core[27] *39194:A 0 +37 *39920:A *2453:11 0 +38 *311:22 *2453:38 0 +39 *335:20 *2453:11 0 +40 *901:8 *2453:11 0 +41 *1016:144 *2453:28 0 +42 *1023:78 *2453:31 0 +43 *1023:78 *2453:38 0 +44 *1291:12 *2453:11 0 +45 *1375:22 *2453:11 0 +46 *1401:21 *2453:11 0 +47 *1499:30 *2453:11 0 +48 *1501:52 *2453:11 0 +49 *1529:28 *2453:11 0 +50 *2452:40 *2453:38 0 +51 *2452:40 *2453:42 0 +*RES +1 *39647:X *2453:7 14.3357 +2 *2453:7 *2453:11 46.7491 +3 *2453:11 *2453:16 8.79393 +4 *2453:16 *2453:17 51.4643 +5 *2453:17 *2453:28 18.4429 +6 *2453:28 *2453:31 32.5893 +7 *2453:31 *2453:38 49.0268 +8 *2453:38 *2453:42 6.90179 +9 *2453:42 *39194:A 12.4607 +10 *2453:42 *7215:DIODE 9.3 +*END + +*D_NET *2454 0.0181788 +*CONN +*I *39219:A I *D sky130_fd_sc_hd__buf_12 +*I *7226:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39648:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *39219:A 0.00017136 +2 *7226:DIODE 1.08836e-05 +3 *39648:X 0.000672109 +4 *2454:30 0.00214303 +5 *2454:26 0.00299434 +6 *2454:11 0.00627427 +7 *2454:10 0.00591282 +8 *39219:A *38974:A 0 +9 *39219:A *2518:42 0 +10 *39219:A *2520:57 0 +11 *39219:A *2546:30 0 +12 *2454:10 *39648:A 0 +13 *2454:10 *2911:34 0 +14 *2454:10 *3169:54 0 +15 *2454:11 *2499:9 0 +16 *2454:11 *2499:37 0 +17 *2454:11 *2770:8 0 +18 *2454:11 *2778:18 0 +19 *2454:11 *3597:27 0 +20 *2454:11 *3597:55 0 +21 *2454:26 *39928:A 0 +22 *2454:26 *2502:25 0 +23 *2454:26 *2766:5 0 +24 *2454:30 *2508:20 0 +25 *2454:30 *2559:39 0 +26 *2454:30 *2643:34 0 +27 *2454:30 *4985:26 0 +28 *2454:30 *4985:31 0 +29 la_oenb_core[4] *39219:A 0 +30 *5865:DIODE *2454:30 0 +31 *39457:B *2454:10 0 +32 *39938:A *2454:11 0 +33 *312:38 *2454:26 0 +34 *322:25 *39219:A 0 +35 *322:25 *2454:30 0 +36 *322:27 *2454:26 0 +37 *322:27 *2454:30 0 +38 *330:17 *2454:26 0 +39 *1301:15 *2454:26 0 +40 *1364:16 *2454:10 0 +41 *1371:10 *2454:26 0 +*RES +1 *39648:X *2454:10 33.4429 +2 *2454:10 *2454:11 109.375 +3 *2454:11 *2454:26 35.6339 +4 *2454:26 *2454:30 44.6071 +5 *2454:30 *7226:DIODE 14.1304 +6 *2454:30 *39219:A 17.7018 +*END + +*D_NET *2455 0.0177212 +*CONN +*I *39147:A I *D sky130_fd_sc_hd__buf_12 +*I *7193:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39649:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *39147:A 0.000424134 +2 *7193:DIODE 2.56688e-05 +3 *39649:X 0.000687367 +4 *2455:24 0.00241227 +5 *2455:23 0.00238172 +6 *2455:20 0.0023679 +7 *2455:14 0.00212798 +8 *2455:9 0.00339306 +9 *2455:8 0.0039011 +10 *39147:A *39300:A 0 +11 *39147:A *2526:70 0 +12 *39147:A *2530:73 0 +13 *39147:A *2553:29 0 +14 *2455:8 *3597:60 0 +15 *2455:9 *2499:9 0 +16 *2455:9 *3597:57 0 +17 *2455:14 *5054:16 0 +18 *2455:20 *2487:8 0 +19 *2455:23 *4736:9 0 +20 *2455:24 *39762:A 0 +21 *2455:24 *2512:24 0 +22 *2455:24 *2514:16 0 +23 *2455:24 *2578:46 0 +24 *2455:24 *2582:52 0 +25 *2455:24 *2624:44 0 +26 *2455:24 *2653:34 0 +27 *2455:24 *2896:10 0 +28 mprj_dat_o_user[31] *39147:A 0 +29 *325:53 *2455:20 0 +30 *1016:56 *2455:24 0 +31 *1276:14 *2455:8 0 +32 *1280:19 *2455:20 0 +33 *1352:8 *2455:8 0 +34 *1357:18 *2455:9 0 +35 *1364:24 *2455:14 0 +36 *1364:31 *2455:23 0 +*RES +1 *39649:X *2455:8 33.9071 +2 *2455:8 *2455:9 67.0714 +3 *2455:9 *2455:14 13.0714 +4 *2455:14 *2455:20 49.875 +5 *2455:20 *2455:23 13.25 +6 *2455:23 *2455:24 44.4464 +7 *2455:24 *7193:DIODE 14.3357 +8 *2455:24 *39147:A 22.9429 +*END + +*D_NET *2456 0.0172 +*CONN +*I *38901:A I *D sky130_fd_sc_hd__buf_12 +*I *7030:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39650:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38901:A 0.000166932 +2 *7030:DIODE 0 +3 *39650:X 0 +4 *2456:12 0.000533395 +5 *2456:9 0.00564653 +6 *2456:8 0.00528007 +7 *2456:6 0.00278655 +8 *2456:5 0.00278655 +9 *38901:A *4900:25 0 +10 *2456:6 *39392:B 0 +11 *2456:6 *4621:6 0 +12 *2456:6 *4627:6 0 +13 *2456:9 *2463:9 0 +14 *2456:12 *2467:8 0 +15 *2456:12 *4900:18 0 +16 *2456:12 *4900:25 0 +17 *2456:12 *5032:10 0 +18 la_data_in_core[110] *38901:A 0 +19 *270:17 *2456:6 0 +20 *272:9 *38901:A 0 +21 *272:9 *2456:12 0 +22 *290:16 *2456:9 0 +23 *362:14 *2456:6 0 +24 *374:25 *2456:6 0 +25 *384:14 *2456:9 0 +26 *385:8 *2456:9 0 +27 *1246:16 *2456:6 0 +28 *1733:11 *2456:6 0 +29 *1735:13 *2456:6 0 +*RES +1 *39650:X *2456:5 13.8 +2 *2456:5 *2456:6 63.2679 +3 *2456:6 *2456:8 4.5 +4 *2456:8 *2456:9 110.196 +5 *2456:9 *2456:12 12.8214 +6 *2456:12 *7030:DIODE 13.8 +7 *2456:12 *38901:A 17.425 +*END + +*D_NET *2457 0.016692 +*CONN +*I *38899:A I *D sky130_fd_sc_hd__buf_12 +*I *7028:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39651:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38899:A 0.000108647 +2 *7028:DIODE 0 +3 *39651:X 0 +4 *2457:16 0.000808913 +5 *2457:9 0.00570482 +6 *2457:8 0.00500456 +7 *2457:6 0.00253254 +8 *2457:5 0.00253254 +9 *38899:A *2468:18 0 +10 *38899:A *2468:25 0 +11 *38899:A *4899:8 0 +12 *38899:A *5032:25 0 +13 *2457:6 *4910:8 0 +14 *2457:9 *5032:10 0 +15 *2457:16 *2468:18 0 +16 *38337:A *2457:9 0 +17 *269:7 *2457:16 0 +18 *269:10 *2457:9 0 +19 *269:14 *2457:9 0 +20 *269:16 *2457:9 0 +21 *371:13 *2457:16 0 +22 *377:46 *2457:9 0 +23 *1554:6 *2457:16 0 +*RES +1 *39651:X *2457:5 13.8 +2 *2457:5 *2457:6 57.5 +3 *2457:6 *2457:8 4.5 +4 *2457:8 *2457:9 104.446 +5 *2457:9 *2457:16 20.4643 +6 *2457:16 *7028:DIODE 13.8 +7 *2457:16 *38899:A 16.2821 +*END + +*D_NET *2458 0.0187586 +*CONN +*I *38897:A I *D sky130_fd_sc_hd__buf_12 +*I *7026:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39652:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38897:A 0 +2 *7026:DIODE 9.799e-05 +3 *39652:X 0.00127002 +4 *2458:40 0.000140856 +5 *2458:37 0.00250878 +6 *2458:36 0.00385723 +7 *2458:30 0.00327589 +8 *2458:23 0.00246758 +9 *2458:20 0.00222663 +10 *2458:10 0.00291364 +11 *7026:DIODE *7197:DIODE 0 +12 *7026:DIODE *4609:26 0 +13 *2458:10 *2980:34 0 +14 *2458:10 *3979:52 0 +15 *2458:20 *2465:28 0 +16 *2458:20 *3686:22 0 +17 *2458:20 *3762:38 0 +18 *2458:20 *4241:110 0 +19 *2458:20 *5013:17 0 +20 *2458:20 *5016:25 0 +21 *2458:23 *39010:A 0 +22 *2458:23 *2471:21 0 +23 *2458:23 *2475:51 0 +24 *2458:23 *5024:40 0 +25 *2458:30 *39012:A 0 +26 *2458:30 *39269:A 0 +27 *2458:30 *2469:25 0 +28 *2458:30 *2475:51 0 +29 *2458:30 *5010:28 0 +30 *2458:30 *5025:31 0 +31 *2458:30 *5025:38 0 +32 *2458:36 *39015:A 0 +33 *2458:36 *39268:A 0 +34 *2458:36 *2459:30 0 +35 *2458:36 *2459:33 0 +36 *2458:36 *2475:25 0 +37 *2458:36 *4895:29 0 +38 *2458:37 *2468:25 0 +39 *2458:37 *2468:27 0 +40 *2458:37 *5032:38 0 +41 *2458:40 *7197:DIODE 0 +42 *2458:40 *5032:38 0 +43 la_data_in_core[93] *2458:23 0 +44 la_data_in_core[93] *2458:30 0 +45 la_data_in_core[97] *2458:30 0 +46 *266:7 *7026:DIODE 0 +47 *384:7 *2458:30 0 +48 *386:12 *2458:36 0 +49 *1013:74 *2458:20 0 +50 *1418:25 *2458:10 0 +51 *1547:64 *2458:20 0 +52 *1552:37 *2458:36 0 +53 *1552:52 *2458:30 0 +54 *1864:50 *2458:10 0 +55 *1864:50 *2458:20 0 +56 *2413:38 *2458:10 0 +57 *2430:40 *2458:10 0 +58 *2447:15 *2458:36 0 +59 *2450:14 *2458:20 0 +*RES +1 *39652:X *2458:10 42.6214 +2 *2458:10 *2458:20 40.4518 +3 *2458:20 *2458:23 12.1518 +4 *2458:23 *2458:30 48.4554 +5 *2458:30 *2458:36 38.2679 +6 *2458:36 *2458:37 51.4643 +7 *2458:37 *2458:40 5.53571 +8 *2458:40 *7026:DIODE 15.9786 +9 *2458:40 *38897:A 13.8 +*END + +*D_NET *2459 0.0185092 +*CONN +*I *7025:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38896:A I *D sky130_fd_sc_hd__buf_12 +*I *39653:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *7025:DIODE 0 +2 *38896:A 0.000159146 +3 *39653:X 0.00077888 +4 *2459:33 0.00224816 +5 *2459:30 0.00460225 +6 *2459:19 0.00446834 +7 *2459:16 0.00371434 +8 *2459:10 0.00253812 +9 *2459:10 *3946:48 0 +10 *2459:10 *4031:37 0 +11 *2459:16 *3673:21 0 +12 *2459:16 *4720:24 0 +13 *2459:19 *6457:DIODE 0 +14 *2459:19 *39011:A 0 +15 *2459:19 *2470:61 0 +16 *2459:19 *5010:31 0 +17 *2459:19 *5014:10 0 +18 *2459:19 *5024:40 0 +19 *2459:30 *7250:DIODE 0 +20 *2459:30 *39268:A 0 +21 *2459:30 *2467:22 0 +22 *2459:30 *2470:61 0 +23 *2459:30 *2475:25 0 +24 *2459:30 *4895:28 0 +25 *2459:33 *39149:A 0 +26 *2459:33 *4745:31 0 +27 *2459:33 *4895:29 0 +28 *2459:33 *4909:24 0 +29 la_data_in_core[90] *2459:16 0 +30 la_data_in_core[90] *2459:19 0 +31 la_data_in_core[97] *2459:30 0 +32 la_oenb_core[101] *2459:33 0 +33 *38307:A *2459:19 0 +34 *38311:A *2459:33 0 +35 *373:16 *2459:10 0 +36 *375:19 *2459:16 0 +37 *377:17 *2459:16 0 +38 *386:12 *2459:30 0 +39 *1013:74 *2459:30 0 +40 *1017:86 *2459:30 0 +41 *1428:23 *2459:16 0 +42 *1545:49 *2459:10 0 +43 *1552:37 *2459:33 0 +44 *1552:61 *2459:30 0 +45 *1554:15 *38896:A 0 +46 *1554:15 *2459:33 0 +47 *2447:15 *2459:30 0 +48 *2447:31 *2459:19 0 +49 *2447:31 *2459:30 0 +50 *2458:36 *2459:30 0 +51 *2458:36 *2459:33 0 +*RES +1 *39653:X *2459:10 35.8714 +2 *2459:10 *2459:16 46.6607 +3 *2459:16 *2459:19 40.8036 +4 *2459:19 *2459:30 49.2509 +5 *2459:30 *2459:33 48.1607 +6 *2459:33 *38896:A 12.6214 +7 *2459:33 *7025:DIODE 9.3 +*END + +*D_NET *2460 0.012685 +*CONN +*I *6120:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38094:C I *D sky130_fd_sc_hd__and3b_1 +*I *38425:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *6120:DIODE 0 +2 *38094:C 0.000459548 +3 *38425:X 0.00315417 +4 *2460:49 0.000679853 +5 *2460:44 0.00161451 +6 *2460:29 0.00250849 +7 *2460:15 0.00426845 +8 *38094:C *38094:B 0 +9 *38094:C *3256:38 0 +10 *38094:C *4279:39 0 +11 *38094:C *4516:83 0 +12 *2460:15 *38888:A 0 +13 *2460:15 *39024:A 0 +14 *2460:15 *2760:13 0 +15 *2460:15 *3105:42 0 +16 *2460:15 *3125:46 0 +17 *2460:15 *3422:14 0 +18 *2460:15 *3500:32 0 +19 *2460:29 *39143:A 0 +20 *2460:29 *2760:44 0 +21 *2460:29 *4508:41 0 +22 *2460:29 *4887:11 0 +23 *2460:44 *3435:37 0 +24 *2460:44 *3977:108 0 +25 *2460:44 *4204:50 0 +26 *2460:44 *4286:61 0 +27 *2460:44 *4517:53 0 +28 *2460:49 *3256:38 0 +29 la_data_in_mprj[99] *2460:29 0 +30 *6572:DIODE *2460:15 0 +31 *6733:DIODE *2460:15 0 +32 *7608:DIODE *38094:C 0 +33 *38414:A *2460:15 0 +34 *395:8 *2460:15 0 +35 *521:5 *2460:15 0 +36 *777:14 *2460:15 0 +37 *897:8 *2460:29 0 +38 *1220:53 *2460:15 0 +39 *1234:10 *2460:15 0 +40 *1235:38 *38094:C 0 +41 *2377:24 *2460:15 0 +42 *2393:62 *2460:44 0 +43 *2401:13 *2460:15 0 +44 *2404:10 *2460:15 0 +45 *2407:13 *2460:29 0 +46 *2418:14 *2460:29 0 +47 *2435:26 *38094:C 0 +*RES +1 *38425:X *2460:15 48.9547 +2 *2460:15 *2460:29 44.9189 +3 *2460:29 *2460:44 49.0179 +4 *2460:44 *2460:49 9.51786 +5 *2460:49 *38094:C 28.4607 +6 *2460:49 *6120:DIODE 9.3 +*END + +*D_NET *2461 0.00194695 +*CONN +*I *40590:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38426:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40590:A 0 +2 *38426:X 0.000973477 +3 *2461:20 0.000973477 +4 *2461:20 *3893:22 0 +5 la_data_in_mprj[82] *2461:20 0 +6 *496:10 *2461:20 0 +7 *2053:36 *2461:20 0 +8 *2115:49 *2461:20 0 +9 *2141:34 *2461:20 0 +*RES +1 *38426:X *2461:20 48.175 +2 *2461:20 *40590:A 9.3 +*END + +*D_NET *2462 0.01718 +*CONN +*I *38895:A I *D sky130_fd_sc_hd__buf_12 +*I *7024:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39654:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38895:A 0.000156152 +2 *7024:DIODE 0 +3 *39654:X 0.00128589 +4 *2462:43 0.0020569 +5 *2462:36 0.00391025 +6 *2462:30 0.0034925 +7 *2462:15 0.00323769 +8 *2462:8 0.00304059 +9 *38895:A *2470:39 0 +10 *38895:A *4898:28 0 +11 *38895:A *5031:25 0 +12 *2462:8 *4628:6 0 +13 *2462:15 *38908:A 0 +14 *2462:15 *4628:6 0 +15 *2462:15 *4912:29 0 +16 *2462:30 *7203:DIODE 0 +17 *2462:30 *38905:A 0 +18 *2462:30 *4908:18 0 +19 *2462:30 *4912:29 0 +20 *2462:36 *39157:A 0 +21 *2462:36 *39159:A 0 +22 *2462:36 *4618:33 0 +23 *2462:36 *4906:15 0 +24 *2462:36 *4909:39 0 +25 *2462:36 *4912:23 0 +26 *2462:36 *5032:25 0 +27 *2462:43 *39152:A 0 +28 *2462:43 *2470:19 0 +29 *2462:43 *2470:39 0 +30 *2462:43 *5031:25 0 +31 la_data_in_core[114] *2462:30 0 +32 la_oenb_core[104] *2462:43 0 +33 la_oenb_core[108] *2462:36 0 +34 la_oenb_core[109] *2462:36 0 +35 la_oenb_core[112] *2462:30 0 +36 la_oenb_core[115] *2462:15 0 +37 *268:7 *2462:43 0 +38 *269:7 *2462:36 0 +39 *278:10 *2462:15 0 +40 *1013:74 *2462:43 0 +41 *1585:6 *2462:8 0 +42 *1585:6 *2462:15 0 +*RES +1 *39654:X *2462:8 43.0589 +2 *2462:8 *2462:15 43.9375 +3 *2462:15 *2462:30 41.0357 +4 *2462:30 *2462:36 49.8832 +5 *2462:36 *2462:43 37.4487 +6 *2462:43 *7024:DIODE 9.3 +7 *2462:43 *38895:A 12.6214 +*END + +*D_NET *2463 0.0173559 +*CONN +*I *7023:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38893:A I *D sky130_fd_sc_hd__buf_12 +*I *39655:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *7023:DIODE 0 +2 *38893:A 0.000124066 +3 *39655:X 0 +4 *2463:14 0.000423674 +5 *2463:9 0.00624878 +6 *2463:8 0.00594917 +7 *2463:6 0.00230512 +8 *2463:5 0.00230512 +9 *38893:A *4609:43 0 +10 *38893:A *5031:27 0 +11 *2463:6 *2801:49 0 +12 *2463:6 *4622:6 0 +13 *2463:6 *4623:6 0 +14 *2463:6 *4732:10 0 +15 *2463:9 *3967:39 0 +16 *2463:14 *4745:30 0 +17 *2463:14 *4894:6 0 +18 la_oenb_core[101] *2463:14 0 +19 *273:12 *2463:9 0 +20 *383:17 *2463:6 0 +21 *385:8 *2463:9 0 +22 *1552:19 *2463:9 0 +23 *1863:48 *2463:6 0 +24 *2456:9 *2463:9 0 +*RES +1 *39655:X *2463:5 13.8 +2 *2463:5 *2463:6 52.3393 +3 *2463:6 *2463:8 4.5 +4 *2463:8 *2463:9 124.161 +5 *2463:9 *2463:14 15.8036 +6 *2463:14 *38893:A 11.8893 +7 *2463:14 *7023:DIODE 9.3 +*END + +*D_NET *2464 0.0186705 +*CONN +*I *39017:A I *D sky130_fd_sc_hd__buf_12 +*I *7119:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39656:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *39017:A 0.000143745 +2 *7119:DIODE 0 +3 *39656:X 0.00138246 +4 *2464:40 0.00198302 +5 *2464:27 0.0034641 +6 *2464:24 0.00267046 +7 *2464:13 0.00341464 +8 *2464:11 0.00329929 +9 *2464:8 0.00231274 +10 *39017:A *2470:47 0 +11 *39017:A *5017:17 0 +12 *2464:8 *2480:16 0 +13 *2464:8 *2717:30 0 +14 *2464:8 *3967:6 0 +15 *2464:8 *4718:6 0 +16 *2464:11 *5007:23 0 +17 *2464:13 *39006:A 0 +18 *2464:13 *39256:A 0 +19 *2464:13 *39258:A 0 +20 *2464:13 *2474:31 0 +21 *2464:13 *4734:45 0 +22 *2464:13 *5013:29 0 +23 *2464:13 *5016:41 0 +24 *2464:24 *39006:A 0 +25 *2464:24 *2718:12 0 +26 *2464:24 *5012:10 0 +27 *2464:24 *5013:28 0 +28 *2464:27 *39264:A 0 +29 *2464:27 *39267:A 0 +30 *2464:27 *5017:36 0 +31 *2464:40 *39013:A 0 +32 *2464:40 *39267:A 0 +33 *2464:40 *5017:17 0 +34 *2464:40 *5017:30 0 +35 la_data_in_core[95] *2464:40 0 +36 la_data_in_core[96] *2464:40 0 +37 la_data_in_core[97] *2464:40 0 +38 la_data_in_core[99] *39017:A 0 +39 la_oenb_core[82] *2464:8 0 +40 la_oenb_core[91] *2464:24 0 +41 la_oenb_core[92] *2464:27 0 +42 *1004:20 *39017:A 0 +43 *1004:20 *2464:13 0 +44 *1004:20 *2464:27 0 +45 *1004:20 *2464:40 0 +46 *1013:74 *2464:24 0 +47 *1013:74 *2464:40 0 +*RES +1 *39656:X *2464:8 49.6929 +2 *2464:8 *2464:11 19.4464 +3 *2464:11 *2464:13 49.4107 +4 *2464:13 *2464:24 20.3275 +5 *2464:24 *2464:27 33.9107 +6 *2464:27 *2464:40 41.3906 +7 *2464:40 *7119:DIODE 9.3 +8 *2464:40 *39017:A 12.3 +*END + +*D_NET *2465 0.0182853 +*CONN +*I *39016:A I *D sky130_fd_sc_hd__buf_12 +*I *7118:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39657:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *39016:A 0.000161285 +2 *7118:DIODE 2.56688e-05 +3 *39657:X 0.00116707 +4 *2465:34 0.000352853 +5 *2465:29 0.00330091 +6 *2465:28 0.00317391 +7 *2465:23 0.00264068 +8 *2465:14 0.00444882 +9 *2465:7 0.00301411 +10 *39016:A *5025:31 0 +11 *2465:7 *2474:5 0 +12 *2465:7 *2715:18 0 +13 *2465:7 *2717:30 0 +14 *2465:7 *3108:37 0 +15 *2465:7 *3277:33 0 +16 *2465:14 *2477:43 0 +17 *2465:14 *2478:32 0 +18 *2465:14 *2479:52 0 +19 *2465:14 *2958:34 0 +20 *2465:23 *5010:41 0 +21 *2465:29 *2471:9 0 +22 *2465:29 *2481:19 0 +23 *2465:29 *3762:38 0 +24 *2465:34 *2467:22 0 +25 *2465:34 *5025:31 0 +26 *359:8 *2465:14 0 +27 *369:19 *2465:14 0 +28 *369:26 *2465:14 0 +29 *370:9 *2465:14 0 +30 *1001:30 *2465:23 0 +31 *1024:118 *2465:14 0 +32 *1025:126 *2465:23 0 +33 *1547:64 *2465:28 0 +34 *1552:52 *7118:DIODE 0 +35 *1552:52 *39016:A 0 +36 *2450:14 *2465:23 0 +37 *2458:20 *2465:28 0 +*RES +1 *39657:X *2465:7 38.1571 +2 *2465:7 *2465:14 49.4821 +3 *2465:14 *2465:23 47.2874 +4 *2465:23 *2465:28 8.79393 +5 *2465:28 *2465:29 65.4286 +6 *2465:29 *2465:34 12.7679 +7 *2465:34 *7118:DIODE 9.83571 +8 *2465:34 *39016:A 12.7286 +*END + +*D_NET *2466 0.0190026 +*CONN +*I *39657:A I *D sky130_fd_sc_hd__buf_4 +*I *7629:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39658:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39657:A 0.00016665 +2 *7629:DIODE 0 +3 *39658:X 0.00155352 +4 *2466:25 0.00432499 +5 *2466:23 0.00582285 +6 *2466:19 0.00284153 +7 *2466:16 0.00195829 +8 *2466:8 0.00233478 +9 *39657:A *2474:5 0 +10 *39657:A *3770:12 0 +11 *2466:8 *2746:8 0 +12 *2466:8 *2961:36 0 +13 *2466:8 *3074:45 0 +14 *2466:8 *3665:27 0 +15 *2466:8 *4347:22 0 +16 *2466:8 *4347:38 0 +17 *2466:16 *39236:A 0 +18 *2466:16 *2726:23 0 +19 *2466:16 *3166:37 0 +20 *2466:19 *3655:37 0 +21 *2466:19 *3655:41 0 +22 *2466:23 *3655:41 0 +23 *2466:25 *2474:5 0 +24 *2466:25 *3655:41 0 +*RES +1 *39658:X *2466:8 48.8893 +2 *2466:8 *2466:16 30.0357 +3 *2466:16 *2466:19 24.5804 +4 *2466:19 *2466:23 34.8482 +5 *2466:23 *2466:25 86.7857 +6 *2466:25 *7629:DIODE 9.3 +7 *2466:25 *39657:A 21.925 +*END + +*D_NET *2467 0.0174823 +*CONN +*I *7116:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39014:A I *D sky130_fd_sc_hd__buf_12 +*I *39659:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *7116:DIODE 6.20329e-05 +2 *39014:A 0.000105242 +3 *39659:X 0 +4 *2467:24 0.000167275 +5 *2467:22 0.0015598 +6 *2467:9 0.00664307 +7 *2467:8 0.00701408 +8 *2467:5 0.00193081 +9 *7116:DIODE *2475:40 0 +10 *39014:A *2475:40 0 +11 *2467:8 *4900:18 0 +12 *2467:8 *5032:10 0 +13 *2467:9 *2471:9 0 +14 *2467:9 *4616:31 0 +15 *2467:22 *2470:61 0 +16 *2467:22 *2475:40 0 +17 *2467:22 *4895:28 0 +18 *2467:22 *5017:30 0 +19 *2467:22 *5025:31 0 +20 *38337:A *2467:8 0 +21 *272:9 *2467:8 0 +22 *287:17 *2467:8 0 +23 *369:28 *2467:22 0 +24 *384:8 *2467:9 0 +25 *386:12 *2467:22 0 +26 *1004:20 *7116:DIODE 0 +27 *1004:20 *39014:A 0 +28 *1014:107 *2467:8 0 +29 *1024:126 *2467:22 0 +30 *1573:14 *2467:8 0 +31 *2456:12 *2467:8 0 +32 *2459:30 *2467:22 0 +33 *2465:34 *2467:22 0 +*RES +1 *39659:X *2467:5 13.8 +2 *2467:5 *2467:8 48.3393 +3 *2467:8 *2467:9 106.089 +4 *2467:9 *2467:22 46.9464 +5 *2467:22 *2467:24 4.5 +6 *2467:24 *39014:A 11.4964 +7 *2467:24 *7116:DIODE 10.6571 +*END + +*D_NET *2468 0.0173131 +*CONN +*I *39013:A I *D sky130_fd_sc_hd__buf_12 +*I *7115:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39660:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *39013:A 0.000166932 +2 *7115:DIODE 0 +3 *39660:X 0 +4 *2468:30 0.000225921 +5 *2468:27 0.00370567 +6 *2468:25 0.00552178 +7 *2468:18 0.00277394 +8 *2468:6 0.00290886 +9 *2468:5 0.00201003 +10 *39013:A *2475:40 0 +11 *2468:6 *2980:44 0 +12 *2468:6 *4624:14 0 +13 *2468:6 *4903:6 0 +14 *2468:18 *39156:A 0 +15 *2468:18 *4609:18 0 +16 *2468:18 *4745:17 0 +17 *2468:18 *4745:19 0 +18 *2468:18 *4902:21 0 +19 *2468:25 *39151:A 0 +20 *2468:25 *39153:A 0 +21 *2468:25 *4897:27 0 +22 *2468:25 *4909:37 0 +23 *2468:25 *5031:25 0 +24 *2468:25 *5032:25 0 +25 *2468:25 *5032:38 0 +26 *2468:27 *38892:A 0 +27 *2468:27 *2475:51 0 +28 *2468:27 *4609:43 0 +29 *2468:27 *4731:23 0 +30 *2468:27 *5010:13 0 +31 *2468:27 *5025:31 0 +32 *2468:27 *5025:38 0 +33 *2468:27 *5031:25 0 +34 *2468:27 *5031:27 0 +35 *2468:30 *2475:40 0 +36 la_data_in_core[100] *2468:27 0 +37 la_data_in_core[101] *2468:27 0 +38 la_data_in_core[96] *39013:A 0 +39 la_data_in_core[98] *2468:27 0 +40 la_oenb_core[102] *2468:27 0 +41 la_oenb_core[108] *2468:18 0 +42 la_oenb_core[96] *39013:A 0 +43 la_oenb_core[96] *2468:27 0 +44 la_oenb_core[98] *2468:27 0 +45 *38899:A *2468:18 0 +46 *38899:A *2468:25 0 +47 *269:7 *2468:18 0 +48 *270:7 *2468:6 0 +49 *383:12 *39013:A 0 +50 *383:12 *2468:30 0 +51 *1425:12 *2468:6 0 +52 *1552:37 *2468:27 0 +53 *1552:52 *2468:27 0 +54 *2457:16 *2468:18 0 +55 *2458:37 *2468:25 0 +56 *2458:37 *2468:27 0 +57 *2464:40 *39013:A 0 +*RES +1 *39660:X *2468:5 13.8 +2 *2468:5 *2468:6 45.6607 +3 *2468:6 *2468:18 32.4464 +4 *2468:18 *2468:25 39.1964 +5 *2468:25 *2468:27 76.1071 +6 *2468:27 *2468:30 5.83929 +7 *2468:30 *7115:DIODE 13.8 +8 *2468:30 *39013:A 17.425 +*END + +*D_NET *2469 0.0178854 +*CONN +*I *7114:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39012:A I *D sky130_fd_sc_hd__buf_12 +*I *39661:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *7114:DIODE 0 +2 *39012:A 0.000124921 +3 *39661:X 0.00155168 +4 *2469:25 0.00143096 +5 *2469:21 0.00420298 +6 *2469:9 0.00596009 +7 *2469:7 0.00461482 +8 *39012:A *5010:28 0 +9 *2469:7 *3109:27 0 +10 *2469:7 *3413:133 0 +11 *2469:9 *40761:A 0 +12 *2469:9 *2989:27 0 +13 *2469:9 *3109:27 0 +14 *2469:9 *3109:37 0 +15 *2469:21 *2475:15 0 +16 *2469:21 *2871:54 0 +17 *2469:21 *3040:25 0 +18 *2469:21 *3047:68 0 +19 *2469:21 *3117:36 0 +20 *2469:21 *3295:33 0 +21 *2469:21 *3492:20 0 +22 *2469:25 *39269:A 0 +23 *2469:25 *4021:22 0 +24 *2469:25 *5010:28 0 +25 *2469:25 *5028:6 0 +26 *357:38 *2469:25 0 +27 *386:15 *2469:21 0 +28 *1009:127 *2469:21 0 +29 *1019:20 *2469:21 0 +30 *1428:28 *2469:25 0 +31 *2380:34 *2469:21 0 +32 *2400:39 *2469:25 0 +33 *2447:30 *2469:25 0 +34 *2458:30 *39012:A 0 +35 *2458:30 *2469:25 0 +*RES +1 *39661:X *2469:7 41.6839 +2 *2469:7 *2469:9 63.9911 +3 *2469:9 *2469:21 32.2748 +4 *2469:21 *2469:25 33.9732 +5 *2469:25 *39012:A 11.9071 +6 *2469:25 *7114:DIODE 9.3 +*END + +*D_NET *2470 0.0187783 +*CONN +*I *7113:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39011:A I *D sky130_fd_sc_hd__buf_12 +*I *39662:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *7113:DIODE 0 +2 *39011:A 0.000190833 +3 *39662:X 0 +4 *2470:61 0.00206059 +5 *2470:47 0.00370479 +6 *2470:39 0.00376147 +7 *2470:19 0.00383021 +8 *2470:8 0.0035671 +9 *2470:5 0.00166333 +10 *39011:A *5010:28 0 +11 *2470:8 *39155:A 0 +12 *2470:8 *4901:18 0 +13 *2470:8 *4907:8 0 +14 *2470:8 *4907:15 0 +15 *2470:8 *4912:8 0 +16 *2470:19 *38898:A 0 +17 *2470:19 *39154:A 0 +18 *2470:19 *4900:25 0 +19 *2470:39 *39152:A 0 +20 *2470:39 *4898:21 0 +21 *2470:39 *4898:28 0 +22 *2470:39 *5017:17 0 +23 *2470:47 *39273:A 0 +24 *2470:47 *2475:40 0 +25 *2470:47 *5017:17 0 +26 *2470:47 *5017:30 0 +27 *2470:61 *7250:DIODE 0 +28 la_data_in_core[102] *2470:39 0 +29 la_data_in_core[103] *2470:39 0 +30 la_data_in_core[106] *2470:19 0 +31 la_oenb_core[100] *2470:39 0 +32 la_oenb_core[100] *2470:47 0 +33 la_oenb_core[104] *2470:19 0 +34 la_oenb_core[104] *2470:39 0 +35 la_oenb_core[106] *2470:19 0 +36 la_oenb_core[107] *2470:19 0 +37 la_oenb_core[108] *2470:8 0 +38 la_oenb_core[99] *2470:47 0 +39 *38895:A *2470:39 0 +40 *39017:A *2470:47 0 +41 *286:17 *2470:8 0 +42 *364:11 *2470:8 0 +43 *384:7 *2470:61 0 +44 *1003:13 *39011:A 0 +45 *1004:20 *2470:47 0 +46 *2447:15 *2470:61 0 +47 *2459:19 *39011:A 0 +48 *2459:19 *2470:61 0 +49 *2459:30 *2470:61 0 +50 *2462:43 *2470:19 0 +51 *2462:43 *2470:39 0 +52 *2467:22 *2470:61 0 +*RES +1 *39662:X *2470:5 13.8 +2 *2470:5 *2470:8 42.2679 +3 *2470:8 *2470:19 39.8571 +4 *2470:19 *2470:39 40.6429 +5 *2470:39 *2470:47 47.3571 +6 *2470:47 *2470:61 48.5893 +7 *2470:61 *39011:A 22.5143 +8 *2470:61 *7113:DIODE 9.3 +*END + +*D_NET *2471 0.0177265 +*CONN +*I *7112:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39010:A I *D sky130_fd_sc_hd__buf_12 +*I *39663:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *7112:DIODE 0 +2 *39010:A 0.000287623 +3 *39663:X 0 +4 *2471:21 0.000881338 +5 *2471:9 0.00644449 +6 *2471:8 0.00585077 +7 *2471:6 0.00213116 +8 *2471:5 0.00213116 +9 *39010:A *6457:DIODE 0 +10 *39010:A *39264:A 0 +11 *39010:A *5024:40 0 +12 *2471:6 *2721:14 0 +13 *2471:6 *3514:48 0 +14 *2471:6 *4729:10 0 +15 *2471:6 *5018:18 0 +16 *2471:6 *5031:8 0 +17 *2471:9 *3762:38 0 +18 *2471:21 *6457:DIODE 0 +19 *2471:21 *5010:31 0 +20 *2471:21 *5016:25 0 +21 *2471:21 *5024:40 0 +22 *281:15 *2471:6 0 +23 *360:20 *2471:6 0 +24 *369:28 *2471:9 0 +25 *379:7 *39010:A 0 +26 *380:5 *2471:21 0 +27 *381:10 *2471:9 0 +28 *384:8 *2471:9 0 +29 *1547:64 *2471:21 0 +30 *1552:16 *2471:6 0 +31 *2458:23 *39010:A 0 +32 *2458:23 *2471:21 0 +33 *2465:29 *2471:9 0 +34 *2467:9 *2471:9 0 +*RES +1 *39663:X *2471:5 13.8 +2 *2471:5 *2471:6 48.3929 +3 *2471:6 *2471:8 4.5 +4 *2471:8 *2471:9 122.107 +5 *2471:9 *2471:21 30.7679 +6 *2471:21 *39010:A 24.7286 +7 *2471:21 *7112:DIODE 9.3 +*END + +*D_NET *2472 0.0192929 +*CONN +*I *8310:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40587:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38427:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8310:DIODE 0 +2 *40587:A 0.000209043 +3 *38427:X 0.00485685 +4 *2472:33 0.00478962 +5 *2472:21 0.00943743 +6 *40587:A *3436:41 0 +7 *40587:A *3511:85 0 +8 *2472:21 *3053:34 0 +9 *2472:21 *3140:60 0 +10 *2472:21 *3158:29 0 +11 *2472:21 *3248:46 0 +12 *2472:21 *3321:29 0 +13 *2472:21 *3442:24 0 +14 *2472:21 *3518:39 0 +15 *2472:21 *3525:17 0 +16 *2472:21 *3533:19 0 +17 *2472:21 *3771:17 0 +18 *2472:21 *4488:45 0 +19 *2472:21 *4500:13 0 +20 *2472:33 *2616:21 0 +21 *2472:33 *3053:34 0 +22 *2472:33 *3105:99 0 +23 *2472:33 *3121:56 0 +24 *2472:33 *3140:18 0 +25 *2472:33 *3154:76 0 +26 *2472:33 *3511:73 0 +27 *2472:33 *3511:85 0 +28 *2472:33 *3523:54 0 +29 *2472:33 *3525:17 0 +30 *2472:33 *3759:45 0 +31 *2472:33 *3784:59 0 +32 *2472:33 *3927:84 0 +33 *2472:33 *3977:119 0 +34 *2472:33 *4500:13 0 +35 *2394:52 *2472:21 0 +36 *2431:112 *2472:21 0 +*RES +1 *38427:X *2472:21 49.394 +2 *2472:21 *2472:33 38.9637 +3 *2472:33 *40587:A 22.7464 +4 *2472:33 *8310:DIODE 9.3 +*END + +*D_NET *2473 0.0180228 +*CONN +*I *7111:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39009:A I *D sky130_fd_sc_hd__buf_12 +*I *39664:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *7111:DIODE 0 +2 *39009:A 0.000157782 +3 *39664:X 0.00103462 +4 *2473:40 0.00184795 +5 *2473:35 0.00401833 +6 *2473:34 0.00452745 +7 *2473:21 0.00380066 +8 *2473:8 0.00263599 +9 *39009:A *5010:31 0 +10 *39009:A *5012:10 0 +11 *2473:8 *2813:28 0 +12 *2473:8 *2957:10 0 +13 *2473:8 *3010:38 0 +14 *2473:8 *3097:18 0 +15 *2473:8 *3728:24 0 +16 *2473:21 *2834:41 0 +17 *2473:21 *2997:20 0 +18 *2473:21 *2997:21 0 +19 *2473:21 *3418:39 0 +20 *2473:21 *3625:50 0 +21 *2473:21 *3967:40 0 +22 *2473:34 *2829:40 0 +23 *2473:34 *2980:41 0 +24 *2473:34 *4729:23 0 +25 *2473:34 *4895:20 0 +26 *2473:35 *2818:39 0 +27 *2473:35 *2954:14 0 +28 *2473:40 *3425:8 0 +29 *2473:40 *3664:18 0 +30 *2473:40 *5012:10 0 +31 *378:13 *39009:A 0 +32 *378:13 *2473:40 0 +33 *1016:212 *2473:35 0 +34 *1016:216 *2473:21 0 +35 *1016:216 *2473:34 0 +36 *1016:216 *2473:35 0 +37 *2382:18 *2473:8 0 +38 *2403:9 *2473:21 0 +39 *2446:8 *2473:8 0 +*RES +1 *39664:X *2473:8 41.8 +2 *2473:8 *2473:21 42.8571 +3 *2473:21 *2473:34 43.4544 +4 *2473:34 *2473:35 48.5893 +5 *2473:35 *2473:40 47.375 +6 *2473:40 *39009:A 21.8 +7 *2473:40 *7111:DIODE 9.3 +*END + +*D_NET *2474 0.0192834 +*CONN +*I *7108:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39006:A I *D sky130_fd_sc_hd__buf_12 +*I *39665:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *7108:DIODE 0 +2 *39006:A 0.000189364 +3 *39665:X 0 +4 *2474:31 0.0018749 +5 *2474:11 0.00442696 +6 *2474:10 0.00305442 +7 *2474:5 0.00502536 +8 *2474:4 0.00471236 +9 *39006:A *4734:45 0 +10 *2474:5 *2717:30 0 +11 *2474:5 *3277:25 0 +12 *2474:5 *3277:31 0 +13 *2474:5 *3277:33 0 +14 *2474:5 *3655:41 0 +15 *2474:10 *3704:10 0 +16 *2474:10 *4007:12 0 +17 *2474:11 *4007:13 0 +18 *2474:31 *3427:78 0 +19 *2474:31 *4331:37 0 +20 *2474:31 *5010:40 0 +21 *2474:31 *5024:28 0 +22 la_oenb_core[89] *39006:A 0 +23 *39657:A *2474:5 0 +24 *358:24 *2474:31 0 +25 *368:9 *2474:10 0 +26 *375:19 *39006:A 0 +27 *1002:8 *2474:31 0 +28 *1010:119 *2474:31 0 +29 *2464:13 *39006:A 0 +30 *2464:13 *2474:31 0 +31 *2464:24 *39006:A 0 +32 *2465:7 *2474:5 0 +33 *2466:25 *2474:5 0 +*RES +1 *39665:X *2474:4 9.3 +2 *2474:4 *2474:5 98.2857 +3 *2474:5 *2474:10 16.1071 +4 *2474:10 *2474:11 57.2143 +5 *2474:11 *2474:31 46.2012 +6 *2474:31 *39006:A 22.3357 +7 *2474:31 *7108:DIODE 9.3 +*END + +*D_NET *2475 0.0188899 +*CONN +*I *7107:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39004:A I *D sky130_fd_sc_hd__buf_12 +*I *39666:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *7107:DIODE 0 +2 *39004:A 0.000124066 +3 *39666:X 0.00032633 +4 *2475:66 0.000162999 +5 *2475:61 0.00207018 +6 *2475:51 0.00416731 +7 *2475:40 0.00338637 +8 *2475:25 0.00299185 +9 *2475:15 0.003538 +10 *2475:8 0.00212278 +11 *39004:A *5002:31 0 +12 *2475:15 *3117:21 0 +13 *2475:15 *3278:35 0 +14 *2475:25 *3108:30 0 +15 *2475:25 *3295:40 0 +16 *2475:25 *3768:47 0 +17 *2475:25 *4023:44 0 +18 *2475:40 *39271:A 0 +19 *2475:40 *5017:30 0 +20 *2475:51 *4731:23 0 +21 *2475:51 *5010:13 0 +22 *2475:51 *5010:28 0 +23 *2475:51 *5017:37 0 +24 *2475:61 *39265:A 0 +25 *2475:61 *5002:13 0 +26 *2475:61 *5014:20 0 +27 *2475:61 *5017:37 0 +28 *2475:66 *4721:9 0 +29 la_data_in_core[93] *2475:51 0 +30 la_data_in_core[94] *2475:51 0 +31 la_oenb_core[91] *2475:61 0 +32 la_oenb_core[95] *2475:51 0 +33 la_oenb_core[97] *2475:40 0 +34 *7116:DIODE *2475:40 0 +35 *38297:A *2475:61 0 +36 *39013:A *2475:40 0 +37 *39014:A *2475:40 0 +38 *375:23 *2475:8 0 +39 *383:12 *2475:40 0 +40 *384:7 *2475:40 0 +41 *385:7 *2475:40 0 +42 *386:12 *2475:25 0 +43 *1001:30 *2475:61 0 +44 *1004:20 *2475:40 0 +45 *1013:74 *2475:61 0 +46 *1424:36 *2475:8 0 +47 *1864:59 *2475:15 0 +48 *2380:17 *2475:15 0 +49 *2448:36 *2475:61 0 +50 *2448:54 *39004:A 0 +51 *2458:23 *2475:51 0 +52 *2458:30 *2475:51 0 +53 *2458:36 *2475:25 0 +54 *2459:30 *2475:25 0 +55 *2467:22 *2475:40 0 +56 *2468:27 *2475:51 0 +57 *2468:30 *2475:40 0 +58 *2469:21 *2475:15 0 +59 *2470:47 *2475:40 0 +*RES +1 *39666:X *2475:8 25.7107 +2 *2475:8 *2475:15 46.625 +3 *2475:15 *2475:25 47.875 +4 *2475:25 *2475:40 49.1071 +5 *2475:40 *2475:51 49.2679 +6 *2475:51 *2475:61 46.6687 +7 *2475:61 *2475:66 8.79393 +8 *2475:66 *39004:A 11.8893 +9 *2475:66 *7107:DIODE 9.3 +*END + +*D_NET *2476 0.0182041 +*CONN +*I *7106:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39003:A I *D sky130_fd_sc_hd__buf_12 +*I *39667:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *7106:DIODE 0 +2 *39003:A 0.000169023 +3 *39667:X 0 +4 *2476:18 0.000169023 +5 *2476:16 0.00209659 +6 *2476:11 0.00444015 +7 *2476:5 0.00683645 +8 *2476:4 0.00449289 +9 *39003:A *4720:36 0 +10 *39003:A *5012:22 0 +11 *2476:11 *3673:21 0 +12 *2476:16 *2477:33 0 +13 *2476:16 *2720:11 0 +14 *2476:16 *3673:11 0 +15 *2476:16 *3762:26 0 +16 *2476:16 *4331:21 0 +17 *357:26 *2476:11 0 +18 *357:26 *2476:16 0 +19 *359:19 *2476:16 0 +20 *375:19 *2476:16 0 +21 *379:10 *2476:5 0 +22 *379:10 *2476:11 0 +23 *379:12 *2476:5 0 +24 *1547:47 *2476:11 0 +25 *2450:27 *39003:A 0 +*RES +1 *39667:X *2476:4 9.3 +2 *2476:4 *2476:5 93.7679 +3 *2476:5 *2476:11 49.0357 +4 *2476:11 *2476:16 48.9821 +5 *2476:16 *2476:18 4.5 +6 *2476:18 *39003:A 22.0143 +7 *2476:18 *7106:DIODE 9.3 +*END + +*D_NET *2477 0.0181127 +*CONN +*I *7104:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39001:A I *D sky130_fd_sc_hd__buf_12 +*I *39668:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *7104:DIODE 0 +2 *39001:A 0.000204204 +3 *39668:X 0 +4 *2477:43 0.000828171 +5 *2477:33 0.00199655 +6 *2477:17 0.00318429 +7 *2477:5 0.00685562 +8 *2477:4 0.00504392 +9 *39001:A *4722:16 0 +10 *39001:A *5002:35 0 +11 *39001:A *5007:10 0 +12 *39001:A *5008:11 0 +13 *2477:5 *38303:A 0 +14 *2477:5 *2721:15 0 +15 *2477:5 *3686:22 0 +16 *2477:5 *3704:27 0 +17 *2477:5 *3704:35 0 +18 *2477:5 *3708:19 0 +19 *2477:5 *5012:10 0 +20 *2477:17 *3427:78 0 +21 *2477:17 *3701:30 0 +22 *2477:17 *3704:27 0 +23 *2477:17 *4331:37 0 +24 *2477:33 *3762:26 0 +25 *2477:33 *4331:21 0 +26 *2477:43 *5010:41 0 +27 la_oenb_core[84] *39001:A 0 +28 *360:14 *2477:33 0 +29 *371:7 *2477:43 0 +30 *372:13 *2477:17 0 +31 *372:13 *2477:33 0 +32 *1024:124 *2477:33 0 +33 *1028:46 *2477:17 0 +34 *1544:11 *2477:5 0 +35 *1546:14 *2477:33 0 +36 *2450:14 *2477:17 0 +37 *2465:14 *2477:43 0 +38 *2476:16 *2477:33 0 +*RES +1 *39668:X *2477:4 9.3 +2 *2477:4 *2477:5 105.268 +3 *2477:5 *2477:17 49.7261 +4 *2477:17 *2477:33 46.2939 +5 *2477:33 *2477:43 22.5 +6 *2477:43 *39001:A 22.8179 +7 *2477:43 *7104:DIODE 9.3 +*END + +*D_NET *2478 0.0179302 +*CONN +*I *7103:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39000:A I *D sky130_fd_sc_hd__buf_12 +*I *39669:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *7103:DIODE 0 +2 *39000:A 0.000104386 +3 *39669:X 0 +4 *2478:32 0.00161828 +5 *2478:26 0.00284224 +6 *2478:18 0.0027752 +7 *2478:5 0.00601846 +8 *2478:4 0.00457161 +9 *39000:A *4738:21 0 +10 *2478:5 *2817:33 0 +11 *2478:18 *2814:36 0 +12 *2478:18 *2905:28 0 +13 *2478:18 *3005:66 0 +14 *2478:18 *3021:19 0 +15 *2478:18 *3283:57 0 +16 *2478:18 *3429:22 0 +17 *2478:18 *3662:49 0 +18 *2478:18 *4245:40 0 +19 *2478:32 *2479:52 0 +20 *2478:32 *2720:11 0 +21 *2478:32 *3770:28 0 +22 *370:9 *2478:32 0 +23 *1016:201 *2478:32 0 +24 *1428:22 *2478:26 0 +25 *1544:20 *2478:26 0 +26 *1546:14 *2478:18 0 +27 *1546:14 *2478:26 0 +28 *2413:39 *2478:5 0 +29 *2429:14 *2478:18 0 +30 *2446:9 *2478:5 0 +31 *2448:59 *39000:A 0 +32 *2465:14 *2478:32 0 +*RES +1 *39669:X *2478:4 9.3 +2 *2478:4 *2478:5 95.4107 +3 *2478:5 *2478:18 44.3125 +4 *2478:18 *2478:26 34.7589 +5 *2478:26 *2478:32 41.2679 +6 *2478:32 *39000:A 11.4786 +7 *2478:32 *7103:DIODE 9.3 +*END + +*D_NET *2479 0.0178699 +*CONN +*I *7102:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38999:A I *D sky130_fd_sc_hd__buf_12 +*I *39670:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *7102:DIODE 0 +2 *38999:A 0.000144601 +3 *39670:X 0 +4 *2479:52 0.00099234 +5 *2479:49 0.00257724 +6 *2479:39 0.00425158 +7 *2479:26 0.0040152 +8 *2479:11 0.003691 +9 *2479:4 0.00219789 +10 *38999:A *4722:27 0 +11 *38999:A *5007:23 0 +12 *2479:11 *3117:36 0 +13 *2479:26 *3280:34 0 +14 *2479:26 *5013:13 0 +15 *2479:39 *3007:24 0 +16 *2479:39 *3007:29 0 +17 *2479:39 *3159:31 0 +18 *2479:39 *3278:21 0 +19 *2479:39 *3492:17 0 +20 *2479:49 *2717:33 0 +21 *2479:49 *3704:15 0 +22 *2479:49 *3768:30 0 +23 *2479:52 *39255:A 0 +24 *2479:52 *3770:28 0 +25 *2479:52 *4721:23 0 +26 *2479:52 *5006:11 0 +27 *2479:52 *5008:23 0 +28 *6419:DIODE *2479:49 0 +29 *38305:A *2479:26 0 +30 *361:25 *2479:39 0 +31 *361:25 *2479:49 0 +32 *369:19 *2479:52 0 +33 *1009:120 *2479:11 0 +34 *1009:120 *2479:26 0 +35 *1009:126 *2479:11 0 +36 *1428:22 *2479:49 0 +37 *1545:27 *2479:11 0 +38 *1545:39 *2479:26 0 +39 *1551:33 *2479:11 0 +40 *1551:33 *2479:26 0 +41 *2365:32 *2479:39 0 +42 *2367:16 *2479:49 0 +43 *2400:39 *2479:39 0 +44 *2465:14 *2479:52 0 +45 *2478:32 *2479:52 0 +*RES +1 *39670:X *2479:4 9.3 +2 *2479:4 *2479:11 46.1786 +3 *2479:11 *2479:26 40.7143 +4 *2479:26 *2479:39 44.4487 +5 *2479:39 *2479:49 45.9732 +6 *2479:49 *2479:52 23.75 +7 *2479:52 *38999:A 12.3179 +8 *2479:52 *7102:DIODE 9.3 +*END + +*D_NET *2480 0.0175421 +*CONN +*I *38998:A I *D sky130_fd_sc_hd__buf_12 +*I *7101:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39671:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38998:A 0.00020629 +2 *7101:DIODE 4.53482e-05 +3 *39671:X 2.0535e-05 +4 *2480:16 0.00132653 +5 *2480:15 0.00193965 +6 *2480:7 0.00742399 +7 *2480:5 0.00657976 +8 *7101:DIODE *5007:23 0 +9 *38998:A *4722:27 0 +10 *2480:7 *2954:17 0 +11 *2480:7 *2980:34 0 +12 *2480:7 *2989:19 0 +13 *2480:7 *2998:29 0 +14 *2480:7 *3001:49 0 +15 *2480:7 *3031:43 0 +16 *2480:7 *3109:39 0 +17 *2480:15 *4257:36 0 +18 *2480:15 *4718:6 0 +19 *2480:16 *3625:20 0 +20 *2480:16 *3704:10 0 +21 *2480:16 *3946:43 0 +22 *2480:16 *3967:6 0 +23 la_data_in_core[82] *38998:A 0 +24 la_oenb_core[82] *38998:A 0 +25 *39254:A *2480:16 0 +26 *368:9 *38998:A 0 +27 *368:9 *2480:16 0 +28 *1014:94 *2480:16 0 +29 *2464:8 *2480:16 0 +*RES +1 *39671:X *2480:5 9.72857 +2 *2480:5 *2480:7 136.893 +3 *2480:7 *2480:15 32.9107 +4 *2480:15 *2480:16 24.4107 +5 *2480:16 *7101:DIODE 14.7464 +6 *2480:16 *38998:A 18.2464 +*END + +*D_NET *2481 0.0179142 +*CONN +*I *38997:A I *D sky130_fd_sc_hd__buf_12 +*I *7100:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39672:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38997:A 0.000153561 +2 *7100:DIODE 0 +3 *39672:X 0.00145788 +4 *2481:24 0.000306029 +5 *2481:21 0.00450461 +6 *2481:19 0.00601206 +7 *2481:16 0.00284106 +8 *2481:8 0.00263903 +9 *38997:A *39251:A 0 +10 *38997:A *5002:39 0 +11 *38997:A *5006:31 0 +12 *2481:8 *2826:33 0 +13 *2481:16 *3770:31 0 +14 *2481:16 *3967:29 0 +15 *2481:16 *3967:35 0 +16 *2481:19 *3762:38 0 +17 *2481:21 *4331:21 0 +18 *2481:24 *39251:A 0 +19 la_oenb_core[80] *38997:A 0 +20 la_oenb_core[80] *2481:24 0 +21 *357:8 *2481:21 0 +22 *367:7 *2481:24 0 +23 *369:28 *2481:19 0 +24 *377:17 *2481:19 0 +25 *380:5 *2481:16 0 +26 *1002:26 *2481:8 0 +27 *1547:64 *2481:16 0 +28 *1547:76 *2481:19 0 +29 *1547:76 *2481:21 0 +30 *1572:21 *2481:8 0 +31 *2448:36 *2481:21 0 +32 *2465:29 *2481:19 0 +*RES +1 *39672:X *2481:8 46.4964 +2 *2481:8 *2481:16 38.3571 +3 *2481:16 *2481:19 34.6429 +4 *2481:19 *2481:21 90.8929 +5 *2481:21 *2481:24 7.96429 +6 *2481:24 *7100:DIODE 13.8 +7 *2481:24 *38997:A 17.1214 +*END + +*D_NET *2482 0.0185983 +*CONN +*I *38961:A I *D sky130_fd_sc_hd__buf_12 +*I *7079:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39673:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38961:A 0.000162141 +2 *7079:DIODE 0 +3 *39673:X 0.00110428 +4 *2482:35 0.00186524 +5 *2482:19 0.00789527 +6 *2482:18 0.00632964 +7 *2482:14 0.00124175 +8 *38961:A *39215:A 0 +9 *38961:A *3799:25 0 +10 *2482:14 *2519:9 0 +11 *2482:14 *2519:19 0 +12 *2482:14 *2555:36 0 +13 *2482:14 *2593:16 0 +14 *2482:14 *2667:7 0 +15 *2482:14 *4963:42 0 +16 *2482:14 *5040:10 0 +17 *2482:14 *5052:14 0 +18 *2482:18 *4953:18 0 +19 *2482:18 *5040:10 0 +20 *2482:19 *2539:25 0 +21 *2482:19 *2539:27 0 +22 *2482:19 *2737:29 0 +23 *2482:19 *2737:31 0 +24 *2482:19 *4674:7 0 +25 *2482:19 *5041:9 0 +26 *2482:35 *39215:A 0 +27 *2482:35 *2737:31 0 +28 *2482:35 *2934:24 0 +29 *2482:35 *3362:21 0 +30 *2482:35 *3693:8 0 +31 *2482:35 *3804:23 0 +32 *2482:35 *4971:35 0 +33 la_data_in_core[48] *38961:A 0 +34 *344:12 *2482:19 0 +35 *344:12 *2482:35 0 +36 *344:29 *2482:14 0 +37 *901:8 *2482:35 0 +38 *1008:93 *2482:35 0 +39 *1024:105 *2482:35 0 +40 *1028:46 *2482:35 0 +41 *1511:27 *2482:35 0 +*RES +1 *39673:X *2482:14 46.9339 +2 *2482:14 *2482:18 7.8125 +3 *2482:18 *2482:19 129.295 +4 *2482:19 *2482:35 48.4895 +5 *2482:35 *7079:DIODE 9.3 +6 *2482:35 *38961:A 12.8714 +*END + +*D_NET *2483 0.00258775 +*CONN +*I *40585:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38428:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40585:A 0 +2 *38428:X 0.00129387 +3 *2483:19 0.00129387 +4 *2483:19 *3320:63 0 +5 *2483:19 *3442:39 0 +6 *2483:19 *4488:74 0 +7 *2483:19 *4872:19 0 +8 la_data_in_mprj[84] *2483:19 0 +9 *6564:DIODE *2483:19 0 +10 *2040:25 *2483:19 0 +11 *2407:41 *2483:19 0 +*RES +1 *38428:X *2483:19 45.7107 +2 *2483:19 *40585:A 9.3 +*END + +*D_NET *2484 0.0193955 +*CONN +*I *38953:A I *D sky130_fd_sc_hd__buf_12 +*I *7075:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39674:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *38953:A 0.000129183 +2 *7075:DIODE 0.000210834 +3 *39674:X 0.00133059 +4 *2484:53 0.000340016 +5 *2484:51 0.00289587 +6 *2484:49 0.00425032 +7 *2484:46 0.00228741 +8 *2484:32 0.0037768 +9 *2484:17 0.00417444 +10 *7075:DIODE *2575:14 0 +11 *7075:DIODE *2711:10 0 +12 *7075:DIODE *4687:19 0 +13 *7075:DIODE *4956:15 0 +14 *38953:A *4956:15 0 +15 *38953:A *4963:57 0 +16 *38953:A *4963:59 0 +17 *38953:A *4965:31 0 +18 *2484:17 *7641:DIODE 0 +19 *2484:17 *2765:47 0 +20 *2484:17 *4939:14 0 +21 *2484:32 *2486:44 0 +22 *2484:32 *2664:11 0 +23 *2484:32 *2680:20 0 +24 *2484:32 *4673:23 0 +25 *2484:32 *4946:10 0 +26 *2484:46 *2485:41 0 +27 *2484:46 *2664:11 0 +28 *2484:46 *2758:73 0 +29 *2484:46 *4673:37 0 +30 *2484:49 *39200:A 0 +31 *2484:49 *39201:A 0 +32 *2484:49 *4951:43 0 +33 *2484:51 *38947:A 0 +34 *2484:51 *38948:A 0 +35 *2484:51 *38950:A 0 +36 *2484:51 *4963:57 0 +37 *2484:51 *5049:18 0 +38 la_data_in_core[35] *2484:51 0 +39 la_data_in_core[36] *2484:51 0 +40 la_data_in_core[38] *2484:51 0 +41 la_oenb_core[32] *2484:49 0 +42 la_oenb_core[33] *2484:51 0 +43 la_oenb_core[39] *2484:51 0 +44 *311:13 *2484:46 0 +45 *312:35 *2484:17 0 +46 *1001:22 *2484:17 0 +47 *1011:171 *2484:32 0 +48 *1015:74 *2484:32 0 +49 *1025:72 *2484:17 0 +50 *2451:37 *2484:49 0 +51 *2451:37 *2484:51 0 +52 *2452:49 *2484:49 0 +53 *2452:49 *2484:51 0 +54 *2452:55 *2484:51 0 +55 *2453:31 *2484:17 0 +*RES +1 *39674:X *2484:17 47.9745 +2 *2484:17 *2484:32 47.1488 +3 *2484:32 *2484:46 35.6425 +4 *2484:46 *2484:49 28.2679 +5 *2484:49 *2484:51 60.5 +6 *2484:51 *2484:53 4.5 +7 *2484:53 *7075:DIODE 18.5679 +8 *2484:53 *38953:A 16.7107 +*END + +*D_NET *2485 0.0196986 +*CONN +*I *38946:A I *D sky130_fd_sc_hd__buf_12 +*I *7068:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39675:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38946:A 0.000141606 +2 *7068:DIODE 0 +3 *39675:X 5.89306e-05 +4 *2485:53 0.00185057 +5 *2485:41 0.00389638 +6 *2485:39 0.00318763 +7 *2485:36 0.00348331 +8 *2485:19 0.00475215 +9 *2485:8 0.00232799 +10 *38946:A *4955:17 0 +11 *2485:19 *39705:A 0 +12 *2485:19 *39707:A 0 +13 *2485:19 *2496:54 0 +14 *2485:19 *2519:47 0 +15 *2485:19 *2521:58 0 +16 *2485:19 *2651:5 0 +17 *2485:19 *4647:19 0 +18 *2485:36 *39187:A 0 +19 *2485:36 *2486:44 0 +20 *2485:36 *2647:13 0 +21 *2485:36 *2757:24 0 +22 *2485:36 *2758:32 0 +23 *2485:39 *39191:A 0 +24 *2485:39 *39192:A 0 +25 *2485:39 *2490:39 0 +26 *2485:39 *2493:60 0 +27 *2485:41 *2490:39 0 +28 *2485:41 *2493:60 0 +29 *2485:41 *2640:16 0 +30 *2485:41 *2758:73 0 +31 *2485:41 *4665:64 0 +32 *2485:41 *4943:15 0 +33 *2485:53 *4670:51 0 +34 *2485:53 *4673:37 0 +35 *2485:53 *4955:17 0 +36 la_data_in_core[28] *2485:41 0 +37 la_data_in_core[29] *2485:41 0 +38 la_data_in_core[34] *38946:A 0 +39 la_oenb_core[20] *2485:36 0 +40 la_oenb_core[24] *2485:39 0 +41 la_oenb_core[25] *2485:39 0 +42 la_oenb_core[26] *2485:41 0 +43 la_oenb_core[30] *2485:53 0 +44 *311:13 *2485:53 0 +45 *1004:20 *38946:A 0 +46 *1005:76 *2485:19 0 +47 *1013:50 *2485:19 0 +48 *1023:61 *2485:19 0 +49 *1023:76 *2485:19 0 +50 *1024:63 *2485:19 0 +51 *1031:28 *2485:19 0 +52 *2451:34 *2485:41 0 +53 *2484:46 *2485:41 0 +*RES +1 *39675:X *2485:8 19.6393 +2 *2485:8 *2485:19 49.3518 +3 *2485:19 *2485:36 47.0366 +4 *2485:36 *2485:39 20.875 +5 *2485:39 *2485:41 45.7143 +6 *2485:41 *2485:53 25.4691 +7 *2485:53 *7068:DIODE 9.3 +8 *2485:53 *38946:A 12.3179 +*END + +*D_NET *2486 0.0189488 +*CONN +*I *38945:A I *D sky130_fd_sc_hd__buf_12 +*I *7067:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39676:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *38945:A 0.000101392 +2 *7067:DIODE 0 +3 *39676:X 0.000844668 +4 *2486:49 0.00154783 +5 *2486:44 0.00690536 +6 *2486:31 0.00708191 +7 *2486:18 0.00246766 +8 *38945:A *4955:17 0 +9 *2486:18 *39766:A 0 +10 *2486:18 *39803:A 0 +11 *2486:18 *2578:18 0 +12 *2486:18 *2587:37 0 +13 *2486:18 *2653:11 0 +14 *2486:18 *2777:31 0 +15 *2486:18 *2888:36 0 +16 *2486:18 *2902:40 0 +17 *2486:31 *2601:29 0 +18 *2486:31 *2613:33 0 +19 *2486:31 *2692:20 0 +20 *2486:31 *5056:31 0 +21 *2486:44 *2664:11 0 +22 *2486:44 *2664:17 0 +23 *2486:44 *2757:24 0 +24 *2486:44 *2758:32 0 +25 *2486:44 *4655:19 0 +26 *2486:44 *4658:28 0 +27 *2486:44 *4673:23 0 +28 *2486:44 *4942:26 0 +29 *2486:44 *4966:26 0 +30 *2486:49 *38944:A 0 +31 *2486:49 *39198:A 0 +32 *2486:49 *4670:51 0 +33 *2486:49 *4955:17 0 +34 *298:11 *2486:31 0 +35 *300:7 *2486:44 0 +36 *1004:20 *38945:A 0 +37 *1004:20 *2486:49 0 +38 *2484:32 *2486:44 0 +39 *2485:36 *2486:44 0 +*RES +1 *39676:X *2486:18 45.4964 +2 *2486:18 *2486:31 48.0714 +3 *2486:31 *2486:44 48.6754 +4 *2486:44 *2486:49 30.25 +5 *2486:49 *7067:DIODE 9.3 +6 *2486:49 *38945:A 11.4786 +*END + +*D_NET *2487 0.0192245 +*CONN +*I *39676:A I *D sky130_fd_sc_hd__buf_4 +*I *7643:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39677:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39676:A 0.000121071 +2 *7643:DIODE 0 +3 *39677:X 8.57316e-05 +4 *2487:37 0.000560007 +5 *2487:36 0.00198757 +6 *2487:30 0.00271712 +7 *2487:27 0.00253086 +8 *2487:23 0.00287825 +9 *2487:9 0.00488704 +10 *2487:8 0.0034569 +11 *39676:A *2578:18 0 +12 *39676:A *2653:11 0 +13 *2487:8 *4960:10 0 +14 *2487:9 *2777:5 0 +15 *2487:9 *2778:27 0 +16 *2487:23 *2770:28 0 +17 *2487:23 *2770:38 0 +18 *2487:23 *2784:23 0 +19 *2487:23 *3597:21 0 +20 *2487:23 *4620:26 0 +21 *2487:27 *2765:24 0 +22 *2487:27 *2770:38 0 +23 *2487:27 *2869:33 0 +24 *2487:30 *2503:16 0 +25 *2487:30 *2770:47 0 +26 *2487:36 *37913:A 0 +27 *2487:36 *2578:18 0 +28 *2487:36 *2702:34 0 +29 *2487:36 *2707:37 0 +30 *2487:36 *5055:31 0 +31 *2487:36 *5058:48 0 +32 *2487:37 *2578:18 0 +33 *2487:37 *2653:11 0 +34 *40025:A *2487:23 0 +35 *294:25 *2487:37 0 +36 *300:10 *2487:23 0 +37 *310:24 *2487:9 0 +38 *331:11 *2487:30 0 +39 *336:73 *2487:30 0 +40 *1279:37 *2487:23 0 +41 *1279:37 *2487:27 0 +42 *1279:41 *2487:23 0 +43 *1370:38 *2487:30 0 +44 *1374:8 *2487:23 0 +45 *1499:26 *2487:23 0 +46 *1501:47 *2487:27 0 +47 *2455:20 *2487:8 0 +*RES +1 *39677:X *2487:8 20.2464 +2 *2487:8 *2487:9 70.3571 +3 *2487:9 *2487:23 45.4958 +4 *2487:23 *2487:27 32.9643 +5 *2487:27 *2487:30 31.0357 +6 *2487:30 *2487:36 41.5536 +7 *2487:36 *2487:37 9.16071 +8 *2487:37 *7643:DIODE 9.3 +9 *2487:37 *39676:A 11.8893 +*END + +*D_NET *2488 0.018709 +*CONN +*I *38944:A I *D sky130_fd_sc_hd__buf_12 +*I *7066:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39678:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *38944:A 0.000228452 +2 *7066:DIODE 5.21861e-05 +3 *39678:X 2.0535e-05 +4 *2488:29 0.00533714 +5 *2488:22 0.00700068 +6 *2488:9 0.00399683 +7 *2488:5 0.00207318 +8 *7066:DIODE *38199:A 0 +9 *38944:A *38199:A 0 +10 *38944:A *4950:10 0 +11 *2488:9 *5055:29 0 +12 *2488:22 *2491:13 0 +13 *2488:22 *2496:54 0 +14 *2488:22 *2569:14 0 +15 *2488:22 *2667:32 0 +16 *2488:22 *2692:20 0 +17 *2488:22 *2902:40 0 +18 *2488:22 *4657:8 0 +19 *2488:22 *4677:20 0 +20 *2488:29 *2491:13 0 +21 *2488:29 *2496:54 0 +22 *2488:29 *2575:41 0 +23 *2488:29 *2664:11 0 +24 *2488:29 *5049:32 0 +25 la_oenb_core[31] *38944:A 0 +26 *298:11 *2488:22 0 +27 *341:29 *2488:22 0 +28 *1001:22 *2488:29 0 +29 *1001:28 *2488:29 0 +30 *1004:20 *38944:A 0 +31 *1017:84 *2488:22 0 +32 *2452:40 *2488:29 0 +33 *2486:49 *38944:A 0 +*RES +1 *39678:X *2488:5 9.72857 +2 *2488:5 *2488:9 47.3393 +3 *2488:9 *2488:22 41.4505 +4 *2488:22 *2488:29 21.7463 +5 *2488:29 *7066:DIODE 14.9875 +6 *2488:29 *38944:A 18.9696 +*END + +*D_NET *2489 0.0189611 +*CONN +*I *7644:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39678:A I *D sky130_fd_sc_hd__buf_4 +*I *39679:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7644:DIODE 4.23535e-05 +2 *39678:A 8.57119e-05 +3 *39679:X 0.00046006 +4 *2489:33 0.00115514 +5 *2489:25 0.00294197 +6 *2489:20 0.0040005 +7 *2489:15 0.00305461 +8 *2489:9 0.00386486 +9 *2489:8 0.00335593 +10 *7644:DIODE *2537:19 0 +11 *7644:DIODE *2759:15 0 +12 *39678:A *2900:34 0 +13 *2489:8 *2499:24 0 +14 *2489:9 *2773:21 0 +15 *2489:9 *2891:9 0 +16 *2489:9 *2903:9 0 +17 *2489:15 *2773:21 0 +18 *2489:15 *2894:11 0 +19 *2489:15 *2903:9 0 +20 *2489:20 *39922:A 0 +21 *2489:20 *2768:28 0 +22 *2489:20 *2779:23 0 +23 *2489:20 *2874:11 0 +24 *2489:20 *2894:11 0 +25 *2489:20 *2894:15 0 +26 *2489:20 *2903:9 0 +27 *2489:25 *2769:13 0 +28 *2489:33 *2537:19 0 +29 *2489:33 *2702:30 0 +30 *2489:33 *2757:14 0 +31 *2489:33 *2759:15 0 +32 *2489:33 *2779:26 0 +33 *2489:33 *2888:18 0 +34 *2489:33 *2900:32 0 +35 *40043:A *2489:9 0 +36 *294:25 *2489:33 0 +37 *325:53 *2489:8 0 +38 *329:17 *2489:8 0 +39 *376:14 *2489:15 0 +40 *376:14 *2489:20 0 +41 *1902:43 *2489:33 0 +42 *1926:56 *2489:33 0 +*RES +1 *39679:X *2489:8 28.7464 +2 *2489:8 *2489:9 60.5 +3 *2489:9 *2489:15 20.2857 +4 *2489:15 *2489:20 48.1607 +5 *2489:20 *2489:25 48.9643 +6 *2489:25 *2489:33 27.4464 +7 *2489:33 *39678:A 20.2464 +8 *2489:33 *7644:DIODE 10.2464 +*END + +*D_NET *2490 0.0184267 +*CONN +*I *7065:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38943:A I *D sky130_fd_sc_hd__buf_12 +*I *39680:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *7065:DIODE 0 +2 *38943:A 0.000175292 +3 *39680:X 0.00092067 +4 *2490:39 0.00563098 +5 *2490:38 0.00545569 +6 *2490:36 0.00266172 +7 *2490:14 0.00358239 +8 *38943:A *4951:43 0 +9 *2490:14 *2651:5 0 +10 *2490:14 *4934:10 0 +11 *2490:36 *39184:A 0 +12 *2490:36 *2491:13 0 +13 *2490:36 *2492:74 0 +14 *2490:36 *2493:46 0 +15 *2490:36 *2496:54 0 +16 *2490:36 *2574:16 0 +17 *2490:36 *2624:16 0 +18 *2490:36 *2658:14 0 +19 *2490:36 *2680:25 0 +20 *2490:36 *2894:50 0 +21 *2490:36 *4647:19 0 +22 *2490:36 *4933:77 0 +23 *2490:39 *39192:A 0 +24 *2490:39 *2493:51 0 +25 *2490:39 *4658:49 0 +26 *2490:39 *4946:24 0 +27 la_oenb_core[18] *2490:36 0 +28 la_oenb_core[25] *2490:39 0 +29 *298:11 *2490:36 0 +30 *335:13 *2490:14 0 +31 *901:8 *2490:36 0 +32 *1001:22 *2490:36 0 +33 *1011:144 *2490:36 0 +34 *1015:48 *2490:36 0 +35 *1017:84 *2490:36 0 +36 *1026:44 *2490:36 0 +37 *1513:31 *2490:36 0 +38 *2451:37 *38943:A 0 +39 *2453:11 *2490:36 0 +40 *2485:39 *2490:39 0 +41 *2485:41 *2490:39 0 +*RES +1 *39680:X *2490:14 45.9511 +2 *2490:14 *2490:36 48.4905 +3 *2490:36 *2490:38 4.5 +4 *2490:38 *2490:39 113.893 +5 *2490:39 *38943:A 22.05 +6 *2490:39 *7065:DIODE 9.3 +*END + +*D_NET *2491 0.019159 +*CONN +*I *38940:A I *D sky130_fd_sc_hd__buf_12 +*I *7062:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39681:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38940:A 1.21519e-05 +2 *7062:DIODE 0.000110984 +3 *39681:X 5.12113e-05 +4 *2491:13 0.00952827 +5 *2491:10 0.00945635 +6 *7062:DIODE *2575:28 0 +7 *7062:DIODE *2758:73 0 +8 *2491:10 *2518:37 0 +9 *2491:13 *39836:A 0 +10 *2491:13 *2496:54 0 +11 *2491:13 *2500:37 0 +12 *2491:13 *2575:41 0 +13 *2491:13 *2633:20 0 +14 *2491:13 *2640:39 0 +15 *2491:13 *2647:26 0 +16 *2491:13 *2651:20 0 +17 *2491:13 *2664:11 0 +18 *2491:13 *2664:17 0 +19 *2491:13 *2680:42 0 +20 *2491:13 *2711:36 0 +21 *2491:13 *2780:27 0 +22 *2491:13 *2894:50 0 +23 *2491:13 *4656:17 0 +24 *2491:13 *4658:49 0 +25 *2491:13 *4933:35 0 +26 *2491:13 *4934:29 0 +27 *387:5 *2491:10 0 +28 *1011:144 *2491:13 0 +29 *2452:40 *2491:13 0 +30 *2488:22 *2491:13 0 +31 *2488:29 *2491:13 0 +32 *2490:36 *2491:13 0 +*RES +1 *39681:X *2491:10 18.3261 +2 *2491:10 *2491:13 37.4725 +3 *2491:13 *7062:DIODE 16.2375 +4 *2491:13 *38940:A 14.0768 +*END + +*D_NET *2492 0.0191962 +*CONN +*I *38939:A I *D sky130_fd_sc_hd__buf_12 +*I *7061:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39682:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38939:A 0.000108366 +2 *7061:DIODE 0 +3 *39682:X 0.00234448 +4 *2492:85 0.00183267 +5 *2492:77 0.00444455 +6 *2492:76 0.00272025 +7 *2492:74 0.00149321 +8 *2492:47 0.00270071 +9 *2492:22 0.00355198 +10 *2492:22 *2523:13 0 +11 *2492:22 *2531:50 0 +12 *2492:22 *2536:9 0 +13 *2492:22 *2551:42 0 +14 *2492:22 *2551:49 0 +15 *2492:22 *2655:11 0 +16 *2492:22 *2676:25 0 +17 *2492:22 *2687:11 0 +18 *2492:22 *2757:14 0 +19 *2492:22 *4669:35 0 +20 *2492:47 *38926:A 0 +21 *2492:47 *2526:13 0 +22 *2492:47 *2710:25 0 +23 *2492:47 *2757:14 0 +24 *2492:74 *39184:A 0 +25 *2492:74 *2647:26 0 +26 *2492:74 *2680:25 0 +27 *2492:74 *2710:24 0 +28 *2492:74 *2710:25 0 +29 *2492:74 *4647:19 0 +30 *2492:74 *4656:27 0 +31 *2492:74 *4665:30 0 +32 *2492:74 *4933:77 0 +33 *2492:77 *2640:23 0 +34 *2492:77 *4658:49 0 +35 *2492:85 *38936:A 0 +36 *2492:85 *38937:A 0 +37 *2492:85 *38938:A 0 +38 *2492:85 *39196:A 0 +39 *2492:85 *2496:55 0 +40 *2492:85 *4670:37 0 +41 *2492:85 *4955:16 0 +42 la_data_in_core[26] *2492:85 0 +43 la_data_in_core[27] *2492:85 0 +44 la_oenb_core[17] *2492:74 0 +45 *333:53 *2492:22 0 +46 *1001:22 *2492:47 0 +47 *1007:93 *2492:47 0 +48 *1007:93 *2492:74 0 +49 *1016:137 *2492:22 0 +50 *1017:64 *2492:47 0 +51 *1023:61 *2492:47 0 +52 *1025:62 *2492:47 0 +53 *1026:44 *2492:47 0 +54 *2490:36 *2492:74 0 +*RES +1 *39682:X *2492:22 46.5216 +2 *2492:22 *2492:47 49.6279 +3 *2492:47 *2492:74 49.9643 +4 *2492:74 *2492:76 4.5 +5 *2492:76 *2492:77 56.8036 +6 *2492:77 *2492:85 36.0179 +7 *2492:85 *7061:DIODE 9.3 +8 *2492:85 *38939:A 20.7821 +*END + +*D_NET *2493 0.019654 +*CONN +*I *38938:A I *D sky130_fd_sc_hd__buf_12 +*I *7060:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39683:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *38938:A 0.000170324 +2 *7060:DIODE 0 +3 *39683:X 0.00124932 +4 *2493:60 0.0017512 +5 *2493:51 0.00385385 +6 *2493:46 0.00342479 +7 *2493:32 0.00455353 +8 *2493:14 0.00465103 +9 *38938:A *4670:37 0 +10 *2493:14 *39851:A 0 +11 *2493:14 *2604:9 0 +12 *2493:14 *2624:17 0 +13 *2493:14 *2633:20 0 +14 *2493:14 *2675:7 0 +15 *2493:14 *4632:20 0 +16 *2493:32 *39836:A 0 +17 *2493:32 *2500:37 0 +18 *2493:32 *2526:13 0 +19 *2493:32 *2647:26 0 +20 *2493:32 *2647:33 0 +21 *2493:32 *2710:25 0 +22 *2493:32 *2757:24 0 +23 *2493:32 *2780:27 0 +24 *2493:32 *2894:50 0 +25 *2493:32 *4665:14 0 +26 *2493:32 *4933:61 0 +27 *2493:46 *38928:A 0 +28 *2493:46 *38929:A 0 +29 *2493:46 *2497:41 0 +30 *2493:46 *2640:23 0 +31 *2493:51 *39192:A 0 +32 *2493:51 *2575:41 0 +33 *2493:51 *2758:32 0 +34 *2493:51 *4647:19 0 +35 *2493:51 *4655:19 0 +36 *2493:51 *4655:21 0 +37 *2493:51 *4657:11 0 +38 *2493:51 *4658:49 0 +39 *2493:51 *4934:35 0 +40 *2493:60 *39191:A 0 +41 *2493:60 *39192:A 0 +42 *2493:60 *4657:11 0 +43 *2493:60 *4665:64 0 +44 *2493:60 *4946:24 0 +45 *2493:60 *4955:10 0 +46 la_data_in_core[17] *2493:32 0 +47 la_data_in_core[21] *2493:51 0 +48 la_data_in_core[22] *2493:51 0 +49 la_data_in_core[27] *38938:A 0 +50 la_oenb_core[13] *2493:32 0 +51 la_oenb_core[16] *2493:32 0 +52 la_oenb_core[18] *2493:46 0 +53 la_oenb_core[19] *2493:51 0 +54 *271:27 *2493:14 0 +55 *298:11 *2493:46 0 +56 *1001:22 *2493:32 0 +57 *2485:39 *2493:60 0 +58 *2485:41 *2493:60 0 +59 *2490:36 *2493:46 0 +60 *2490:39 *2493:51 0 +61 *2492:85 *38938:A 0 +*RES +1 *39683:X *2493:14 44.0404 +2 *2493:14 *2493:32 47.1888 +3 *2493:32 *2493:46 33.5357 +4 *2493:46 *2493:51 47.5 +5 *2493:51 *2493:60 46.7857 +6 *2493:60 *7060:DIODE 13.8 +7 *2493:60 *38938:A 17.675 +*END + +*D_NET *2494 0.00145767 +*CONN +*I *40582:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38429:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40582:A 0.000728834 +2 *38429:X 0.000728834 +3 *40582:A *37636:B 0 +4 *40582:A *3442:39 0 +5 *40582:A *3849:46 0 +6 *40582:A *4495:36 0 +7 *40582:A *4869:18 0 +8 *40582:A *5159:41 0 +9 *38571:A *40582:A 0 +*RES +1 *38429:X *40582:A 43.2964 +*END + +*D_NET *2495 0.0185547 +*CONN +*I *39683:A I *D sky130_fd_sc_hd__buf_4 +*I *7647:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39684:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39683:A 0.000161596 +2 *7647:DIODE 0 +3 *39684:X 0 +4 *2495:17 0.00134056 +5 *2495:5 0.00911575 +6 *2495:4 0.00793679 +7 *39683:A *2675:9 0 +8 *39683:A *2687:24 0 +9 *2495:5 *2615:5 0 +10 *2495:5 *2615:12 0 +11 *2495:17 *2506:32 0 +12 *2495:17 *2515:50 0 +13 *2495:17 *2551:54 0 +14 *2495:17 *2586:21 0 +15 *2495:17 *2612:16 0 +16 *2495:17 *2623:57 0 +17 *2495:17 *2630:24 0 +18 *2495:17 *2675:9 0 +19 *2495:17 *2687:24 0 +20 *2495:17 *2780:27 0 +21 *2495:17 *2909:31 0 +22 *2495:17 *5046:25 0 +23 *376:9 *2495:17 0 +*RES +1 *39684:X *2495:4 9.3 +2 *2495:4 *2495:5 165.643 +3 *2495:5 *2495:17 43.4107 +4 *2495:17 *7647:DIODE 9.3 +5 *2495:17 *39683:A 21.8714 +*END + +*D_NET *2496 0.0191007 +*CONN +*I *38937:A I *D sky130_fd_sc_hd__buf_12 +*I *7059:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39685:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38937:A 0.00016665 +2 *7059:DIODE 0 +3 *39685:X 0.0024409 +4 *2496:55 0.000703984 +5 *2496:54 0.00378065 +6 *2496:23 0.00543372 +7 *2496:22 0.00316214 +8 *2496:16 0.00341264 +9 *2496:16 *39734:A 0 +10 *2496:16 *2504:39 0 +11 *2496:16 *2515:50 0 +12 *2496:16 *2533:19 0 +13 *2496:16 *2547:47 0 +14 *2496:16 *2604:20 0 +15 *2496:16 *2606:42 0 +16 *2496:16 *2634:17 0 +17 *2496:16 *2635:7 0 +18 *2496:16 *2687:24 0 +19 *2496:16 *2775:30 0 +20 *2496:22 *2515:24 0 +21 *2496:22 *2606:38 0 +22 *2496:22 *2606:42 0 +23 *2496:22 *2757:14 0 +24 *2496:22 *4669:56 0 +25 *2496:23 *39713:A 0 +26 *2496:23 *39801:A 0 +27 *2496:23 *2515:24 0 +28 *2496:23 *2519:47 0 +29 *2496:23 *2523:13 0 +30 *2496:23 *2526:13 0 +31 *2496:23 *2551:42 0 +32 *2496:23 *2606:38 0 +33 *2496:23 *2624:16 0 +34 *2496:23 *2624:17 0 +35 *2496:23 *2625:35 0 +36 *2496:23 *2625:41 0 +37 *2496:23 *2667:44 0 +38 *2496:23 *2777:31 0 +39 *2496:54 *5840:DIODE 0 +40 *2496:54 *39191:A 0 +41 *2496:54 *39192:A 0 +42 *2496:54 *2519:47 0 +43 *2496:54 *2521:58 0 +44 *2496:54 *2575:41 0 +45 *2496:54 *2894:50 0 +46 *2496:54 *4647:19 0 +47 *2496:54 *4658:49 0 +48 la_oenb_core[24] *2496:54 0 +49 la_oenb_core[25] *38937:A 0 +50 *298:11 *2496:54 0 +51 *333:53 *2496:16 0 +52 *1001:22 *2496:54 0 +53 *1002:8 *2496:16 0 +54 *1016:137 *2496:22 0 +55 *1028:32 *2496:23 0 +56 *2485:19 *2496:54 0 +57 *2488:22 *2496:54 0 +58 *2488:29 *2496:54 0 +59 *2490:36 *2496:54 0 +60 *2491:13 *2496:54 0 +61 *2492:85 *38937:A 0 +62 *2492:85 *2496:55 0 +*RES +1 *39685:X *2496:16 48.2723 +2 *2496:16 *2496:22 29.5357 +3 *2496:22 *2496:23 45.7143 +4 *2496:23 *2496:54 49.8816 +5 *2496:54 *2496:55 11.2143 +6 *2496:55 *7059:DIODE 9.3 +7 *2496:55 *38937:A 21.925 +*END + +*D_NET *2497 0.0186587 +*CONN +*I *38931:A I *D sky130_fd_sc_hd__buf_12 +*I *7055:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39686:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38931:A 0.000141606 +2 *7055:DIODE 0 +3 *39686:X 0.00121356 +4 *2497:41 0.00260752 +5 *2497:40 0.00278669 +6 *2497:32 0.00232447 +7 *2497:23 0.00518749 +8 *2497:12 0.00439735 +9 *38931:A *2640:23 0 +10 *38931:A *4670:22 0 +11 *2497:12 *2510:15 0 +12 *2497:12 *2515:61 0 +13 *2497:12 *2533:46 0 +14 *2497:12 *2553:29 0 +15 *2497:12 *4960:21 0 +16 *2497:23 *2518:59 0 +17 *2497:23 *2526:28 0 +18 *2497:23 *2553:22 0 +19 *2497:23 *2633:34 0 +20 *2497:23 *2639:11 0 +21 *2497:23 *2651:20 0 +22 *2497:23 *2710:70 0 +23 *2497:23 *4644:20 0 +24 *2497:32 *7050:DIODE 0 +25 *2497:32 *38913:A 0 +26 *2497:32 *38922:A 0 +27 *2497:32 *38923:A 0 +28 *2497:32 *39274:A 0 +29 *2497:32 *2523:28 0 +30 *2497:32 *2526:13 0 +31 *2497:32 *2526:28 0 +32 *2497:32 *2640:23 0 +33 *2497:32 *2640:39 0 +34 *2497:32 *2666:24 0 +35 *2497:32 *2666:38 0 +36 *2497:32 *2757:24 0 +37 *2497:32 *4933:35 0 +38 *2497:40 *2640:23 0 +39 *2497:41 *38928:A 0 +40 *2497:41 *38929:A 0 +41 *2497:41 *39180:A 0 +42 *2497:41 *39182:A 0 +43 *2497:41 *2640:23 0 +44 *2497:41 *4670:22 0 +45 *2497:41 *4933:61 0 +46 la_data_in_core[13] *2497:32 0 +47 la_data_in_core[14] *2497:32 0 +48 la_data_in_core[16] *2497:41 0 +49 *321:9 *2497:12 0 +50 *1004:8 *38931:A 0 +51 *1004:8 *2497:23 0 +52 *1004:8 *2497:32 0 +53 *1004:8 *2497:41 0 +54 *2493:46 *2497:41 0 +*RES +1 *39686:X *2497:12 49.3804 +2 *2497:12 *2497:23 33.9748 +3 *2497:23 *2497:32 46.4643 +4 *2497:32 *2497:40 20.3036 +5 *2497:40 *2497:41 51.4643 +6 *2497:41 *7055:DIODE 9.3 +7 *2497:41 *38931:A 12.3179 +*END + +*D_NET *2498 0.0186978 +*CONN +*I *7053:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38929:A I *D sky130_fd_sc_hd__buf_12 +*I *39687:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *7053:DIODE 2.26741e-05 +2 *38929:A 0.000189364 +3 *39687:X 0.00102705 +4 *2498:53 0.00210725 +5 *2498:50 0.00379373 +6 *2498:32 0.00319765 +7 *2498:15 0.00431606 +8 *2498:14 0.00404398 +9 *7053:DIODE *2640:23 0 +10 *38929:A *2710:24 0 +11 *38929:A *4656:27 0 +12 *2498:14 *2788:20 0 +13 *2498:14 *5063:16 0 +14 *2498:15 *2653:17 0 +15 *2498:15 *2702:37 0 +16 *2498:15 *2707:41 0 +17 *2498:15 *2707:43 0 +18 *2498:32 *2534:36 0 +19 *2498:32 *2557:9 0 +20 *2498:32 *2582:24 0 +21 *2498:32 *2620:9 0 +22 *2498:32 *2707:41 0 +23 *2498:50 *7051:DIODE 0 +24 *2498:50 *2518:30 0 +25 *2498:50 *2582:24 0 +26 *2498:50 *2663:18 0 +27 *2498:50 *2707:41 0 +28 *2498:50 *2710:44 0 +29 *2498:50 *2757:24 0 +30 *2498:50 *4665:14 0 +31 *2498:50 *4934:22 0 +32 *2498:53 *2640:23 0 +33 la_oenb_core[13] *2498:50 0 +34 *293:18 *2498:50 0 +35 *322:25 *2498:14 0 +36 *332:15 *2498:14 0 +37 *1009:56 *2498:14 0 +38 *1030:8 *2498:14 0 +39 *2453:11 *2498:50 0 +40 *2493:46 *38929:A 0 +41 *2497:41 *38929:A 0 +*RES +1 *39687:X *2498:14 32.6217 +2 *2498:14 *2498:15 62.9643 +3 *2498:15 *2498:32 48.8727 +4 *2498:32 *2498:50 47.0749 +5 *2498:50 *2498:53 44.0536 +6 *2498:53 *38929:A 22.3357 +7 *2498:53 *7053:DIODE 9.83571 +*END + +*D_NET *2499 0.0186994 +*CONN +*I *39687:A I *D sky130_fd_sc_hd__buf_4 +*I *7649:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39688:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39687:A 0.000104386 +2 *7649:DIODE 0 +3 *39688:X 0.000232812 +4 *2499:40 0.00106548 +5 *2499:37 0.00302178 +6 *2499:24 0.00328 +7 *2499:9 0.00599071 +8 *2499:8 0.00500421 +9 *39687:A *2589:21 0 +10 *39687:A *2609:19 0 +11 *2499:8 *3556:58 0 +12 *2499:9 *3597:55 0 +13 *2499:9 *3597:57 0 +14 *2499:9 *4676:11 0 +15 *2499:9 *4688:9 0 +16 *2499:24 *2774:11 0 +17 *2499:37 *2767:26 0 +18 *2499:37 *2770:8 0 +19 *2499:37 *2774:11 0 +20 *2499:37 *2778:18 0 +21 *2499:37 *2779:16 0 +22 *2499:37 *2892:41 0 +23 *2499:37 *3597:27 0 +24 *5872:DIODE *2499:9 0 +25 *39938:A *2499:37 0 +26 *300:10 *2499:37 0 +27 *310:7 *2499:40 0 +28 *325:53 *2499:24 0 +29 *327:25 *2499:8 0 +30 *329:17 *2499:24 0 +31 *354:17 *2499:8 0 +32 *1280:14 *2499:37 0 +33 *1357:18 *2499:9 0 +34 *1370:20 *2499:37 0 +35 *1373:13 *2499:9 0 +36 *1374:16 *2499:40 0 +37 *1380:29 *2499:40 0 +38 *1480:12 *2499:8 0 +39 *2454:11 *2499:9 0 +40 *2454:11 *2499:37 0 +41 *2455:9 *2499:9 0 +42 *2489:8 *2499:24 0 +*RES +1 *39688:X *2499:8 23.5857 +2 *2499:8 *2499:9 99.5179 +3 *2499:9 *2499:24 43.75 +4 *2499:24 *2499:37 48.8683 +5 *2499:37 *2499:40 26.3304 +6 *2499:40 *7649:DIODE 9.3 +7 *2499:40 *39687:A 11.4786 +*END + +*D_NET *2500 0.0190846 +*CONN +*I *38926:A I *D sky130_fd_sc_hd__buf_12 +*I *7052:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39689:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *38926:A 0.000165379 +2 *7052:DIODE 3.05468e-05 +3 *39689:X 0.00198489 +4 *2500:38 0.000250786 +5 *2500:37 0.00252647 +6 *2500:26 0.00404134 +7 *2500:17 0.00482282 +8 *2500:16 0.00326528 +9 *2500:11 0.00199708 +10 *38926:A *2710:25 0 +11 *38926:A *4933:61 0 +12 *2500:11 *2509:22 0 +13 *2500:11 *2509:26 0 +14 *2500:11 *2510:15 0 +15 *2500:11 *2701:7 0 +16 *2500:11 *2706:11 0 +17 *2500:11 *2775:17 0 +18 *2500:11 *4947:17 0 +19 *2500:17 *2559:27 0 +20 *2500:17 *2632:7 0 +21 *2500:17 *2632:9 0 +22 *2500:17 *2632:29 0 +23 *2500:17 *2702:43 0 +24 *2500:17 *2775:17 0 +25 *2500:17 *4666:11 0 +26 *2500:26 *2610:43 0 +27 *2500:26 *2783:26 0 +28 *2500:26 *2894:34 0 +29 *2500:26 *2895:35 0 +30 *2500:26 *4662:18 0 +31 *2500:26 *4934:10 0 +32 *2500:37 *38173:A 0 +33 *2500:37 *39716:A 0 +34 *2500:37 *2623:45 0 +35 *2500:37 *2647:26 0 +36 *2500:37 *2692:34 0 +37 *2500:37 *4665:14 0 +38 *2500:37 *4934:22 0 +39 *2500:37 *5055:31 0 +40 *5865:DIODE *2500:17 0 +41 *292:12 *2500:37 0 +42 *335:20 *2500:37 0 +43 *1030:8 *2500:11 0 +44 *1371:23 *2500:17 0 +45 *2453:11 *2500:37 0 +46 *2491:13 *2500:37 0 +47 *2492:47 *38926:A 0 +48 *2493:32 *2500:37 0 +*RES +1 *39689:X *2500:11 49.8533 +2 *2500:11 *2500:16 8.18679 +3 *2500:16 *2500:17 67.8929 +4 *2500:17 *2500:26 46.75 +5 *2500:26 *2500:37 49.6802 +6 *2500:37 *2500:38 1.33929 +7 *2500:38 *7052:DIODE 14.6839 +8 *2500:38 *38926:A 17.5946 +*END + +*D_NET *2501 0.0186974 +*CONN +*I *39689:A I *D sky130_fd_sc_hd__buf_4 +*I *7650:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39690:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39689:A 0.000136634 +2 *7650:DIODE 0 +3 *39690:X 0.000500232 +4 *2501:16 0.000944202 +5 *2501:13 0.00584464 +6 *2501:11 0.00505761 +7 *2501:9 0.0028672 +8 *2501:8 0.0033469 +9 *39689:A *2509:7 0 +10 *39689:A *2509:22 0 +11 *39689:A *2632:37 0 +12 *39689:A *4960:17 0 +13 *2501:8 *39690:A 0 +14 *2501:8 *2901:14 0 +15 *2501:8 *4642:10 0 +16 *2501:9 *2913:11 0 +17 *2501:13 *37899:A 0 +18 *2501:13 *2906:9 0 +19 *2501:13 *2906:13 0 +20 *2501:13 *2913:11 0 +21 *2501:13 *4736:9 0 +22 *2501:16 *4960:10 0 +23 *2501:16 *4960:17 0 +24 *1266:8 *2501:8 0 +25 *1483:22 *2501:8 0 +*RES +1 *39690:X *2501:8 29.6571 +2 *2501:8 *2501:9 59.4732 +3 *2501:9 *2501:11 0.428571 +4 *2501:11 *2501:13 105.062 +5 *2501:13 *2501:16 22.8393 +6 *2501:16 *7650:DIODE 13.8 +7 *2501:16 *39689:A 16.7107 +*END + +*D_NET *2502 0.0187695 +*CONN +*I *38923:A I *D sky130_fd_sc_hd__buf_12 +*I *7050:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39691:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38923:A 8.17123e-05 +2 *7050:DIODE 2.56688e-05 +3 *39691:X 0.000921839 +4 *2502:46 0.000107381 +5 *2502:44 0.00215768 +6 *2502:43 0.00348067 +7 *2502:27 0.00396601 +8 *2502:25 0.00487488 +9 *2502:16 0.00315369 +10 *7050:DIODE *2523:28 0 +11 *38923:A *2640:23 0 +12 *2502:16 *2750:12 0 +13 *2502:16 *3547:18 0 +14 *2502:16 *3614:60 0 +15 *2502:25 *39928:A 0 +16 *2502:25 *2766:5 0 +17 *2502:25 *2770:28 0 +18 *2502:25 *2869:31 0 +19 *2502:25 *2884:10 0 +20 *2502:25 *3547:18 0 +21 *2502:25 *3561:61 0 +22 *2502:25 *4620:14 0 +23 *2502:27 *2766:5 0 +24 *2502:27 *2778:39 0 +25 *2502:43 *2766:5 0 +26 *2502:43 *2778:39 0 +27 *2502:44 *39839:A 0 +28 *2502:44 *2523:28 0 +29 *2502:44 *2537:30 0 +30 *2502:44 *2666:24 0 +31 *2502:44 *2756:14 0 +32 *2502:44 *2759:13 0 +33 *2502:44 *4927:8 0 +34 *2502:44 *4934:10 0 +35 la_data_in_core[13] *38923:A 0 +36 la_data_in_core[13] *2502:44 0 +37 *294:50 *2502:16 0 +38 *312:38 *2502:25 0 +39 *312:38 *2502:27 0 +40 *337:41 *2502:25 0 +41 *387:20 *2502:27 0 +42 *1277:63 *2502:16 0 +43 *1281:18 *2502:44 0 +44 *1489:15 *2502:16 0 +45 *1501:33 *2502:25 0 +46 *1663:10 *2502:16 0 +47 *1785:10 *2502:16 0 +48 *1802:8 *2502:25 0 +49 *1918:20 *2502:27 0 +50 *1918:20 *2502:43 0 +51 *1927:48 *2502:43 0 +52 *2158:59 *2502:44 0 +53 *2454:26 *2502:25 0 +54 *2497:32 *7050:DIODE 0 +55 *2497:32 *38923:A 0 +*RES +1 *39691:X *2502:16 46.1475 +2 *2502:16 *2502:25 27.4813 +3 *2502:25 *2502:27 55.1607 +4 *2502:27 *2502:43 41.4464 +5 *2502:43 *2502:44 49 +6 *2502:44 *2502:46 4.5 +7 *2502:46 *7050:DIODE 9.83571 +8 *2502:46 *38923:A 11.0679 +*END + +*D_NET *2503 0.0183578 +*CONN +*I *38922:A I *D sky130_fd_sc_hd__buf_12 +*I *7049:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39692:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38922:A 0.000147596 +2 *7049:DIODE 0 +3 *39692:X 0 +4 *2503:16 0.0024229 +5 *2503:5 0.00903133 +6 *2503:4 0.00675602 +7 *38922:A *2640:23 0 +8 *38922:A *2666:24 0 +9 *38922:A *2666:38 0 +10 *2503:5 *39787:A 0 +11 *2503:5 *2589:11 0 +12 *2503:5 *2589:21 0 +13 *2503:5 *2609:7 0 +14 *2503:5 *2609:9 0 +15 *2503:5 *2609:19 0 +16 *2503:5 *2783:26 0 +17 *2503:5 *4662:9 0 +18 *2503:5 *5021:7 0 +19 *2503:16 *4917:17 0 +20 la_oenb_core[11] *2503:16 0 +21 *296:16 *2503:16 0 +22 *331:11 *2503:16 0 +23 *387:5 *2503:16 0 +24 *1030:8 *2503:16 0 +25 *1370:38 *2503:16 0 +26 *2487:30 *2503:16 0 +27 *2497:32 *38922:A 0 +*RES +1 *39692:X *2503:4 9.3 +2 *2503:4 *2503:5 141 +3 *2503:5 *2503:16 49.0226 +4 *2503:16 *7049:DIODE 9.3 +5 *2503:16 *38922:A 12.4429 +*END + +*D_NET *2504 0.0181945 +*CONN +*I *7120:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39018:A I *D sky130_fd_sc_hd__buf_12 +*I *39693:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *7120:DIODE 0 +2 *39018:A 0.000168288 +3 *39693:X 0 +4 *2504:39 0.00165015 +5 *2504:29 0.00396745 +6 *2504:28 0.00312388 +7 *2504:24 0.00277176 +8 *2504:7 0.00432324 +9 *2504:4 0.00218976 +10 *39018:A *2647:51 0 +11 *39018:A *2663:25 0 +12 *2504:7 *2506:11 0 +13 *2504:7 *2506:20 0 +14 *2504:24 *2506:20 0 +15 *2504:24 *2770:17 0 +16 *2504:24 *2778:26 0 +17 *2504:24 *2892:50 0 +18 *2504:24 *4620:14 0 +19 *2504:28 *2891:12 0 +20 *2504:28 *2892:50 0 +21 *2504:29 *2780:17 0 +22 *2504:29 *2896:11 0 +23 *2504:29 *5046:9 0 +24 *2504:39 *39263:A 0 +25 *2504:39 *2533:34 0 +26 *2504:39 *2547:58 0 +27 *2504:39 *2651:20 0 +28 *2504:39 *4620:48 0 +29 *299:24 *2504:24 0 +30 *300:10 *2504:24 0 +31 *330:17 *2504:24 0 +32 *354:14 *2504:29 0 +33 *376:9 *2504:39 0 +34 *1007:62 *2504:39 0 +35 *1013:48 *2504:39 0 +36 *1016:109 *2504:39 0 +37 *1291:18 *2504:39 0 +38 *1374:11 *2504:29 0 +39 *1922:40 *2504:29 0 +40 *2496:16 *2504:39 0 +*RES +1 *39693:X *2504:4 9.3 +2 *2504:4 *2504:7 45.7321 +3 *2504:7 *2504:24 39.0858 +4 *2504:24 *2504:28 19.0446 +5 *2504:28 *2504:29 51.875 +6 *2504:29 *2504:39 42.625 +7 *2504:39 *39018:A 21.925 +8 *2504:39 *7120:DIODE 9.3 +*END + +*D_NET *2505 0.0103059 +*CONN +*I *40579:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8304:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38430:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40579:A 0.00014075 +2 *8304:DIODE 0 +3 *38430:X 0.00142157 +4 *2505:37 0.00162655 +5 *2505:32 0.00311806 +6 *2505:26 0.00210483 +7 *2505:15 0.00189413 +8 *40579:A *3154:76 0 +9 *40579:A *3300:21 0 +10 *2505:15 *2516:12 0 +11 *2505:15 *3442:51 0 +12 *2505:15 *3927:23 0 +13 *2505:15 *4488:74 0 +14 *2505:15 *4501:29 0 +15 *2505:15 *4506:11 0 +16 *2505:15 *4873:10 0 +17 *2505:26 *3807:41 0 +18 *2505:26 *3960:18 0 +19 *2505:32 *3055:55 0 +20 *2505:32 *3055:71 0 +21 *2505:32 *3882:30 0 +22 *2505:32 *4505:22 0 +23 *2505:37 *3055:71 0 +24 *2505:37 *3154:76 0 +25 *2505:37 *3300:21 0 +26 *2505:37 *3305:55 0 +27 *6709:DIODE *2505:15 0 +28 *6855:DIODE *2505:32 0 +29 *38431:A *2505:15 0 +30 *2069:19 *2505:15 0 +31 *2407:41 *2505:15 0 +32 *2424:54 *2505:26 0 +*RES +1 *38430:X *2505:15 48.3357 +2 *2505:15 *2505:26 28.1786 +3 *2505:26 *2505:32 43.125 +4 *2505:32 *2505:37 31.0714 +5 *2505:37 *8304:DIODE 9.3 +6 *2505:37 *40579:A 12.3 +*END + +*D_NET *2506 0.0182223 +*CONN +*I *7099:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38996:A I *D sky130_fd_sc_hd__buf_12 +*I *39694:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *7099:DIODE 0.000109662 +2 *38996:A 4.53482e-05 +3 *39694:X 0.000794021 +4 *2506:32 0.00235965 +5 *2506:31 0.00382201 +6 *2506:20 0.00376921 +7 *2506:11 0.00434009 +8 *2506:7 0.00298229 +9 *38996:A *2520:33 0 +10 *38996:A *4663:15 0 +11 *2506:7 *4749:13 0 +12 *2506:11 *2892:19 0 +13 *2506:11 *2892:25 0 +14 *2506:11 *3597:44 0 +15 *2506:11 *5054:32 0 +16 *2506:20 *2774:22 0 +17 *2506:20 *2777:5 0 +18 *2506:20 *2892:25 0 +19 *2506:20 *2892:41 0 +20 *2506:20 *2892:50 0 +21 *2506:31 *2869:31 0 +22 *2506:31 *3561:61 0 +23 *2506:31 *3597:21 0 +24 *2506:31 *3597:27 0 +25 *2506:31 *4620:26 0 +26 *2506:31 *5063:10 0 +27 *2506:32 *2553:22 0 +28 *2506:32 *2566:28 0 +29 *2506:32 *2909:20 0 +30 *2506:32 *3834:36 0 +31 la_oenb_core[6] *2506:32 0 +32 *299:30 *2506:7 0 +33 *299:30 *2506:11 0 +34 *300:10 *2506:31 0 +35 *310:24 *2506:20 0 +36 *365:9 *2506:32 0 +37 *365:11 *2506:32 0 +38 *1016:82 *2506:32 0 +39 *1024:35 *2506:32 0 +40 *1300:60 *2506:31 0 +41 *1374:8 *2506:32 0 +42 *2495:17 *2506:32 0 +43 *2504:7 *2506:11 0 +44 *2504:7 *2506:20 0 +45 *2504:24 *2506:20 0 +*RES +1 *39694:X *2506:7 25.8714 +2 *2506:7 *2506:11 45.7321 +3 *2506:11 *2506:20 49.6429 +4 *2506:20 *2506:31 30.839 +5 *2506:31 *2506:32 50.0625 +6 *2506:32 *38996:A 14.7464 +7 *2506:32 *7099:DIODE 16.3536 +*END + +*D_NET *2507 0.0186727 +*CONN +*I *38985:A I *D sky130_fd_sc_hd__buf_12 +*I *7091:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39695:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38985:A 6.43859e-05 +2 *7091:DIODE 6.35302e-05 +3 *39695:X 0.00141392 +4 *2507:32 0.0013363 +5 *2507:29 0.00274193 +6 *2507:20 0.0027358 +7 *2507:9 0.00505257 +8 *2507:7 0.00526424 +9 *7091:DIODE *2523:47 0 +10 *7091:DIODE *2530:47 0 +11 *38985:A *2523:47 0 +12 *2507:7 *2510:5 0 +13 *2507:9 *2510:9 0 +14 *2507:20 *2632:37 0 +15 *2507:29 *2589:16 0 +16 *2507:29 *2589:21 0 +17 *2507:29 *2609:9 0 +18 *2507:29 *2632:9 0 +19 *2507:29 *2788:21 0 +20 *2507:32 *7794:DIODE 0 +21 *2507:32 *2526:38 0 +22 *2507:32 *2530:24 0 +23 *2507:32 *2557:16 0 +24 *2507:32 *4659:39 0 +25 la_oenb_core[5] *2507:32 0 +26 *299:9 *2507:20 0 +27 *330:15 *2507:20 0 +28 *333:53 *2507:32 0 +29 *354:11 *2507:32 0 +*RES +1 *39695:X *2507:7 38.8089 +2 *2507:7 *2507:9 80.4196 +3 *2507:9 *2507:20 43.5536 +4 *2507:20 *2507:29 45.5893 +5 *2507:29 *2507:32 31.9464 +6 *2507:32 *7091:DIODE 10.6571 +7 *2507:32 *38985:A 10.675 +*END + +*D_NET *2508 0.0183623 +*CONN +*I *38974:A I *D sky130_fd_sc_hd__buf_12 +*I *7085:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39696:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38974:A 0.000282411 +2 *7085:DIODE 0 +3 *39696:X 0 +4 *2508:20 0.00157145 +5 *2508:17 0.0062936 +6 *2508:16 0.00536024 +7 *2508:5 0.00260512 +8 *2508:4 0.00224944 +9 *38974:A *2523:47 0 +10 *38974:A *2530:47 0 +11 *38974:A *4985:31 0 +12 *2508:5 *2511:5 0 +13 *2508:5 *2511:11 0 +14 *2508:17 *2511:11 0 +15 *2508:17 *2511:13 0 +16 *2508:17 *2698:11 0 +17 *2508:17 *2786:13 0 +18 *2508:20 *4666:10 0 +19 *2508:20 *4985:31 0 +20 la_data_in_core[5] *38974:A 0 +21 la_oenb_core[4] *38974:A 0 +22 *39219:A *38974:A 0 +23 *322:25 *38974:A 0 +24 *343:7 *38974:A 0 +25 *1012:10 *2508:16 0 +26 *1022:10 *2508:16 0 +27 *2454:30 *2508:20 0 +*RES +1 *39696:X *2508:4 9.3 +2 *2508:4 *2508:5 46.9464 +3 *2508:5 *2508:16 17.5736 +4 *2508:16 *2508:17 104.446 +5 *2508:17 *2508:20 33.7679 +6 *2508:20 *7085:DIODE 13.8 +7 *2508:20 *38974:A 20.2286 +*END + +*D_NET *2509 0.0182007 +*CONN +*I *38963:A I *D sky130_fd_sc_hd__buf_12 +*I *7081:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39697:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38963:A 0.000402337 +2 *7081:DIODE 0 +3 *39697:X 2.0535e-05 +4 *2509:26 0.002072 +5 *2509:22 0.00314458 +6 *2509:7 0.00700783 +7 *2509:5 0.00555344 +8 *38963:A *39208:A 0 +9 *38963:A *2523:47 0 +10 *38963:A *2530:47 0 +11 *38963:A *2642:24 0 +12 *38963:A *2891:12 0 +13 *2509:7 *39843:A 0 +14 *2509:7 *2632:37 0 +15 *2509:7 *2632:41 0 +16 *2509:7 *2899:9 0 +17 *2509:7 *4935:11 0 +18 *2509:7 *4935:18 0 +19 *2509:22 *2511:16 0 +20 *2509:22 *2512:24 0 +21 *2509:22 *2632:37 0 +22 *2509:22 *2775:17 0 +23 *2509:22 *4960:17 0 +24 *2509:26 *39866:A 0 +25 *2509:26 *2563:25 0 +26 *2509:26 *2629:11 0 +27 *2509:26 *2702:43 0 +28 *2509:26 *2707:53 0 +29 *2509:26 *2891:12 0 +30 la_data_in_core[4] *38963:A 0 +31 la_oenb_core[3] *38963:A 0 +32 *39689:A *2509:7 0 +33 *39689:A *2509:22 0 +34 *332:15 *2509:26 0 +35 *1030:8 *2509:26 0 +36 *2500:11 *2509:22 0 +37 *2500:11 *2509:26 0 +*RES +1 *39697:X *2509:5 9.72857 +2 *2509:5 *2509:7 115.536 +3 *2509:7 *2509:22 47.8475 +4 *2509:22 *2509:26 24.7434 +5 *2509:26 *7081:DIODE 13.8 +6 *2509:26 *38963:A 23.0143 +*END + +*D_NET *2510 0.01794 +*CONN +*I *38952:A I *D sky130_fd_sc_hd__buf_12 +*I *7074:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39698:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38952:A 0.00017952 +2 *7074:DIODE 0 +3 *39698:X 0 +4 *2510:15 0.00162486 +5 *2510:9 0.00596775 +6 *2510:7 0.00455749 +7 *2510:5 0.00282271 +8 *2510:4 0.00278763 +9 *38952:A *2663:40 0 +10 *2510:5 *39695:A 0 +11 *2510:5 *4712:15 0 +12 *2510:9 *2597:13 0 +13 *2510:15 *39686:A 0 +14 *2510:15 *2515:61 0 +15 *2510:15 *2574:71 0 +16 *2510:15 *2663:40 0 +17 *2510:15 *4947:20 0 +18 *2510:15 *4960:21 0 +19 *291:28 *2510:5 0 +20 *291:28 *2510:9 0 +21 *310:7 *2510:15 0 +22 *1380:29 *2510:9 0 +23 *2497:12 *2510:15 0 +24 *2500:11 *2510:15 0 +25 *2507:7 *2510:5 0 +26 *2507:9 *2510:9 0 +*RES +1 *39698:X *2510:4 9.3 +2 *2510:4 *2510:5 58.2411 +3 *2510:5 *2510:7 0.732143 +4 *2510:7 *2510:9 94.3839 +5 *2510:9 *2510:15 41.4821 +6 *2510:15 *7074:DIODE 9.3 +7 *2510:15 *38952:A 22.6036 +*END + +*D_NET *2511 0.0180155 +*CONN +*I *7063:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38941:A I *D sky130_fd_sc_hd__buf_12 +*I *39699:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *7063:DIODE 9.59171e-05 +2 *38941:A 6.50276e-05 +3 *39699:X 0 +4 *2511:16 0.00147651 +5 *2511:13 0.00420459 +6 *2511:11 0.00499717 +7 *2511:5 0.0046422 +8 *2511:4 0.00253405 +9 *7063:DIODE *2645:16 0 +10 *38941:A *2647:65 0 +11 *38941:A *2709:7 0 +12 *2511:5 *39696:A 0 +13 *2511:5 *4700:11 0 +14 *2511:5 *4700:15 0 +15 *2511:11 *39946:A 0 +16 *2511:11 *2786:11 0 +17 *2511:13 *2786:11 0 +18 *2511:13 *2786:13 0 +19 *2511:16 *39820:A 0 +20 *2511:16 *2582:46 0 +21 *2511:16 *2624:28 0 +22 *2511:16 *4947:17 0 +23 la_data_in_core[2] *7063:DIODE 0 +24 la_data_in_core[2] *38941:A 0 +25 *311:34 *2511:5 0 +26 *311:34 *2511:11 0 +27 *1023:19 *2511:16 0 +28 *1374:16 *2511:16 0 +29 *2508:5 *2511:5 0 +30 *2508:5 *2511:11 0 +31 *2508:17 *2511:11 0 +32 *2508:17 *2511:13 0 +33 *2509:22 *2511:16 0 +*RES +1 *39699:X *2511:4 9.3 +2 *2511:4 *2511:5 52.9018 +3 *2511:5 *2511:11 44.1071 +4 *2511:11 *2511:13 60.2946 +5 *2511:13 *2511:16 34.375 +6 *2511:16 *38941:A 15.1571 +7 *2511:16 *7063:DIODE 16.1036 +*END + +*D_NET *2512 0.0188932 +*CONN +*I *38930:A I *D sky130_fd_sc_hd__buf_12 +*I *7054:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39700:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *38930:A 0.00036494 +2 *7054:DIODE 8.4707e-05 +3 *39700:X 0 +4 *2512:26 0.00128929 +5 *2512:24 0.00182383 +6 *2512:9 0.00613335 +7 *2512:7 0.00717314 +8 *2512:4 0.00202398 +9 *7054:DIODE *2651:49 0 +10 *38930:A *2518:59 0 +11 *38930:A *2642:43 0 +12 *38930:A *2645:23 0 +13 *38930:A *4935:25 0 +14 *2512:7 *2706:22 0 +15 *2512:7 *4661:11 0 +16 *2512:9 *2697:7 0 +17 *2512:9 *2701:20 0 +18 *2512:9 *4659:7 0 +19 *2512:9 *4661:11 0 +20 *2512:24 *2632:37 0 +21 *2512:24 *2653:34 0 +22 *2512:24 *2775:16 0 +23 *2512:24 *4960:17 0 +24 *2512:26 *4935:25 0 +25 la_data_in_core[1] *38930:A 0 +26 *5852:DIODE *2512:7 0 +27 *37935:A *2512:9 0 +28 *293:24 *2512:7 0 +29 *293:24 *2512:9 0 +30 *299:9 *38930:A 0 +31 *299:9 *2512:24 0 +32 *299:9 *2512:26 0 +33 *1367:19 *2512:7 0 +34 *2455:24 *2512:24 0 +35 *2509:22 *2512:24 0 +*RES +1 *39700:X *2512:4 9.3 +2 *2512:4 *2512:7 42.2411 +3 *2512:7 *2512:9 107.527 +4 *2512:9 *2512:24 34.7768 +5 *2512:24 *2512:26 19.0982 +6 *2512:26 *7054:DIODE 15.5679 +7 *2512:26 *38930:A 22.0143 +*END + +*D_NET *2513 0.0185714 +*CONN +*I *39700:A I *D sky130_fd_sc_hd__buf_4 +*I *7657:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39701:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39700:A 0.000166932 +2 *7657:DIODE 0 +3 *39701:X 0 +4 *2513:8 0.00134893 +5 *2513:5 0.00911878 +6 *2513:4 0.00793679 +7 *39700:A *2670:13 0 +8 *39700:A *2670:15 0 +9 *2513:5 *4642:10 0 +10 *2513:8 *39702:A 0 +11 *2513:8 *39845:A 0 +12 *2513:8 *2670:13 0 +13 *2513:8 *2898:10 0 +14 *2513:8 *4608:12 0 +15 *293:24 *39700:A 0 +16 *299:30 *2513:5 0 +17 *1006:9 *39700:A 0 +18 *1006:9 *2513:8 0 +19 *1352:9 *2513:5 0 +*RES +1 *39701:X *2513:4 9.3 +2 *2513:4 *2513:5 165.643 +3 *2513:5 *2513:8 31.3393 +4 *2513:8 *7657:DIODE 13.8 +5 *2513:8 *39700:A 17.425 +*END + +*D_NET *2514 0.0183532 +*CONN +*I *7021:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38891:A I *D sky130_fd_sc_hd__buf_12 +*I *39702:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *7021:DIODE 8.29394e-05 +2 *38891:A 2.56688e-05 +3 *39702:X 0.000756955 +4 *2514:16 0.000915783 +5 *2514:9 0.00831101 +6 *2514:8 0.00826079 +7 *38891:A *2518:63 0 +8 *38891:A *2642:43 0 +9 *2514:8 *39702:A 0 +10 *2514:8 *4608:12 0 +11 *2514:8 *5064:10 0 +12 *2514:9 *2586:21 0 +13 *2514:9 *2612:23 0 +14 *2514:9 *2612:27 0 +15 *2514:9 *2701:21 0 +16 *2514:9 *5043:9 0 +17 *2514:16 *2578:46 0 +18 *320:21 *2514:16 0 +19 *1016:56 *2514:16 0 +20 *2455:24 *2514:16 0 +*RES +1 *39702:X *2514:8 35.425 +2 *2514:8 *2514:9 156.607 +3 *2514:9 *2514:16 22.8929 +4 *2514:16 *38891:A 14.3357 +5 *2514:16 *7021:DIODE 15.7464 +*END + +*D_NET *2515 0.019157 +*CONN +*I *7326:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39365:A I *D sky130_fd_sc_hd__buf_12 +*I *39703:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *7326:DIODE 0 +2 *39365:A 0.000197895 +3 *39703:X 0.00109109 +4 *2515:65 0.000684318 +5 *2515:61 0.00272588 +6 *2515:50 0.00481253 +7 *2515:25 0.00480283 +8 *2515:24 0.00299058 +9 *2515:18 0.00185191 +10 *39365:A *2650:14 0 +11 *2515:18 *37925:A 0 +12 *2515:18 *2544:33 0 +13 *2515:18 *2606:38 0 +14 *2515:18 *2636:17 0 +15 *2515:18 *2643:16 0 +16 *2515:18 *2643:17 0 +17 *2515:18 *2687:11 0 +18 *2515:18 *2902:40 0 +19 *2515:18 *4650:14 0 +20 *2515:18 *4958:37 0 +21 *2515:24 *2551:42 0 +22 *2515:25 *39719:A 0 +23 *2515:25 *39722:A 0 +24 *2515:25 *39725:A 0 +25 *2515:25 *2533:19 0 +26 *2515:25 *2554:17 0 +27 *2515:25 *2554:32 0 +28 *2515:25 *2569:15 0 +29 *2515:25 *4666:31 0 +30 *2515:25 *4666:47 0 +31 *2515:50 *39796:A 0 +32 *2515:50 *2551:49 0 +33 *2515:50 *2554:32 0 +34 *2515:50 *2557:16 0 +35 *2515:50 *2619:9 0 +36 *2515:50 *2620:15 0 +37 *2515:50 *2622:14 0 +38 *2515:50 *2643:34 0 +39 *2515:50 *2655:11 0 +40 *2515:50 *2687:24 0 +41 *2515:50 *2786:24 0 +42 *2515:61 *2536:13 0 +43 *2515:61 *2556:11 0 +44 *2515:61 *2558:14 0 +45 *2515:61 *2619:9 0 +46 *2515:61 *2622:14 0 +47 *2515:61 *2626:59 0 +48 *2515:61 *5042:25 0 +49 *2515:65 *39824:A 0 +50 *2515:65 *2650:14 0 +51 *2515:65 *4935:25 0 +52 *260:11 *39365:A 0 +53 *333:53 *2515:50 0 +54 *343:7 *2515:50 0 +55 *376:9 *2515:50 0 +56 *1002:8 *2515:50 0 +57 *1011:65 *2515:65 0 +58 *2495:17 *2515:50 0 +59 *2496:16 *2515:50 0 +60 *2496:22 *2515:24 0 +61 *2496:23 *2515:24 0 +62 *2497:12 *2515:61 0 +63 *2510:15 *2515:61 0 +*RES +1 *39703:X *2515:18 36.7059 +2 *2515:18 *2515:24 25.0357 +3 *2515:24 *2515:25 46.5357 +4 *2515:25 *2515:50 48.9562 +5 *2515:50 *2515:61 48.4557 +6 *2515:61 *2515:65 15.2768 +7 *2515:65 *39365:A 22.7107 +8 *2515:65 *7326:DIODE 9.3 +*END + +*D_NET *2516 0.0195784 +*CONN +*I *40577:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8302:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38431:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *40577:A 0.000149775 +2 *8302:DIODE 4.53482e-05 +3 *38431:X 0.00170401 +4 *2516:30 0.00614783 +5 *2516:26 0.00789007 +6 *2516:12 0.00364137 +7 *8302:DIODE *3144:17 0 +8 *40577:A *8320:DIODE 0 +9 *40577:A *38084:C 0 +10 *40577:A *3784:82 0 +11 *2516:12 *2527:7 0 +12 *2516:12 *3860:45 0 +13 *2516:12 *3860:61 0 +14 *2516:12 *3927:23 0 +15 *2516:12 *4488:74 0 +16 *2516:12 *4506:11 0 +17 *2516:26 *37674:B 0 +18 *2516:26 *2549:16 0 +19 *2516:26 *3248:42 0 +20 *2516:26 *3927:66 0 +21 *2516:26 *4253:87 0 +22 *2516:26 *4875:47 0 +23 *2516:26 *4880:39 0 +24 *2516:30 *38084:C 0 +25 *2516:30 *3032:36 0 +26 *2516:30 *3248:42 0 +27 *2516:30 *3300:40 0 +28 *2516:30 *3311:49 0 +29 *2516:30 *3430:48 0 +30 *2516:30 *3500:32 0 +31 *2516:30 *3744:70 0 +32 *2516:30 *3973:49 0 +33 *2516:30 *4182:20 0 +34 *2516:30 *4508:41 0 +35 *2516:30 *4517:81 0 +36 la_data_in_mprj[88] *2516:12 0 +37 la_data_in_mprj[92] *2516:26 0 +38 *6709:DIODE *2516:12 0 +39 *6712:DIODE *2516:12 0 +40 *37395:A *2516:12 0 +41 *38432:A *2516:12 0 +42 *38433:A *2516:12 0 +43 *38716:A *2516:12 0 +44 *507:17 *2516:26 0 +45 *520:7 *2516:30 0 +46 *627:12 *2516:12 0 +47 *776:5 *2516:30 0 +48 *1216:17 *2516:26 0 +49 *1216:17 *2516:30 0 +50 *1987:36 *2516:26 0 +51 *2407:11 *2516:30 0 +52 *2410:34 *2516:30 0 +53 *2418:28 *2516:30 0 +54 *2428:17 *2516:30 0 +55 *2431:86 *2516:12 0 +56 *2505:15 *2516:12 0 +*RES +1 *38431:X *2516:12 49.7196 +2 *2516:12 *2516:26 32.078 +3 *2516:26 *2516:30 36.9399 +4 *2516:30 *8302:DIODE 14.7464 +5 *2516:30 *40577:A 17.2643 +*END + +*D_NET *2517 0.0193193 +*CONN +*I *7659:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39703:A I *D sky130_fd_sc_hd__buf_4 +*I *39704:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7659:DIODE 0 +2 *39703:A 0.000159146 +3 *39704:X 0.000818954 +4 *2517:35 0.00254335 +5 *2517:34 0.00381407 +6 *2517:23 0.00286712 +7 *2517:11 0.00486746 +8 *2517:10 0.00424916 +9 *39703:A *2544:31 0 +10 *39703:A *2636:17 0 +11 *2517:10 *39708:A 0 +12 *2517:10 *2567:29 0 +13 *2517:10 *2584:11 0 +14 *2517:10 *4672:30 0 +15 *2517:10 *5135:38 0 +16 *2517:11 *2634:5 0 +17 *2517:11 *2644:28 0 +18 *2517:11 *2667:7 0 +19 *2517:23 *2544:14 0 +20 *2517:23 *2555:36 0 +21 *2517:23 *2593:17 0 +22 *2517:23 *2634:5 0 +23 *2517:23 *2667:19 0 +24 *2517:23 *2688:29 0 +25 *2517:34 *2544:15 0 +26 *2517:34 *2551:15 0 +27 *2517:34 *2593:17 0 +28 *2517:34 *2644:55 0 +29 *2517:35 *2544:15 0 +30 *2517:35 *2544:31 0 +31 *2517:35 *2636:17 0 +32 *2517:35 *2659:37 0 +33 *2517:35 *2659:47 0 +34 *317:7 *2517:10 0 +35 *342:28 *2517:11 0 +36 *1005:86 *2517:23 0 +*RES +1 *39704:X *2517:10 36.7821 +2 *2517:10 *2517:11 71.5893 +3 *2517:11 *2517:23 48.4107 +4 *2517:23 *2517:34 48.1071 +5 *2517:34 *2517:35 49.8214 +6 *2517:35 *39703:A 12.6214 +7 *2517:35 *7659:DIODE 9.3 +*END + +*D_NET *2518 0.019039 +*CONN +*I *39364:A I *D sky130_fd_sc_hd__buf_12 +*I *7325:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39705:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *39364:A 0 +2 *7325:DIODE 0.000137329 +3 *39705:X 0.00126715 +4 *2518:63 0.000512093 +5 *2518:59 0.0026117 +6 *2518:42 0.00433167 +7 *2518:37 0.00441476 +8 *2518:30 0.00340859 +9 *2518:17 0.00235571 +10 *7325:DIODE *2642:43 0 +11 *7325:DIODE *2645:23 0 +12 *2518:17 *39183:A 0 +13 *2518:17 *39836:A 0 +14 *2518:17 *2520:27 0 +15 *2518:17 *2651:5 0 +16 *2518:17 *2663:18 0 +17 *2518:17 *4934:29 0 +18 *2518:30 *2663:18 0 +19 *2518:30 *2710:25 0 +20 *2518:30 *2710:44 0 +21 *2518:37 *2520:33 0 +22 *2518:37 *2633:20 0 +23 *2518:37 *2663:24 0 +24 *2518:37 *2680:42 0 +25 *2518:37 *2710:54 0 +26 *2518:37 *4663:15 0 +27 *2518:42 *2520:33 0 +28 *2518:42 *2520:57 0 +29 *2518:42 *4663:15 0 +30 *2518:42 *4985:31 0 +31 *2518:59 *2520:57 0 +32 *2518:59 *2546:30 0 +33 *2518:59 *2639:11 0 +34 *2518:59 *2642:43 0 +35 *2518:59 *2645:16 0 +36 *2518:59 *2645:23 0 +37 *2518:59 *4935:25 0 +38 *2518:59 *4960:17 0 +39 *2518:63 *2642:43 0 +40 *2518:63 *2645:23 0 +41 la_data_in_core[0] *2518:59 0 +42 la_data_in_core[17] *2518:17 0 +43 la_oenb_core[13] *2518:30 0 +44 la_oenb_core[3] *2518:59 0 +45 *38891:A *2518:63 0 +46 *38930:A *2518:59 0 +47 *39219:A *2518:42 0 +48 *296:12 *2518:17 0 +49 *322:25 *2518:42 0 +50 *1017:84 *2518:17 0 +51 *1023:76 *2518:17 0 +52 *2491:10 *2518:37 0 +53 *2497:23 *2518:59 0 +54 *2498:50 *2518:30 0 +*RES +1 *39705:X *2518:17 49.9071 +2 *2518:17 *2518:30 36.5714 +3 *2518:30 *2518:37 48.5446 +4 *2518:37 *2518:42 48.2768 +5 *2518:42 *2518:59 43.5354 +6 *2518:59 *2518:63 7.94643 +7 *2518:63 *7325:DIODE 21.3 +8 *2518:63 *39364:A 9.3 +*END + +*D_NET *2519 0.0188278 +*CONN +*I *7661:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39705:A I *D sky130_fd_sc_hd__buf_4 +*I *39706:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7661:DIODE 0 +2 *39705:A 0.000124066 +3 *39706:X 0.000152567 +4 *2519:47 0.00160843 +5 *2519:36 0.0024121 +6 *2519:19 0.00522384 +7 *2519:18 0.00433798 +8 *2519:9 0.00242908 +9 *2519:8 0.00253976 +10 *2519:8 *2644:16 0 +11 *2519:8 *4674:16 0 +12 *2519:9 *2521:18 0 +13 *2519:9 *2667:7 0 +14 *2519:9 *5040:5 0 +15 *2519:9 *5040:11 0 +16 *2519:9 *5066:13 0 +17 *2519:19 *39857:A 0 +18 *2519:19 *2667:7 0 +19 *2519:19 *2667:19 0 +20 *2519:19 *4673:13 0 +21 *2519:19 *4951:43 0 +22 *2519:19 *5040:11 0 +23 *2519:36 *38932:A 0 +24 *2519:36 *2648:20 0 +25 *2519:36 *2687:11 0 +26 *2519:36 *2765:47 0 +27 *2519:36 *4938:8 0 +28 *2519:36 *5040:21 0 +29 *2519:47 *38932:A 0 +30 *2519:47 *2625:35 0 +31 *2519:47 *5040:21 0 +32 *317:7 *2519:8 0 +33 *342:28 *2519:9 0 +34 *349:10 *2519:9 0 +35 *1023:76 *39705:A 0 +36 *1023:76 *2519:47 0 +37 *1028:32 *2519:47 0 +38 *2344:51 *2519:8 0 +39 *2452:15 *2519:36 0 +40 *2453:31 *2519:36 0 +41 *2482:14 *2519:9 0 +42 *2482:14 *2519:19 0 +43 *2485:19 *39705:A 0 +44 *2485:19 *2519:47 0 +45 *2496:23 *2519:47 0 +46 *2496:54 *2519:47 0 +*RES +1 *39706:X *2519:8 21.7643 +2 *2519:8 *2519:9 49.8214 +3 *2519:9 *2519:18 10.0893 +4 *2519:18 *2519:19 89.6607 +5 *2519:19 *2519:36 40.9984 +6 *2519:36 *2519:47 40.2857 +7 *2519:47 *39705:A 11.8893 +8 *2519:47 *7661:DIODE 9.3 +*END + +*D_NET *2520 0.0190394 +*CONN +*I *39362:A I *D sky130_fd_sc_hd__buf_12 +*I *7323:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39707:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *39362:A 6.50276e-05 +2 *7323:DIODE 9.80097e-05 +3 *39707:X 0.00136991 +4 *2520:66 0.00104047 +5 *2520:57 0.00343396 +6 *2520:33 0.00606545 +7 *2520:31 0.00455279 +8 *2520:27 0.00241377 +9 *7323:DIODE *2646:24 0 +10 *39362:A *2642:43 0 +11 *39362:A *2645:23 0 +12 *2520:27 *39182:A 0 +13 *2520:27 *39836:A 0 +14 *2520:27 *2663:18 0 +15 *2520:27 *4934:29 0 +16 *2520:31 *38924:A 0 +17 *2520:31 *2663:18 0 +18 *2520:31 *2663:24 0 +19 *2520:31 *4644:27 0 +20 *2520:31 *4934:22 0 +21 *2520:33 *38902:A 0 +22 *2520:33 *39007:A 0 +23 *2520:33 *39230:A 0 +24 *2520:33 *2526:38 0 +25 *2520:33 *2530:24 0 +26 *2520:33 *2546:30 0 +27 *2520:33 *2633:20 0 +28 *2520:33 *2710:54 0 +29 *2520:33 *4644:27 0 +30 *2520:33 *4663:15 0 +31 *2520:33 *4985:31 0 +32 *2520:57 *39208:A 0 +33 *2520:57 *2523:66 0 +34 *2520:57 *2546:30 0 +35 *2520:57 *2553:29 0 +36 *2520:57 *2639:11 0 +37 *2520:57 *2642:24 0 +38 *2520:57 *2663:40 0 +39 *2520:57 *2679:14 0 +40 *2520:66 *2523:66 0 +41 *2520:66 *2639:11 0 +42 la_data_in_core[10] *2520:33 0 +43 la_data_in_core[14] *2520:31 0 +44 la_data_in_core[15] *2520:27 0 +45 la_data_in_core[7] *2520:33 0 +46 la_data_in_core[8] *2520:33 0 +47 la_oenb_core[10] *2520:33 0 +48 la_oenb_core[11] *2520:33 0 +49 la_oenb_core[12] *2520:31 0 +50 la_oenb_core[3] *2520:57 0 +51 la_oenb_core[4] *2520:57 0 +52 la_oenb_core[5] *2520:33 0 +53 *38996:A *2520:33 0 +54 *39219:A *2520:57 0 +55 *260:11 *2520:57 0 +56 *295:12 *2520:27 0 +57 *1015:48 *2520:27 0 +58 *2518:17 *2520:27 0 +59 *2518:37 *2520:33 0 +60 *2518:42 *2520:33 0 +61 *2518:42 *2520:57 0 +62 *2518:59 *2520:57 0 +*RES +1 *39707:X *2520:27 48.4964 +2 *2520:27 *2520:31 21.9107 +3 *2520:31 *2520:33 73.2321 +4 *2520:33 *2520:57 49.9927 +5 *2520:57 *2520:66 16.249 +6 *2520:66 *7323:DIODE 15.9786 +7 *2520:66 *39362:A 15.1571 +*END + +*D_NET *2521 0.0190513 +*CONN +*I *7663:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39707:A I *D sky130_fd_sc_hd__buf_6 +*I *39708:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *7663:DIODE 0 +2 *39707:A 0.00016428 +3 *39708:X 0.000232812 +4 *2521:64 0.00109589 +5 *2521:58 0.00227842 +6 *2521:38 0.00246369 +7 *2521:23 0.00381596 +8 *2521:21 0.00400476 +9 *2521:18 0.00303421 +10 *2521:8 0.00196134 +11 *39707:A *2651:5 0 +12 *2521:21 *39810:A 0 +13 *2521:21 *2606:11 0 +14 *2521:23 *2606:11 0 +15 *2521:23 *4951:22 0 +16 *2521:38 *2606:11 0 +17 *2521:38 *2889:42 0 +18 *2521:38 *4938:8 0 +19 *2521:58 *2758:32 0 +20 *301:7 *2521:38 0 +21 *311:19 *2521:21 0 +22 *311:19 *2521:23 0 +23 *312:25 *2521:23 0 +24 *344:29 *2521:18 0 +25 *344:29 *2521:21 0 +26 *349:21 *2521:8 0 +27 *1013:50 *2521:58 0 +28 *1016:144 *2521:58 0 +29 *1016:144 *2521:64 0 +30 *1016:150 *2521:58 0 +31 *1023:76 *39707:A 0 +32 *1023:76 *2521:58 0 +33 *1023:78 *2521:58 0 +34 *1024:63 *2521:64 0 +35 *1028:32 *2521:38 0 +36 *2452:15 *2521:38 0 +37 *2452:22 *2521:23 0 +38 *2485:19 *39707:A 0 +39 *2485:19 *2521:58 0 +40 *2496:54 *2521:58 0 +41 *2519:9 *2521:18 0 +*RES +1 *39708:X *2521:8 23.5857 +2 *2521:8 *2521:18 45.4107 +3 *2521:18 *2521:21 27.25 +4 *2521:21 *2521:23 56.3929 +5 *2521:23 *2521:38 41.7857 +6 *2521:38 *2521:58 46.5893 +7 *2521:58 *2521:64 28.625 +8 *2521:64 *39707:A 12.7286 +9 *2521:64 *7663:DIODE 9.3 +*END + +*D_NET *2522 0.0184655 +*CONN +*I *7664:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39708:A I *D sky130_fd_sc_hd__buf_4 +*I *39709:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7664:DIODE 0 +2 *39708:A 0.000169645 +3 *39709:X 0 +4 *2522:23 0.000766626 +5 *2522:19 0.00218903 +6 *2522:5 0.00846615 +7 *2522:4 0.0068741 +8 *39708:A *2867:18 0 +9 *39708:A *2873:39 0 +10 *2522:5 *37863:A 0 +11 *2522:5 *37879:A 0 +12 *2522:5 *39736:A 0 +13 *2522:5 *2637:20 0 +14 *2522:5 *2637:37 0 +15 *2522:5 *2661:19 0 +16 *2522:5 *2731:27 0 +17 *2522:5 *2931:35 0 +18 *2522:5 *3795:43 0 +19 *2522:5 *5121:34 0 +20 *2522:5 *5121:35 0 +21 *2522:5 *5123:11 0 +22 *2522:19 *2542:36 0 +23 *2522:19 *2736:34 0 +24 *2522:19 *4973:10 0 +25 *2522:19 *5038:29 0 +26 *2522:23 *2625:8 0 +27 *2522:23 *2742:28 0 +28 *2522:23 *2873:39 0 +29 *37819:A *2522:5 0 +30 *317:7 *2522:23 0 +31 *1030:8 *2522:19 0 +32 *1289:28 *2522:19 0 +33 *1307:22 *2522:5 0 +34 *2260:16 *2522:5 0 +35 *2260:27 *2522:5 0 +36 *2260:32 *2522:5 0 +37 *2260:40 *2522:5 0 +38 *2517:10 *39708:A 0 +*RES +1 *39709:X *2522:4 9.3 +2 *2522:4 *2522:5 143.464 +3 *2522:5 *2522:19 45.3287 +4 *2522:19 *2522:23 17.5 +5 *2522:23 *39708:A 21.925 +6 *2522:23 *7664:DIODE 9.3 +*END + +*D_NET *2523 0.0192605 +*CONN +*I *39361:A I *D sky130_fd_sc_hd__buf_12 +*I *7322:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39710:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *39361:A 2.56688e-05 +2 *7322:DIODE 7.22426e-05 +3 *39710:X 0.00114703 +4 *2523:66 0.00234517 +5 *2523:47 0.0050674 +6 *2523:46 0.00419825 +7 *2523:28 0.00331789 +8 *2523:13 0.00308681 +9 *2523:13 *39713:A 0 +10 *2523:13 *39716:A 0 +11 *2523:13 *2526:13 0 +12 *2523:13 *2551:42 0 +13 *2523:13 *2551:49 0 +14 *2523:13 *2554:17 0 +15 *2523:13 *2569:15 0 +16 *2523:28 *38157:A 0 +17 *2523:28 *2526:28 0 +18 *2523:28 *2640:23 0 +19 *2523:28 *2651:20 0 +20 *2523:28 *2666:24 0 +21 *2523:28 *2666:38 0 +22 *2523:28 *4934:10 0 +23 *2523:46 *7109:DIODE 0 +24 *2523:46 *39252:A 0 +25 *2523:46 *2526:28 0 +26 *2523:46 *2633:34 0 +27 *2523:47 *39197:A 0 +28 *2523:47 *39252:A 0 +29 *2523:47 *2530:47 0 +30 *2523:47 *2530:73 0 +31 *2523:47 *2553:22 0 +32 *2523:47 *2553:27 0 +33 *2523:66 *39186:A 0 +34 *2523:66 *2530:73 0 +35 *2523:66 *2553:29 0 +36 *2523:66 *2573:14 0 +37 *2523:66 *2577:20 0 +38 *2523:66 *2639:11 0 +39 *2523:66 *4935:25 0 +40 *2523:66 *4960:17 0 +41 la_data_in_core[10] *2523:28 0 +42 la_data_in_core[6] *2523:47 0 +43 la_oenb_core[7] *2523:46 0 +44 *7050:DIODE *2523:28 0 +45 *7091:DIODE *2523:47 0 +46 *38963:A *2523:47 0 +47 *38974:A *2523:47 0 +48 *38985:A *2523:47 0 +49 *335:13 *2523:28 0 +50 *1004:8 *2523:46 0 +51 *1004:8 *2523:47 0 +52 *1016:137 *2523:13 0 +53 *1021:15 *2523:66 0 +54 *2492:22 *2523:13 0 +55 *2496:23 *2523:13 0 +56 *2497:32 *2523:28 0 +57 *2502:44 *2523:28 0 +58 *2520:57 *2523:66 0 +59 *2520:66 *2523:66 0 +*RES +1 *39710:X *2523:13 46.8714 +2 *2523:13 *2523:28 45.6113 +3 *2523:28 *2523:46 38.4107 +4 *2523:46 *2523:47 58.8571 +5 *2523:47 *2523:66 38.7621 +6 *2523:66 *7322:DIODE 15.4429 +7 *2523:66 *39361:A 14.3357 +*END + +*D_NET *2524 0.0183838 +*CONN +*I *7665:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39710:A I *D sky130_fd_sc_hd__buf_6 +*I *39711:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *7665:DIODE 0 +2 *39710:A 0.000189364 +3 *39711:X 0.000459922 +4 *2524:25 0.00161787 +5 *2524:13 0.0068827 +6 *2524:11 0.0071141 +7 *2524:8 0.00211984 +8 *39710:A *2554:17 0 +9 *39710:A *2634:5 0 +10 *39710:A *2667:60 0 +11 *39710:A *4650:14 0 +12 *2524:11 *2751:39 0 +13 *2524:11 *2867:11 0 +14 *2524:11 *4952:7 0 +15 *2524:13 *39848:A 0 +16 *2524:13 *2567:31 0 +17 *2524:13 *2587:37 0 +18 *2524:13 *2593:26 0 +19 *2524:13 *2692:20 0 +20 *2524:13 *2751:39 0 +21 *2524:13 *2755:33 0 +22 *2524:13 *2755:46 0 +23 *2524:13 *2877:33 0 +24 *2524:25 *2634:5 0 +25 *2524:25 *2756:20 0 +26 *2524:25 *4650:14 0 +27 *2524:25 *4958:23 0 +28 *295:12 *2524:25 0 +29 *349:21 *2524:8 0 +30 *1002:8 *2524:25 0 +31 *1299:24 *2524:8 0 +*RES +1 *39711:X *2524:8 28.7464 +2 *2524:8 *2524:11 34.6429 +3 *2524:11 *2524:13 113.893 +4 *2524:13 *2524:25 27.508 +5 *2524:25 *39710:A 22.3357 +6 *2524:25 *7665:DIODE 9.3 +*END + +*D_NET *2525 0.0199054 +*CONN +*I *7666:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39711:A I *D sky130_fd_sc_hd__buf_4 +*I *39712:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7666:DIODE 0 +2 *39711:A 0.000183104 +3 *39712:X 0.000953725 +4 *2525:39 0.0013311 +5 *2525:23 0.00723492 +6 *2525:22 0.00766789 +7 *2525:16 0.00253469 +8 *39711:A *2637:41 0 +9 *39711:A *2693:57 0 +10 *2525:16 *2529:8 0 +11 *2525:16 *2661:34 0 +12 *2525:16 *2870:39 0 +13 *2525:16 *5134:10 0 +14 *2525:22 *2742:43 0 +15 *2525:22 *3362:21 0 +16 *2525:22 *5038:11 0 +17 *2525:23 *2555:26 0 +18 *2525:23 *2867:19 0 +19 *2525:39 *2545:19 0 +20 *2525:39 *2628:10 0 +21 *2525:39 *2637:41 0 +22 *2525:39 *2693:57 0 +23 *2525:39 *5108:16 0 +24 *336:23 *2525:16 0 +25 *340:47 *2525:16 0 +26 *347:20 *2525:23 0 +27 *2305:39 *2525:16 0 +28 *2344:59 *2525:39 0 +*RES +1 *39712:X *2525:16 40.0857 +2 *2525:16 *2525:22 42.1786 +3 *2525:22 *2525:23 127.036 +4 *2525:23 *2525:39 42.7679 +5 *2525:39 *39711:A 13.1214 +6 *2525:39 *7666:DIODE 9.3 +*END + +*D_NET *2526 0.0203106 +*CONN +*I *39360:A I *D sky130_fd_sc_hd__buf_12 +*I *7321:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39713:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *39360:A 0 +2 *7321:DIODE 0.000285184 +3 *39713:X 0.00122813 +4 *2526:73 0.000681766 +5 *2526:70 0.00211332 +6 *2526:60 0.0025132 +7 *2526:48 0.00273693 +8 *2526:38 0.00325338 +9 *2526:28 0.00379174 +10 *2526:13 0.00370697 +11 *7321:DIODE *7274:DIODE 0 +12 *7321:DIODE *2530:77 0 +13 *7321:DIODE *2577:35 0 +14 *7321:DIODE *2630:30 0 +15 *2526:13 *4932:16 0 +16 *2526:13 *4933:35 0 +17 *2526:28 *39274:A 0 +18 *2526:28 *2633:34 0 +19 *2526:28 *2640:39 0 +20 *2526:28 *2680:42 0 +21 *2526:28 *2710:70 0 +22 *2526:28 *4933:35 0 +23 *2526:38 *7231:DIODE 0 +24 *2526:38 *2530:24 0 +25 *2526:48 *2647:61 0 +26 *2526:48 *2647:65 0 +27 *2526:48 *2709:7 0 +28 *2526:48 *4960:21 0 +29 *2526:60 *2553:29 0 +30 *2526:60 *2573:14 0 +31 *2526:70 *39297:A 0 +32 *2526:70 *39299:A 0 +33 *2526:70 *2530:73 0 +34 *2526:70 *2553:29 0 +35 *2526:70 *2553:45 0 +36 *2526:70 *2573:14 0 +37 *2526:70 *2626:65 0 +38 *2526:73 *2530:77 0 +39 *2526:73 *2553:45 0 +40 *2526:73 *2577:35 0 +41 la_data_in_core[13] *2526:28 0 +42 la_data_in_core[2] *2526:48 0 +43 la_data_in_core[8] *2526:28 0 +44 mprj_adr_o_user[29] *2526:70 0 +45 *39147:A *2526:70 0 +46 *293:18 *2526:13 0 +47 *310:7 *2526:48 0 +48 *334:13 *7321:DIODE 0 +49 *335:13 *2526:28 0 +50 *376:9 *2526:28 0 +51 *1004:8 *2526:13 0 +52 *1004:8 *2526:70 0 +53 *1004:8 *2526:73 0 +54 *1007:48 *2526:48 0 +55 *1007:62 *2526:48 0 +56 *1011:131 *2526:13 0 +57 *1011:144 *2526:13 0 +58 *2453:16 *2526:13 0 +59 *2492:47 *2526:13 0 +60 *2493:32 *2526:13 0 +61 *2496:23 *2526:13 0 +62 *2497:23 *2526:28 0 +63 *2497:32 *2526:13 0 +64 *2497:32 *2526:28 0 +65 *2507:32 *2526:38 0 +66 *2520:33 *2526:38 0 +67 *2523:13 *2526:13 0 +68 *2523:28 *2526:28 0 +69 *2523:46 *2526:28 0 +*RES +1 *39713:X *2526:13 49.4786 +2 *2526:13 *2526:28 49.7478 +3 *2526:28 *2526:38 36.7679 +4 *2526:38 *2526:48 49.8929 +5 *2526:48 *2526:60 25.1304 +6 *2526:60 *2526:70 46.279 +7 *2526:70 *2526:73 12.8393 +8 *2526:73 *7321:DIODE 24.7286 +9 *2526:73 *39360:A 9.3 +*END + +*D_NET *2527 0.005457 +*CONN +*I *40575:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38432:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40575:A 0 +2 *38432:X 0.000969638 +3 *2527:19 0.00175886 +4 *2527:7 0.0027285 +5 *2527:7 *4506:11 0 +6 *2527:19 *37648:B 0 +7 *2527:19 *37674:A 0 +8 *2527:19 *37674:B 0 +9 *2527:19 *39133:A 0 +10 *2527:19 *2583:20 0 +11 *2527:19 *2738:39 0 +12 *2527:19 *3849:67 0 +13 *2527:19 *3849:81 0 +14 *2527:19 *4506:11 0 +15 *2527:19 *4506:29 0 +16 *2527:19 *4508:15 0 +17 *2527:19 *4512:12 0 +18 *2527:19 *4878:51 0 +19 la_data_in_mprj[88] *2527:7 0 +20 *6574:DIODE *2527:19 0 +21 *6854:DIODE *2527:19 0 +22 *38579:A *2527:19 0 +23 *38719:A *2527:19 0 +24 *2516:12 *2527:7 0 +*RES +1 *38432:X *2527:7 29.5679 +2 *2527:7 *2527:19 45.8393 +3 *2527:19 *40575:A 9.3 +*END + +*D_NET *2528 0.0189348 +*CONN +*I *7668:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39713:A I *D sky130_fd_sc_hd__buf_6 +*I *39714:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *7668:DIODE 0 +2 *39713:A 0.00022516 +3 *39714:X 0.0014438 +4 *2528:55 0.00265547 +5 *2528:43 0.00471611 +6 *2528:41 0.00302128 +7 *2528:27 0.00298577 +8 *2528:23 0.00234687 +9 *2528:15 0.00154037 +10 *2528:15 *38207:A 0 +11 *2528:15 *39717:A 0 +12 *2528:15 *2531:18 0 +13 *2528:15 *2532:33 0 +14 *2528:15 *2656:14 0 +15 *2528:15 *2688:29 0 +16 *2528:15 *2742:17 0 +17 *2528:15 *4953:11 0 +18 *2528:15 *5108:17 0 +19 *2528:23 *38207:A 0 +20 *2528:23 *2688:29 0 +21 *2528:23 *2754:45 0 +22 *2528:27 *2531:28 0 +23 *2528:27 *2688:29 0 +24 *2528:27 *2754:45 0 +25 *2528:27 *5057:17 0 +26 *2528:41 *39741:A 0 +27 *2528:41 *2531:28 0 +28 *2528:41 *2531:31 0 +29 *2528:41 *4946:10 0 +30 *2528:41 *5057:17 0 +31 *2528:43 *38185:A 0 +32 *2528:43 *2531:31 0 +33 *2528:43 *2587:36 0 +34 *2528:43 *5057:17 0 +35 *2528:55 *2531:50 0 +36 *2528:55 *2551:42 0 +37 *2528:55 *2557:9 0 +38 *2528:55 *2753:25 0 +39 *2528:55 *2755:29 0 +40 *2528:55 *2900:34 0 +41 *2528:55 *4645:10 0 +42 *38197:A *2528:41 0 +43 *294:19 *2528:55 0 +44 *1011:131 *39713:A 0 +45 *2193:28 *2528:27 0 +46 *2496:23 *39713:A 0 +47 *2523:13 *39713:A 0 +*RES +1 *39714:X *2528:15 48.5857 +2 *2528:15 *2528:23 11.1071 +3 *2528:23 *2528:27 46.9643 +4 *2528:27 *2528:41 24.7321 +5 *2528:41 *2528:43 47.7679 +6 *2528:43 *2528:55 36.7631 +7 *2528:55 *39713:A 23.6214 +8 *2528:55 *7668:DIODE 9.3 +*END + +*D_NET *2529 0.0207768 +*CONN +*I *7669:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39714:A I *D sky130_fd_sc_hd__buf_4 +*I *39715:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7669:DIODE 0 +2 *39714:A 0.000124066 +3 *39715:X 0.00106148 +4 *2529:31 0.00131587 +5 *2529:25 0.00308697 +6 *2529:19 0.00603682 +7 *2529:18 0.00414166 +8 *2529:16 0.00197424 +9 *2529:8 0.00303572 +10 *39714:A *2545:19 0 +11 *39714:A *2742:17 0 +12 *39714:A *5108:17 0 +13 *2529:8 *2595:16 0 +14 *2529:8 *4986:10 0 +15 *2529:16 *2737:31 0 +16 *2529:16 *2934:24 0 +17 *2529:16 *3693:8 0 +18 *2529:19 *2644:11 0 +19 *2529:19 *2737:26 0 +20 *2529:25 *39206:A 0 +21 *2529:25 *2539:27 0 +22 *2529:25 *4973:10 0 +23 *2529:31 *2532:33 0 +24 *2529:31 *2545:19 0 +25 *2529:31 *2607:32 0 +26 *2529:31 *2742:17 0 +27 *2529:31 *5108:16 0 +28 *37783:A *2529:19 0 +29 *338:21 *2529:8 0 +30 *340:47 *2529:8 0 +31 *344:12 *2529:16 0 +32 *348:73 *2529:31 0 +33 *349:10 *2529:19 0 +34 *1011:227 *2529:16 0 +35 *1290:35 *2529:19 0 +36 *1511:27 *2529:16 0 +37 *2344:23 *2529:8 0 +38 *2344:38 *2529:19 0 +39 *2525:16 *2529:8 0 +*RES +1 *39715:X *2529:8 42.4071 +2 *2529:8 *2529:16 45.9464 +3 *2529:16 *2529:18 4.5 +4 *2529:18 *2529:19 86.375 +5 *2529:19 *2529:25 48.6607 +6 *2529:25 *2529:31 34.8571 +7 *2529:31 *39714:A 11.8893 +8 *2529:31 *7669:DIODE 9.3 +*END + +*D_NET *2530 0.0195072 +*CONN +*I *7320:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39359:A I *D sky130_fd_sc_hd__buf_12 +*I *39716:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *7320:DIODE 0 +2 *39359:A 0.00016428 +3 *39716:X 0.000513406 +4 *2530:77 0.000731243 +5 *2530:73 0.00379363 +6 *2530:47 0.00485047 +7 *2530:24 0.00378109 +8 *2530:20 0.00365849 +9 *2530:8 0.00201461 +10 *39359:A *2553:45 0 +11 *39359:A *2577:35 0 +12 *2530:8 *4933:35 0 +13 *2530:20 *38157:A 0 +14 *2530:20 *2640:23 0 +15 *2530:20 *2666:38 0 +16 *2530:20 *2680:42 0 +17 *2530:20 *2710:54 0 +18 *2530:20 *4632:20 0 +19 *2530:24 *38902:A 0 +20 *2530:24 *4644:27 0 +21 *2530:47 *2553:27 0 +22 *2530:47 *2553:29 0 +23 *2530:73 *39186:A 0 +24 *2530:73 *39197:A 0 +25 *2530:73 *2553:29 0 +26 *2530:73 *2573:14 0 +27 *2530:73 *4935:25 0 +28 *2530:77 *2553:45 0 +29 *2530:77 *2577:35 0 +30 la_data_in_core[5] *2530:47 0 +31 la_oenb_core[2] *2530:73 0 +32 mprj_dat_o_user[28] *2530:77 0 +33 mprj_dat_o_user[31] *2530:73 0 +34 *7091:DIODE *2530:47 0 +35 *7321:DIODE *2530:77 0 +36 *38963:A *2530:47 0 +37 *38974:A *2530:47 0 +38 *39147:A *2530:73 0 +39 *271:27 *2530:20 0 +40 *292:12 *2530:8 0 +41 *320:21 *2530:73 0 +42 *334:13 *2530:77 0 +43 *335:13 *2530:8 0 +44 *343:7 *2530:47 0 +45 *354:11 *2530:24 0 +46 *1004:8 *2530:73 0 +47 *1011:131 *2530:8 0 +48 *2507:32 *2530:24 0 +49 *2520:33 *2530:24 0 +50 *2523:47 *2530:47 0 +51 *2523:47 *2530:73 0 +52 *2523:66 *2530:73 0 +53 *2526:38 *2530:24 0 +54 *2526:70 *2530:73 0 +55 *2526:73 *2530:77 0 +*RES +1 *39716:X *2530:8 29.9607 +2 *2530:8 *2530:20 49.5714 +3 *2530:20 *2530:24 49.6071 +4 *2530:24 *2530:47 48.0893 +5 *2530:47 *2530:73 48.985 +6 *2530:73 *2530:77 16.4911 +7 *2530:77 *39359:A 12.7286 +8 *2530:77 *7320:DIODE 9.3 +*END + +*D_NET *2531 0.0188367 +*CONN +*I *7671:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39716:A I *D sky130_fd_sc_hd__buf_6 +*I *39717:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *7671:DIODE 0 +2 *39716:A 0.000238908 +3 *39717:X 0.00159483 +4 *2531:50 0.00251613 +5 *2531:31 0.00549395 +6 *2531:30 0.00321673 +7 *2531:28 0.00209068 +8 *2531:18 0.00368551 +9 *39716:A *2551:42 0 +10 *2531:18 *2584:11 0 +11 *2531:18 *2601:14 0 +12 *2531:18 *2625:32 0 +13 *2531:18 *4953:11 0 +14 *2531:18 *5108:17 0 +15 *2531:18 *5120:37 0 +16 *2531:28 *2754:45 0 +17 *2531:28 *2759:47 0 +18 *2531:28 *4946:10 0 +19 *2531:31 *38185:A 0 +20 *2531:31 *39759:A 0 +21 *2531:31 *39827:A 0 +22 *2531:31 *2578:18 0 +23 *2531:31 *2579:23 0 +24 *2531:31 *2587:36 0 +25 *2531:31 *2754:20 0 +26 *2531:31 *2754:37 0 +27 *2531:31 *5039:38 0 +28 *2531:31 *5057:17 0 +29 *2531:31 *5057:38 0 +30 *2531:50 *37929:A 0 +31 *2531:50 *39772:A 0 +32 *2531:50 *2551:42 0 +33 *2531:50 *2557:9 0 +34 *2531:50 *2582:20 0 +35 *2531:50 *2687:11 0 +36 *2531:50 *2755:29 0 +37 *2531:50 *2757:14 0 +38 *2531:50 *4958:23 0 +39 *38197:A *2531:28 0 +40 *38197:A *2531:31 0 +41 *293:18 *2531:50 0 +42 *295:12 *2531:50 0 +43 *311:33 *2531:28 0 +44 *333:42 *2531:50 0 +45 *2453:11 *39716:A 0 +46 *2492:22 *2531:50 0 +47 *2500:37 *39716:A 0 +48 *2523:13 *39716:A 0 +49 *2528:15 *2531:18 0 +50 *2528:27 *2531:28 0 +51 *2528:41 *2531:28 0 +52 *2528:41 *2531:31 0 +53 *2528:43 *2531:31 0 +54 *2528:55 *2531:50 0 +*RES +1 *39717:X *2531:18 44.8883 +2 *2531:18 *2531:28 48.4464 +3 *2531:28 *2531:30 4.5 +4 *2531:30 *2531:31 67.0714 +5 *2531:31 *2531:50 36.1673 +6 *2531:50 *39716:A 27.8893 +7 *2531:50 *7671:DIODE 13.8 +*END + +*D_NET *2532 0.0186405 +*CONN +*I *39717:A I *D sky130_fd_sc_hd__buf_4 +*I *7672:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39718:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39717:A 0.000156152 +2 *7672:DIODE 0 +3 *39718:X 0.000449922 +4 *2532:33 0.00260089 +5 *2532:31 0.00246527 +6 *2532:29 0.00374443 +7 *2532:28 0.00395626 +8 *2532:19 0.00252501 +9 *2532:14 0.00274257 +10 *39717:A *4953:11 0 +11 *2532:14 *3668:20 0 +12 *2532:14 *4690:15 0 +13 *2532:19 *2535:22 0 +14 *2532:19 *2552:5 0 +15 *2532:19 *2843:35 0 +16 *2532:19 *5121:35 0 +17 *2532:28 *2637:14 0 +18 *2532:28 *3795:28 0 +19 *2532:28 *4976:12 0 +20 *2532:29 *5109:21 0 +21 *2532:33 *39802:A 0 +22 *2532:33 *2545:19 0 +23 *2532:33 *2656:14 0 +24 *2532:33 *2742:17 0 +25 *2532:33 *2742:29 0 +26 *2532:33 *5108:16 0 +27 *2532:33 *5108:17 0 +28 *2260:27 *2532:19 0 +29 *2528:15 *39717:A 0 +30 *2528:15 *2532:33 0 +31 *2529:31 *2532:33 0 +*RES +1 *39718:X *2532:14 28.5321 +2 *2532:14 *2532:19 47.9107 +3 *2532:19 *2532:28 14.3393 +4 *2532:28 *2532:29 77.75 +5 *2532:29 *2532:31 0.428571 +6 *2532:31 *2532:33 51.0536 +7 *2532:33 *7672:DIODE 9.3 +8 *2532:33 *39717:A 12.6214 +*END + +*D_NET *2533 0.0194745 +*CONN +*I *7319:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39358:A I *D sky130_fd_sc_hd__buf_12 +*I *39719:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *7319:DIODE 0 +2 *39358:A 0.000195314 +3 *39719:X 0.00173992 +4 *2533:65 0.00047382 +5 *2533:62 0.00168525 +6 *2533:47 0.00430561 +7 *2533:46 0.0042652 +8 *2533:34 0.00321789 +9 *2533:19 0.00359146 +10 *39358:A *2577:37 0 +11 *39358:A *2657:33 0 +12 *2533:19 *39725:A 0 +13 *2533:19 *39811:A 0 +14 *2533:19 *2540:5 0 +15 *2533:19 *2554:32 0 +16 *2533:19 *2569:15 0 +17 *2533:19 *2604:20 0 +18 *2533:19 *2636:29 0 +19 *2533:19 *4666:31 0 +20 *2533:19 *4666:47 0 +21 *2533:34 *39263:A 0 +22 *2533:34 *2566:13 0 +23 *2533:34 *2619:9 0 +24 *2533:34 *2626:58 0 +25 *2533:34 *2643:17 0 +26 *2533:34 *4985:31 0 +27 *2533:46 *2641:9 0 +28 *2533:47 *2641:9 0 +29 *2533:62 *2540:5 0 +30 *2533:62 *2562:7 0 +31 *2533:62 *2592:24 0 +32 *2533:62 *2619:9 0 +33 *2533:62 *2622:14 0 +34 *2533:62 *2630:30 0 +35 *2533:62 *2632:46 0 +36 *2533:62 *2641:20 0 +37 *2533:62 *2662:12 0 +38 *2533:65 *2657:33 0 +39 mprj_adr_o_user[26] *39358:A 0 +40 *323:13 *39358:A 0 +41 *334:13 *2533:62 0 +42 *343:7 *2533:34 0 +43 *1002:8 *2533:34 0 +44 *2496:16 *2533:19 0 +45 *2497:12 *2533:46 0 +46 *2504:39 *2533:34 0 +47 *2515:25 *2533:19 0 +*RES +1 *39719:X *2533:19 46.0143 +2 *2533:19 *2533:34 46.462 +3 *2533:34 *2533:46 42.4286 +4 *2533:46 *2533:47 60.5 +5 *2533:47 *2533:62 49.3696 +6 *2533:62 *2533:65 10.375 +7 *2533:65 *39358:A 22.4607 +8 *2533:65 *7319:DIODE 9.3 +*END + +*D_NET *2534 0.018576 +*CONN +*I *7674:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39719:A I *D sky130_fd_sc_hd__buf_6 +*I *39720:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *7674:DIODE 7.7473e-06 +2 *39719:A 0.000186356 +3 *39720:X 0.000808567 +4 *2534:36 0.00160251 +5 *2534:27 0.00351462 +6 *2534:20 0.0022651 +7 *2534:13 0.00321517 +8 *2534:11 0.00461182 +9 *2534:7 0.00236409 +10 *39719:A *2554:32 0 +11 *39719:A *2626:25 0 +12 *39719:A *4666:47 0 +13 *2534:7 *39726:A 0 +14 *2534:7 *2637:55 0 +15 *2534:7 *2731:26 0 +16 *2534:11 *39726:A 0 +17 *2534:11 *2541:11 0 +18 *2534:11 *2637:55 0 +19 *2534:13 *39862:A 0 +20 *2534:13 *2537:19 0 +21 *2534:13 *2541:11 0 +22 *2534:13 *2541:15 0 +23 *2534:13 *2541:26 0 +24 *2534:13 *2759:15 0 +25 *2534:20 *2754:37 0 +26 *2534:27 *2557:9 0 +27 *2534:27 *2620:9 0 +28 *2534:27 *2653:11 0 +29 *2534:27 *2707:25 0 +30 *2534:27 *2754:9 0 +31 *2534:27 *2758:19 0 +32 *2534:27 *5055:29 0 +33 *2534:27 *5055:31 0 +34 *2534:36 *39779:A 0 +35 *2534:36 *2541:46 0 +36 *2534:36 *2547:47 0 +37 *2534:36 *2578:34 0 +38 *2534:36 *2626:25 0 +39 *2534:36 *2702:34 0 +40 *2534:36 *2775:30 0 +41 *2534:36 *4666:47 0 +42 *291:15 *2534:36 0 +43 *298:11 *2534:20 0 +44 *1375:44 *2534:20 0 +45 *2498:32 *2534:36 0 +46 *2515:25 *39719:A 0 +*RES +1 *39720:X *2534:7 26.175 +2 *2534:7 *2534:11 32.5893 +3 *2534:11 *2534:13 63.7857 +4 *2534:13 *2534:20 12.6071 +5 *2534:20 *2534:27 48.971 +6 *2534:27 *2534:36 42.7136 +7 *2534:36 *39719:A 18.2554 +8 *2534:36 *7674:DIODE 14.0768 +*END + +*D_NET *2535 0.0188168 +*CONN +*I *7675:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39720:A I *D sky130_fd_sc_hd__buf_4 +*I *39721:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7675:DIODE 0 +2 *39720:A 0.000196189 +3 *39721:X 0.000910524 +4 *2535:31 0.00106978 +5 *2535:23 0.00693784 +6 *2535:22 0.00742808 +7 *2535:10 0.00227435 +8 *39720:A *2637:53 0 +9 *39720:A *2637:55 0 +10 *39720:A *2677:61 0 +11 *39720:A *2731:26 0 +12 *2535:10 *37849:A 0 +13 *2535:10 *3362:14 0 +14 *2535:10 *3362:21 0 +15 *2535:10 *5124:23 0 +16 *2535:22 *39736:A 0 +17 *2535:22 *2848:13 0 +18 *2535:22 *2863:46 0 +19 *2535:22 *5121:35 0 +20 *2535:23 *2552:5 0 +21 *2535:23 *2637:37 0 +22 *2535:23 *2637:41 0 +23 *2535:23 *2637:53 0 +24 *2535:23 *2693:40 0 +25 *2535:31 *2637:53 0 +26 *2535:31 *2677:61 0 +27 *2535:31 *2693:57 0 +28 *37819:A *2535:23 0 +29 *342:50 *39720:A 0 +30 *347:50 *39720:A 0 +31 *2193:21 *2535:23 0 +32 *2225:28 *2535:10 0 +33 *2260:16 *2535:23 0 +34 *2260:27 *2535:22 0 +35 *2260:27 *2535:23 0 +36 *2292:14 *2535:10 0 +37 *2329:52 *2535:10 0 +38 *2420:76 *2535:10 0 +39 *2532:19 *2535:22 0 +*RES +1 *39721:X *2535:10 38.6393 +2 *2535:10 *2535:22 41.0156 +3 *2535:22 *2535:23 126.625 +4 *2535:23 *2535:31 18.3571 +5 *2535:31 *39720:A 22.4786 +6 *2535:31 *7675:DIODE 9.3 +*END + +*D_NET *2536 0.0181267 +*CONN +*I *7318:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39357:A I *D sky130_fd_sc_hd__buf_12 +*I *39722:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *7318:DIODE 2.35958e-05 +2 *39357:A 0.000480793 +3 *39722:X 8.56922e-05 +4 *2536:20 0.00190142 +5 *2536:13 0.00574917 +6 *2536:11 0.00437268 +7 *2536:9 0.0027241 +8 *2536:8 0.00278926 +9 *39357:A *39838:A 0 +10 *39357:A *2650:25 0 +11 *39357:A *2665:16 0 +12 *39357:A *2709:21 0 +13 *2536:8 *2634:17 0 +14 *2536:8 *2687:24 0 +15 *2536:9 *39816:A 0 +16 *2536:9 *2544:48 0 +17 *2536:9 *2643:17 0 +18 *2536:9 *5042:10 0 +19 *2536:9 *5042:25 0 +20 *2536:9 *5046:25 0 +21 *2536:11 *2641:7 0 +22 *2536:13 *2556:11 0 +23 *2536:13 *2641:7 0 +24 *2536:13 *2641:9 0 +25 *2536:13 *2653:34 0 +26 *2536:20 *2556:11 0 +27 *2536:20 *2588:9 0 +28 *2536:20 *2635:25 0 +29 *2536:20 *2641:21 0 +30 *2536:20 *2669:60 0 +31 *282:9 *2536:8 0 +32 *323:13 *2536:20 0 +33 *1018:11 *2536:20 0 +34 *2492:22 *2536:9 0 +35 *2515:61 *2536:13 0 +*RES +1 *39722:X *2536:8 20.2464 +2 *2536:8 *2536:9 56.3929 +3 *2536:9 *2536:11 0.428571 +4 *2536:11 *2536:13 90.8929 +5 *2536:13 *2536:20 34.4107 +6 *2536:20 *39357:A 24.2107 +7 *2536:20 *7318:DIODE 14.3357 +*END + +*D_NET *2537 0.0185927 +*CONN +*I *7677:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39722:A I *D sky130_fd_sc_hd__buf_6 +*I *39723:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *7677:DIODE 0 +2 *39722:A 0.000143745 +3 *39723:X 9.90829e-05 +4 *2537:30 0.00146426 +5 *2537:19 0.00719396 +6 *2537:18 0.007733 +7 *2537:8 0.00195864 +8 *39722:A *4666:47 0 +9 *2537:8 *2539:46 0 +10 *2537:8 *2736:18 0 +11 *2537:18 *7744:DIODE 0 +12 *2537:18 *39812:A 0 +13 *2537:18 *2541:11 0 +14 *2537:18 *2590:44 0 +15 *2537:18 *2610:30 0 +16 *2537:18 *2637:55 0 +17 *2537:18 *2693:94 0 +18 *2537:18 *2693:95 0 +19 *2537:19 *2541:40 0 +20 *2537:19 *2589:7 0 +21 *2537:19 *2759:13 0 +22 *2537:19 *2759:15 0 +23 *2537:19 *4936:19 0 +24 *2537:30 *39808:A 0 +25 *2537:30 *2559:26 0 +26 *2537:30 *2778:51 0 +27 *2537:30 *5055:31 0 +28 *7644:DIODE *2537:19 0 +29 *282:9 *2537:30 0 +30 *331:11 *2537:30 0 +31 *2489:33 *2537:19 0 +32 *2502:44 *2537:30 0 +33 *2515:25 *39722:A 0 +34 *2534:13 *2537:19 0 +*RES +1 *39723:X *2537:8 20.55 +2 *2537:8 *2537:18 47.9821 +3 *2537:18 *2537:19 122.518 +4 *2537:19 *2537:30 46.7143 +5 *2537:30 *39722:A 12.3 +6 *2537:30 *7677:DIODE 9.3 +*END + +*D_NET *2538 0.0140458 +*CONN +*I *8298:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40572:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38433:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *8298:DIODE 0.000140944 +2 *40572:A 4.53482e-05 +3 *38433:X 0.00634064 +4 *2538:20 0.000682237 +5 *2538:19 0.00683658 +6 *8298:DIODE *3309:23 0 +7 *40572:A *3302:11 0 +8 *40572:A *3311:37 0 +9 *2538:19 *3041:11 0 +10 *2538:19 *3134:45 0 +11 *2538:19 *3248:42 0 +12 *2538:19 *3500:20 0 +13 *2538:19 *3500:32 0 +14 *2538:19 *3523:54 0 +15 *2538:19 *3788:40 0 +16 *2538:19 *4204:50 0 +17 *2538:19 *4253:72 0 +18 *2538:19 *4502:15 0 +19 *2538:19 *4507:27 0 +20 *2538:19 *4875:36 0 +21 *629:7 *2538:19 0 +22 *1236:19 *2538:19 0 +23 *2072:48 *2538:19 0 +24 *2405:40 *2538:19 0 +*RES +1 *38433:X *2538:19 43.8641 +2 *2538:19 *2538:20 11.2054 +3 *2538:20 *40572:A 14.7464 +4 *2538:20 *8298:DIODE 16.9071 +*END + +*D_NET *2539 0.0228771 +*CONN +*I *39723:A I *D sky130_fd_sc_hd__buf_4 +*I *7678:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39724:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39723:A 2.78078e-05 +2 *7678:DIODE 0.000139156 +3 *39724:X 0.00147104 +4 *2539:46 0.00305542 +5 *2539:27 0.00556785 +6 *2539:25 0.00477225 +7 *2539:22 0.00225874 +8 *2539:17 0.00213981 +9 *2539:14 0.00344497 +10 *2539:14 *39893:A 0 +11 *2539:14 *2602:34 0 +12 *2539:14 *2724:8 0 +13 *2539:14 *2728:16 0 +14 *2539:14 *5122:13 0 +15 *2539:17 *3324:55 0 +16 *2539:17 *4689:5 0 +17 *2539:22 *38956:A 0 +18 *2539:22 *2851:22 0 +19 *2539:25 *2737:29 0 +20 *2539:25 *5041:9 0 +21 *2539:27 *4674:7 0 +22 *2539:46 *39201:A 0 +23 *2539:46 *2552:28 0 +24 *2539:46 *2555:36 0 +25 *2539:46 *2606:10 0 +26 *2539:46 *2607:32 0 +27 *2539:46 *2625:32 0 +28 *2539:46 *2656:18 0 +29 *2539:46 *2676:13 0 +30 *2539:46 *2731:12 0 +31 *314:19 *2539:46 0 +32 *333:42 *2539:46 0 +33 *338:52 *39723:A 0 +34 *339:8 *2539:46 0 +35 *342:40 *2539:46 0 +36 *344:29 *2539:46 0 +37 *1002:8 *2539:46 0 +38 *1953:40 *39723:A 0 +39 *2293:13 *2539:14 0 +40 *2300:16 *2539:14 0 +41 *2329:64 *2539:14 0 +42 *2482:19 *2539:25 0 +43 *2482:19 *2539:27 0 +44 *2529:25 *2539:27 0 +45 *2537:8 *2539:46 0 +*RES +1 *39724:X *2539:14 47.3357 +2 *2539:14 *2539:17 45.6964 +3 *2539:17 *2539:22 12.7679 +4 *2539:22 *2539:25 43.6786 +5 *2539:25 *2539:27 55.9821 +6 *2539:27 *2539:46 48.5985 +7 *2539:46 *7678:DIODE 16.9607 +8 *2539:46 *39723:A 14.3804 +*END + +*D_NET *2540 0.0177516 +*CONN +*I *39356:A I *D sky130_fd_sc_hd__buf_12 +*I *7317:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39725:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *39356:A 0.000133881 +2 *7317:DIODE 0 +3 *39725:X 0 +4 *2540:12 0.00152641 +5 *2540:5 0.00874193 +6 *2540:4 0.0073494 +7 *39356:A *2633:67 0 +8 *39356:A *2654:25 0 +9 *39356:A *2665:16 0 +10 *2540:5 *7703:DIODE 0 +11 *2540:5 *39745:A 0 +12 *2540:5 *39751:A 0 +13 *2540:5 *39768:A 0 +14 *2540:5 *39775:A 0 +15 *2540:5 *39799:A 0 +16 *2540:5 *39811:A 0 +17 *2540:5 *2562:7 0 +18 *2540:5 *2568:9 0 +19 *2540:5 *2569:15 0 +20 *2540:5 *2604:20 0 +21 *2540:5 *2623:57 0 +22 *2540:5 *2624:28 0 +23 *2540:5 *2635:7 0 +24 *2540:5 *2635:11 0 +25 *2540:5 *2635:13 0 +26 *2540:5 *2635:22 0 +27 *2540:5 *2636:29 0 +28 *2540:5 *4659:29 0 +29 *2540:5 *4669:17 0 +30 *2540:12 *39841:A 0 +31 *2540:12 *2543:45 0 +32 *2540:12 *2562:7 0 +33 *2540:12 *2568:9 0 +34 *2540:12 *2585:30 0 +35 *2540:12 *2596:5 0 +36 *2540:12 *2633:67 0 +37 *2540:12 *2646:40 0 +38 *2540:12 *2668:8 0 +39 *2540:12 *2669:67 0 +40 mprj_dat_o_user[24] *2540:12 0 +41 *1013:31 *2540:12 0 +42 *1016:15 *2540:12 0 +43 *2533:19 *2540:5 0 +44 *2533:62 *2540:5 0 +*RES +1 *39725:X *2540:4 9.3 +2 *2540:4 *2540:5 153.321 +3 *2540:5 *2540:12 34.4821 +4 *2540:12 *7317:DIODE 13.8 +5 *2540:12 *39356:A 16.7107 +*END + +*D_NET *2541 0.0189511 +*CONN +*I *7680:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39725:A I *D sky130_fd_sc_hd__buf_6 +*I *39726:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *7680:DIODE 5.65203e-05 +2 *39725:A 0.000254535 +3 *39726:X 0.00181457 +4 *2541:50 0.00172548 +5 *2541:46 0.00239802 +6 *2541:40 0.00190459 +7 *2541:26 0.0026864 +8 *2541:15 0.00403089 +9 *2541:11 0.00408005 +10 *7680:DIODE *2554:32 0 +11 *7680:DIODE *2894:34 0 +12 *39725:A *2894:34 0 +13 *2541:11 *7744:DIODE 0 +14 *2541:11 *39812:A 0 +15 *2541:11 *39862:A 0 +16 *2541:11 *2610:30 0 +17 *2541:11 *2613:15 0 +18 *2541:11 *2637:55 0 +19 *2541:11 *2693:95 0 +20 *2541:11 *2877:33 0 +21 *2541:15 *2590:45 0 +22 *2541:15 *2692:16 0 +23 *2541:15 *5055:16 0 +24 *2541:26 *2590:45 0 +25 *2541:26 *2759:15 0 +26 *2541:26 *2777:31 0 +27 *2541:26 *4936:8 0 +28 *2541:40 *2754:9 0 +29 *2541:40 *2759:15 0 +30 *2541:40 *2900:34 0 +31 *2541:40 *5055:31 0 +32 *2541:46 *37913:A 0 +33 *2541:46 *2702:34 0 +34 *2541:46 *2897:20 0 +35 *2541:46 *4927:8 0 +36 *2541:50 *2582:24 0 +37 *2541:50 *2586:20 0 +38 *2541:50 *2653:17 0 +39 *2541:50 *2707:41 0 +40 *2541:50 *2894:34 0 +41 *271:27 *39725:A 0 +42 *271:27 *2541:50 0 +43 *325:34 *2541:11 0 +44 *325:34 *2541:15 0 +45 *2515:25 *39725:A 0 +46 *2533:19 *39725:A 0 +47 *2534:11 *2541:11 0 +48 *2534:13 *2541:11 0 +49 *2534:13 *2541:15 0 +50 *2534:13 *2541:26 0 +51 *2534:36 *2541:46 0 +52 *2537:18 *2541:11 0 +53 *2537:19 *2541:40 0 +*RES +1 *39726:X *2541:11 47.2643 +2 *2541:11 *2541:15 47.375 +3 *2541:15 *2541:26 46.3393 +4 *2541:26 *2541:40 37.4821 +5 *2541:40 *2541:46 29.6607 +6 *2541:46 *2541:50 34.7589 +7 *2541:50 *39725:A 19.5411 +8 *2541:50 *7680:DIODE 15.2196 +*END + +*D_NET *2542 0.0192184 +*CONN +*I *7681:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39726:A I *D sky130_fd_sc_hd__buf_4 +*I *39727:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7681:DIODE 0 +2 *39726:A 0.000124921 +3 *39727:X 0.000525822 +4 *2542:36 0.00737121 +5 *2542:19 0.00895846 +6 *2542:10 0.002238 +7 *39726:A *2637:55 0 +8 *2542:10 *2602:34 0 +9 *2542:10 *2725:65 0 +10 *2542:10 *2728:16 0 +11 *2542:19 *2595:23 0 +12 *2542:19 *2853:15 0 +13 *2542:19 *5122:13 0 +14 *2542:19 *5134:22 0 +15 *2542:36 *2570:49 0 +16 *2542:36 *2677:61 0 +17 *2542:36 *2736:34 0 +18 *2542:36 *2848:13 0 +19 *2542:36 *2851:22 0 +20 *2542:36 *2863:26 0 +21 *2542:36 *4672:29 0 +22 *2542:36 *5109:32 0 +23 *2542:36 *5120:24 0 +24 *2542:36 *5120:37 0 +25 *326:13 *2542:36 0 +26 *338:36 *2542:19 0 +27 *1009:92 *2542:36 0 +28 *1030:8 *2542:36 0 +29 *1293:27 *2542:19 0 +30 *1307:22 *2542:36 0 +31 *2253:31 *2542:36 0 +32 *2266:49 *2542:10 0 +33 *2281:15 *2542:19 0 +34 *2298:11 *2542:10 0 +35 *2522:19 *2542:36 0 +36 *2534:7 *39726:A 0 +37 *2534:11 *39726:A 0 +*RES +1 *39727:X *2542:10 30.1929 +2 *2542:10 *2542:19 49.4643 +3 *2542:19 *2542:36 40.1177 +4 *2542:36 *39726:A 11.9071 +5 *2542:36 *7681:DIODE 9.3 +*END + +*D_NET *2543 0.01948 +*CONN +*I *7316:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39355:A I *D sky130_fd_sc_hd__buf_12 +*I *39728:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *7316:DIODE 0 +2 *39355:A 0.000330871 +3 *39728:X 0.00205653 +4 *2543:45 0.00101149 +5 *2543:41 0.0023653 +6 *2543:32 0.00280497 +7 *2543:21 0.00425231 +8 *2543:19 0.003867 +9 *2543:14 0.00279151 +10 *39355:A *2603:5 0 +11 *39355:A *2675:19 0 +12 *2543:14 *2574:64 0 +13 *2543:14 *2658:14 0 +14 *2543:19 *2686:5 0 +15 *2543:21 *7808:DIODE 0 +16 *2543:21 *2565:29 0 +17 *2543:21 *2577:20 0 +18 *2543:21 *2581:24 0 +19 *2543:21 *2645:16 0 +20 *2543:21 *2669:54 0 +21 *2543:21 *2686:5 0 +22 *2543:21 *2686:17 0 +23 *2543:32 *2565:29 0 +24 *2543:32 *2592:24 0 +25 *2543:32 *2622:14 0 +26 *2543:32 *2658:14 0 +27 *2543:41 *2669:67 0 +28 *2543:41 *2675:9 0 +29 *2543:45 *2603:5 0 +30 *324:11 *39355:A 0 +31 *329:17 *2543:32 0 +32 *901:8 *2543:14 0 +33 *901:8 *2543:32 0 +34 *1010:25 *2543:45 0 +35 *1010:41 *2543:32 0 +36 *1011:107 *2543:14 0 +37 *1015:28 *39355:A 0 +38 *1016:15 *2543:45 0 +39 *1016:39 *2543:32 0 +40 *1023:31 *2543:21 0 +41 *1023:34 *2543:19 0 +42 *1024:36 *2543:14 0 +43 *1025:40 *2543:14 0 +44 *1026:32 *2543:14 0 +45 *1028:10 *2543:41 0 +46 *1028:22 *2543:41 0 +47 *1028:31 *2543:32 0 +48 *2540:12 *2543:45 0 +*RES +1 *39728:X *2543:14 49.2652 +2 *2543:14 *2543:19 19.8393 +3 *2543:19 *2543:21 65.4286 +4 *2543:21 *2543:32 24.6802 +5 *2543:32 *2543:41 48.7679 +6 *2543:41 *2543:45 19.0714 +7 *2543:45 *39355:A 25.6571 +8 *2543:45 *7316:DIODE 9.3 +*END + +*D_NET *2544 0.018728 +*CONN +*I *7683:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39728:A I *D sky130_fd_sc_hd__buf_6 +*I *39729:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7683:DIODE 0 +2 *39728:A 0.000176085 +3 *39729:X 0.00108929 +4 *2544:53 0.00025454 +5 *2544:48 0.00179279 +6 *2544:33 0.00400014 +7 *2544:31 0.00389597 +8 *2544:15 0.00402004 +9 *2544:14 0.00349916 +10 *39728:A *2574:40 0 +11 *2544:14 *38203:A 0 +12 *2544:14 *2555:36 0 +13 *2544:14 *2593:17 0 +14 *2544:14 *2644:54 0 +15 *2544:14 *2659:13 0 +16 *2544:15 *38203:A 0 +17 *2544:15 *39818:A 0 +18 *2544:15 *2566:11 0 +19 *2544:15 *2593:17 0 +20 *2544:15 *2636:17 0 +21 *2544:15 *2644:55 0 +22 *2544:15 *2659:13 0 +23 *2544:15 *2659:37 0 +24 *2544:31 *2566:11 0 +25 *2544:31 *2587:36 0 +26 *2544:31 *2636:17 0 +27 *2544:31 *2659:47 0 +28 *2544:33 *2566:11 0 +29 *2544:33 *2566:13 0 +30 *2544:33 *2636:17 0 +31 *2544:33 *2643:17 0 +32 *2544:48 *2636:17 0 +33 *2544:48 *2643:17 0 +34 *2544:53 *2574:40 0 +35 *2544:53 *2669:26 0 +36 *39703:A *2544:31 0 +37 *301:7 *2544:31 0 +38 *2515:18 *2544:33 0 +39 *2517:23 *2544:14 0 +40 *2517:34 *2544:15 0 +41 *2517:35 *2544:15 0 +42 *2517:35 *2544:31 0 +43 *2536:9 *2544:48 0 +*RES +1 *39729:X *2544:14 41.2821 +2 *2544:14 *2544:15 50.2321 +3 *2544:15 *2544:31 43.125 +4 *2544:31 *2544:33 47.7679 +5 *2544:33 *2544:48 49.9375 +6 *2544:48 *2544:53 6.33036 +7 *2544:53 *39728:A 22.2107 +8 *2544:53 *7683:DIODE 9.3 +*END + +*D_NET *2545 0.0189225 +*CONN +*I *7684:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39729:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39730:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7684:DIODE 0 +2 *39729:A 0.000143745 +3 *39730:X 0.00457161 +4 *2545:34 0.00118555 +5 *2545:27 0.00231632 +6 *2545:19 0.00370406 +7 *2545:18 0.00242955 +8 *2545:16 0.00457161 +9 *39729:A *2555:36 0 +10 *39729:A *2593:17 0 +11 *2545:16 *2677:7 0 +12 *2545:16 *2736:46 0 +13 *2545:16 *3778:20 0 +14 *2545:16 *3795:43 0 +15 *2545:16 *5038:28 0 +16 *2545:16 *5118:22 0 +17 *2545:19 *39802:A 0 +18 *2545:19 *39833:A 0 +19 *2545:19 *2661:41 0 +20 *2545:19 *2742:29 0 +21 *2545:19 *5108:16 0 +22 *2545:19 *5108:17 0 +23 *2545:27 *2548:45 0 +24 *2545:27 *2555:29 0 +25 *2545:27 *2593:11 0 +26 *2545:27 *2867:11 0 +27 *2545:27 *2881:43 0 +28 *2545:34 *2548:45 0 +29 *2545:34 *2548:59 0 +30 *2545:34 *2601:28 0 +31 *2545:34 *2625:32 0 +32 *2545:34 *2881:43 0 +33 *37817:A *2545:19 0 +34 *39714:A *2545:19 0 +35 *319:5 *2545:16 0 +36 *346:36 *2545:27 0 +37 *1005:86 *2545:34 0 +38 *1030:8 *2545:16 0 +39 *1031:52 *2545:16 0 +40 *2256:22 *2545:16 0 +41 *2274:23 *2545:16 0 +42 *2282:23 *2545:16 0 +43 *2298:19 *2545:16 0 +44 *2525:39 *2545:19 0 +45 *2529:31 *2545:19 0 +46 *2532:33 *2545:19 0 +*RES +1 *39730:X *2545:16 46.0829 +2 *2545:16 *2545:18 4.5 +3 *2545:18 *2545:19 50.6429 +4 *2545:19 *2545:27 35.7321 +5 *2545:27 *2545:34 31.1607 +6 *2545:34 *39729:A 12.3 +7 *2545:34 *7684:DIODE 9.3 +*END + +*D_NET *2546 0.0185823 +*CONN +*I *7315:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39354:A I *D sky130_fd_sc_hd__buf_12 +*I *39731:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *7315:DIODE 9.90829e-05 +2 *39354:A 0.000195672 +3 *39731:X 0.0016834 +4 *2546:53 0.00173251 +5 *2546:44 0.00337033 +6 *2546:37 0.00373321 +7 *2546:30 0.00394265 +8 *2546:20 0.00382541 +9 *7315:DIODE *2635:32 0 +10 *39354:A *2646:59 0 +11 *39354:A *2654:25 0 +12 *39354:A *2657:62 0 +13 *2546:20 *39263:A 0 +14 *2546:20 *2633:34 0 +15 *2546:20 *2639:11 0 +16 *2546:20 *2651:20 0 +17 *2546:20 *2710:70 0 +18 *2546:20 *4644:20 0 +19 *2546:30 *39208:A 0 +20 *2546:30 *2633:35 0 +21 *2546:30 *2642:24 0 +22 *2546:30 *2642:34 0 +23 *2546:30 *2663:40 0 +24 *2546:37 *2642:34 0 +25 *2546:37 *2642:43 0 +26 *2546:44 *7272:DIODE 0 +27 *2546:44 *39294:A 0 +28 *2546:44 *2633:56 0 +29 *2546:44 *2633:67 0 +30 *2546:44 *2645:25 0 +31 *2546:44 *2662:12 0 +32 *2546:44 *2666:63 0 +33 *2546:53 *2599:18 0 +34 *2546:53 *2639:11 0 +35 *2546:53 *2639:13 0 +36 *2546:53 *2642:47 0 +37 *2546:53 *2654:20 0 +38 *2546:53 *2657:33 0 +39 *2546:53 *2657:62 0 +40 la_oenb_core[3] *2546:30 0 +41 la_oenb_core[4] *2546:30 0 +42 mprj_dat_o_user[22] *7315:DIODE 0 +43 mprj_dat_o_user[23] *2546:53 0 +44 *39219:A *2546:30 0 +45 *376:9 *2546:20 0 +46 *1031:28 *2546:20 0 +47 *2518:59 *2546:30 0 +48 *2520:33 *2546:30 0 +49 *2520:57 *2546:30 0 +*RES +1 *39731:X *2546:20 44.9862 +2 *2546:20 *2546:30 49.4196 +3 *2546:30 *2546:37 42.1875 +4 *2546:37 *2546:44 48.3475 +5 *2546:44 *2546:53 27.4577 +6 *2546:53 *39354:A 17.9429 +7 *2546:53 *7315:DIODE 16.05 +*END + +*D_NET *2547 0.0194176 +*CONN +*I *7685:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39731:A I *D sky130_fd_sc_hd__buf_6 +*I *39732:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7685:DIODE 0 +2 *39731:A 0.000165136 +3 *39732:X 4.55793e-05 +4 *2547:58 0.000766806 +5 *2547:47 0.00337891 +6 *2547:38 0.00435572 +7 *2547:21 0.00327937 +8 *2547:9 0.0045407 +9 *2547:8 0.0028854 +10 *2547:9 *5057:17 0 +11 *2547:21 *2584:24 0 +12 *2547:21 *2623:23 0 +13 *2547:21 *2676:13 0 +14 *2547:38 *2566:13 0 +15 *2547:38 *2584:30 0 +16 *2547:38 *2756:20 0 +17 *2547:38 *4656:17 0 +18 *2547:38 *4958:23 0 +19 *2547:47 *2586:7 0 +20 *2547:47 *2586:15 0 +21 *2547:47 *2592:15 0 +22 *2547:47 *2600:20 0 +23 *2547:47 *2626:25 0 +24 *2547:47 *2626:48 0 +25 *2547:47 *4666:31 0 +26 *2547:58 *5795:DIODE 0 +27 *2547:58 *2574:40 0 +28 *291:15 *2547:47 0 +29 *387:5 *2547:58 0 +30 *1002:8 *2547:47 0 +31 *1005:46 *2547:58 0 +32 *1013:32 *39731:A 0 +33 *1013:48 *2547:58 0 +34 *1014:10 *2547:21 0 +35 *1016:109 *39731:A 0 +36 *1023:34 *39731:A 0 +37 *1031:28 *2547:58 0 +38 *1513:31 *2547:38 0 +39 *2496:16 *2547:47 0 +40 *2504:39 *2547:58 0 +41 *2534:36 *2547:47 0 +*RES +1 *39732:X *2547:8 19.3357 +2 *2547:8 *2547:9 59.2679 +3 *2547:9 *2547:21 48.295 +4 *2547:21 *2547:38 49.6029 +5 *2547:38 *2547:47 43.7803 +6 *2547:47 *2547:58 22.5233 +7 *2547:58 *39731:A 12.8714 +8 *2547:58 *7685:DIODE 9.3 +*END + +*D_NET *2548 0.0195579 +*CONN +*I *7686:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39732:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39733:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7686:DIODE 0 +2 *39732:A 0.000143745 +3 *39733:X 0.00100103 +4 *2548:59 0.00180182 +5 *2548:45 0.00337553 +6 *2548:41 0.00221564 +7 *2548:34 0.00239444 +8 *2548:23 0.00297562 +9 *2548:19 0.00286421 +10 *2548:16 0.00278587 +11 *39732:A *2552:38 0 +12 *39732:A *2888:51 0 +13 *2548:16 *2637:14 0 +14 *2548:16 *2863:26 0 +15 *2548:19 *2555:5 0 +16 *2548:23 *2555:5 0 +17 *2548:23 *4680:10 0 +18 *2548:34 *39833:A 0 +19 *2548:34 *2555:5 0 +20 *2548:34 *2555:26 0 +21 *2548:34 *2742:28 0 +22 *2548:41 *2555:29 0 +23 *2548:45 *2555:29 0 +24 *2548:59 *7783:DIODE 0 +25 *2548:59 *2552:38 0 +26 *2548:59 *2601:28 0 +27 *2548:59 *2613:15 0 +28 *2548:59 *2676:13 0 +29 *2548:59 *4675:14 0 +30 *2548:59 *4963:14 0 +31 *328:19 *2548:16 0 +32 *340:54 *2548:16 0 +33 *347:20 *2548:19 0 +34 *347:20 *2548:23 0 +35 *347:20 *2548:34 0 +36 *348:73 *2548:34 0 +37 *352:29 *2548:19 0 +38 *1014:10 *2548:59 0 +39 *1030:8 *2548:34 0 +40 *1293:27 *2548:16 0 +41 *2256:22 *2548:16 0 +42 *2276:20 *2548:19 0 +43 *2281:23 *2548:16 0 +44 *2545:27 *2548:45 0 +45 *2545:34 *2548:45 0 +46 *2545:34 *2548:59 0 +*RES +1 *39733:X *2548:16 48.925 +2 *2548:16 *2548:19 37.3125 +3 *2548:19 *2548:23 22.5268 +4 *2548:23 *2548:34 48.9442 +5 *2548:34 *2548:41 14.9286 +6 *2548:41 *2548:45 35.875 +7 *2548:45 *2548:59 41.2771 +8 *2548:59 *39732:A 12.3 +9 *2548:59 *7686:DIODE 9.3 +*END + +*D_NET *2549 0.0149279 +*CONN +*I *40569:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8295:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38434:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40569:A 4.23535e-05 +2 *8295:DIODE 0.000336403 +3 *38434:X 0.00214326 +4 *2549:45 0.00173656 +5 *2549:37 0.00277121 +6 *2549:19 0.00358414 +7 *2549:16 0.00431398 +8 *8295:DIODE *8171:DIODE 0 +9 *8295:DIODE *3148:20 0 +10 *8295:DIODE *3210:35 0 +11 *8295:DIODE *3239:9 0 +12 *8295:DIODE *3253:33 0 +13 *2549:16 *39134:A 0 +14 *2549:16 *3248:42 0 +15 *2549:16 *4501:34 0 +16 *2549:16 *4508:15 0 +17 *2549:19 *2738:15 0 +18 *2549:19 *2760:44 0 +19 *2549:19 *3938:9 0 +20 *2549:19 *4049:18 0 +21 *2549:19 *4508:23 0 +22 *2549:19 *4875:47 0 +23 *2549:37 *39144:A 0 +24 *2549:37 *2671:14 0 +25 *2549:37 *2738:7 0 +26 *2549:37 *3788:46 0 +27 *2549:37 *4104:16 0 +28 *2549:37 *4520:20 0 +29 *2549:37 *4521:10 0 +30 *2549:37 *4880:27 0 +31 *2549:45 *37666:B 0 +32 *2549:45 *40394:A 0 +33 *2549:45 *3210:35 0 +34 *2549:45 *3285:14 0 +35 *2549:45 *3697:46 0 +36 *2549:45 *4221:60 0 +37 *2549:45 *4275:56 0 +38 *2549:45 *4509:71 0 +39 *2549:45 *4878:16 0 +40 *37398:A *2549:37 0 +41 *38446:A *2549:37 0 +42 *38448:A *2549:37 0 +43 *511:12 *2549:19 0 +44 *512:11 *2549:37 0 +45 *514:8 *2549:37 0 +46 *896:8 *2549:37 0 +47 *897:8 *2549:37 0 +48 *1216:17 *2549:16 0 +49 *1435:44 *40569:A 0 +50 *1435:44 *2549:45 0 +51 *2390:30 *2549:19 0 +52 *2424:19 *2549:19 0 +53 *2516:26 *2549:16 0 +*RES +1 *38434:X *2549:16 45.0886 +2 *2549:16 *2549:19 49.8036 +3 *2549:19 *2549:37 39.1071 +4 *2549:37 *2549:45 34.6607 +5 *2549:45 *8295:DIODE 25.3179 +6 *2549:45 *40569:A 10.2464 +*END + +*D_NET *2550 0.0189815 +*CONN +*I *7314:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39353:A I *D sky130_fd_sc_hd__buf_12 +*I *39734:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *7314:DIODE 0.000114047 +2 *39353:A 0.000219402 +3 *39734:X 0.00112068 +4 *2550:26 0.00068656 +5 *2550:23 0.00509884 +6 *2550:21 0.00591366 +7 *2550:17 0.00293779 +8 *2550:14 0.00289055 +9 *2550:14 *2569:28 0 +10 *2550:14 *2604:26 0 +11 *2550:14 *2675:9 0 +12 *2550:14 *4669:17 0 +13 *2550:17 *2556:10 0 +14 *2550:17 *2569:28 0 +15 *2550:17 *2675:9 0 +16 *2550:17 *4669:10 0 +17 *2550:21 *2624:44 0 +18 *2550:21 *2675:9 0 +19 *2550:23 *39826:A 0 +20 *2550:23 *2585:30 0 +21 *2550:23 *2608:9 0 +22 *2550:23 *2652:5 0 +23 *2550:23 *2652:9 0 +24 *2550:23 *2675:9 0 +25 *2550:26 *2591:40 0 +26 *2550:26 *2615:12 0 +27 mprj_adr_o_user[21] *39353:A 0 +28 *37951:A *2550:17 0 +29 *1011:21 *2550:26 0 +30 *1013:25 *39353:A 0 +31 *1013:25 *2550:26 0 +32 *1028:31 *2550:23 0 +*RES +1 *39734:X *2550:14 42.1929 +2 *2550:14 *2550:17 37 +3 *2550:17 *2550:21 24.375 +4 *2550:21 *2550:23 99.1071 +5 *2550:23 *2550:26 12.5179 +6 *2550:26 *39353:A 18.7821 +7 *2550:26 *7314:DIODE 16.3893 +*END + +*D_NET *2551 0.0192643 +*CONN +*I *7687:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39734:A I *D sky130_fd_sc_hd__buf_6 +*I *39735:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *7687:DIODE 0 +2 *39734:A 0.000328171 +3 *39735:X 0.00129564 +4 *2551:54 0.000380475 +5 *2551:49 0.00288538 +6 *2551:42 0.00456116 +7 *2551:27 0.00454673 +8 *2551:26 0.00339486 +9 *2551:15 0.00187185 +10 *39734:A *39685:A 0 +11 *39734:A *2604:20 0 +12 *39734:A *2635:7 0 +13 *39734:A *2675:9 0 +14 *39734:A *2780:27 0 +15 *39734:A *5009:6 0 +16 *2551:15 *2567:31 0 +17 *2551:15 *2593:17 0 +18 *2551:15 *2613:15 0 +19 *2551:15 *2644:55 0 +20 *2551:15 *2755:46 0 +21 *2551:26 *2648:20 0 +22 *2551:26 *2687:11 0 +23 *2551:27 *2606:38 0 +24 *2551:27 *2625:35 0 +25 *2551:42 *6251:DIODE 0 +26 *2551:42 *2624:16 0 +27 *2551:42 *2667:60 0 +28 *2551:42 *2757:14 0 +29 *2551:42 *2777:31 0 +30 *2551:49 *39783:A 0 +31 *2551:49 *2624:17 0 +32 *2551:49 *2655:11 0 +33 *2551:49 *2786:24 0 +34 *2551:49 *4669:35 0 +35 *2551:49 *4669:50 0 +36 *37765:A *2551:49 0 +37 *39716:A *2551:42 0 +38 *293:18 *2551:42 0 +39 *333:53 *2551:49 0 +40 *339:23 *2551:26 0 +41 *1016:82 *2551:49 0 +42 *1016:137 *2551:49 0 +43 *2492:22 *2551:42 0 +44 *2492:22 *2551:49 0 +45 *2495:17 *2551:54 0 +46 *2496:16 *39734:A 0 +47 *2496:23 *2551:42 0 +48 *2515:24 *2551:42 0 +49 *2515:50 *2551:49 0 +50 *2517:34 *2551:15 0 +51 *2523:13 *2551:42 0 +52 *2523:13 *2551:49 0 +53 *2528:55 *2551:42 0 +54 *2531:50 *2551:42 0 +*RES +1 *39735:X *2551:15 45.5857 +2 *2551:15 *2551:26 22.1993 +3 *2551:26 *2551:27 58.8571 +4 *2551:27 *2551:42 45.3571 +5 *2551:42 *2551:49 47.7874 +6 *2551:49 *2551:54 9.0975 +7 *2551:54 *39734:A 25.4071 +8 *2551:54 *7687:DIODE 9.3 +*END + +*D_NET *2552 0.0195796 +*CONN +*I *39735:A I *D sky130_fd_sc_hd__buf_4 +*I *7688:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39736:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39735:A 0.000363726 +2 *7688:DIODE 0.000183104 +3 *39736:X 0 +4 *2552:38 0.0017094 +5 *2552:28 0.00263814 +6 *2552:20 0.00276095 +7 *2552:5 0.00660481 +8 *2552:4 0.00531943 +9 *7688:DIODE *2567:31 0 +10 *39735:A *2613:15 0 +11 *39735:A *2755:46 0 +12 *2552:20 *2579:17 0 +13 *2552:20 *2587:10 0 +14 *2552:20 *2625:9 0 +15 *2552:20 *2736:19 0 +16 *2552:28 *2736:19 0 +17 *2552:38 *2601:28 0 +18 *2552:38 *2613:15 0 +19 *2552:38 *2873:24 0 +20 *2552:38 *2877:33 0 +21 *2552:38 *2881:42 0 +22 *2552:38 *2888:51 0 +23 *2552:38 *4686:7 0 +24 *2552:38 *4955:10 0 +25 *2552:38 *4963:14 0 +26 *39732:A *2552:38 0 +27 *2260:27 *2552:5 0 +28 *2532:19 *2552:5 0 +29 *2535:23 *2552:5 0 +30 *2539:46 *2552:28 0 +31 *2548:59 *2552:38 0 +*RES +1 *39736:X *2552:4 9.3 +2 *2552:4 *2552:5 111.018 +3 *2552:5 *2552:20 49.75 +4 *2552:20 *2552:28 44.4286 +5 *2552:28 *2552:38 38.25 +6 *2552:38 *7688:DIODE 17.6214 +7 *2552:38 *39735:A 21.5321 +*END + +*D_NET *2553 0.0194804 +*CONN +*I *7312:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39351:A I *D sky130_fd_sc_hd__buf_12 +*I *39737:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *7312:DIODE 0 +2 *39351:A 0.000251031 +3 *39737:X 0.00105391 +4 *2553:59 0.00191041 +5 *2553:45 0.00446986 +6 *2553:29 0.00649652 +7 *2553:27 0.0039654 +8 *2553:22 0.00133327 +9 *39351:A *2577:37 0 +10 *39351:A *2577:61 0 +11 *39351:A *2662:21 0 +12 *2553:29 *7216:DIODE 0 +13 *2553:29 *37941:A 0 +14 *2553:29 *39297:A 0 +15 *2553:29 *39299:A 0 +16 *2553:29 *2626:65 0 +17 *2553:29 *4663:10 0 +18 *2553:45 *39297:A 0 +19 *2553:45 *2573:14 0 +20 *2553:45 *2577:35 0 +21 *2553:45 *2657:15 0 +22 *2553:59 *7268:DIODE 0 +23 *2553:59 *39291:A 0 +24 *2553:59 *2577:37 0 +25 *2553:59 *2599:27 0 +26 *2553:59 *2662:20 0 +27 *2553:59 *2662:21 0 +28 la_data_in_core[5] *2553:29 0 +29 la_data_in_core[6] *2553:22 0 +30 la_data_in_core[7] *2553:22 0 +31 la_oenb_core[2] *2553:29 0 +32 mprj_adr_o_user[20] *39351:A 0 +33 *39147:A *2553:29 0 +34 *39359:A *2553:45 0 +35 *365:9 *2553:22 0 +36 *1004:8 *2553:45 0 +37 *1017:33 *2553:22 0 +38 *2497:12 *2553:29 0 +39 *2497:23 *2553:22 0 +40 *2506:32 *2553:22 0 +41 *2520:57 *2553:29 0 +42 *2523:47 *2553:22 0 +43 *2523:47 *2553:27 0 +44 *2523:66 *2553:29 0 +45 *2526:60 *2553:29 0 +46 *2526:70 *2553:29 0 +47 *2526:70 *2553:45 0 +48 *2526:73 *2553:45 0 +49 *2530:47 *2553:27 0 +50 *2530:47 *2553:29 0 +51 *2530:73 *2553:29 0 +52 *2530:77 *2553:45 0 +*RES +1 *39737:X *2553:22 49.7965 +2 *2553:22 *2553:27 10.3929 +3 *2553:27 *2553:29 76.9286 +4 *2553:29 *2553:45 49.9245 +5 *2553:45 *2553:59 42.9904 +6 *2553:59 *39351:A 24.1393 +7 *2553:59 *7312:DIODE 9.3 +*END + +*D_NET *2554 0.0188892 +*CONN +*I *39737:A I *D sky130_fd_sc_hd__buf_6 +*I *7690:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39738:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39737:A 0.000188686 +2 *7690:DIODE 0 +3 *39738:X 0 +4 *2554:32 0.0029062 +5 *2554:17 0.00464493 +6 *2554:5 0.00653839 +7 *2554:4 0.00461097 +8 *39737:A *4736:16 0 +9 *2554:5 *2634:5 0 +10 *2554:17 *2569:15 0 +11 *2554:17 *2634:5 0 +12 *2554:17 *4650:14 0 +13 *2554:17 *4669:56 0 +14 *2554:32 *2687:24 0 +15 *2554:32 *2786:24 0 +16 *2554:32 *4644:20 0 +17 *2554:32 *4666:47 0 +18 *2554:32 *4736:16 0 +19 *7680:DIODE *2554:32 0 +20 *39710:A *2554:17 0 +21 *39719:A *2554:32 0 +22 *295:12 *2554:17 0 +23 *333:53 *2554:32 0 +24 *1002:8 *2554:32 0 +25 *1011:85 *39737:A 0 +26 *1024:35 *39737:A 0 +27 *1026:32 *39737:A 0 +28 *2515:25 *2554:17 0 +29 *2515:25 *2554:32 0 +30 *2515:50 *2554:32 0 +31 *2523:13 *2554:17 0 +32 *2533:19 *2554:32 0 +*RES +1 *39738:X *2554:4 9.3 +2 *2554:4 *2554:5 96.2321 +3 *2554:5 *2554:17 49.4286 +4 *2554:17 *2554:32 44.198 +5 *2554:32 *7690:DIODE 13.8 +6 *2554:32 *39737:A 18.2821 +*END + +*D_NET *2555 0.0189619 +*CONN +*I *7691:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39738:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39739:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7691:DIODE 0 +2 *39738:A 0.000189324 +3 *39739:X 0 +4 *2555:44 0.000929711 +5 *2555:36 0.00248735 +6 *2555:29 0.00385865 +7 *2555:26 0.00376917 +8 *2555:5 0.00469261 +9 *2555:4 0.00303512 +10 *39738:A *2634:5 0 +11 *2555:26 *2867:19 0 +12 *2555:29 *39833:A 0 +13 *2555:29 *2593:11 0 +14 *2555:36 *2593:16 0 +15 *2555:36 *2593:17 0 +16 *2555:36 *2659:13 0 +17 *2555:36 *2877:41 0 +18 *2555:44 *2659:13 0 +19 *39729:A *2555:36 0 +20 *342:40 *2555:36 0 +21 *347:20 *2555:26 0 +22 *347:34 *2555:29 0 +23 *348:72 *2555:26 0 +24 *352:29 *2555:5 0 +25 *352:36 *2555:26 0 +26 *2276:20 *2555:5 0 +27 *2482:14 *2555:36 0 +28 *2517:23 *2555:36 0 +29 *2525:23 *2555:26 0 +30 *2539:46 *2555:36 0 +31 *2544:14 *2555:36 0 +32 *2545:27 *2555:29 0 +33 *2548:19 *2555:5 0 +34 *2548:23 *2555:5 0 +35 *2548:34 *2555:5 0 +36 *2548:34 *2555:26 0 +37 *2548:41 *2555:29 0 +38 *2548:45 *2555:29 0 +*RES +1 *39739:X *2555:4 9.3 +2 *2555:4 *2555:5 63.375 +3 *2555:5 *2555:26 43.9821 +4 *2555:26 *2555:29 48.5714 +5 *2555:29 *2555:36 45.9464 +6 *2555:36 *2555:44 29.0357 +7 *2555:44 *39738:A 22.3357 +8 *2555:44 *7691:DIODE 9.3 +*END + +*D_NET *2556 0.0196126 +*CONN +*I *7311:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39350:A I *D sky130_fd_sc_hd__buf_12 +*I *39740:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *7311:DIODE 0 +2 *39350:A 0.000206982 +3 *39740:X 0.000776434 +4 *2556:21 0.00155556 +5 *2556:11 0.00882289 +6 *2556:10 0.00825076 +7 *39350:A *2599:47 0 +8 *2556:10 *39742:A 0 +9 *2556:10 *2569:28 0 +10 *2556:10 *5042:25 0 +11 *2556:11 *2588:9 0 +12 *2556:11 *2626:59 0 +13 *2556:11 *2635:25 0 +14 *2556:11 *2653:34 0 +15 *2556:21 *2588:9 0 +16 *2556:21 *2599:47 0 +17 *2556:21 *2622:14 0 +18 *2556:21 *2685:10 0 +19 *2556:21 *2691:14 0 +20 mprj_adr_o_user[19] *39350:A 0 +21 mprj_adr_o_user[19] *2556:21 0 +22 *332:15 *2556:10 0 +23 *1004:8 *39350:A 0 +24 *1004:8 *2556:21 0 +25 *1010:19 *2556:21 0 +26 *2515:61 *2556:11 0 +27 *2536:13 *2556:11 0 +28 *2536:20 *2556:11 0 +29 *2550:17 *2556:10 0 +*RES +1 *39740:X *2556:10 34.9071 +2 *2556:10 *2556:11 155.991 +3 *2556:11 *2556:21 38.7054 +4 *2556:21 *39350:A 22.8 +5 *2556:21 *7311:DIODE 9.3 +*END + +*D_NET *2557 0.018608 +*CONN +*I *7692:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39740:A I *D sky130_fd_sc_hd__buf_4 +*I *39741:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7692:DIODE 7.7473e-06 +2 *39740:A 0.000136628 +3 *39741:X 0.0086213 +4 *2557:16 0.000682715 +5 *2557:9 0.00915964 +6 *39740:A *2569:28 0 +7 *39740:A *2675:9 0 +8 *2557:9 *2559:39 0 +9 *2557:9 *2584:24 0 +10 *2557:9 *2620:9 0 +11 *2557:9 *2623:45 0 +12 *2557:9 *2629:11 0 +13 *2557:9 *2653:11 0 +14 *2557:9 *2753:25 0 +15 *2557:9 *2753:36 0 +16 *2557:9 *2878:34 0 +17 *2557:9 *5039:46 0 +18 *2557:16 *39861:A 0 +19 *2557:16 *2643:34 0 +20 *2557:16 *4659:39 0 +21 *2557:16 *5046:25 0 +22 *295:12 *2557:9 0 +23 *333:53 *2557:16 0 +24 *343:7 *2557:16 0 +25 *1030:8 *2557:9 0 +26 *2498:32 *2557:9 0 +27 *2507:32 *2557:16 0 +28 *2515:50 *2557:16 0 +29 *2528:55 *2557:9 0 +30 *2531:50 *2557:9 0 +31 *2534:27 *2557:9 0 +*RES +1 *39741:X *2557:9 48.6666 +2 *2557:9 *2557:16 15.7314 +3 *2557:16 *39740:A 16.9875 +4 *2557:16 *7692:DIODE 14.0768 +*END + +*D_NET *2558 0.0178888 +*CONN +*I *7310:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39349:A I *D sky130_fd_sc_hd__buf_12 +*I *39742:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *7310:DIODE 0 +2 *39349:A 0.000187362 +3 *39742:X 0.000707363 +4 *2558:20 0.000674163 +5 *2558:15 0.00804968 +6 *2558:14 0.00827024 +7 *39349:A *2650:42 0 +8 *39349:A *2674:15 0 +9 *39349:A *2678:7 0 +10 *2558:14 *39820:A 0 +11 *2558:14 *2619:9 0 +12 *2558:14 *2622:14 0 +13 *2558:15 *2562:7 0 +14 *2558:20 *2603:23 0 +15 *2558:20 *2622:14 0 +16 *2558:20 *2690:16 0 +17 *1009:16 *2558:20 0 +18 *2515:61 *2558:14 0 +*RES +1 *39742:X *2558:14 28.4023 +2 *2558:14 *2558:15 157.839 +3 *2558:15 *2558:20 20.0536 +4 *2558:20 *39349:A 22.3893 +5 *2558:20 *7310:DIODE 9.3 +*END + +*D_NET *2559 0.0191225 +*CONN +*I *7694:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39742:A I *D sky130_fd_sc_hd__buf_6 +*I *39743:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *7694:DIODE 0 +2 *39742:A 0.000189364 +3 *39743:X 0 +4 *2559:39 0.00171234 +5 *2559:27 0.00377242 +6 *2559:26 0.00260234 +7 *2559:21 0.00260234 +8 *2559:20 0.00272164 +9 *2559:5 0.00299715 +10 *2559:4 0.00252495 +11 *39742:A *2635:11 0 +12 *2559:5 *39746:A 0 +13 *2559:5 *2563:11 0 +14 *2559:20 *2702:27 0 +15 *2559:20 *2755:29 0 +16 *2559:20 *4658:7 0 +17 *2559:21 *37933:A 0 +18 *2559:21 *2698:11 0 +19 *2559:21 *2702:27 0 +20 *2559:21 *2892:51 0 +21 *2559:21 *2900:32 0 +22 *2559:26 *2778:51 0 +23 *2559:27 *2702:34 0 +24 *2559:27 *2775:17 0 +25 *2559:39 *2629:11 0 +26 *2559:39 *4997:8 0 +27 *2559:39 *5046:25 0 +28 *282:9 *2559:26 0 +29 *291:21 *2559:26 0 +30 *311:34 *2559:21 0 +31 *322:25 *39742:A 0 +32 *322:25 *2559:39 0 +33 *338:76 *2559:5 0 +34 *340:70 *2559:5 0 +35 *340:79 *2559:20 0 +36 *1030:8 *2559:39 0 +37 *1370:35 *2559:26 0 +38 *2158:53 *2559:20 0 +39 *2454:30 *2559:39 0 +40 *2500:17 *2559:27 0 +41 *2537:30 *2559:26 0 +42 *2556:10 *39742:A 0 +43 *2557:9 *2559:39 0 +*RES +1 *39743:X *2559:4 9.3 +2 *2559:4 *2559:5 52.6964 +3 *2559:5 *2559:20 28.3393 +4 *2559:20 *2559:21 46.9464 +5 *2559:21 *2559:26 17.0179 +6 *2559:26 *2559:27 46.9464 +7 *2559:27 *2559:39 31.1846 +8 *2559:39 *39742:A 22.3357 +9 *2559:39 *7694:DIODE 9.3 +*END + +*D_NET *2560 0.00567714 +*CONN +*I *38060:C I *D sky130_fd_sc_hd__and3b_1 +*I *38435:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *38060:C 0 +2 *38435:X 0.0012834 +3 *2560:21 0.00155517 +4 *2560:15 0.00283857 +5 *2560:15 *5468:DIODE 0 +6 *2560:15 *3800:53 0 +7 *2560:15 *3807:49 0 +8 *2560:15 *3882:44 0 +9 *2560:15 *4875:47 0 +10 *2560:15 *4880:39 0 +11 *2560:21 *5444:DIODE 0 +12 *2560:21 *2749:64 0 +13 *2560:21 *3977:21 0 +14 *2560:21 *4039:83 0 +15 *6715:DIODE *2560:15 0 +16 *633:8 *2560:15 0 +17 *1987:61 *2560:15 0 +18 *2040:55 *2560:21 0 +19 *2418:36 *2560:15 0 +20 *2431:71 *2560:15 0 +*RES +1 *38435:X *2560:15 45.7107 +2 *2560:15 *2560:21 44.2679 +3 *2560:21 *38060:C 9.3 +*END + +*D_NET *2561 0.0185678 +*CONN +*I *39743:A I *D sky130_fd_sc_hd__buf_4 +*I *7695:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39744:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39743:A 0.000159146 +2 *7695:DIODE 0 +3 *39744:X 0.00121405 +4 *2561:27 0.00262506 +5 *2561:26 0.00377353 +6 *2561:15 0.00544478 +7 *2561:14 0.00413717 +8 *2561:12 0.00121405 +9 *2561:12 *2699:18 0 +10 *2561:12 *2703:10 0 +11 *2561:12 *2729:11 0 +12 *2561:12 *4679:11 0 +13 *2561:15 *2699:27 0 +14 *2561:15 *2729:11 0 +15 *2561:26 *2590:14 0 +16 *2561:26 *2743:42 0 +17 *2561:26 *4963:14 0 +18 *2561:27 *2617:27 0 +19 *37607:A *2561:12 0 +20 *319:5 *2561:12 0 +21 *338:52 *2561:27 0 +22 *338:76 *39743:A 0 +23 *338:76 *2561:27 0 +24 *345:36 *2561:26 0 +25 *1012:10 *2561:26 0 +26 *1022:10 *2561:26 0 +27 *1508:19 *2561:15 0 +28 *1508:28 *2561:12 0 +29 *1689:11 *2561:12 0 +30 *1950:26 *2561:27 0 +31 *2158:31 *2561:26 0 +32 *2182:47 *39743:A 0 +*RES +1 *39744:X *2561:12 48.6571 +2 *2561:12 *2561:14 4.5 +3 *2561:14 *2561:15 86.375 +4 *2561:15 *2561:26 29.6967 +5 *2561:26 *2561:27 51.4643 +6 *2561:27 *7695:DIODE 9.3 +7 *2561:27 *39743:A 12.7464 +*END + +*D_NET *2562 0.0177871 +*CONN +*I *39348:A I *D sky130_fd_sc_hd__buf_12 +*I *7309:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39745:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *39348:A 0.00015503 +2 *7309:DIODE 0 +3 *39745:X 2.0535e-05 +4 *2562:10 0.000601659 +5 *2562:7 0.00871797 +6 *2562:5 0.00829187 +7 *39348:A *2654:29 0 +8 *39348:A *2665:37 0 +9 *2562:7 *39775:A 0 +10 *2562:7 *39786:A 0 +11 *2562:7 *2569:40 0 +12 *2562:7 *2582:52 0 +13 *2562:7 *2596:5 0 +14 *2562:7 *2635:13 0 +15 *2562:7 *2635:22 0 +16 *2562:10 *2565:61 0 +17 *2562:10 *2697:18 0 +18 mprj_dat_o_user[17] *39348:A 0 +19 *309:17 *2562:10 0 +20 *309:19 *2562:10 0 +21 *1008:19 *39348:A 0 +22 *1008:19 *2562:10 0 +23 *2533:62 *2562:7 0 +24 *2540:5 *2562:7 0 +25 *2540:12 *2562:7 0 +26 *2558:15 *2562:7 0 +*RES +1 *39745:X *2562:5 9.72857 +2 *2562:5 *2562:7 172.625 +3 *2562:7 *2562:10 14.6429 +4 *2562:10 *7309:DIODE 13.8 +5 *2562:10 *39348:A 17.3 +*END + +*D_NET *2563 0.0188398 +*CONN +*I *39745:A I *D sky130_fd_sc_hd__buf_6 +*I *7697:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39746:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *39745:A 0.000182462 +2 *7697:DIODE 0 +3 *39746:X 0 +4 *2563:25 0.000993945 +5 *2563:21 0.00288381 +6 *2563:18 0.00211787 +7 *2563:13 0.00418121 +8 *2563:11 0.0063081 +9 *2563:4 0.00217243 +10 *39745:A *2635:11 0 +11 *2563:11 *2598:29 0 +12 *2563:11 *4677:15 0 +13 *2563:11 *4677:20 0 +14 *2563:13 *2598:29 0 +15 *2563:13 *4677:11 0 +16 *2563:13 *4677:15 0 +17 *2563:21 *2597:10 0 +18 *2563:21 *4677:5 0 +19 *2563:21 *5063:16 0 +20 *2563:25 *2698:16 0 +21 *2563:25 *2702:43 0 +22 *2563:25 *2788:20 0 +23 *2563:25 *2891:12 0 +24 *2563:25 *4659:29 0 +25 *37793:A *2563:11 0 +26 *291:21 *2563:13 0 +27 *338:76 *2563:11 0 +28 *340:70 *2563:11 0 +29 *340:82 *2563:13 0 +30 *2509:26 *2563:25 0 +31 *2540:5 *39745:A 0 +32 *2559:5 *2563:11 0 +*RES +1 *39746:X *2563:4 9.3 +2 *2563:4 *2563:11 45.4643 +3 *2563:11 *2563:13 86.375 +4 *2563:13 *2563:18 10.0357 +5 *2563:18 *2563:21 47.75 +6 *2563:21 *2563:25 22.875 +7 *2563:25 *7697:DIODE 9.3 +8 *2563:25 *39745:A 13.1393 +*END + +*D_NET *2564 0.0197627 +*CONN +*I *7698:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39746:A I *D sky130_fd_sc_hd__buf_4 +*I *39747:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7698:DIODE 0 +2 *39746:A 0.000124066 +3 *39747:X 0.00106769 +4 *2564:52 0.000223149 +5 *2564:49 0.00225398 +6 *2564:41 0.00361502 +7 *2564:38 0.00261453 +8 *2564:32 0.00221141 +9 *2564:21 0.0038211 +10 *2564:20 0.0027641 +11 *2564:18 0.00106769 +12 *2564:18 *40006:A 0 +13 *2564:18 *2699:26 0 +14 *2564:18 *2744:22 0 +15 *2564:18 *2870:5 0 +16 *2564:18 *5140:38 0 +17 *2564:18 *5140:46 0 +18 *2564:21 *40015:A 0 +19 *2564:21 *2740:32 0 +20 *2564:21 *2864:65 0 +21 *2564:21 *5136:53 0 +22 *2564:21 *5140:47 0 +23 *2564:32 *2590:8 0 +24 *2564:32 *3899:29 0 +25 *2564:32 *4965:8 0 +26 *2564:32 *5057:11 0 +27 *2564:38 *2703:19 0 +28 *2564:38 *2731:12 0 +29 *2564:38 *2736:18 0 +30 *2564:41 *2868:33 0 +31 *2564:41 *2880:39 0 +32 *2564:49 *2617:27 0 +33 *2564:49 *2868:24 0 +34 *2564:49 *2880:37 0 +35 *2564:49 *2880:39 0 +36 *2564:52 *2707:18 0 +37 *2564:52 *4938:8 0 +38 *338:76 *39746:A 0 +39 *350:23 *2564:18 0 +40 *1926:40 *2564:49 0 +41 *2170:10 *2564:41 0 +42 *2170:10 *2564:49 0 +43 *2182:29 *2564:32 0 +44 *2225:27 *2564:18 0 +45 *2242:17 *2564:18 0 +46 *2559:5 *39746:A 0 +*RES +1 *39747:X *2564:18 48.6026 +2 *2564:18 *2564:20 4.5 +3 *2564:20 *2564:21 57.625 +4 *2564:21 *2564:32 41.0893 +5 *2564:32 *2564:38 33.25 +6 *2564:38 *2564:41 30.5357 +7 *2564:41 *2564:49 49.5357 +8 *2564:49 *2564:52 6.75 +9 *2564:52 *39746:A 11.8893 +10 *2564:52 *7698:DIODE 9.3 +*END + +*D_NET *2565 0.0194837 +*CONN +*I *7308:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39347:A I *D sky130_fd_sc_hd__buf_12 +*I *39748:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *7308:DIODE 0 +2 *39347:A 0.000291472 +3 *39748:X 0.00072936 +4 *2565:61 0.00237558 +5 *2565:49 0.00418728 +6 *2565:44 0.00425816 +7 *2565:38 0.0023071 +8 *2565:29 0.00237876 +9 *2565:12 0.002956 +10 *39347:A *2603:25 0 +11 *39347:A *2646:83 0 +12 *39347:A *2685:16 0 +13 *39347:A *5064:10 0 +14 *2565:29 *2581:24 0 +15 *2565:29 *2585:24 0 +16 *2565:29 *2658:14 0 +17 *2565:29 *2686:17 0 +18 *2565:38 *2657:15 0 +19 *2565:44 *39841:A 0 +20 *2565:44 *2591:20 0 +21 *2565:44 *2669:67 0 +22 *2565:49 *2585:31 0 +23 *2565:49 *2591:20 0 +24 *2565:49 *2669:67 0 +25 *2565:49 *2686:43 0 +26 *2565:49 *2686:51 0 +27 *2565:61 *2591:40 0 +28 *2565:61 *2603:25 0 +29 *2565:61 *2614:30 0 +30 *2565:61 *2622:14 0 +31 *901:8 *2565:29 0 +32 *901:8 *2565:61 0 +33 *1007:15 *39347:A 0 +34 *1008:19 *2565:61 0 +35 *1008:40 *2565:44 0 +36 *1010:41 *2565:38 0 +37 *1011:65 *2565:12 0 +38 *1013:31 *2565:49 0 +39 *1016:39 *2565:29 0 +40 *1023:19 *2565:29 0 +41 *1026:32 *2565:12 0 +42 *1026:32 *2565:44 0 +43 *1028:22 *2565:44 0 +44 *2543:21 *2565:29 0 +45 *2543:32 *2565:29 0 +46 *2562:10 *2565:61 0 +*RES +1 *39748:X *2565:12 33.7286 +2 *2565:12 *2565:29 47.6808 +3 *2565:29 *2565:38 12.5179 +4 *2565:38 *2565:44 49.8036 +5 *2565:44 *2565:49 48.4821 +6 *2565:49 *2565:61 37.8715 +7 *2565:61 *39347:A 24.8357 +8 *2565:61 *7308:DIODE 9.3 +*END + +*D_NET *2566 0.018823 +*CONN +*I *39748:A I *D sky130_fd_sc_hd__buf_6 +*I *7700:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39749:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *39748:A 0.000232258 +2 *7700:DIODE 6.50276e-05 +3 *39749:X 0.00173521 +4 *2566:28 0.00251428 +5 *2566:13 0.00737898 +6 *2566:11 0.0068972 +7 *2566:11 *2593:34 0 +8 *2566:11 *2659:37 0 +9 *2566:11 *2659:47 0 +10 *2566:13 *37905:A 0 +11 *2566:13 *39680:A 0 +12 *2566:13 *39772:A 0 +13 *2566:13 *2586:15 0 +14 *2566:13 *2593:41 0 +15 *2566:13 *2626:25 0 +16 *2566:13 *2626:48 0 +17 *2566:13 *2626:58 0 +18 *2566:13 *2636:28 0 +19 *2566:13 *2643:17 0 +20 *2566:13 *2909:31 0 +21 *2566:13 *4650:14 0 +22 *2566:13 *4666:47 0 +23 *2566:28 *2622:14 0 +24 *2566:28 *2623:57 0 +25 *2566:28 *4659:39 0 +26 *2566:28 *4997:8 0 +27 *5635:DIODE *2566:13 0 +28 *330:15 *2566:28 0 +29 *333:53 *2566:28 0 +30 *365:9 *2566:28 0 +31 *1011:74 *7700:DIODE 0 +32 *1011:74 *39748:A 0 +33 *1024:18 *2566:28 0 +34 *1026:32 *39748:A 0 +35 *2506:32 *2566:28 0 +36 *2533:34 *2566:13 0 +37 *2544:15 *2566:11 0 +38 *2544:31 *2566:11 0 +39 *2544:33 *2566:11 0 +40 *2544:33 *2566:13 0 +41 *2547:38 *2566:13 0 +*RES +1 *39749:X *2566:11 45.7286 +2 *2566:11 *2566:13 107.732 +3 *2566:13 *2566:28 42.7873 +4 *2566:28 *7700:DIODE 15.1571 +5 *2566:28 *39748:A 18.7643 +*END + +*D_NET *2567 0.0186974 +*CONN +*I *7701:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39749:A I *D sky130_fd_sc_hd__buf_4 +*I *39750:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7701:DIODE 0 +2 *39749:A 0.000179456 +3 *39750:X 0.000974533 +4 *2567:31 0.00604691 +5 *2567:29 0.00819473 +6 *2567:20 0.00330181 +7 *39749:A *2613:31 0 +8 *39749:A *2755:33 0 +9 *39749:A *4938:8 0 +10 *2567:20 *2677:27 0 +11 *2567:20 *2736:34 0 +12 *2567:20 *5038:28 0 +13 *2567:29 *37969:A 0 +14 *2567:29 *39833:A 0 +15 *2567:29 *2688:15 0 +16 *2567:29 *2867:18 0 +17 *2567:29 *2873:39 0 +18 *2567:29 *2883:41 0 +19 *2567:29 *5118:22 0 +20 *2567:31 *6286:DIODE 0 +21 *2567:31 *38205:A 0 +22 *2567:31 *39848:A 0 +23 *2567:31 *2613:15 0 +24 *2567:31 *2613:31 0 +25 *2567:31 *2688:20 0 +26 *2567:31 *2751:36 0 +27 *2567:31 *2751:39 0 +28 *2567:31 *2755:33 0 +29 *2567:31 *2755:46 0 +30 *2567:31 *2877:33 0 +31 *2567:31 *2881:31 0 +32 *2567:31 *4943:15 0 +33 *2567:31 *4945:14 0 +34 *2567:31 *4963:19 0 +35 *7688:DIODE *2567:31 0 +36 *38191:A *2567:31 0 +37 *350:23 *2567:20 0 +38 *1289:28 *2567:20 0 +39 *1494:37 *2567:31 0 +40 *2517:10 *2567:29 0 +41 *2524:13 *2567:31 0 +42 *2551:15 *2567:31 0 +*RES +1 *39750:X *2567:20 47.3796 +2 *2567:20 *2567:29 30.443 +3 *2567:29 *2567:31 122.518 +4 *2567:31 *39749:A 22.2777 +5 *2567:31 *7701:DIODE 9.3 +*END + +*D_NET *2568 0.0181064 +*CONN +*I *7307:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39346:A I *D sky130_fd_sc_hd__buf_12 +*I *39751:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *7307:DIODE 2.35958e-05 +2 *39346:A 0.000121892 +3 *39751:X 5.89896e-05 +4 *2568:12 0.000565435 +5 *2568:9 0.00884872 +6 *2568:8 0.00848776 +7 *39346:A *2678:16 0 +8 *2568:8 *2624:44 0 +9 *2568:9 *2596:5 0 +10 *299:9 *2568:8 0 +11 *307:13 *39346:A 0 +12 *307:13 *2568:12 0 +13 *1006:9 *39346:A 0 +14 *1006:9 *2568:12 0 +15 *2540:5 *2568:9 0 +16 *2540:12 *2568:9 0 +*RES +1 *39751:X *2568:8 19.6393 +2 *2568:8 *2568:9 175.911 +3 *2568:9 *2568:12 14.0357 +4 *2568:12 *39346:A 16.6929 +5 *2568:12 *7307:DIODE 14.3357 +*END + +*D_NET *2569 0.0192518 +*CONN +*I *7703:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39751:A I *D sky130_fd_sc_hd__buf_6 +*I *39752:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *7703:DIODE 2.26741e-05 +2 *39751:A 9.66136e-05 +3 *39752:X 0.00101733 +4 *2569:40 0.00084052 +5 *2569:28 0.00230095 +6 *2569:15 0.00776803 +7 *2569:14 0.00720565 +8 *39751:A *2624:28 0 +9 *2569:14 *2574:16 0 +10 *2569:14 *2667:32 0 +11 *2569:14 *2687:11 0 +12 *2569:14 *2902:40 0 +13 *2569:14 *4677:20 0 +14 *2569:15 *39832:A 0 +15 *2569:15 *2634:5 0 +16 *2569:15 *2636:29 0 +17 *2569:15 *2659:47 0 +18 *2569:15 *2659:49 0 +19 *2569:15 *4654:20 0 +20 *2569:28 *39820:A 0 +21 *2569:28 *2675:9 0 +22 *2569:28 *4669:10 0 +23 *2569:40 *2624:28 0 +24 *2569:40 *2635:13 0 +25 *39740:A *2569:28 0 +26 *299:9 *2569:40 0 +27 *330:15 *2569:28 0 +28 *341:29 *2569:14 0 +29 *2488:22 *2569:14 0 +30 *2515:25 *2569:15 0 +31 *2523:13 *2569:15 0 +32 *2533:19 *2569:15 0 +33 *2540:5 *7703:DIODE 0 +34 *2540:5 *39751:A 0 +35 *2540:5 *2569:15 0 +36 *2550:14 *2569:28 0 +37 *2550:17 *2569:28 0 +38 *2554:17 *2569:15 0 +39 *2556:10 *2569:28 0 +40 *2562:7 *2569:40 0 +*RES +1 *39752:X *2569:14 41.5171 +2 *2569:14 *2569:15 129.089 +3 *2569:15 *2569:28 46.8214 +4 *2569:28 *2569:40 28.875 +5 *2569:40 *39751:A 20.4786 +6 *2569:40 *7703:DIODE 9.83571 +*END + +*D_NET *2570 0.0200915 +*CONN +*I *7704:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39752:A I *D sky130_fd_sc_hd__buf_4 +*I *39753:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7704:DIODE 0 +2 *39752:A 0.000156294 +3 *39753:X 0.0012591 +4 *2570:50 0.000179083 +5 *2570:49 0.00679578 +6 *2570:27 0.00860755 +7 *2570:13 0.00309366 +8 *39752:A *2707:23 0 +9 *39752:A *2707:25 0 +10 *39752:A *2754:37 0 +11 *39752:A *2758:19 0 +12 *2570:13 *2744:22 0 +13 *2570:13 *2863:10 0 +14 *2570:27 *39764:A 0 +15 *2570:27 *2602:39 0 +16 *2570:27 *2880:39 0 +17 *2570:27 *5134:37 0 +18 *2570:27 *5135:52 0 +19 *2570:49 *2590:44 0 +20 *2570:49 *2620:9 0 +21 *2570:49 *2867:11 0 +22 *2570:49 *2890:42 0 +23 *2570:49 *4672:17 0 +24 *2570:49 *4672:29 0 +25 *2570:49 *5039:16 0 +26 *2570:49 *5039:46 0 +27 *2570:50 *2758:32 0 +28 *37601:A *2570:27 0 +29 *301:12 *2570:49 0 +30 *317:7 *2570:27 0 +31 *340:62 *2570:13 0 +32 *346:36 *2570:49 0 +33 *347:50 *2570:49 0 +34 *352:39 *2570:13 0 +35 *1030:8 *2570:49 0 +36 *1508:28 *2570:13 0 +37 *1689:11 *2570:13 0 +38 *1932:30 *2570:13 0 +39 *2542:36 *2570:49 0 +*RES +1 *39753:X *2570:13 49.925 +2 *2570:13 *2570:27 48.7082 +3 *2570:27 *2570:49 49.4337 +4 *2570:49 *2570:50 0.580357 +5 *2570:50 *39752:A 17.1214 +6 *2570:50 *7704:DIODE 13.8 +*END + +*D_NET *2571 0.00180356 +*CONN +*I *38096:C I *D sky130_fd_sc_hd__and3b_1 +*I *38436:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *38096:C 0.000901779 +2 *38436:X 0.000901779 +3 *38096:C *7020:DIODE 0 +4 *38096:C *8191:DIODE 0 +5 *38096:C *39026:A 0 +6 *38096:C *40248:A 0 +7 *38096:C *3276:26 0 +8 *38096:C *3309:61 0 +9 *38096:C *3500:44 0 +10 *38096:C *5196:39 0 +11 la_data_in_mprj[108] *38096:C 0 +12 *397:8 *38096:C 0 +13 *780:30 *38096:C 0 +*RES +1 *38436:X *38096:C 47.725 +*END + +*D_NET *2572 0.00885105 +*CONN +*I *37898:C I *D sky130_fd_sc_hd__and3b_1 +*I *5782:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38437:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *37898:C 0.000605129 +2 *5782:DIODE 0 +3 *38437:X 0.00063958 +4 *2572:18 0.00168556 +5 *2572:12 0.00318082 +6 *2572:11 0.00273996 +7 *37898:C *2791:21 0 +8 *37898:C *2912:10 0 +9 *37898:C *3621:48 0 +10 *2572:11 *4451:54 0 +11 *2572:11 *4493:15 0 +12 *2572:11 *4493:34 0 +13 *2572:11 *4499:10 0 +14 *2572:12 *37936:A_N 0 +15 *2572:12 *37936:B 0 +16 *2572:12 *4181:46 0 +17 *2572:12 *4187:46 0 +18 *2572:12 *4399:52 0 +19 *2572:12 *4805:8 0 +20 *2572:18 *3585:44 0 +21 *2572:18 *4420:54 0 +22 *6560:DIODE *2572:12 0 +23 *37475:A *2572:11 0 +24 *39446:B *2572:12 0 +25 *40059:A *37898:C 0 +26 *303:13 *2572:12 0 +27 *306:11 *37898:C 0 +28 *306:11 *2572:18 0 +29 *313:39 *2572:12 0 +30 *1350:46 *2572:18 0 +31 *1479:10 *37898:C 0 +32 *1479:10 *2572:18 0 +33 *1760:13 *2572:12 0 +*RES +1 *38437:X *2572:11 27.2107 +2 *2572:11 *2572:12 47.6339 +3 *2572:12 *2572:18 12.4965 +4 *2572:18 *5782:DIODE 13.8 +5 *2572:18 *37898:C 35.8893 +*END + +*D_NET *2573 0.019205 +*CONN +*I *7306:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39345:A I *D sky130_fd_sc_hd__buf_12 +*I *39754:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *7306:DIODE 0 +2 *39345:A 0.00016428 +3 *39754:X 0.000319488 +4 *2573:14 0.00928303 +5 *2573:8 0.00943824 +6 *39345:A *2599:67 0 +7 *39345:A *2662:29 0 +8 *2573:8 *2645:16 0 +9 *2573:8 *2663:40 0 +10 *2573:14 *7268:DIODE 0 +11 *2573:14 *2577:20 0 +12 *2573:14 *2577:61 0 +13 *2573:14 *2599:18 0 +14 *2573:14 *2599:27 0 +15 *2573:14 *2645:42 0 +16 *2573:14 *2645:55 0 +17 *2573:14 *2646:76 0 +18 *2573:14 *2646:83 0 +19 *2573:14 *2654:20 0 +20 *2573:14 *2665:28 0 +21 *2573:14 *2673:31 0 +22 *2573:14 *2674:14 0 +23 *2573:14 *2678:16 0 +24 *2573:14 *2690:16 0 +25 *2573:14 *4960:17 0 +26 *1001:22 *2573:14 0 +27 *2523:66 *2573:14 0 +28 *2526:60 *2573:14 0 +29 *2526:70 *2573:14 0 +30 *2530:73 *2573:14 0 +31 *2553:45 *2573:14 0 +*RES +1 *39754:X *2573:8 24.4689 +2 *2573:8 *2573:14 41.1683 +3 *2573:14 *39345:A 12.7286 +4 *2573:14 *7306:DIODE 9.3 +*END + +*D_NET *2574 0.0202551 +*CONN +*I *7706:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39754:A I *D sky130_fd_sc_hd__buf_6 +*I *39755:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *7706:DIODE 0 +2 *39754:A 0.000143745 +3 *39755:X 0.00250681 +4 *2574:71 0.0012194 +5 *2574:64 0.00346983 +6 *2574:40 0.00385669 +7 *2574:17 0.00400716 +8 *2574:16 0.00505144 +9 *2574:16 *38932:A 0 +10 *2574:16 *39822:A 0 +11 *2574:16 *2606:38 0 +12 *2574:16 *2624:16 0 +13 *2574:16 *2648:20 0 +14 *2574:16 *2655:11 0 +15 *2574:16 *2687:11 0 +16 *2574:16 *2902:40 0 +17 *2574:17 *2651:5 0 +18 *2574:17 *2666:24 0 +19 *2574:40 *39263:A 0 +20 *2574:40 *2651:5 0 +21 *2574:40 *2669:26 0 +22 *2574:40 *2669:38 0 +23 *2574:64 *39856:A 0 +24 *2574:64 *2651:23 0 +25 *2574:64 *2651:49 0 +26 *2574:64 *2658:14 0 +27 *2574:64 *2686:5 0 +28 *2574:71 *2651:49 0 +29 *39728:A *2574:40 0 +30 *901:8 *2574:16 0 +31 *901:8 *2574:40 0 +32 *901:8 *2574:64 0 +33 *901:8 *2574:71 0 +34 *1007:99 *2574:16 0 +35 *1011:65 *39754:A 0 +36 *1011:65 *2574:71 0 +37 *1011:166 *2574:16 0 +38 *1013:32 *2574:64 0 +39 *1016:109 *2574:40 0 +40 *1016:116 *2574:40 0 +41 *1016:144 *2574:16 0 +42 *1023:34 *2574:64 0 +43 *1023:50 *2574:17 0 +44 *1023:50 *2574:40 0 +45 *1023:61 *2574:17 0 +46 *1024:75 *2574:16 0 +47 *1025:62 *2574:40 0 +48 *1026:32 *39754:A 0 +49 *1026:32 *2574:71 0 +50 *2453:28 *2574:16 0 +51 *2490:36 *2574:16 0 +52 *2510:15 *2574:71 0 +53 *2543:14 *2574:64 0 +54 *2544:53 *2574:40 0 +55 *2547:58 *2574:40 0 +56 *2569:14 *2574:16 0 +*RES +1 *39755:X *2574:16 42.6607 +2 *2574:16 *2574:17 53.1071 +3 *2574:17 *2574:40 44.2127 +4 *2574:40 *2574:64 49.2802 +5 *2574:64 *2574:71 17.0801 +6 *2574:71 *39754:A 12.3 +7 *2574:71 *7706:DIODE 9.3 +*END + +*D_NET *2575 0.0195353 +*CONN +*I *7707:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39755:A I *D sky130_fd_sc_hd__buf_4 +*I *39756:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7707:DIODE 0 +2 *39755:A 0.000174562 +3 *39756:X 0.000567123 +4 *2575:41 0.00300591 +5 *2575:28 0.00476624 +6 *2575:15 0.00619463 +7 *2575:14 0.00482686 +8 *2575:14 *2711:24 0 +9 *2575:14 *4687:19 0 +10 *2575:14 *4956:15 0 +11 *2575:14 *5069:23 0 +12 *2575:14 *5069:36 0 +13 *2575:15 *38199:A 0 +14 *2575:15 *38949:A 0 +15 *2575:15 *39202:A 0 +16 *2575:15 *39837:A 0 +17 *2575:15 *2711:24 0 +18 *2575:15 *2711:25 0 +19 *2575:15 *2758:73 0 +20 *2575:15 *4687:7 0 +21 *2575:15 *4953:18 0 +22 *2575:15 *4954:26 0 +23 *2575:15 *4965:24 0 +24 *2575:15 *5049:10 0 +25 *2575:15 *5049:32 0 +26 *2575:15 *5069:36 0 +27 *2575:28 *6294:DIODE 0 +28 *2575:28 *38942:A 0 +29 *2575:28 *2640:16 0 +30 *2575:28 *2711:25 0 +31 *2575:28 *2758:57 0 +32 *2575:28 *2758:73 0 +33 *2575:28 *4665:65 0 +34 *2575:28 *4673:23 0 +35 *2575:41 *2711:36 0 +36 *2575:41 *4657:11 0 +37 *2575:41 *4938:8 0 +38 la_data_in_core[22] *2575:41 0 +39 la_data_in_core[37] *2575:15 0 +40 la_oenb_core[27] *2575:28 0 +41 la_oenb_core[34] *2575:15 0 +42 *7062:DIODE *2575:28 0 +43 *7075:DIODE *2575:14 0 +44 *37795:A *2575:15 0 +45 *39194:A *2575:28 0 +46 *1007:112 *2575:15 0 +47 *1011:166 *39755:A 0 +48 *1011:166 *2575:41 0 +49 *1017:84 *39755:A 0 +50 *2451:34 *2575:28 0 +51 *2488:29 *2575:41 0 +52 *2491:13 *2575:41 0 +53 *2493:51 *2575:41 0 +54 *2496:54 *2575:41 0 +*RES +1 *39756:X *2575:14 30.2821 +2 *2575:14 *2575:15 88.8393 +3 *2575:15 *2575:28 48.6154 +4 *2575:28 *2575:41 48.2683 +5 *2575:41 *39755:A 31.0455 +6 *2575:41 *7707:DIODE 9.3 +*END + +*D_NET *2576 0.0193776 +*CONN +*I *7708:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39756:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39757:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7708:DIODE 0 +2 *39756:A 0.000143745 +3 *39757:X 0.000179328 +4 *2576:19 0.00186787 +5 *2576:9 0.00936572 +6 *2576:8 0.00782092 +7 *39756:A *3798:22 0 +8 *39756:A *4687:19 0 +9 *39756:A *5069:23 0 +10 *2576:8 *39231:A 0 +11 *2576:8 *3799:38 0 +12 *2576:9 *38959:A 0 +13 *2576:9 *38960:A 0 +14 *2576:9 *39213:A 0 +15 *2576:9 *39216:A 0 +16 *2576:9 *39220:A 0 +17 *2576:9 *39224:A 0 +18 *2576:9 *39227:A 0 +19 *2576:9 *39228:A 0 +20 *2576:9 *2746:22 0 +21 *2576:9 *3798:29 0 +22 *2576:9 *3798:42 0 +23 *2576:9 *4684:33 0 +24 *2576:9 *4707:25 0 +25 *2576:9 *4969:22 0 +26 *2576:9 *4973:31 0 +27 *2576:19 *39213:A 0 +28 *2576:19 *3798:22 0 +29 *2576:19 *4679:14 0 +30 *2576:19 *4965:31 0 +31 la_data_in_core[47] *2576:9 0 +32 la_data_in_core[50] *2576:9 0 +33 la_data_in_core[53] *2576:9 0 +34 la_data_in_core[54] *2576:9 0 +35 la_data_in_core[60] *2576:9 0 +36 la_oenb_core[40] *2576:19 0 +37 la_oenb_core[47] *2576:9 0 +38 la_oenb_core[48] *2576:9 0 +39 la_oenb_core[50] *2576:9 0 +40 la_oenb_core[51] *2576:9 0 +41 la_oenb_core[57] *2576:9 0 +42 la_oenb_core[58] *2576:9 0 +43 la_oenb_core[60] *2576:8 0 +44 *1004:20 *2576:9 0 +*RES +1 *39757:X *2576:8 22.3714 +2 *2576:8 *2576:9 159.482 +3 *2576:9 *2576:19 45.375 +4 *2576:19 *39756:A 12.3 +5 *2576:19 *7708:DIODE 9.3 +*END + +*D_NET *2577 0.0190998 +*CONN +*I *7305:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39344:A I *D sky130_fd_sc_hd__buf_12 +*I *39758:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *7305:DIODE 0 +2 *39344:A 0.000189364 +3 *39758:X 0.00172226 +4 *2577:77 0.00164878 +5 *2577:61 0.00340376 +6 *2577:37 0.00500363 +7 *2577:35 0.00423449 +8 *2577:20 0.00289746 +9 *39344:A *2662:29 0 +10 *2577:20 *39762:A 0 +11 *2577:20 *2581:24 0 +12 *2577:20 *2639:11 0 +13 *2577:20 *2654:20 0 +14 *2577:20 *2657:15 0 +15 *2577:20 *2686:17 0 +16 *2577:35 *2657:15 0 +17 *2577:35 *2657:33 0 +18 *2577:37 *7268:DIODE 0 +19 *2577:37 *39291:A 0 +20 *2577:37 *39292:A 0 +21 *2577:37 *2633:67 0 +22 *2577:37 *2657:33 0 +23 *2577:61 *2599:47 0 +24 *2577:61 *2662:21 0 +25 *2577:61 *2662:27 0 +26 *2577:61 *2662:29 0 +27 *2577:77 *2599:47 0 +28 *2577:77 *2599:67 0 +29 *2577:77 *2662:29 0 +30 mprj_adr_o_user[13] *39344:A 0 +31 mprj_adr_o_user[24] *2577:37 0 +32 mprj_dat_o_user[14] *2577:77 0 +33 mprj_dat_o_user[28] *2577:20 0 +34 *7321:DIODE *2577:35 0 +35 *39351:A *2577:37 0 +36 *39351:A *2577:61 0 +37 *39358:A *2577:37 0 +38 *39359:A *2577:35 0 +39 *1004:8 *2577:35 0 +40 *1004:8 *2577:37 0 +41 *1004:8 *2577:61 0 +42 *2523:66 *2577:20 0 +43 *2526:73 *2577:35 0 +44 *2530:77 *2577:35 0 +45 *2543:21 *2577:20 0 +46 *2553:45 *2577:35 0 +47 *2553:59 *2577:37 0 +48 *2573:14 *2577:20 0 +49 *2573:14 *2577:61 0 +*RES +1 *39758:X *2577:20 49.3864 +2 *2577:20 *2577:35 24.8036 +3 *2577:35 *2577:37 63.7857 +4 *2577:37 *2577:61 49.7485 +5 *2577:61 *2577:77 47.7761 +6 *2577:77 *39344:A 22.3357 +7 *2577:77 *7305:DIODE 9.3 +*END + +*D_NET *2578 0.0195431 +*CONN +*I *7709:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39758:A I *D sky130_fd_sc_hd__buf_6 +*I *39759:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *7709:DIODE 0 +2 *39758:A 0.000143745 +3 *39759:X 7.23409e-05 +4 *2578:46 0.000697284 +5 *2578:41 0.00374759 +6 *2578:39 0.00506745 +7 *2578:34 0.00394947 +8 *2578:18 0.00393449 +9 *2578:8 0.00193075 +10 *39758:A *2669:48 0 +11 *39758:A *2686:5 0 +12 *2578:18 *39805:A 0 +13 *2578:18 *39827:A 0 +14 *2578:18 *2582:20 0 +15 *2578:18 *2617:37 0 +16 *2578:18 *2653:11 0 +17 *2578:18 *2707:37 0 +18 *2578:18 *2754:20 0 +19 *2578:18 *4958:23 0 +20 *2578:18 *5057:38 0 +21 *2578:34 *39806:A 0 +22 *2578:34 *2586:15 0 +23 *2578:34 *2592:15 0 +24 *2578:34 *2623:31 0 +25 *2578:34 *2692:23 0 +26 *2578:34 *2757:14 0 +27 *2578:34 *2775:30 0 +28 *2578:34 *2778:51 0 +29 *2578:34 *2895:35 0 +30 *2578:39 *39793:A 0 +31 *2578:39 *2615:5 0 +32 *2578:39 *2623:45 0 +33 *2578:39 *2630:24 0 +34 *2578:39 *2631:5 0 +35 *2578:39 *2692:35 0 +36 *2578:39 *2909:20 0 +37 *2578:41 *2615:5 0 +38 *2578:41 *2630:27 0 +39 *2578:41 *2692:35 0 +40 *39676:A *2578:18 0 +41 *271:33 *2578:39 0 +42 *292:12 *2578:34 0 +43 *293:18 *2578:34 0 +44 *294:25 *2578:18 0 +45 *295:12 *2578:34 0 +46 *320:21 *2578:46 0 +47 *1014:10 *2578:34 0 +48 *2455:24 *2578:46 0 +49 *2486:18 *2578:18 0 +50 *2487:36 *2578:18 0 +51 *2487:37 *2578:18 0 +52 *2514:16 *2578:46 0 +53 *2531:31 *2578:18 0 +54 *2534:36 *2578:34 0 +*RES +1 *39759:X *2578:8 19.9429 +2 *2578:8 *2578:18 48.4643 +3 *2578:18 *2578:34 47.7184 +4 *2578:34 *2578:39 43.6607 +5 *2578:39 *2578:41 66.6607 +6 *2578:41 *2578:46 21.5714 +7 *2578:46 *39758:A 12.3 +8 *2578:46 *7709:DIODE 9.3 +*END + +*D_NET *2579 0.01854 +*CONN +*I *7710:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39759:A I *D sky130_fd_sc_hd__buf_4 +*I *39760:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7710:DIODE 0 +2 *39759:A 0.000184446 +3 *39760:X 0.000483031 +4 *2579:23 0.00161766 +5 *2579:17 0.0086025 +6 *2579:16 0.00765232 +7 *2579:16 *2742:28 0 +8 *2579:16 *2880:39 0 +9 *2579:16 *5108:16 0 +10 *2579:16 *5118:22 0 +11 *2579:16 *5134:37 0 +12 *2579:17 *39673:A 0 +13 *2579:17 *39830:A 0 +14 *2579:17 *2587:11 0 +15 *2579:17 *2587:20 0 +16 *2579:17 *2625:9 0 +17 *2579:17 *2677:44 0 +18 *2579:17 *2736:19 0 +19 *2579:17 *2736:34 0 +20 *2579:17 *2754:39 0 +21 *2579:17 *2759:28 0 +22 *2579:17 *2766:19 0 +23 *2579:17 *4686:7 0 +24 *2579:17 *5058:32 0 +25 *2579:23 *2878:14 0 +26 *317:7 *2579:16 0 +27 *1030:8 *2579:16 0 +28 *2193:27 *2579:17 0 +29 *2193:36 *2579:17 0 +30 *2193:46 *2579:17 0 +31 *2531:31 *39759:A 0 +32 *2531:31 *2579:23 0 +33 *2552:20 *2579:17 0 +*RES +1 *39760:X *2579:16 34.241 +2 *2579:16 *2579:17 149.625 +3 *2579:17 *2579:23 39.1071 +4 *2579:23 *39759:A 22.4071 +5 *2579:23 *7710:DIODE 9.3 +*END + +*D_NET *2580 0.0189271 +*CONN +*I *7711:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39760:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39761:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7711:DIODE 0 +2 *39760:A 0.00016428 +3 *39761:X 0.000800172 +4 *2580:35 0.00193842 +5 *2580:33 0.00278442 +6 *2580:23 0.00531472 +7 *2580:22 0.00571468 +8 *2580:16 0.00221041 +9 *39760:A *2595:40 0 +10 *39760:A *2602:39 0 +11 *39760:A *2880:39 0 +12 *2580:16 *3252:43 0 +13 *2580:16 *3354:12 0 +14 *2580:16 *3679:38 0 +15 *2580:22 *2870:39 0 +16 *2580:23 *2870:39 0 +17 *2580:23 *2940:33 0 +18 *2580:23 *4684:23 0 +19 *2580:23 *5134:17 0 +20 *2580:23 *5134:22 0 +21 *2580:33 *2595:23 0 +22 *2580:33 *2595:31 0 +23 *2580:33 *2602:37 0 +24 *2580:33 *2602:39 0 +25 *2580:35 *2595:31 0 +26 *2580:35 *2595:40 0 +27 *2580:35 *2602:39 0 +28 *38219:A *2580:33 0 +29 *337:36 *2580:23 0 +30 *337:36 *2580:33 0 +31 *1287:46 *2580:16 0 +32 *2274:47 *2580:16 0 +33 *2278:19 *2580:23 0 +34 *2290:42 *2580:22 0 +35 *2293:21 *2580:23 0 +36 *2305:39 *2580:23 0 +37 *2305:44 *2580:16 0 +38 *2308:33 *2580:23 0 +39 *2317:26 *2580:23 0 +40 *2317:41 *2580:23 0 +*RES +1 *39761:X *2580:16 44.9786 +2 *2580:16 *2580:22 38.5893 +3 *2580:22 *2580:23 89.8661 +4 *2580:23 *2580:33 21.4554 +5 *2580:33 *2580:35 37.0893 +6 *2580:35 *39760:A 12.7286 +7 *2580:35 *7711:DIODE 9.3 +*END + +*D_NET *2581 0.0192344 +*CONN +*I *39343:A I *D sky130_fd_sc_hd__buf_12 +*I *7304:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39762:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *39343:A 0.00022597 +2 *7304:DIODE 4.53482e-05 +3 *39762:X 0.00156013 +4 *2581:36 0.000651191 +5 *2581:33 0.00442014 +6 *2581:31 0.00409075 +7 *2581:25 0.00336561 +8 *2581:24 0.00487525 +9 *7304:DIODE *2662:29 0 +10 *39343:A *2599:67 0 +11 *39343:A *2657:87 0 +12 *2581:24 *39765:A 0 +13 *2581:24 *2585:24 0 +14 *2581:24 *2686:17 0 +15 *2581:24 *2686:43 0 +16 *2581:25 *39778:A 0 +17 *2581:25 *39789:A 0 +18 *2581:25 *39792:A 0 +19 *2581:25 *2585:24 0 +20 *2581:25 *2585:31 0 +21 *2581:25 *2591:20 0 +22 *2581:25 *2600:33 0 +23 *2581:25 *2611:15 0 +24 *2581:25 *2686:43 0 +25 *2581:25 *2686:51 0 +26 *2581:31 *2611:15 0 +27 *2581:33 *2591:46 0 +28 *2581:33 *2611:15 0 +29 *2581:33 *2611:19 0 +30 *2581:33 *2611:21 0 +31 *2581:33 *2614:19 0 +32 *2581:33 *2614:31 0 +33 *2581:33 *2685:17 0 +34 mprj_adr_o_user[12] *2581:36 0 +35 *302:13 *2581:36 0 +36 *1005:18 *2581:24 0 +37 *1010:25 *2581:25 0 +38 *1013:25 *2581:25 0 +39 *1013:32 *2581:25 0 +40 *1028:22 *2581:25 0 +41 *1031:10 *2581:24 0 +42 *2543:21 *2581:24 0 +43 *2565:29 *2581:24 0 +44 *2577:20 *2581:24 0 +*RES +1 *39762:X *2581:24 47.579 +2 *2581:24 *2581:25 69.125 +3 *2581:25 *2581:31 1.39286 +4 *2581:31 *2581:33 84.3214 +5 *2581:33 *2581:36 13.125 +6 *2581:36 *7304:DIODE 14.7464 +7 *2581:36 *39343:A 18.6571 +*END + +*D_NET *2582 0.0192413 +*CONN +*I *39762:A I *D sky130_fd_sc_hd__buf_6 +*I *7712:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39763:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *39762:A 0.000214783 +2 *7712:DIODE 0 +3 *39763:X 0.00114688 +4 *2582:52 0.00140855 +5 *2582:46 0.00313127 +6 *2582:40 0.00458648 +7 *2582:24 0.00475653 +8 *2582:20 0.00247875 +9 *2582:12 0.00151808 +10 *39762:A *2686:17 0 +11 *39762:A *4960:17 0 +12 *2582:12 *2613:33 0 +13 *2582:12 *2902:40 0 +14 *2582:20 *2676:13 0 +15 *2582:20 *5057:38 0 +16 *2582:24 *2617:37 0 +17 *2582:24 *2707:37 0 +18 *2582:24 *2707:41 0 +19 *2582:24 *2707:43 0 +20 *2582:40 *2586:21 0 +21 *2582:40 *2592:19 0 +22 *2582:40 *2592:21 0 +23 *2582:40 *2612:16 0 +24 *2582:40 *2909:31 0 +25 *2582:40 *4985:31 0 +26 *2582:46 *2586:21 0 +27 *2582:46 *2612:23 0 +28 *2582:52 *2635:13 0 +29 *2582:52 *4960:17 0 +30 *38217:A *2582:24 0 +31 *271:27 *2582:24 0 +32 *292:14 *2582:40 0 +33 *1014:10 *2582:20 0 +34 *1014:10 *2582:40 0 +35 *2455:24 *39762:A 0 +36 *2455:24 *2582:52 0 +37 *2498:32 *2582:24 0 +38 *2498:50 *2582:24 0 +39 *2511:16 *2582:46 0 +40 *2531:50 *2582:20 0 +41 *2541:50 *2582:24 0 +42 *2562:7 *2582:52 0 +43 *2577:20 *39762:A 0 +44 *2578:18 *2582:20 0 +*RES +1 *39763:X *2582:12 46.9161 +2 *2582:12 *2582:20 15.7037 +3 *2582:20 *2582:24 48.8393 +4 *2582:24 *2582:40 46.0564 +5 *2582:40 *2582:46 49.8393 +6 *2582:46 *2582:52 34.0714 +7 *2582:52 *7712:DIODE 13.8 +8 *2582:52 *39762:A 18.6214 +*END + +*D_NET *2583 0.00433738 +*CONN +*I *38062:C I *D sky130_fd_sc_hd__and3b_1 +*I *38438:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *38062:C 0 +2 *38438:X 0.000629071 +3 *2583:35 0.00153962 +4 *2583:20 0.00216869 +5 *2583:20 *37648:B 0 +6 *2583:20 *3785:16 0 +7 *2583:20 *4512:12 0 +8 *2583:35 *3785:16 0 +9 *2583:35 *4025:61 0 +10 *2583:35 *4053:66 0 +11 *2583:35 *4275:26 0 +12 *2583:35 *4512:21 0 +13 *2583:35 *4512:23 0 +14 *2527:19 *2583:20 0 +*RES +1 *38438:X *2583:20 37.0411 +2 *2583:20 *2583:35 47.6339 +3 *2583:35 *38062:C 9.3 +*END + +*D_NET *2584 0.0193271 +*CONN +*I *7713:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39763:A I *D sky130_fd_sc_hd__buf_4 +*I *39764:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7713:DIODE 0 +2 *39763:A 0.000124066 +3 *39764:X 0.00586773 +4 *2584:30 0.00112177 +5 *2584:24 0.00367178 +6 *2584:11 0.0085418 +7 *39763:A *2623:31 0 +8 *39763:A *5057:38 0 +9 *2584:11 *2620:9 0 +10 *2584:11 *2625:32 0 +11 *2584:11 *2742:28 0 +12 *2584:11 *2759:47 0 +13 *2584:11 *2883:41 0 +14 *2584:11 *5039:28 0 +15 *2584:11 *5056:11 0 +16 *2584:11 *5118:22 0 +17 *2584:11 *5120:37 0 +18 *2584:11 *5135:38 0 +19 *2584:24 *2587:36 0 +20 *2584:24 *2613:33 0 +21 *2584:24 *2620:9 0 +22 *2584:24 *2692:20 0 +23 *2584:24 *2753:36 0 +24 *2584:24 *2883:20 0 +25 *2584:24 *4940:12 0 +26 *2584:24 *5118:22 0 +27 *2584:30 *2587:37 0 +28 *2584:30 *2613:33 0 +29 *2584:30 *2755:33 0 +30 *2584:30 *2888:36 0 +31 *296:12 *2584:30 0 +32 *298:11 *2584:24 0 +33 *338:47 *2584:11 0 +34 *1030:8 *2584:11 0 +35 *1497:49 *2584:24 0 +36 *2193:21 *2584:11 0 +37 *2517:10 *2584:11 0 +38 *2531:18 *2584:11 0 +39 *2547:21 *2584:24 0 +40 *2547:38 *2584:30 0 +41 *2557:9 *2584:24 0 +*RES +1 *39764:X *2584:11 46.3606 +2 *2584:11 *2584:24 49.2067 +3 *2584:24 *2584:30 30.0536 +4 *2584:30 *39763:A 11.8893 +5 *2584:30 *7713:DIODE 9.3 +*END + +*D_NET *2585 0.0185348 +*CONN +*I *39342:A I *D sky130_fd_sc_hd__buf_12 +*I *7303:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39765:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *39342:A 0.000195182 +2 *7303:DIODE 0 +3 *39765:X 0.00121944 +4 *2585:34 0.000294225 +5 *2585:31 0.00632372 +6 *2585:30 0.00775375 +7 *2585:24 0.00274851 +8 *39342:A *2678:17 0 +9 *39342:A *5064:29 0 +10 *2585:24 *2686:17 0 +11 *2585:24 *2686:43 0 +12 *2585:30 *2669:67 0 +13 *2585:31 *39789:A 0 +14 *2585:31 *39860:A 0 +15 *2585:31 *2591:47 0 +16 *2585:31 *2611:15 0 +17 *2585:31 *2611:19 0 +18 *2585:31 *2611:21 0 +19 *2585:31 *2614:30 0 +20 *2585:31 *2686:51 0 +21 *2585:31 *2690:16 0 +22 *1002:7 *39342:A 0 +23 *1002:7 *2585:34 0 +24 *1008:19 *2585:31 0 +25 *1008:29 *2585:31 0 +26 *1013:25 *2585:31 0 +27 *1013:31 *2585:31 0 +28 *1013:32 *2585:24 0 +29 *1019:7 *2585:24 0 +30 *1028:22 *2585:24 0 +31 *1028:31 *2585:24 0 +32 *2540:12 *2585:30 0 +33 *2550:23 *2585:30 0 +34 *2565:29 *2585:24 0 +35 *2565:49 *2585:31 0 +36 *2581:24 *2585:24 0 +37 *2581:25 *2585:24 0 +38 *2581:25 *2585:31 0 +*RES +1 *39765:X *2585:24 44.4071 +2 *2585:24 *2585:30 41.1429 +3 *2585:30 *2585:31 129.911 +4 *2585:31 *2585:34 6.75 +5 *2585:34 *7303:DIODE 13.8 +6 *2585:34 *39342:A 18.2107 +*END + +*D_NET *2586 0.0189342 +*CONN +*I *7715:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39765:A I *D sky130_fd_sc_hd__buf_6 +*I *39766:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *7715:DIODE 0 +2 *39765:A 0.000143745 +3 *39766:X 0.00102205 +4 *2586:26 0.000603746 +5 *2586:21 0.00631377 +6 *2586:20 0.00595279 +7 *2586:15 0.00198752 +8 *2586:7 0.00291054 +9 *39765:A *2686:17 0 +10 *2586:7 *37929:A 0 +11 *2586:7 *39803:A 0 +12 *2586:7 *2593:41 0 +13 *2586:7 *2613:33 0 +14 *2586:7 *2626:14 0 +15 *2586:7 *2888:36 0 +16 *2586:7 *4656:17 0 +17 *2586:15 *39779:A 0 +18 *2586:15 *2592:15 0 +19 *2586:15 *2613:33 0 +20 *2586:15 *2626:25 0 +21 *2586:21 *7794:DIODE 0 +22 *2586:21 *39790:A 0 +23 *2586:21 *2600:27 0 +24 *2586:21 *2612:16 0 +25 *2586:21 *2612:21 0 +26 *2586:21 *2612:23 0 +27 *2586:21 *2613:37 0 +28 *2586:21 *2909:31 0 +29 *2586:26 *2629:24 0 +30 *271:27 *2586:20 0 +31 *271:34 *2586:21 0 +32 *291:15 *2586:15 0 +33 *329:17 *2586:26 0 +34 *1028:31 *2586:26 0 +35 *2495:17 *2586:21 0 +36 *2514:9 *2586:21 0 +37 *2541:50 *2586:20 0 +38 *2547:47 *2586:7 0 +39 *2547:47 *2586:15 0 +40 *2566:13 *2586:15 0 +41 *2578:34 *2586:15 0 +42 *2581:24 *39765:A 0 +43 *2582:40 *2586:21 0 +44 *2582:46 *2586:21 0 +*RES +1 *39766:X *2586:7 30.6929 +2 *2586:7 *2586:15 48.5714 +3 *2586:15 *2586:20 11.25 +4 *2586:20 *2586:21 122.107 +5 *2586:21 *2586:26 19.4464 +6 *2586:26 *39765:A 12.3 +7 *2586:26 *7715:DIODE 9.3 +*END + +*D_NET *2587 0.0186981 +*CONN +*I *7716:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39766:A I *D sky130_fd_sc_hd__buf_4 +*I *39767:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7716:DIODE 0 +2 *39766:A 0.000149735 +3 *39767:X 0.00049866 +4 *2587:37 0.00219939 +5 *2587:36 0.00334171 +6 *2587:20 0.00265309 +7 *2587:11 0.00535894 +8 *2587:10 0.00449657 +9 *39766:A *2593:41 0 +10 *39766:A *2888:36 0 +11 *2587:10 *2637:41 0 +12 *2587:10 *2693:57 0 +13 *2587:11 *37955:A 0 +14 *2587:11 *2736:19 0 +15 *2587:11 *5039:28 0 +16 *2587:11 *5058:22 0 +17 *2587:20 *2759:28 0 +18 *2587:36 *2617:36 0 +19 *2587:36 *2623:23 0 +20 *2587:37 *2593:41 0 +21 *2587:37 *2692:20 0 +22 *2587:37 *2888:36 0 +23 *5923:DIODE *2587:11 0 +24 *5923:DIODE *2587:20 0 +25 *301:12 *2587:36 0 +26 *2193:36 *2587:20 0 +27 *2344:59 *2587:10 0 +28 *2486:18 *39766:A 0 +29 *2486:18 *2587:37 0 +30 *2524:13 *2587:37 0 +31 *2528:43 *2587:36 0 +32 *2531:31 *2587:36 0 +33 *2544:31 *2587:36 0 +34 *2552:20 *2587:10 0 +35 *2579:17 *2587:11 0 +36 *2579:17 *2587:20 0 +37 *2584:24 *2587:36 0 +38 *2584:30 *2587:37 0 +*RES +1 *39767:X *2587:10 28.8893 +2 *2587:10 *2587:11 83.5 +3 *2587:11 *2587:20 37.6607 +4 *2587:20 *2587:36 45.5714 +5 *2587:36 *2587:37 42.8393 +6 *2587:37 *39766:A 12.4875 +7 *2587:37 *7716:DIODE 9.3 +*END + +*D_NET *2588 0.018189 +*CONN +*I *7333:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39372:A I *D sky130_fd_sc_hd__buf_12 +*I *39768:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *7333:DIODE 0 +2 *39372:A 0.000175993 +3 *39768:X 9.90829e-05 +4 *2588:14 0.000783114 +5 *2588:9 0.00881942 +6 *2588:8 0.00831138 +7 *39372:A *2668:31 0 +8 *2588:8 *2635:22 0 +9 *2588:9 *2635:25 0 +10 *2588:9 *2641:21 0 +11 *2588:14 *2591:53 0 +12 mprj_dat_o_user[10] *2588:14 0 +13 *297:13 *2588:14 0 +14 *1001:16 *39372:A 0 +15 *2536:20 *2588:9 0 +16 *2556:11 *2588:9 0 +17 *2556:21 *2588:9 0 +*RES +1 *39768:X *2588:8 20.55 +2 *2588:8 *2588:9 171.393 +3 *2588:9 *2588:14 22.7857 +4 *2588:14 *39372:A 22.0321 +5 *2588:14 *7333:DIODE 9.3 +*END + +*D_NET *2589 0.0187833 +*CONN +*I *7718:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39768:A I *D sky130_fd_sc_hd__buf_6 +*I *39769:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *7718:DIODE 0 +2 *39768:A 0.000163425 +3 *39769:X 0 +4 *2589:36 0.00159114 +5 *2589:21 0.00375587 +6 *2589:19 0.00234869 +7 *2589:16 0.00207401 +8 *2589:11 0.00327082 +9 *2589:7 0.00339833 +10 *2589:4 0.00218099 +11 *39768:A *2635:22 0 +12 *2589:7 *38163:A 0 +13 *2589:7 *39787:A 0 +14 *2589:7 *2610:43 0 +15 *2589:7 *2759:13 0 +16 *2589:7 *2783:27 0 +17 *2589:11 *39787:A 0 +18 *2589:11 *2609:7 0 +19 *2589:11 *2609:9 0 +20 *2589:11 *2610:43 0 +21 *2589:16 *38155:A 0 +22 *2589:16 *2609:9 0 +23 *2589:16 *2788:21 0 +24 *2589:21 *2609:9 0 +25 *2589:21 *2609:19 0 +26 *2589:21 *4947:17 0 +27 *2589:21 *5053:13 0 +28 *2589:21 *5063:17 0 +29 *2589:36 *2609:19 0 +30 *2589:36 *2630:30 0 +31 *2589:36 *5043:8 0 +32 *2589:36 *5053:17 0 +33 *37935:A *2589:36 0 +34 *39687:A *2589:21 0 +35 *334:13 *2589:36 0 +36 *1366:16 *2589:36 0 +37 *2503:5 *2589:11 0 +38 *2503:5 *2589:21 0 +39 *2507:29 *2589:16 0 +40 *2507:29 *2589:21 0 +41 *2537:19 *2589:7 0 +42 *2540:5 *39768:A 0 +*RES +1 *39769:X *2589:4 9.3 +2 *2589:4 *2589:7 45.5179 +3 *2589:7 *2589:11 25.5 +4 *2589:11 *2589:16 47.4643 +5 *2589:16 *2589:19 4.92857 +6 *2589:19 *2589:21 48.5893 +7 *2589:21 *2589:36 49.1071 +8 *2589:36 *39768:A 12.7107 +9 *2589:36 *7718:DIODE 9.3 +*END + +*D_NET *2590 0.0194533 +*CONN +*I *7719:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39769:A I *D sky130_fd_sc_hd__buf_4 +*I *39770:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7719:DIODE 0 +2 *39769:A 0.000144601 +3 *39770:X 0.000486605 +4 *2590:49 0.000971991 +5 *2590:45 0.0040608 +6 *2590:44 0.00516991 +7 *2590:30 0.00356535 +8 *2590:14 0.00309813 +9 *2590:8 0.00195588 +10 *39769:A *2610:43 0 +11 *39769:A *2759:13 0 +12 *39769:A *2759:15 0 +13 *2590:8 *2742:17 0 +14 *2590:8 *4965:8 0 +15 *2590:14 *2602:39 0 +16 *2590:14 *2868:33 0 +17 *2590:30 *2703:19 0 +18 *2590:30 *2748:40 0 +19 *2590:30 *2854:21 0 +20 *2590:30 *2873:24 0 +21 *2590:44 *2610:30 0 +22 *2590:44 *2617:36 0 +23 *2590:44 *2636:16 0 +24 *2590:44 *2693:94 0 +25 *2590:44 *2890:42 0 +26 *2590:45 *2610:39 0 +27 *2590:45 *2759:15 0 +28 *2590:45 *5055:16 0 +29 *2590:49 *2610:39 0 +30 *2590:49 *2610:43 0 +31 *2590:49 *2759:15 0 +32 *342:50 *2590:14 0 +33 *1012:10 *2590:30 0 +34 *1022:10 *2590:30 0 +35 *1385:53 *2590:30 0 +36 *2158:31 *2590:30 0 +37 *2170:10 *2590:14 0 +38 *2537:18 *2590:44 0 +39 *2541:15 *2590:45 0 +40 *2541:26 *2590:45 0 +41 *2561:26 *2590:14 0 +42 *2564:32 *2590:8 0 +43 *2570:49 *2590:44 0 +*RES +1 *39770:X *2590:8 29.3536 +2 *2590:8 *2590:14 39.8214 +3 *2590:14 *2590:30 49.2522 +4 *2590:30 *2590:44 37.0717 +5 *2590:44 *2590:45 67.4821 +6 *2590:45 *2590:49 17.3929 +7 *2590:49 *39769:A 12.3179 +8 *2590:49 *7719:DIODE 9.3 +*END + +*D_NET *2591 0.0192261 +*CONN +*I *7332:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39371:A I *D sky130_fd_sc_hd__buf_12 +*I *39771:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *7332:DIODE 0 +2 *39371:A 0.000190872 +3 *39771:X 0.00157433 +4 *2591:53 0.00115474 +5 *2591:47 0.00370529 +6 *2591:46 0.00427605 +7 *2591:40 0.00232967 +8 *2591:29 0.00260793 +9 *2591:20 0.00338722 +10 *39371:A *2673:40 0 +11 *39371:A *5064:29 0 +12 *2591:20 *5053:22 0 +13 *2591:29 *2603:5 0 +14 *2591:29 *2686:51 0 +15 *2591:40 *2614:19 0 +16 *2591:40 *2619:14 0 +17 *2591:40 *2622:14 0 +18 *2591:40 *2686:57 0 +19 *2591:46 *5043:12 0 +20 *2591:47 *2611:21 0 +21 *2591:53 *5064:29 0 +22 *313:33 *39371:A 0 +23 *901:8 *2591:20 0 +24 *901:8 *2591:40 0 +25 *1001:16 *2591:53 0 +26 *1002:7 *2591:53 0 +27 *1007:21 *2591:29 0 +28 *1008:40 *2591:29 0 +29 *1010:19 *2591:40 0 +30 *1010:41 *2591:20 0 +31 *1013:25 *2591:29 0 +32 *1026:20 *2591:29 0 +33 *1026:32 *2591:29 0 +34 *1028:22 *2591:20 0 +35 *1031:7 *39371:A 0 +36 *2550:26 *2591:40 0 +37 *2565:44 *2591:20 0 +38 *2565:49 *2591:20 0 +39 *2565:61 *2591:40 0 +40 *2581:25 *2591:20 0 +41 *2581:33 *2591:46 0 +42 *2585:31 *2591:47 0 +43 *2588:14 *2591:53 0 +*RES +1 *39771:X *2591:20 47.1881 +2 *2591:20 *2591:29 47.0714 +3 *2591:29 *2591:40 22.992 +4 *2591:40 *2591:46 41.1607 +5 *2591:46 *2591:47 57.2143 +6 *2591:47 *2591:53 29.6071 +7 *2591:53 *39371:A 22.5143 +8 *2591:53 *7332:DIODE 9.3 +*END + +*D_NET *2592 0.0185738 +*CONN +*I *39771:A I *D sky130_fd_sc_hd__buf_6 +*I *7721:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39772:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *39771:A 0.000189278 +2 *7721:DIODE 0 +3 *39772:X 0.0018351 +4 *2592:24 0.000555682 +5 *2592:21 0.00656991 +6 *2592:19 0.00689613 +7 *2592:15 0.00252773 +8 *39771:A *2686:43 0 +9 *2592:15 *38159:A 0 +10 *2592:15 *39779:A 0 +11 *2592:15 *2600:20 0 +12 *2592:15 *2613:33 0 +13 *2592:15 *2613:37 0 +14 *2592:15 *2626:25 0 +15 *2592:19 *2600:20 0 +16 *2592:19 *2613:37 0 +17 *2592:21 *2600:27 0 +18 *2592:21 *2612:16 0 +19 *2592:24 *2631:17 0 +20 *2592:24 *2635:22 0 +21 *901:8 *39771:A 0 +22 *1010:41 *39771:A 0 +23 *2533:62 *2592:24 0 +24 *2543:32 *2592:24 0 +25 *2547:47 *2592:15 0 +26 *2578:34 *2592:15 0 +27 *2582:40 *2592:19 0 +28 *2582:40 *2592:21 0 +29 *2586:15 *2592:15 0 +*RES +1 *39772:X *2592:15 47.8179 +2 *2592:15 *2592:19 14.5179 +3 *2592:19 *2592:21 129.5 +4 *2592:21 *2592:24 12.8214 +5 *2592:24 *7721:DIODE 13.8 +6 *2592:24 *39771:A 23.2142 +*END + +*D_NET *2593 0.0193453 +*CONN +*I *7722:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39772:A I *D sky130_fd_sc_hd__buf_4 +*I *39773:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7722:DIODE 0 +2 *39772:A 0.000117669 +3 *39773:X 0.00144184 +4 *2593:41 0.00198577 +5 *2593:34 0.00325791 +6 *2593:26 0.00352112 +7 *2593:22 0.00219031 +8 *2593:17 0.00254458 +9 *2593:16 0.00266492 +10 *2593:11 0.00162117 +11 *39772:A *2626:14 0 +12 *2593:11 *2881:43 0 +13 *2593:17 *38203:A 0 +14 *2593:17 *2659:13 0 +15 *2593:17 *2877:41 0 +16 *2593:26 *2692:20 0 +17 *2593:26 *2755:33 0 +18 *2593:41 *2888:36 0 +19 *39729:A *2593:17 0 +20 *39766:A *2593:41 0 +21 *301:12 *2593:26 0 +22 *340:62 *2593:11 0 +23 *347:50 *2593:11 0 +24 *1513:31 *2593:34 0 +25 *2482:14 *2593:16 0 +26 *2517:23 *2593:17 0 +27 *2517:34 *2593:17 0 +28 *2524:13 *2593:26 0 +29 *2531:50 *39772:A 0 +30 *2544:14 *2593:17 0 +31 *2544:15 *2593:17 0 +32 *2545:27 *2593:11 0 +33 *2551:15 *2593:17 0 +34 *2555:29 *2593:11 0 +35 *2555:36 *2593:16 0 +36 *2555:36 *2593:17 0 +37 *2566:11 *2593:34 0 +38 *2566:13 *39772:A 0 +39 *2566:13 *2593:41 0 +40 *2586:7 *2593:41 0 +41 *2587:37 *2593:41 0 +*RES +1 *39773:X *2593:11 49.1393 +2 *2593:11 *2593:16 13.0714 +3 *2593:16 *2593:17 51.875 +4 *2593:17 *2593:22 10.3393 +5 *2593:22 *2593:26 49.0893 +6 *2593:26 *2593:34 42.5893 +7 *2593:34 *2593:41 48.0714 +8 *2593:41 *39772:A 20.8893 +9 *2593:41 *7722:DIODE 9.3 +*END + +*D_NET *2594 0.00649624 +*CONN +*I *38064:C I *D sky130_fd_sc_hd__and3b_1 +*I *38439:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *38064:C 8.55626e-05 +2 *38439:X 0.00151057 +3 *2594:28 0.00173755 +4 *2594:21 0.00316256 +5 *38064:C *38064:A_N 0 +6 *38064:C *4017:58 0 +7 *38064:C *4107:63 0 +8 *2594:21 *5441:DIODE 0 +9 *2594:21 *3086:51 0 +10 *2594:21 *3314:28 0 +11 *2594:21 *3500:20 0 +12 *2594:21 *3508:18 0 +13 *2594:21 *3849:81 0 +14 *2594:21 *3973:49 0 +15 *2594:21 *4016:14 0 +16 *2594:21 *4508:15 0 +17 *2594:21 *4508:23 0 +18 *2594:21 *4878:51 0 +19 *2594:28 *3192:66 0 +20 *2594:28 *3939:117 0 +21 *2594:28 *4252:37 0 +22 *6858:DIODE *2594:21 0 +23 *1212:16 *2594:21 0 +24 *1434:26 *2594:28 0 +*RES +1 *38439:X *2594:21 42.7972 +2 *2594:21 *2594:28 45.5982 +3 *2594:28 *38064:C 15.5857 +*END + +*D_NET *2595 0.0190713 +*CONN +*I *39773:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7723:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39774:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39773:A 0.000162783 +2 *7723:DIODE 0 +3 *39774:X 0.00151084 +4 *2595:49 0.00184041 +5 *2595:40 0.00367433 +6 *2595:31 0.00259714 +7 *2595:23 0.00286955 +8 *2595:22 0.00358727 +9 *2595:16 0.00282899 +10 *2595:16 *3796:10 0 +11 *2595:16 *5134:10 0 +12 *2595:22 *5110:15 0 +13 *2595:23 *2602:37 0 +14 *2595:23 *5134:22 0 +15 *2595:40 *2880:39 0 +16 *2595:49 *2602:39 0 +17 *2595:49 *5135:38 0 +18 *38237:A *2595:40 0 +19 *39760:A *2595:40 0 +20 *337:36 *2595:31 0 +21 *337:36 *2595:40 0 +22 *338:48 *39773:A 0 +23 *338:48 *2595:49 0 +24 *1012:10 *2595:16 0 +25 *1022:16 *2595:16 0 +26 *1199:10 *2595:49 0 +27 *1950:60 *2595:40 0 +28 *1953:61 *2595:40 0 +29 *2220:40 *2595:22 0 +30 *2242:34 *2595:16 0 +31 *2259:44 *2595:16 0 +32 *2264:19 *2595:22 0 +33 *2268:20 *2595:22 0 +34 *2268:22 *2595:22 0 +35 *2298:11 *2595:22 0 +36 *2305:39 *2595:16 0 +37 *2309:29 *2595:16 0 +38 *2529:8 *2595:16 0 +39 *2542:19 *2595:23 0 +40 *2580:33 *2595:23 0 +41 *2580:33 *2595:31 0 +42 *2580:35 *2595:31 0 +43 *2580:35 *2595:40 0 +*RES +1 *39774:X *2595:16 38.8972 +2 *2595:16 *2595:22 36.6429 +3 *2595:22 *2595:23 47.3571 +4 *2595:23 *2595:31 21.6786 +5 *2595:31 *2595:40 46.4643 +6 *2595:40 *2595:49 48.75 +7 *2595:49 *7723:DIODE 9.3 +8 *2595:49 *39773:A 12.7286 +*END + +*D_NET *2596 0.0179022 +*CONN +*I *39370:A I *D sky130_fd_sc_hd__buf_12 +*I *7331:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39775:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *39370:A 0.00016844 +2 *7331:DIODE 0 +3 *39775:X 0 +4 *2596:8 0.000561664 +5 *2596:5 0.00878264 +6 *2596:4 0.00838941 +7 *39370:A *2665:55 0 +8 *39370:A *2673:41 0 +9 *39370:A *5068:26 0 +10 *2596:5 *39786:A 0 +11 *2596:5 *39873:A 0 +12 *2596:5 *2704:5 0 +13 *2596:5 *2706:27 0 +14 *2596:8 *5068:26 0 +15 *1030:7 *39370:A 0 +16 *1030:7 *2596:8 0 +17 *2540:12 *2596:5 0 +18 *2562:7 *2596:5 0 +19 *2568:9 *2596:5 0 +*RES +1 *39775:X *2596:4 9.3 +2 *2596:4 *2596:5 175.089 +3 *2596:5 *2596:8 13.4286 +4 *2596:8 *7331:DIODE 13.8 +5 *2596:8 *39370:A 17.6036 +*END + +*D_NET *2597 0.0184806 +*CONN +*I *39775:A I *D sky130_fd_sc_hd__buf_6 +*I *7724:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39776:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *39775:A 0.00016428 +2 *7724:DIODE 0 +3 *39776:X 2.0535e-05 +4 *2597:24 0.000490571 +5 *2597:19 0.00209041 +6 *2597:13 0.0066506 +7 *2597:12 0.00488648 +8 *2597:10 0.00207859 +9 *2597:5 0.00209912 +10 *2597:5 *2770:47 0 +11 *2597:10 *2770:47 0 +12 *2597:10 *4677:5 0 +13 *2597:10 *4677:11 0 +14 *2597:10 *5009:6 0 +15 *2597:13 *4677:5 0 +16 *2597:13 *5063:16 0 +17 *2597:19 *2615:5 0 +18 *2597:19 *2630:27 0 +19 *2597:19 *2691:9 0 +20 *2597:19 *2775:11 0 +21 *2597:24 *2632:46 0 +22 *37963:A *2597:13 0 +23 *291:27 *2597:10 0 +24 *291:28 *2597:13 0 +25 *1370:21 *2597:13 0 +26 *1370:27 *2597:10 0 +27 *1370:35 *2597:10 0 +28 *1380:29 *2597:13 0 +29 *2510:9 *2597:13 0 +30 *2540:5 *39775:A 0 +31 *2562:7 *39775:A 0 +32 *2563:21 *2597:10 0 +*RES +1 *39776:X *2597:5 9.72857 +2 *2597:5 *2597:10 47.9643 +3 *2597:10 *2597:12 4.5 +4 *2597:12 *2597:13 101.982 +5 *2597:13 *2597:19 46.5893 +6 *2597:19 *2597:24 16.4107 +7 *2597:24 *7724:DIODE 9.3 +8 *2597:24 *39775:A 12.7286 +*END + +*D_NET *2598 0.0190573 +*CONN +*I *7725:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39776:A I *D sky130_fd_sc_hd__buf_4 +*I *39777:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7725:DIODE 0.000109681 +2 *39776:A 4.5599e-05 +3 *39777:X 0.00146746 +4 *2598:31 0.00015528 +5 *2598:29 0.00482744 +6 *2598:28 0.00536377 +7 *2598:22 0.00307848 +8 *2598:10 0.0040096 +9 *7725:DIODE *2753:25 0 +10 *39776:A *2753:25 0 +11 *2598:10 *4963:14 0 +12 *2598:10 *5071:20 0 +13 *2598:22 *2617:27 0 +14 *2598:22 *2699:38 0 +15 *2598:22 *2735:39 0 +16 *2598:22 *2748:37 0 +17 *2598:22 *5071:21 0 +18 *2598:28 *2889:42 0 +19 *2598:28 *2890:42 0 +20 *37597:A *2598:10 0 +21 *291:15 *7725:DIODE 0 +22 *311:33 *2598:28 0 +23 *340:70 *2598:29 0 +24 *1000:14 *2598:22 0 +25 *1019:8 *2598:22 0 +26 *1370:38 *39776:A 0 +27 *1507:12 *7725:DIODE 0 +28 *1904:13 *2598:10 0 +29 *1934:33 *2598:10 0 +30 *1944:42 *2598:10 0 +31 *1953:11 *2598:22 0 +32 *2160:25 *2598:22 0 +33 *2168:18 *2598:10 0 +34 *2174:41 *2598:10 0 +35 *2174:60 *2598:22 0 +36 *2197:38 *2598:10 0 +37 *2197:52 *2598:10 0 +38 *2563:11 *2598:29 0 +39 *2563:13 *2598:29 0 +*RES +1 *39777:X *2598:10 49.55 +2 *2598:10 *2598:22 49.4282 +3 *2598:22 *2598:28 11.1056 +4 *2598:28 *2598:29 100.75 +5 *2598:29 *2598:31 4.5 +6 *2598:31 *39776:A 14.8357 +7 *2598:31 *7725:DIODE 16.3536 +*END + +*D_NET *2599 0.0189066 +*CONN +*I *7330:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39369:A I *D sky130_fd_sc_hd__buf_12 +*I *39778:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *7330:DIODE 0 +2 *39369:A 0.00016428 +3 *39778:X 0.00133407 +4 *2599:69 0.00208602 +5 *2599:67 0.004107 +6 *2599:47 0.00416878 +7 *2599:27 0.00384795 +8 *2599:18 0.00319851 +9 *39369:A *2708:21 0 +10 *39369:A *5160:45 0 +11 *2599:18 *39293:A 0 +12 *2599:18 *39782:A 0 +13 *2599:18 *2604:30 0 +14 *2599:18 *2633:67 0 +15 *2599:18 *2645:25 0 +16 *2599:18 *2665:16 0 +17 *2599:18 *5054:34 0 +18 *2599:27 *2662:21 0 +19 *2599:47 *39283:A 0 +20 *2599:47 *2662:29 0 +21 *2599:67 *2657:87 0 +22 *2599:67 *2662:29 0 +23 *2599:67 *2662:33 0 +24 *2599:67 *2708:21 0 +25 *2599:69 *39277:A 0 +26 *2599:69 *39306:A 0 +27 *2599:69 *2657:87 0 +28 *2599:69 *2708:21 0 +29 *2599:69 *5160:43 0 +30 *2599:69 *5160:45 0 +31 mprj_adr_o_user[20] *2599:27 0 +32 mprj_dat_o_user[24] *2599:18 0 +33 *39343:A *2599:67 0 +34 *39345:A *2599:67 0 +35 *39350:A *2599:47 0 +36 *1004:8 *2599:47 0 +37 *1004:8 *2599:67 0 +38 *1017:33 *2599:18 0 +39 *2546:53 *2599:18 0 +40 *2553:59 *2599:27 0 +41 *2556:21 *2599:47 0 +42 *2573:14 *2599:18 0 +43 *2573:14 *2599:27 0 +44 *2577:61 *2599:47 0 +45 *2577:77 *2599:47 0 +46 *2577:77 *2599:67 0 +*RES +1 *39778:X *2599:18 49.0586 +2 *2599:18 *2599:27 47.4194 +3 *2599:27 *2599:47 49.5171 +4 *2599:47 *2599:67 46.1964 +5 *2599:67 *2599:69 40.1696 +6 *2599:69 *39369:A 12.7286 +7 *2599:69 *7330:DIODE 9.3 +*END + +*D_NET *2600 0.0188773 +*CONN +*I *7727:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39778:A I *D sky130_fd_sc_hd__buf_6 +*I *39779:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *7727:DIODE 0 +2 *39778:A 0.000192944 +3 *39779:X 0.00117448 +4 *2600:33 0.000655264 +5 *2600:27 0.006687 +6 *2600:26 0.00760893 +7 *2600:20 0.00255873 +8 *2600:20 *38159:A 0 +9 *2600:20 *39682:A 0 +10 *2600:20 *2626:25 0 +11 *2600:20 *4662:18 0 +12 *2600:26 *2692:35 0 +13 *2600:26 *2786:24 0 +14 *2600:26 *4736:16 0 +15 *2600:27 *7794:DIODE 0 +16 *2600:27 *2612:16 0 +17 *2600:27 *2612:21 0 +18 *2600:27 *2612:23 0 +19 *2600:27 *2612:27 0 +20 *271:27 *2600:20 0 +21 *1002:8 *2600:20 0 +22 *1018:11 *2600:33 0 +23 *1028:22 *39778:A 0 +24 *2547:47 *2600:20 0 +25 *2581:25 *39778:A 0 +26 *2581:25 *2600:33 0 +27 *2586:21 *2600:27 0 +28 *2592:15 *2600:20 0 +29 *2592:19 *2600:20 0 +30 *2592:21 *2600:27 0 +*RES +1 *39779:X *2600:20 49.0968 +2 *2600:20 *2600:26 38.0714 +3 *2600:26 *2600:27 129.911 +4 *2600:27 *2600:33 19.4821 +5 *2600:33 *39778:A 13.3268 +6 *2600:33 *7727:DIODE 9.3 +*END + +*D_NET *2601 0.0188397 +*CONN +*I *7728:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39779:A I *D sky130_fd_sc_hd__buf_4 +*I *39780:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7728:DIODE 0 +2 *39779:A 0.000274071 +3 *39780:X 0.00072719 +4 *2601:35 0.00120826 +5 *2601:29 0.00702111 +6 *2601:28 0.00748441 +7 *2601:14 0.00212468 +8 *39779:A *2613:33 0 +9 *2601:14 *39673:A 0 +10 *2601:14 *4953:11 0 +11 *2601:28 *37961:A 0 +12 *2601:28 *2881:43 0 +13 *2601:28 *2888:51 0 +14 *2601:28 *4675:14 0 +15 *2601:29 *2617:36 0 +16 *2601:29 *2692:23 0 +17 *2601:29 *2881:43 0 +18 *2601:29 *5056:29 0 +19 *2601:29 *5056:31 0 +20 *2601:29 *5108:23 0 +21 *2601:35 *37929:A 0 +22 *2601:35 *2613:33 0 +23 *291:15 *39779:A 0 +24 *2486:31 *2601:29 0 +25 *2531:18 *2601:14 0 +26 *2534:36 *39779:A 0 +27 *2545:34 *2601:28 0 +28 *2548:59 *2601:28 0 +29 *2552:38 *2601:28 0 +30 *2586:15 *39779:A 0 +31 *2592:15 *39779:A 0 +*RES +1 *39780:X *2601:14 43.3357 +2 *2601:14 *2601:28 38.375 +3 *2601:28 *2601:29 127.036 +4 *2601:29 *2601:35 28.6429 +5 *2601:35 *39779:A 24.1036 +6 *2601:35 *7728:DIODE 9.3 +*END + +*D_NET *2602 0.0188005 +*CONN +*I *39780:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7729:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39781:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39780:A 0.00015658 +2 *7729:DIODE 0 +3 *39781:X 0.00141659 +4 *2602:44 0.000255643 +5 *2602:39 0.00470853 +6 *2602:37 0.00632693 +7 *2602:34 0.00311853 +8 *2602:15 0.00281767 +9 *2602:15 *2846:17 0 +10 *2602:34 *39893:A 0 +11 *2602:39 *40019:A 0 +12 *2602:39 *2868:33 0 +13 *2602:39 *2880:39 0 +14 *2602:39 *4961:12 0 +15 *2602:39 *5134:37 0 +16 *2602:39 *5135:52 0 +17 *38219:A *2602:39 0 +18 *39482:B *2602:39 0 +19 *39760:A *2602:39 0 +20 *338:48 *39780:A 0 +21 *1293:27 *2602:34 0 +22 *1950:60 *2602:39 0 +23 *2242:34 *2602:15 0 +24 *2264:30 *2602:34 0 +25 *2268:20 *2602:34 0 +26 *2277:29 *2602:34 0 +27 *2281:15 *2602:34 0 +28 *2317:26 *2602:15 0 +29 *2329:52 *2602:15 0 +30 *2539:14 *2602:34 0 +31 *2542:10 *2602:34 0 +32 *2570:27 *2602:39 0 +33 *2580:33 *2602:37 0 +34 *2580:33 *2602:39 0 +35 *2580:35 *2602:39 0 +36 *2590:14 *2602:39 0 +37 *2595:23 *2602:37 0 +38 *2595:49 *2602:39 0 +*RES +1 *39781:X *2602:15 48.1571 +2 *2602:15 *2602:34 48.25 +3 *2602:34 *2602:37 35.875 +4 *2602:37 *2602:39 96.2321 +5 *2602:39 *2602:44 11.25 +6 *2602:44 *7729:DIODE 9.3 +7 *2602:44 *39780:A 12.5679 +*END + +*D_NET *2603 0.0189507 +*CONN +*I *39368:A I *D sky130_fd_sc_hd__buf_12 +*I *7329:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39782:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *39368:A 0.000186611 +2 *7329:DIODE 0 +3 *39782:X 0.00187853 +4 *2603:28 0.000499649 +5 *2603:25 0.00612744 +6 *2603:23 0.00709719 +7 *2603:5 0.00316131 +8 *39368:A *2708:21 0 +9 *39368:A *5160:45 0 +10 *2603:5 *2669:67 0 +11 *2603:5 *2686:51 0 +12 *2603:23 *39855:A 0 +13 *2603:23 *2618:16 0 +14 *2603:23 *2622:14 0 +15 *2603:23 *2685:10 0 +16 *2603:23 *2686:57 0 +17 mprj_adr_o_user[6] *39368:A 0 +18 mprj_adr_o_user[6] *2603:28 0 +19 *39347:A *2603:25 0 +20 *39355:A *2603:5 0 +21 *1007:21 *2603:5 0 +22 *1011:8 *2603:25 0 +23 *1011:40 *2603:5 0 +24 *1026:8 *2603:25 0 +25 *1026:20 *2603:5 0 +26 *1026:20 *2603:23 0 +27 *1026:20 *2603:25 0 +28 *1026:31 *2603:5 0 +29 *1028:9 *39368:A 0 +30 *1028:9 *2603:28 0 +31 *2543:45 *2603:5 0 +32 *2558:20 *2603:23 0 +33 *2565:61 *2603:25 0 +34 *2591:29 *2603:5 0 +*RES +1 *39782:X *2603:5 48.4429 +2 *2603:5 *2603:23 45.4286 +3 *2603:23 *2603:25 121.286 +4 *2603:25 *2603:28 11.6071 +5 *2603:28 *7329:DIODE 13.8 +6 *2603:28 *39368:A 17.8357 +*END + +*D_NET *2604 0.0189758 +*CONN +*I *7730:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39782:A I *D sky130_fd_sc_hd__buf_6 +*I *39783:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *7730:DIODE 4.53482e-05 +2 *39782:A 0.000123198 +3 *39783:X 0.00174462 +4 *2604:30 0.000331633 +5 *2604:27 0.00595482 +6 *2604:26 0.00688002 +7 *2604:20 0.00161993 +8 *2604:9 0.00227626 +9 *39782:A *5053:22 0 +10 *2604:9 *39842:A 0 +11 *2604:9 *39847:A 0 +12 *2604:9 *2624:17 0 +13 *2604:9 *2675:7 0 +14 *2604:9 *4669:35 0 +15 *2604:9 *4669:50 0 +16 *2604:20 *2635:7 0 +17 *2604:20 *2780:27 0 +18 *2604:26 *2675:9 0 +19 *2604:26 *4669:17 0 +20 *2604:27 *2675:9 0 +21 *2604:30 *5053:22 0 +22 *39734:A *2604:20 0 +23 *1011:40 *7730:DIODE 0 +24 *1011:40 *39782:A 0 +25 *1016:19 *2604:27 0 +26 *1026:32 *39782:A 0 +27 *1028:32 *2604:27 0 +28 *2493:14 *2604:9 0 +29 *2496:16 *2604:20 0 +30 *2533:19 *2604:20 0 +31 *2540:5 *2604:20 0 +32 *2550:14 *2604:26 0 +33 *2599:18 *39782:A 0 +34 *2599:18 *2604:30 0 +*RES +1 *39783:X *2604:9 45.7107 +2 *2604:9 *2604:20 29.4107 +3 *2604:20 *2604:26 31.8214 +4 *2604:26 *2604:27 120.875 +5 *2604:27 *2604:30 8.26786 +6 *2604:30 *39782:A 16.675 +7 *2604:30 *7730:DIODE 14.7464 +*END + +*D_NET *2605 0.00314757 +*CONN +*I *38066:C I *D sky130_fd_sc_hd__and3b_1 +*I *38440:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *38066:C 0 +2 *38440:X 0.00157378 +3 *2605:23 0.00157378 +4 *2605:23 *3792:41 0 +5 *2605:23 *4227:57 0 +6 *2605:23 *4255:13 0 +7 *2605:23 *4303:167 0 +8 *2605:23 *4506:49 0 +9 *6858:DIODE *2605:23 0 +10 *2435:52 *2605:23 0 +*RES +1 *38440:X *2605:23 43.9183 +2 *2605:23 *38066:C 9.3 +*END + +*D_NET *2606 0.0196066 +*CONN +*I *7731:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39783:A I *D sky130_fd_sc_hd__buf_4 +*I *39784:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7731:DIODE 0.000148006 +2 *39783:A 0.000104386 +3 *39784:X 0.000244356 +4 *2606:42 0.00136183 +5 *2606:38 0.00334442 +6 *2606:22 0.00342871 +7 *2606:11 0.00596212 +8 *2606:10 0.00501276 +9 *7731:DIODE *2651:5 0 +10 *7731:DIODE *2775:30 0 +11 *39783:A *39842:A 0 +12 *39783:A *4669:50 0 +13 *2606:10 *2644:28 0 +14 *2606:10 *2659:13 0 +15 *2606:11 *37959:A 0 +16 *2606:11 *2625:35 0 +17 *2606:11 *2644:39 0 +18 *2606:11 *2889:43 0 +19 *2606:11 *4951:22 0 +20 *2606:22 *4654:20 0 +21 *2606:22 *4958:37 0 +22 *2606:22 *5040:21 0 +23 *2606:38 *2624:16 0 +24 *2606:38 *2625:35 0 +25 *2606:38 *2655:11 0 +26 *2606:38 *2687:11 0 +27 *2606:38 *2902:40 0 +28 *2606:38 *4656:17 0 +29 *2606:38 *4669:56 0 +30 *2606:42 *2634:5 0 +31 *2606:42 *2775:30 0 +32 *2606:42 *4669:50 0 +33 *2606:42 *4669:56 0 +34 *37953:A *2606:22 0 +35 *291:15 *7731:DIODE 0 +36 *344:29 *2606:11 0 +37 *1016:137 *2606:38 0 +38 *1028:32 *2606:11 0 +39 *2452:15 *2606:11 0 +40 *2452:22 *2606:11 0 +41 *2496:16 *2606:42 0 +42 *2496:22 *2606:38 0 +43 *2496:22 *2606:42 0 +44 *2496:23 *2606:38 0 +45 *2515:18 *2606:38 0 +46 *2521:21 *2606:11 0 +47 *2521:23 *2606:11 0 +48 *2521:38 *2606:11 0 +49 *2539:46 *2606:10 0 +50 *2551:27 *2606:38 0 +51 *2551:49 *39783:A 0 +52 *2574:16 *2606:38 0 +*RES +1 *39784:X *2606:10 23.7286 +2 *2606:10 *2606:11 99.5179 +3 *2606:11 *2606:22 43.1786 +4 *2606:22 *2606:38 39.8913 +5 *2606:38 *2606:42 27.9107 +6 *2606:42 *39783:A 15.9786 +7 *2606:42 *7731:DIODE 17.1036 +*END + +*D_NET *2607 0.0191386 +*CONN +*I *39784:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7732:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39785:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39784:A 0.000175953 +2 *7732:DIODE 0 +3 *39785:X 0.000312861 +4 *2607:32 0.00281433 +5 *2607:19 0.00529809 +6 *2607:18 0.0027364 +7 *2607:9 0.0037824 +8 *2607:8 0.00401858 +9 *39784:A *2659:13 0 +10 *39784:A *2877:41 0 +11 *2607:8 *2845:26 0 +12 *2607:8 *3668:28 0 +13 *2607:8 *4690:19 0 +14 *2607:9 *2734:19 0 +15 *2607:18 *37983:A 0 +16 *2607:18 *38956:A 0 +17 *2607:19 *2734:17 0 +18 *2607:19 *2737:26 0 +19 *2607:19 *2751:43 0 +20 *2607:19 *2877:47 0 +21 *2607:19 *3324:51 0 +22 *2607:19 *4674:20 0 +23 *2607:32 *2751:43 0 +24 *2607:32 *2877:45 0 +25 *2607:32 *2877:47 0 +26 *2607:32 *4674:20 0 +27 *37767:A *2607:19 0 +28 *339:8 *2607:32 0 +29 *350:22 *2607:19 0 +30 *352:18 *2607:9 0 +31 *1002:8 *2607:32 0 +32 *2344:51 *2607:32 0 +33 *2344:59 *2607:32 0 +34 *2529:31 *2607:32 0 +35 *2539:46 *2607:32 0 +*RES +1 *39785:X *2607:8 25.4071 +2 *2607:8 *2607:9 77.3393 +3 *2607:9 *2607:18 10.8036 +4 *2607:18 *2607:19 55.5714 +5 *2607:19 *2607:32 43.3562 +6 *2607:32 *7732:DIODE 13.8 +7 *2607:32 *39784:A 17.5321 +*END + +*D_NET *2608 0.0184954 +*CONN +*I *39367:A I *D sky130_fd_sc_hd__buf_12 +*I *7328:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39786:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *39367:A 0.000186611 +2 *7328:DIODE 0 +3 *39786:X 0.000112434 +4 *2608:12 0.000686803 +5 *2608:9 0.00894864 +6 *2608:8 0.00856088 +7 *39367:A *2708:21 0 +8 *39367:A *5160:45 0 +9 *2608:8 *2612:32 0 +10 *2608:9 *39865:A 0 +11 *2608:9 *39869:A 0 +12 *2608:9 *2652:9 0 +13 *2608:9 *2696:7 0 +14 *2608:9 *2696:9 0 +15 mprj_adr_o_user[5] *39367:A 0 +16 mprj_adr_o_user[5] *2608:12 0 +17 *324:11 *2608:8 0 +18 *1027:9 *39367:A 0 +19 *1027:9 *2608:12 0 +20 *1028:10 *2608:9 0 +21 *2550:23 *2608:9 0 +*RES +1 *39786:X *2608:8 20.8536 +2 *2608:8 *2608:9 176.321 +3 *2608:9 *2608:12 15.8571 +4 *2608:12 *7328:DIODE 13.8 +5 *2608:12 *39367:A 17.8357 +*END + +*D_NET *2609 0.0184831 +*CONN +*I *39786:A I *D sky130_fd_sc_hd__buf_6 +*I *7733:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39787:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *39786:A 0.0001881 +2 *7733:DIODE 0 +3 *39787:X 0.0009106 +4 *2609:22 0.000728071 +5 *2609:19 0.00572164 +6 *2609:18 0.00529591 +7 *2609:9 0.00242122 +8 *2609:7 0.00321758 +9 *39786:A *2635:32 0 +10 *2609:7 *5021:7 0 +11 *2609:19 *39692:A 0 +12 *2609:19 *4642:25 0 +13 *2609:19 *4642:27 0 +14 *2609:19 *4662:7 0 +15 *2609:19 *4662:9 0 +16 *2609:19 *4947:17 0 +17 *2609:19 *5053:13 0 +18 *2609:19 *5053:17 0 +19 *2609:19 *5053:22 0 +20 *39687:A *2609:19 0 +21 *324:11 *39786:A 0 +22 *324:11 *2609:22 0 +23 *1368:13 *2609:19 0 +24 *2503:5 *2609:7 0 +25 *2503:5 *2609:9 0 +26 *2503:5 *2609:19 0 +27 *2507:29 *2609:9 0 +28 *2562:7 *39786:A 0 +29 *2589:11 *2609:7 0 +30 *2589:11 *2609:9 0 +31 *2589:16 *2609:9 0 +32 *2589:21 *2609:9 0 +33 *2589:21 *2609:19 0 +34 *2589:36 *2609:19 0 +35 *2596:5 *39786:A 0 +*RES +1 *39787:X *2609:7 28.3357 +2 *2609:7 *2609:9 48.1786 +3 *2609:9 *2609:18 11.7321 +4 *2609:18 *2609:19 108.143 +5 *2609:19 *2609:22 16.7679 +6 *2609:22 *7733:DIODE 13.8 +7 *2609:22 *39786:A 18.0143 +*END + +*D_NET *2610 0.0191513 +*CONN +*I *39787:A I *D sky130_fd_sc_hd__buf_4 +*I *7734:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39788:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39787:A 0.000156152 +2 *7734:DIODE 0 +3 *39788:X 0.00114774 +4 *2610:43 0.00330785 +5 *2610:41 0.00317223 +6 *2610:39 0.00330314 +7 *2610:37 0.00397866 +8 *2610:30 0.00181692 +9 *2610:14 0.00226861 +10 *39787:A *2783:26 0 +11 *2610:14 *2636:16 0 +12 *2610:14 *2854:21 0 +13 *2610:14 *2864:53 0 +14 *2610:14 *2873:24 0 +15 *2610:30 *7744:DIODE 0 +16 *2610:30 *2636:16 0 +17 *2610:30 *2693:94 0 +18 *2610:30 *2890:42 0 +19 *2610:37 *2692:16 0 +20 *2610:37 *5055:16 0 +21 *2610:39 *5055:16 0 +22 *2610:43 *2759:13 0 +23 *2610:43 *2783:26 0 +24 *2610:43 *4936:8 0 +25 *39769:A *2610:43 0 +26 *325:34 *2610:37 0 +27 *1009:92 *2610:30 0 +28 *1385:53 *2610:14 0 +29 *1494:37 *2610:30 0 +30 *2160:25 *2610:14 0 +31 *2197:52 *2610:14 0 +32 *2197:71 *2610:14 0 +33 *2500:26 *2610:43 0 +34 *2503:5 *39787:A 0 +35 *2537:18 *2610:30 0 +36 *2541:11 *2610:30 0 +37 *2589:7 *39787:A 0 +38 *2589:7 *2610:43 0 +39 *2589:11 *39787:A 0 +40 *2589:11 *2610:43 0 +41 *2590:44 *2610:30 0 +42 *2590:45 *2610:39 0 +43 *2590:49 *2610:39 0 +44 *2590:49 *2610:43 0 +*RES +1 *39788:X *2610:14 48.3714 +2 *2610:14 *2610:30 37.4499 +3 *2610:30 *2610:37 14.8661 +4 *2610:37 *2610:39 68.5089 +5 *2610:39 *2610:41 0.428571 +6 *2610:41 *2610:43 65.8393 +7 *2610:43 *7734:DIODE 9.3 +8 *2610:43 *39787:A 12.6214 +*END + +*D_NET *2611 0.0186258 +*CONN +*I *39366:A I *D sky130_fd_sc_hd__buf_12 +*I *7327:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39789:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *39366:A 0.000186611 +2 *7327:DIODE 0 +3 *39789:X 0.00178569 +4 *2611:24 0.000593207 +5 *2611:21 0.0070839 +6 *2611:19 0.00693399 +7 *2611:15 0.00204238 +8 *39366:A *2678:23 0 +9 *39366:A *5160:45 0 +10 *2611:15 *39792:A 0 +11 *2611:15 *2614:19 0 +12 *2611:19 *2690:16 0 +13 *2611:21 *2614:31 0 +14 *2611:24 *2708:30 0 +15 mprj_adr_o_user[4] *39366:A 0 +16 mprj_adr_o_user[4] *2611:24 0 +17 mprj_sel_o_user[3] *39366:A 0 +18 mprj_sel_o_user[3] *2611:24 0 +19 *305:19 *2611:24 0 +20 *1013:25 *2611:15 0 +21 *2581:25 *2611:15 0 +22 *2581:31 *2611:15 0 +23 *2581:33 *2611:15 0 +24 *2581:33 *2611:19 0 +25 *2581:33 *2611:21 0 +26 *2585:31 *2611:15 0 +27 *2585:31 *2611:19 0 +28 *2585:31 *2611:21 0 +29 *2591:47 *2611:21 0 +*RES +1 *39789:X *2611:15 46.6929 +2 *2611:15 *2611:19 5.48214 +3 *2611:19 *2611:21 139.357 +4 *2611:21 *2611:24 13.7321 +5 *2611:24 *7327:DIODE 13.8 +6 *2611:24 *39366:A 17.8357 +*END + +*D_NET *2612 0.0187034 +*CONN +*I *7736:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39789:A I *D sky130_fd_sc_hd__buf_6 +*I *39790:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *7736:DIODE 0 +2 *39789:A 0.00016428 +3 *39790:X 0.00161651 +4 *2612:32 0.000597539 +5 *2612:27 0.003401 +6 *2612:25 0.00298827 +7 *2612:23 0.00396625 +8 *2612:21 0.00414936 +9 *2612:16 0.00182015 +10 *39789:A *2686:51 0 +11 *2612:16 *2909:31 0 +12 *2612:27 *5043:9 0 +13 *324:11 *2612:32 0 +14 *2495:17 *2612:16 0 +15 *2514:9 *2612:23 0 +16 *2514:9 *2612:27 0 +17 *2581:25 *39789:A 0 +18 *2582:40 *2612:16 0 +19 *2582:46 *2612:23 0 +20 *2585:31 *39789:A 0 +21 *2586:21 *2612:16 0 +22 *2586:21 *2612:21 0 +23 *2586:21 *2612:23 0 +24 *2592:21 *2612:16 0 +25 *2600:27 *2612:16 0 +26 *2600:27 *2612:21 0 +27 *2600:27 *2612:23 0 +28 *2600:27 *2612:27 0 +29 *2608:8 *2612:32 0 +*RES +1 *39790:X *2612:16 47.9071 +2 *2612:16 *2612:21 8.75 +3 *2612:21 *2612:23 82.4732 +4 *2612:23 *2612:25 0.428571 +5 *2612:25 *2612:27 61.9375 +6 *2612:27 *2612:32 18.8393 +7 *2612:32 *39789:A 12.7286 +8 *2612:32 *7736:DIODE 9.3 +*END + +*D_NET *2613 0.0189759 +*CONN +*I *7737:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39790:A I *D sky130_fd_sc_hd__buf_4 +*I *39791:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7737:DIODE 0 +2 *39790:A 0.000169665 +3 *39791:X 0.0012847 +4 *2613:37 0.00074272 +5 *2613:33 0.00571387 +6 *2613:31 0.00746051 +7 *2613:15 0.0036044 +8 *2613:15 *2755:46 0 +9 *2613:15 *2759:47 0 +10 *2613:15 *2877:33 0 +11 *2613:15 *4963:14 0 +12 *2613:31 *2755:33 0 +13 *2613:31 *2756:20 0 +14 *2613:31 *2878:34 0 +15 *2613:31 *2881:31 0 +16 *2613:33 *6234:DIODE 0 +17 *2613:33 *2626:14 0 +18 *2613:33 *2755:33 0 +19 *2613:33 *2888:36 0 +20 *2613:33 *4650:14 0 +21 *39735:A *2613:15 0 +22 *39749:A *2613:31 0 +23 *39779:A *2613:33 0 +24 *387:5 *39790:A 0 +25 *1002:8 *2613:31 0 +26 *1291:18 *39790:A 0 +27 *2486:31 *2613:33 0 +28 *2541:11 *2613:15 0 +29 *2548:59 *2613:15 0 +30 *2551:15 *2613:15 0 +31 *2552:38 *2613:15 0 +32 *2567:31 *2613:15 0 +33 *2567:31 *2613:31 0 +34 *2582:12 *2613:33 0 +35 *2584:24 *2613:33 0 +36 *2584:30 *2613:33 0 +37 *2586:7 *2613:33 0 +38 *2586:15 *2613:33 0 +39 *2586:21 *39790:A 0 +40 *2586:21 *2613:37 0 +41 *2592:15 *2613:33 0 +42 *2592:15 *2613:37 0 +43 *2592:19 *2613:37 0 +44 *2601:35 *2613:33 0 +*RES +1 *39791:X *2613:15 45.8893 +2 *2613:15 *2613:31 43.3345 +3 *2613:31 *2613:33 107.321 +4 *2613:33 *2613:37 12.0536 +5 *2613:37 *39790:A 21.925 +6 *2613:37 *7737:DIODE 9.3 +*END + +*D_NET *2614 0.0183019 +*CONN +*I *39363:A I *D sky130_fd_sc_hd__buf_12 +*I *7324:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39792:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *39363:A 0.000296433 +2 *7324:DIODE 0 +3 *39792:X 0.000982028 +4 *2614:34 0.000489132 +5 *2614:31 0.00740135 +6 *2614:30 0.00767976 +7 *2614:19 0.00145314 +8 *39363:A *2673:41 0 +9 *2614:19 *7742:DIODE 0 +10 *2614:19 *5050:26 0 +11 *2614:31 *2685:17 0 +12 *1008:29 *2614:19 0 +13 *1012:9 *2614:19 0 +14 *1025:7 *39363:A 0 +15 *1025:7 *2614:34 0 +16 *2565:61 *2614:30 0 +17 *2581:33 *2614:19 0 +18 *2581:33 *2614:31 0 +19 *2585:31 *2614:30 0 +20 *2591:40 *2614:19 0 +21 *2611:15 *2614:19 0 +22 *2611:21 *2614:31 0 +*RES +1 *39792:X *2614:19 48.05 +2 *2614:19 *2614:30 28 +3 *2614:30 *2614:31 150.446 +4 *2614:31 *2614:34 8.875 +5 *2614:34 *7324:DIODE 13.8 +6 *2614:34 *39363:A 20.1929 +*END + +*D_NET *2615 0.0185747 +*CONN +*I *7739:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39792:A I *D sky130_fd_sc_hd__buf_6 +*I *39793:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *7739:DIODE 0 +2 *39792:A 0.000242142 +3 *39793:X 0 +4 *2615:14 0.000242142 +5 *2615:12 0.00208256 +6 *2615:5 0.00904522 +7 *2615:4 0.00696266 +8 *2615:5 *5826:DIODE 0 +9 *2615:5 *2630:24 0 +10 *2615:5 *2630:27 0 +11 *2615:5 *2691:9 0 +12 *2615:5 *2909:20 0 +13 *2615:12 *2691:9 0 +14 *2615:12 *2701:20 0 +15 *2615:12 *4661:11 0 +16 *2495:5 *2615:5 0 +17 *2495:5 *2615:12 0 +18 *2550:26 *2615:12 0 +19 *2578:39 *2615:5 0 +20 *2578:41 *2615:5 0 +21 *2581:25 *39792:A 0 +22 *2597:19 *2615:5 0 +23 *2611:15 *39792:A 0 +*RES +1 *39793:X *2615:4 9.3 +2 *2615:4 *2615:5 145.312 +3 *2615:5 *2615:12 49.0446 +4 *2615:12 *2615:14 4.5 +5 *2615:14 *39792:A 14.3536 +6 *2615:14 *7739:DIODE 9.3 +*END + +*D_NET *2616 0.0047548 +*CONN +*I *38068:C I *D sky130_fd_sc_hd__and3b_1 +*I *38441:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *38068:C 0.000377843 +2 *38441:X 0.00199956 +3 *2616:21 0.0023774 +4 *38068:C *6398:DIODE 0 +5 *38068:C *3086:56 0 +6 *38068:C *4017:58 0 +7 *38068:C *4053:92 0 +8 *38068:C *4252:25 0 +9 *2616:21 *4060:19 0 +10 *2616:21 *4227:57 0 +11 *2616:21 *4272:28 0 +12 *508:12 *2616:21 0 +13 *1433:15 *38068:C 0 +14 *2472:33 *2616:21 0 +*RES +1 *38441:X *2616:21 47.2771 +2 *2616:21 *38068:C 25.9511 +*END + +*D_NET *2617 0.0190565 +*CONN +*I *39793:A I *D sky130_fd_sc_hd__buf_4 +*I *7740:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39794:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39793:A 0.000144601 +2 *7740:DIODE 0 +3 *39794:X 0.00146395 +4 *2617:48 0.000329983 +5 *2617:37 0.00635102 +6 *2617:36 0.0077343 +7 *2617:27 0.00303261 +8 *39793:A *2630:24 0 +9 *2617:27 *2693:94 0 +10 *2617:27 *2868:24 0 +11 *2617:27 *2880:39 0 +12 *2617:36 *2692:16 0 +13 *2617:36 *4939:14 0 +14 *2617:36 *5056:31 0 +15 *2617:37 *2707:37 0 +16 *2617:37 *2707:43 0 +17 *2617:37 *5057:17 0 +18 *2617:37 *5057:38 0 +19 *2617:48 *2630:24 0 +20 *2617:48 *2780:27 0 +21 *293:24 *2617:37 0 +22 *301:12 *2617:36 0 +23 *338:52 *2617:27 0 +24 *344:50 *2617:27 0 +25 *376:9 *2617:48 0 +26 *1019:8 *2617:27 0 +27 *2173:25 *2617:27 0 +28 *2561:27 *2617:27 0 +29 *2564:49 *2617:27 0 +30 *2578:18 *2617:37 0 +31 *2578:39 *39793:A 0 +32 *2582:24 *2617:37 0 +33 *2587:36 *2617:36 0 +34 *2590:44 *2617:36 0 +35 *2598:22 *2617:27 0 +36 *2601:29 *2617:36 0 +*RES +1 *39794:X *2617:27 45.6058 +2 *2617:27 *2617:36 47.2679 +3 *2617:36 *2617:37 128.679 +4 *2617:37 *2617:48 22.0536 +5 *2617:48 *7740:DIODE 9.3 +6 *2617:48 *39793:A 12.3179 +*END + +*D_NET *2618 0.0179719 +*CONN +*I *7313:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39352:A I *D sky130_fd_sc_hd__buf_12 +*I *39795:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *7313:DIODE 0 +2 *39352:A 0.000198505 +3 *39795:X 0.00146555 +4 *2618:22 0.000351092 +5 *2618:17 0.00732188 +6 *2618:16 0.00863484 +7 *39352:A *2673:41 0 +8 *2618:16 *2685:10 0 +9 *2618:16 *2685:16 0 +10 *2618:17 *2621:7 0 +11 *2618:22 *2685:23 0 +12 *1001:22 *2618:16 0 +13 *1007:15 *2618:16 0 +14 *1022:9 *2618:22 0 +15 *1026:20 *2618:16 0 +16 *2603:23 *2618:16 0 +*RES +1 *39795:X *2618:16 39.9106 +2 *2618:16 *2618:17 149.625 +3 *2618:17 *2618:22 12.4643 +4 *2618:22 *39352:A 13.4429 +5 *2618:22 *7313:DIODE 9.3 +*END + +*D_NET *2619 0.0189945 +*CONN +*I *7742:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39795:A I *D sky130_fd_sc_hd__buf_6 +*I *39796:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *7742:DIODE 0.000137388 +2 *39795:A 0 +3 *39796:X 0.00891989 +4 *2619:14 0.000577372 +5 *2619:9 0.00935988 +6 *7742:DIODE *5050:26 0 +7 *2619:9 *2622:14 0 +8 *2619:9 *2641:20 0 +9 *2619:9 *2643:34 0 +10 *2619:14 *2641:24 0 +11 *2619:14 *5050:26 0 +12 *1002:8 *2619:9 0 +13 *1008:29 *7742:DIODE 0 +14 *1010:19 *7742:DIODE 0 +15 *2515:50 *2619:9 0 +16 *2515:61 *2619:9 0 +17 *2533:34 *2619:9 0 +18 *2533:62 *2619:9 0 +19 *2558:14 *2619:9 0 +20 *2591:40 *2619:14 0 +21 *2614:19 *7742:DIODE 0 +*RES +1 *39796:X *2619:9 49.7476 +2 *2619:9 *2619:14 17.9011 +3 *2619:14 *39795:A 9.3 +4 *2619:14 *7742:DIODE 21.3 +*END + +*D_NET *2620 0.0187915 +*CONN +*I *7743:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39796:A I *D sky130_fd_sc_hd__buf_4 +*I *39797:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7743:DIODE 3.78403e-05 +2 *39796:A 0.000164463 +3 *39797:X 0.00840228 +4 *2620:15 0.00099345 +5 *2620:9 0.00919342 +6 *7743:DIODE *2626:58 0 +7 *2620:9 *2623:45 0 +8 *2620:9 *2653:11 0 +9 *2620:9 *5039:28 0 +10 *2620:9 *5039:46 0 +11 *2620:15 *2780:27 0 +12 *2620:15 *5009:6 0 +13 *1002:8 *39796:A 0 +14 *1002:8 *2620:15 0 +15 *1030:8 *2620:9 0 +16 *1497:49 *2620:9 0 +17 *2498:32 *2620:9 0 +18 *2515:50 *39796:A 0 +19 *2515:50 *2620:15 0 +20 *2534:27 *2620:9 0 +21 *2557:9 *2620:9 0 +22 *2570:49 *2620:9 0 +23 *2584:11 *2620:9 0 +24 *2584:24 *2620:9 0 +*RES +1 *39797:X *2620:9 47.8739 +2 *2620:9 *2620:15 17.4684 +3 *2620:15 *39796:A 18.0383 +4 *2620:15 *7743:DIODE 18.0225 +*END + +*D_NET *2621 0.0177529 +*CONN +*I *7302:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39341:A I *D sky130_fd_sc_hd__buf_12 +*I *39798:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *7302:DIODE 0 +2 *39341:A 0.0001114 +3 *39798:X 2.0535e-05 +4 *2621:11 0.0002606 +5 *2621:7 0.0087445 +6 *2621:5 0.00861583 +7 *1011:7 *39341:A 0 +8 *1011:8 *2621:7 0 +9 *1011:21 *2621:7 0 +10 *1026:8 *2621:7 0 +11 *1026:20 *2621:7 0 +12 *2618:17 *2621:7 0 +*RES +1 *39798:X *2621:5 9.72857 +2 *2621:5 *2621:7 179.402 +3 *2621:7 *2621:11 3.22321 +4 *2621:11 *39341:A 20.7821 +5 *2621:11 *7302:DIODE 9.3 +*END + +*D_NET *2622 0.0191499 +*CONN +*I *7745:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39798:A I *D sky130_fd_sc_hd__buf_6 +*I *39799:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *7745:DIODE 0 +2 *39798:A 0.000189344 +3 *39799:X 1.21715e-05 +4 *2622:14 0.0095628 +5 *2622:8 0.00938563 +6 *2622:14 *39828:A 0 +7 *2622:14 *2624:44 0 +8 *2622:14 *2641:20 0 +9 *2622:14 *2655:11 0 +10 *2622:14 *2658:14 0 +11 *2622:14 *2669:67 0 +12 *2622:14 *2686:43 0 +13 *2622:14 *2691:14 0 +14 *333:53 *2622:14 0 +15 *901:8 *2622:14 0 +16 *1002:8 *2622:14 0 +17 *1010:41 *2622:14 0 +18 *1011:21 *39798:A 0 +19 *1016:15 *2622:14 0 +20 *1024:18 *2622:14 0 +21 *2515:50 *2622:14 0 +22 *2515:61 *2622:14 0 +23 *2533:62 *2622:14 0 +24 *2543:32 *2622:14 0 +25 *2556:21 *2622:14 0 +26 *2558:14 *2622:14 0 +27 *2558:20 *2622:14 0 +28 *2565:61 *2622:14 0 +29 *2566:28 *2622:14 0 +30 *2591:40 *2622:14 0 +31 *2603:23 *2622:14 0 +32 *2619:9 *2622:14 0 +*RES +1 *39799:X *2622:8 17.4868 +2 *2622:8 *2622:14 48.4693 +3 *2622:14 *39798:A 22.3357 +4 *2622:14 *7745:DIODE 9.3 +*END + +*D_NET *2623 0.0194743 +*CONN +*I *7746:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39799:A I *D sky130_fd_sc_hd__buf_4 +*I *39800:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7746:DIODE 0 +2 *39799:A 0.000124066 +3 *39800:X 0.00123322 +4 *2623:57 0.00248789 +5 *2623:45 0.0043165 +6 *2623:31 0.00406009 +7 *2623:23 0.00406337 +8 *2623:16 0.00318918 +9 *39799:A *2635:7 0 +10 *39799:A *2635:11 0 +11 *39799:A *4669:17 0 +12 *2623:16 *2676:13 0 +13 *2623:16 *2692:16 0 +14 *2623:16 *2765:47 0 +15 *2623:16 *2881:17 0 +16 *2623:16 *2881:31 0 +17 *2623:16 *2888:37 0 +18 *2623:16 *4940:12 0 +19 *2623:16 *5039:38 0 +20 *2623:23 *2692:23 0 +21 *2623:23 *2888:37 0 +22 *2623:23 *5056:31 0 +23 *2623:23 *5056:46 0 +24 *2623:31 *6249:DIODE 0 +25 *2623:31 *39806:A 0 +26 *2623:31 *2692:23 0 +27 *2623:31 *2778:51 0 +28 *2623:31 *2895:35 0 +29 *2623:31 *4930:10 0 +30 *2623:31 *5056:46 0 +31 *2623:31 *5056:57 0 +32 *2623:31 *5057:38 0 +33 *2623:45 *39807:A 0 +34 *2623:45 *2631:5 0 +35 *2623:45 *2692:35 0 +36 *2623:45 *2895:35 0 +37 *2623:45 *5056:57 0 +38 *2623:57 *2629:11 0 +39 *2623:57 *2635:7 0 +40 *2623:57 *4997:8 0 +41 *2623:57 *5042:10 0 +42 *2623:57 *5046:25 0 +43 *37957:A *2623:23 0 +44 *39763:A *2623:31 0 +45 *292:14 *2623:57 0 +46 *1014:10 *2623:16 0 +47 *2453:11 *2623:45 0 +48 *2495:17 *2623:57 0 +49 *2500:37 *2623:45 0 +50 *2540:5 *39799:A 0 +51 *2540:5 *2623:57 0 +52 *2547:21 *2623:23 0 +53 *2557:9 *2623:45 0 +54 *2566:28 *2623:57 0 +55 *2578:34 *2623:31 0 +56 *2578:39 *2623:45 0 +57 *2587:36 *2623:23 0 +58 *2620:9 *2623:45 0 +*RES +1 *39800:X *2623:16 41.3634 +2 *2623:16 *2623:23 40.9464 +3 *2623:23 *2623:31 48.6071 +4 *2623:31 *2623:45 49.64 +5 *2623:45 *2623:57 32.0188 +6 *2623:57 *39799:A 11.8893 +7 *2623:57 *7746:DIODE 9.3 +*END + +*D_NET *2624 0.0190819 +*CONN +*I *39300:A I *D sky130_fd_sc_hd__buf_12 +*I *7278:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39801:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *39300:A 0.000236391 +2 *7278:DIODE 8.03143e-05 +3 *39801:X 0.00116675 +4 *2624:44 0.00160526 +5 *2624:28 0.00332501 +6 *2624:22 0.00213827 +7 *2624:17 0.00473246 +8 *2624:16 0.0057974 +9 *2624:16 *2655:11 0 +10 *2624:16 *2658:14 0 +11 *2624:16 *4930:10 0 +12 *2624:17 *2675:7 0 +13 *2624:17 *2675:9 0 +14 *2624:44 *2655:11 0 +15 *2624:44 *2675:9 0 +16 *39147:A *39300:A 0 +17 *39751:A *2624:28 0 +18 *1016:39 *7278:DIODE 0 +19 *1016:39 *2624:44 0 +20 *1016:137 *2624:17 0 +21 *1024:18 *39300:A 0 +22 *1024:18 *2624:44 0 +23 *1028:32 *2624:17 0 +24 *2455:24 *2624:44 0 +25 *2490:36 *2624:16 0 +26 *2493:14 *2624:17 0 +27 *2496:23 *2624:16 0 +28 *2496:23 *2624:17 0 +29 *2511:16 *2624:28 0 +30 *2540:5 *2624:28 0 +31 *2550:21 *2624:44 0 +32 *2551:42 *2624:16 0 +33 *2551:49 *2624:17 0 +34 *2568:8 *2624:44 0 +35 *2569:40 *2624:28 0 +36 *2574:16 *2624:16 0 +37 *2604:9 *2624:17 0 +38 *2606:38 *2624:16 0 +39 *2622:14 *2624:44 0 +*RES +1 *39801:X *2624:16 38.0631 +2 *2624:16 *2624:17 96.6429 +3 *2624:17 *2624:22 11.25 +4 *2624:22 *2624:28 47.1161 +5 *2624:28 *2624:44 42.2618 +6 *2624:44 *7278:DIODE 15.7375 +7 *2624:44 *39300:A 19.2375 +*END + +*D_NET *2625 0.0191309 +*CONN +*I *7748:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39801:A I *D sky130_fd_sc_hd__buf_4 +*I *39802:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7748:DIODE 0 +2 *39801:A 0.000148242 +3 *39802:X 4.55793e-05 +4 *2625:41 0.000228142 +5 *2625:35 0.00453343 +6 *2625:34 0.00445353 +7 *2625:32 0.00215579 +8 *2625:9 0.00483818 +9 *2625:8 0.00272797 +10 *2625:8 *5108:16 0 +11 *2625:9 *2677:44 0 +12 *2625:32 *6286:DIODE 0 +13 *2625:32 *2644:39 0 +14 *2625:32 *2688:34 0 +15 *2625:32 *2878:34 0 +16 *2625:32 *5120:37 0 +17 *2625:35 *2889:43 0 +18 *2625:35 *5040:21 0 +19 *333:42 *2625:32 0 +20 *345:31 *2625:32 0 +21 *1005:86 *2625:32 0 +22 *1028:32 *39801:A 0 +23 *1028:32 *2625:41 0 +24 *1028:46 *2625:32 0 +25 *1503:19 *2625:32 0 +26 *2193:27 *2625:9 0 +27 *2496:23 *39801:A 0 +28 *2496:23 *2625:35 0 +29 *2496:23 *2625:41 0 +30 *2519:47 *2625:35 0 +31 *2522:23 *2625:8 0 +32 *2531:18 *2625:32 0 +33 *2539:46 *2625:32 0 +34 *2545:34 *2625:32 0 +35 *2551:27 *2625:35 0 +36 *2552:20 *2625:9 0 +37 *2579:17 *2625:9 0 +38 *2584:11 *2625:32 0 +39 *2606:11 *2625:35 0 +40 *2606:38 *2625:35 0 +*RES +1 *39802:X *2625:8 19.3357 +2 *2625:8 *2625:9 55.9821 +3 *2625:9 *2625:32 47.2707 +4 *2625:32 *2625:34 4.5 +5 *2625:34 *2625:35 92.9464 +6 *2625:35 *2625:41 10.9821 +7 *2625:41 *39801:A 21.6214 +8 *2625:41 *7748:DIODE 9.3 +*END + +*D_NET *2626 0.0187106 +*CONN +*I *7277:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39299:A I *D sky130_fd_sc_hd__buf_12 +*I *39803:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *7277:DIODE 0 +2 *39299:A 0.000143745 +3 *39803:X 0.000862989 +4 *2626:65 0.000960459 +5 *2626:59 0.00383365 +6 *2626:58 0.00468866 +7 *2626:48 0.00272698 +8 *2626:25 0.00284318 +9 *2626:14 0.00265091 +10 *2626:14 *37929:A 0 +11 *2626:14 *2888:36 0 +12 *2626:14 *4656:17 0 +13 *2626:14 *4958:23 0 +14 *2626:25 *4666:47 0 +15 *2626:48 *39158:A 0 +16 *2626:48 *2687:24 0 +17 *2626:58 *2643:17 0 +18 *2626:58 *5042:25 0 +19 *2626:59 *5042:25 0 +20 *2626:65 *39826:A 0 +21 *2626:65 *2653:34 0 +22 *2626:65 *4960:17 0 +23 mprj_dat_o_user[30] *2626:65 0 +24 *7743:DIODE *2626:58 0 +25 *39719:A *2626:25 0 +26 *39772:A *2626:14 0 +27 *1002:8 *2626:48 0 +28 *2515:61 *2626:59 0 +29 *2526:70 *39299:A 0 +30 *2526:70 *2626:65 0 +31 *2533:34 *2626:58 0 +32 *2534:36 *2626:25 0 +33 *2547:47 *2626:25 0 +34 *2547:47 *2626:48 0 +35 *2553:29 *39299:A 0 +36 *2553:29 *2626:65 0 +37 *2556:11 *2626:59 0 +38 *2566:13 *2626:25 0 +39 *2566:13 *2626:48 0 +40 *2566:13 *2626:58 0 +41 *2586:7 *2626:14 0 +42 *2586:15 *2626:25 0 +43 *2592:15 *2626:25 0 +44 *2600:20 *2626:25 0 +45 *2613:33 *2626:14 0 +*RES +1 *39803:X *2626:14 36.5321 +2 *2626:14 *2626:25 46.7679 +3 *2626:25 *2626:48 48.2079 +4 *2626:48 *2626:58 44.3036 +5 *2626:58 *2626:59 62.9643 +6 *2626:59 *2626:65 27.5714 +7 *2626:65 *39299:A 12.3 +8 *2626:65 *7277:DIODE 9.3 +*END + +*D_NET *2627 0.00314598 +*CONN +*I *38070:C I *D sky130_fd_sc_hd__and3b_1 +*I *38442:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *38070:C 0.000143745 +2 *38442:X 0.00142924 +3 *2627:10 0.00157299 +4 *38070:C *3965:83 0 +5 *2627:10 *3055:104 0 +6 *2627:10 *3311:16 0 +7 *2627:10 *3744:36 0 +8 *2627:10 *4306:62 0 +9 *2627:10 *4513:109 0 +10 *2627:10 *4880:27 0 +11 *1432:30 *38070:C 0 +*RES +1 *38442:X *2627:10 46.175 +2 *2627:10 *38070:C 16.8 +*END + +*D_NET *2628 0.0187244 +*CONN +*I *39803:A I *D sky130_fd_sc_hd__buf_4 +*I *7749:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39804:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39803:A 0.000253426 +2 *7749:DIODE 0 +3 *39804:X 0.000752575 +4 *2628:14 0.00860964 +5 *2628:10 0.00910879 +6 *39803:A *2888:36 0 +7 *2628:10 *2742:28 0 +8 *2628:10 *3899:29 0 +9 *2628:10 *5108:16 0 +10 *2628:14 *2676:13 0 +11 *2628:14 *2753:25 0 +12 *2628:14 *2753:36 0 +13 *2628:14 *2755:29 0 +14 *2628:14 *2883:41 0 +15 *2628:14 *5057:11 0 +16 *2628:14 *5118:22 0 +17 *295:12 *39803:A 0 +18 *315:17 *2628:10 0 +19 *1005:86 *2628:14 0 +20 *1005:88 *2628:14 0 +21 *2344:59 *2628:10 0 +22 *2486:18 *39803:A 0 +23 *2525:39 *2628:10 0 +24 *2586:7 *39803:A 0 +*RES +1 *39804:X *2628:10 34.2189 +2 *2628:10 *2628:14 34.1612 +3 *2628:14 *7749:DIODE 13.8 +4 *2628:14 *39803:A 19.3536 +*END + +*D_NET *2629 0.0185839 +*CONN +*I *7275:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39297:A I *D sky130_fd_sc_hd__buf_12 +*I *39805:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *7275:DIODE 0 +2 *39297:A 0.000241876 +3 *39805:X 0.00768629 +4 *2629:24 0.00160564 +5 *2629:11 0.00905005 +6 *2629:11 *2757:14 0 +7 *2629:11 *2895:35 0 +8 *2629:11 *4935:25 0 +9 *2629:24 *39828:A 0 +10 *2629:24 *2654:20 0 +11 mprj_dat_o_user[29] *2629:24 0 +12 *292:14 *2629:11 0 +13 *293:18 *2629:11 0 +14 *295:12 *2629:11 0 +15 *1011:56 *2629:24 0 +16 *1021:15 *39297:A 0 +17 *1026:32 *2629:24 0 +18 *1030:8 *2629:11 0 +19 *2509:26 *2629:11 0 +20 *2526:70 *39297:A 0 +21 *2553:29 *39297:A 0 +22 *2553:45 *39297:A 0 +23 *2557:9 *2629:11 0 +24 *2559:39 *2629:11 0 +25 *2586:26 *2629:24 0 +26 *2623:57 *2629:11 0 +*RES +1 *39805:X *2629:11 49.456 +2 *2629:11 *2629:24 43.9196 +3 *2629:24 *39297:A 23.4071 +4 *2629:24 *7275:DIODE 9.3 +*END + +*D_NET *2630 0.0185633 +*CONN +*I *7274:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39296:A I *D sky130_fd_sc_hd__buf_12 +*I *39806:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *7274:DIODE 0.000134654 +2 *39296:A 2.22194e-05 +3 *39806:X 0.00170256 +4 *2630:30 0.0010044 +5 *2630:27 0.00567497 +6 *2630:26 0.00482744 +7 *2630:24 0.00174726 +8 *2630:12 0.00344982 +9 *7274:DIODE *2642:46 0 +10 *2630:12 *2692:35 0 +11 *2630:12 *4662:18 0 +12 *2630:12 *5056:57 0 +13 *2630:24 *2631:5 0 +14 *2630:24 *2909:20 0 +15 *2630:27 *5826:DIODE 0 +16 *2630:27 *39861:A 0 +17 *2630:27 *2691:9 0 +18 *2630:27 *2692:35 0 +19 *2630:30 *2642:46 0 +20 *2630:30 *2650:24 0 +21 mprj_dat_o_user[28] *7274:DIODE 0 +22 *7321:DIODE *7274:DIODE 0 +23 *7321:DIODE *2630:30 0 +24 *39793:A *2630:24 0 +25 *271:27 *2630:12 0 +26 *271:33 *2630:24 0 +27 *334:13 *2630:30 0 +28 *2495:17 *2630:24 0 +29 *2533:62 *2630:30 0 +30 *2578:39 *2630:24 0 +31 *2578:41 *2630:27 0 +32 *2589:36 *2630:30 0 +33 *2597:19 *2630:27 0 +34 *2615:5 *2630:24 0 +35 *2615:5 *2630:27 0 +36 *2617:48 *2630:24 0 +*RES +1 *39806:X *2630:12 49.4518 +2 *2630:12 *2630:24 45.6875 +3 *2630:24 *2630:26 4.5 +4 *2630:26 *2630:27 100.75 +5 *2630:27 *2630:30 23.75 +6 *2630:30 *39296:A 14.3357 +7 *2630:30 *7274:DIODE 17.0143 +*END + +*D_NET *2631 0.0179345 +*CONN +*I *7273:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39295:A I *D sky130_fd_sc_hd__buf_12 +*I *39807:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *7273:DIODE 0 +2 *39295:A 0.000222545 +3 *39807:X 0 +4 *2631:17 0.00109692 +5 *2631:11 0.00650867 +6 *2631:10 0.00567992 +7 *2631:5 0.00223602 +8 *2631:4 0.0021904 +9 *39295:A *2666:69 0 +10 *39295:A *2686:43 0 +11 *2631:10 *2780:27 0 +12 *271:33 *2631:5 0 +13 *293:24 *2631:11 0 +14 *334:13 *2631:17 0 +15 *1007:28 *39295:A 0 +16 *1007:28 *2631:17 0 +17 *1010:41 *2631:17 0 +18 *2578:39 *2631:5 0 +19 *2592:24 *2631:17 0 +20 *2623:45 *2631:5 0 +21 *2630:24 *2631:5 0 +*RES +1 *39807:X *2631:4 9.3 +2 *2631:4 *2631:5 45.7143 +3 *2631:5 *2631:10 10.0357 +4 *2631:10 *2631:11 117.589 +5 *2631:11 *2631:17 28.8036 +6 *2631:17 *39295:A 23.8714 +7 *2631:17 *7273:DIODE 9.3 +*END + +*D_NET *2632 0.018176 +*CONN +*I *7272:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39294:A I *D sky130_fd_sc_hd__buf_12 +*I *39808:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *7272:DIODE 4.92646e-05 +2 *39294:A 6.50276e-05 +3 *39808:X 0.000469311 +4 *2632:46 0.00125157 +5 *2632:41 0.00303249 +6 *2632:37 0.0038131 +7 *2632:29 0.00286438 +8 *2632:9 0.00355401 +9 *2632:7 0.00307683 +10 *7272:DIODE *2633:56 0 +11 *7272:DIODE *2645:25 0 +12 *39294:A *2645:25 0 +13 *2632:7 *2702:34 0 +14 *2632:9 *4662:15 0 +15 *2632:9 *4666:10 0 +16 *2632:9 *4666:11 0 +17 *2632:29 *39870:A 0 +18 *2632:29 *2701:7 0 +19 *2632:29 *2775:17 0 +20 *2632:29 *2788:20 0 +21 *2632:37 *2701:7 0 +22 *2632:37 *2701:9 0 +23 *2632:41 *2701:9 0 +24 *2632:41 *4935:18 0 +25 *2632:46 *2633:56 0 +26 mprj_adr_o_user[27] *2632:46 0 +27 *39689:A *2632:37 0 +28 *330:15 *2632:29 0 +29 *1019:7 *2632:46 0 +30 *1371:23 *2632:29 0 +31 *2500:17 *2632:7 0 +32 *2500:17 *2632:9 0 +33 *2500:17 *2632:29 0 +34 *2507:20 *2632:37 0 +35 *2507:29 *2632:9 0 +36 *2509:7 *2632:37 0 +37 *2509:7 *2632:41 0 +38 *2509:22 *2632:37 0 +39 *2512:24 *2632:37 0 +40 *2533:62 *2632:46 0 +41 *2546:44 *7272:DIODE 0 +42 *2546:44 *39294:A 0 +43 *2597:24 *2632:46 0 +*RES +1 *39808:X *2632:7 19.0946 +2 *2632:7 *2632:9 54.5446 +3 *2632:9 *2632:29 29.3036 +4 *2632:29 *2632:37 40.4286 +5 *2632:37 *2632:41 44.0536 +6 *2632:41 *2632:46 25.8929 +7 *2632:46 *39294:A 15.1571 +8 *2632:46 *7272:DIODE 14.8714 +*END + +*D_NET *2633 0.019402 +*CONN +*I *7269:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39291:A I *D sky130_fd_sc_hd__buf_12 +*I *39809:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *7269:DIODE 0 +2 *39291:A 0.000143745 +3 *39809:X 0.000773987 +4 *2633:67 0.00173508 +5 *2633:56 0.00287536 +6 *2633:35 0.00512749 +7 *2633:34 0.00590789 +8 *2633:20 0.00283841 +9 *2633:20 *38902:A 0 +10 *2633:20 *39851:A 0 +11 *2633:20 *2710:54 0 +12 *2633:20 *2780:27 0 +13 *2633:20 *2786:24 0 +14 *2633:34 *2639:11 0 +15 *2633:34 *2710:70 0 +16 *2633:35 *2642:34 0 +17 *2633:35 *2645:23 0 +18 *2633:56 *2645:23 0 +19 *2633:56 *2645:25 0 +20 *2633:56 *2662:12 0 +21 *2633:67 *2645:25 0 +22 *2633:67 *2654:25 0 +23 *2633:67 *2657:33 0 +24 *2633:67 *2665:16 0 +25 la_data_in_core[8] *2633:34 0 +26 la_oenb_core[7] *2633:34 0 +27 mprj_adr_o_user[24] *2633:67 0 +28 mprj_dat_o_user[24] *2633:67 0 +29 *7272:DIODE *2633:56 0 +30 *39356:A *2633:67 0 +31 *387:5 *2633:20 0 +32 *1004:8 *2633:34 0 +33 *1011:107 *2633:20 0 +34 *1021:15 *2633:56 0 +35 *1024:36 *2633:20 0 +36 *2491:13 *2633:20 0 +37 *2493:14 *2633:20 0 +38 *2497:23 *2633:34 0 +39 *2518:37 *2633:20 0 +40 *2520:33 *2633:20 0 +41 *2523:46 *2633:34 0 +42 *2526:28 *2633:34 0 +43 *2540:12 *2633:67 0 +44 *2546:20 *2633:34 0 +45 *2546:30 *2633:35 0 +46 *2546:44 *2633:56 0 +47 *2546:44 *2633:67 0 +48 *2553:59 *39291:A 0 +49 *2577:37 *39291:A 0 +50 *2577:37 *2633:67 0 +51 *2599:18 *2633:67 0 +52 *2632:46 *2633:56 0 +*RES +1 *39809:X *2633:20 45.6147 +2 *2633:20 *2633:34 44.1527 +3 *2633:34 *2633:35 80.2143 +4 *2633:35 *2633:56 45.3571 +5 *2633:56 *2633:67 42.5357 +6 *2633:67 *39291:A 12.3 +7 *2633:67 *7269:DIODE 9.3 +*END + +*D_NET *2634 0.0187146 +*CONN +*I *7754:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39809:A I *D sky130_fd_sc_hd__buf_4 +*I *39810:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7754:DIODE 0 +2 *39809:A 0.000154012 +3 *39810:X 0 +4 *2634:17 0.0024045 +5 *2634:5 0.0092033 +6 *2634:4 0.00695282 +7 *39809:A *39851:A 0 +8 *2634:5 *39832:A 0 +9 *2634:5 *2644:39 0 +10 *2634:5 *2655:10 0 +11 *2634:5 *2659:47 0 +12 *2634:5 *2659:49 0 +13 *2634:5 *2667:7 0 +14 *2634:5 *2667:19 0 +15 *2634:5 *2667:32 0 +16 *2634:5 *2667:60 0 +17 *2634:5 *2688:29 0 +18 *2634:5 *4669:56 0 +19 *2634:5 *4670:22 0 +20 *2634:5 *4958:37 0 +21 *2634:17 *39158:A 0 +22 *2634:17 *2897:20 0 +23 *37953:A *2634:5 0 +24 *39710:A *2634:5 0 +25 *39738:A *2634:5 0 +26 *271:27 *39809:A 0 +27 *282:9 *2634:17 0 +28 *333:42 *2634:17 0 +29 *333:53 *2634:17 0 +30 *1011:107 *39809:A 0 +31 *1011:107 *2634:17 0 +32 *1024:36 *39809:A 0 +33 *1024:36 *2634:17 0 +34 *1025:62 *2634:17 0 +35 *1026:32 *39809:A 0 +36 *2496:16 *2634:17 0 +37 *2517:11 *2634:5 0 +38 *2517:23 *2634:5 0 +39 *2524:25 *2634:5 0 +40 *2536:8 *2634:17 0 +41 *2554:5 *2634:5 0 +42 *2554:17 *2634:5 0 +43 *2569:15 *2634:5 0 +44 *2606:42 *2634:5 0 +*RES +1 *39810:X *2634:4 9.3 +2 *2634:4 *2634:5 145.107 +3 *2634:5 *2634:17 34.5813 +4 *2634:17 *39809:A 21.6929 +5 *2634:17 *7754:DIODE 9.3 +*END + +*D_NET *2635 0.0199503 +*CONN +*I *7268:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39290:A I *D sky130_fd_sc_hd__buf_12 +*I *39811:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *7268:DIODE 0.000417542 +2 *39290:A 0 +3 *39811:X 0.00110889 +4 *2635:32 0.00173045 +5 *2635:25 0.00344427 +6 *2635:22 0.00279181 +7 *2635:13 0.00344508 +8 *2635:11 0.00434401 +9 *2635:7 0.00266827 +10 *2635:7 *39685:A 0 +11 *2635:7 *4659:39 0 +12 *2635:11 *2643:34 0 +13 *2635:11 *4659:29 0 +14 *2635:11 *4659:39 0 +15 *2635:11 *4669:17 0 +16 *2635:11 *5042:25 0 +17 *2635:32 *2641:21 0 +18 *2635:32 *2646:59 0 +19 *2635:32 *2686:51 0 +20 *7315:DIODE *2635:32 0 +21 *39734:A *2635:7 0 +22 *39742:A *2635:11 0 +23 *39745:A *2635:11 0 +24 *39768:A *2635:22 0 +25 *39786:A *2635:32 0 +26 *39799:A *2635:7 0 +27 *39799:A *2635:11 0 +28 *1014:9 *2635:32 0 +29 *2496:16 *2635:7 0 +30 *2536:20 *2635:25 0 +31 *2540:5 *2635:7 0 +32 *2540:5 *2635:11 0 +33 *2540:5 *2635:13 0 +34 *2540:5 *2635:22 0 +35 *2553:59 *7268:DIODE 0 +36 *2556:11 *2635:25 0 +37 *2562:7 *2635:13 0 +38 *2562:7 *2635:22 0 +39 *2569:40 *2635:13 0 +40 *2573:14 *7268:DIODE 0 +41 *2577:37 *7268:DIODE 0 +42 *2582:52 *2635:13 0 +43 *2588:8 *2635:22 0 +44 *2588:9 *2635:25 0 +45 *2592:24 *2635:22 0 +46 *2604:20 *2635:7 0 +47 *2623:57 *2635:7 0 +*RES +1 *39811:X *2635:7 32.4429 +2 *2635:7 *2635:11 32.4821 +3 *2635:11 *2635:13 58.2411 +4 *2635:13 *2635:22 22.9911 +5 *2635:22 *2635:25 48.9821 +6 *2635:25 *2635:32 37.7679 +7 *2635:32 *39290:A 13.8 +8 *2635:32 *7268:DIODE 24.4081 +*END + +*D_NET *2636 0.018805 +*CONN +*I *7755:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39811:A I *D sky130_fd_sc_hd__buf_6 +*I *39812:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *7755:DIODE 0 +2 *39811:A 0.000143745 +3 *39812:X 0.00133252 +4 *2636:29 0.000599366 +5 *2636:28 0.00173492 +6 *2636:17 0.00747061 +7 *2636:16 0.00752383 +8 *2636:16 *2656:18 0 +9 *2636:16 *2693:94 0 +10 *2636:16 *4943:15 0 +11 *2636:16 *5056:29 0 +12 *2636:17 *37925:A 0 +13 *2636:17 *2643:17 0 +14 *2636:17 *2659:13 0 +15 *2636:17 *2667:67 0 +16 *2636:17 *2897:21 0 +17 *2636:28 *2643:17 0 +18 *39703:A *2636:17 0 +19 *333:42 *2636:16 0 +20 *344:42 *2636:16 0 +21 *2515:18 *2636:17 0 +22 *2517:35 *2636:17 0 +23 *2533:19 *39811:A 0 +24 *2533:19 *2636:29 0 +25 *2540:5 *39811:A 0 +26 *2540:5 *2636:29 0 +27 *2544:15 *2636:17 0 +28 *2544:31 *2636:17 0 +29 *2544:33 *2636:17 0 +30 *2544:48 *2636:17 0 +31 *2566:13 *2636:28 0 +32 *2569:15 *2636:29 0 +33 *2590:44 *2636:16 0 +34 *2610:14 *2636:16 0 +35 *2610:30 *2636:16 0 +*RES +1 *39812:X *2636:16 44.5414 +2 *2636:16 *2636:17 129.089 +3 *2636:17 *2636:28 45.0179 +4 *2636:28 *2636:29 9.57143 +5 *2636:29 *39811:A 12.3 +6 *2636:29 *7755:DIODE 9.3 +*END + +*D_NET *2637 0.0195978 +*CONN +*I *39812:A I *D sky130_fd_sc_hd__buf_4 +*I *7756:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39813:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39812:A 0.000156152 +2 *7756:DIODE 0 +3 *39813:X 0.000717669 +4 *2637:55 0.00167745 +5 *2637:53 0.00299341 +6 *2637:41 0.00364219 +7 *2637:37 0.00357652 +8 *2637:30 0.00251453 +9 *2637:20 0.00235516 +10 *2637:14 0.00196474 +11 *2637:14 *2856:20 0 +12 *2637:14 *2863:26 0 +13 *2637:14 *4976:12 0 +14 *2637:14 *5120:12 0 +15 *2637:20 *2693:14 0 +16 *2637:20 *3795:14 0 +17 *2637:30 *2693:24 0 +18 *2637:30 *4961:12 0 +19 *2637:37 *2693:40 0 +20 *2637:37 *2731:27 0 +21 *2637:37 *5108:7 0 +22 *2637:41 *39767:A 0 +23 *2637:41 *2693:50 0 +24 *2637:41 *2693:57 0 +25 *2637:41 *5108:7 0 +26 *2637:53 *2677:61 0 +27 *2637:53 *2693:57 0 +28 *2637:53 *2731:26 0 +29 *2637:53 *2731:27 0 +30 *2637:53 *4672:17 0 +31 *2637:55 *2677:61 0 +32 *2637:55 *2731:26 0 +33 *2637:55 *2877:33 0 +34 *37819:A *2637:37 0 +35 *39711:A *2637:41 0 +36 *39720:A *2637:53 0 +37 *39720:A *2637:55 0 +38 *39726:A *2637:55 0 +39 *1307:22 *2637:30 0 +40 *2232:35 *2637:30 0 +41 *2242:31 *2637:14 0 +42 *2254:49 *2637:14 0 +43 *2260:27 *2637:20 0 +44 *2277:29 *2637:14 0 +45 *2522:5 *2637:20 0 +46 *2522:5 *2637:37 0 +47 *2525:39 *2637:41 0 +48 *2532:28 *2637:14 0 +49 *2534:7 *2637:55 0 +50 *2534:11 *2637:55 0 +51 *2535:23 *2637:37 0 +52 *2535:23 *2637:41 0 +53 *2535:23 *2637:53 0 +54 *2535:31 *2637:53 0 +55 *2537:18 *39812:A 0 +56 *2537:18 *2637:55 0 +57 *2541:11 *39812:A 0 +58 *2541:11 *2637:55 0 +59 *2548:16 *2637:14 0 +60 *2587:10 *2637:41 0 +*RES +1 *39813:X *2637:14 43.4786 +2 *2637:14 *2637:20 35.2857 +3 *2637:20 *2637:30 32.5536 +4 *2637:30 *2637:37 29.4464 +5 *2637:37 *2637:41 45.3214 +6 *2637:41 *2637:53 31.125 +7 *2637:53 *2637:55 31.75 +8 *2637:55 *7756:DIODE 9.3 +9 *2637:55 *39812:A 12.6214 +*END + +*D_NET *2638 0.00622009 +*CONN +*I *38072:C I *D sky130_fd_sc_hd__and3b_1 +*I *38443:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *38072:C 0.000258099 +2 *38443:X 0.00130522 +3 *2638:22 0.00180483 +4 *2638:11 0.00285195 +5 *38072:C *3446:89 0 +6 *38072:C *3952:122 0 +7 *38072:C *4888:26 0 +8 *2638:11 *4049:18 0 +9 *2638:11 *4883:9 0 +10 *2638:22 *3210:48 0 +11 *2638:22 *4032:88 0 +12 *2638:22 *4246:45 0 +13 *1223:15 *2638:11 0 +*RES +1 *38443:X *2638:11 45.7464 +2 *2638:11 *2638:22 48.3571 +3 *2638:22 *38072:C 19.6929 +*END + +*D_NET *2639 0.0192267 +*CONN +*I *7266:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39288:A I *D sky130_fd_sc_hd__buf_12 +*I *39814:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *7266:DIODE 1.21715e-05 +2 *39288:A 0.000211065 +3 *39814:X 0.00758448 +4 *2639:13 0.00202886 +5 *2639:11 0.0093901 +6 *39288:A *2645:42 0 +7 *39288:A *2650:42 0 +8 *2639:11 *2642:24 0 +9 *2639:11 *2642:43 0 +10 *2639:11 *2646:40 0 +11 *2639:11 *2654:20 0 +12 *2639:11 *2663:59 0 +13 *2639:11 *2679:14 0 +14 *2639:11 *2710:70 0 +15 *2639:11 *4644:20 0 +16 *2639:11 *4960:17 0 +17 *2639:13 *2645:42 0 +18 *2639:13 *2650:42 0 +19 *2639:13 *2679:14 0 +20 *1001:22 *2639:13 0 +21 *1012:9 *39288:A 0 +22 *2497:23 *2639:11 0 +23 *2518:59 *2639:11 0 +24 *2520:57 *2639:11 0 +25 *2520:66 *2639:11 0 +26 *2523:66 *2639:11 0 +27 *2546:20 *2639:11 0 +28 *2546:53 *2639:11 0 +29 *2546:53 *2639:13 0 +30 *2577:20 *2639:11 0 +31 *2633:34 *2639:11 0 +*RES +1 *39814:X *2639:11 46.4601 +2 *2639:11 *2639:13 6.56903 +3 *2639:13 *39288:A 18.7175 +4 *2639:13 *7266:DIODE 17.4868 +*END + +*D_NET *2640 0.0196206 +*CONN +*I *7757:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39814:A I *D sky130_fd_sc_hd__buf_4 +*I *39815:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7757:DIODE 0 +2 *39814:A 0.000124066 +3 *39815:X 0.000987127 +4 *2640:39 0.00126304 +5 *2640:23 0.00720772 +6 *2640:22 0.00756015 +7 *2640:16 0.00247854 +8 *39814:A *2647:51 0 +9 *39814:A *2663:25 0 +10 *2640:16 *2711:25 0 +11 *2640:16 *2758:57 0 +12 *2640:16 *4665:53 0 +13 *2640:16 *4665:64 0 +14 *2640:16 *4955:10 0 +15 *2640:22 *2757:24 0 +16 *2640:22 *2758:56 0 +17 *2640:23 *38928:A 0 +18 *2640:23 *39180:A 0 +19 *2640:23 *2666:24 0 +20 *2640:23 *2666:38 0 +21 *2640:23 *2758:56 0 +22 *2640:23 *4670:22 0 +23 *2640:23 *4670:32 0 +24 *2640:23 *4933:35 0 +25 *2640:23 *4933:61 0 +26 *2640:39 *39274:A 0 +27 *2640:39 *2647:51 0 +28 *2640:39 *2651:20 0 +29 *2640:39 *2663:25 0 +30 *2640:39 *2666:38 0 +31 *2640:39 *4644:20 0 +32 la_data_in_core[10] *2640:39 0 +33 la_data_in_core[12] *2640:23 0 +34 la_data_in_core[13] *2640:23 0 +35 la_data_in_core[20] *2640:23 0 +36 la_data_in_core[23] *2640:22 0 +37 la_data_in_core[9] *2640:39 0 +38 la_oenb_core[27] *2640:16 0 +39 *7053:DIODE *2640:23 0 +40 *38922:A *2640:23 0 +41 *38923:A *2640:23 0 +42 *38931:A *2640:23 0 +43 *2451:19 *2640:16 0 +44 *2452:40 *2640:16 0 +45 *2485:41 *2640:16 0 +46 *2491:13 *2640:39 0 +47 *2492:77 *2640:23 0 +48 *2493:46 *2640:23 0 +49 *2497:32 *2640:23 0 +50 *2497:32 *2640:39 0 +51 *2497:40 *2640:23 0 +52 *2497:41 *2640:23 0 +53 *2498:53 *2640:23 0 +54 *2523:28 *2640:23 0 +55 *2526:28 *2640:39 0 +56 *2530:20 *2640:23 0 +57 *2575:28 *2640:16 0 +*RES +1 *39815:X *2640:16 47.4868 +2 *2640:16 *2640:22 14.5648 +3 *2640:22 *2640:23 126.625 +4 *2640:23 *2640:39 27.4435 +5 *2640:39 *39814:A 11.8893 +6 *2640:39 *7757:DIODE 9.3 +*END + +*D_NET *2641 0.0181193 +*CONN +*I *7264:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39286:A I *D sky130_fd_sc_hd__buf_12 +*I *39816:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *7264:DIODE 4.42422e-05 +2 *39286:A 0.000235785 +3 *39816:X 4.32091e-05 +4 *2641:24 0.000818956 +5 *2641:21 0.00430368 +6 *2641:20 0.00388169 +7 *2641:9 0.00443271 +8 *2641:7 0.00435899 +9 *7264:DIODE *5050:26 0 +10 *39286:A *2642:63 0 +11 *39286:A *2650:42 0 +12 *39286:A *2674:15 0 +13 *2641:24 *2645:42 0 +14 *2641:24 *5050:26 0 +15 *1010:19 *39286:A 0 +16 *2533:46 *2641:9 0 +17 *2533:47 *2641:9 0 +18 *2533:62 *2641:20 0 +19 *2536:11 *2641:7 0 +20 *2536:13 *2641:7 0 +21 *2536:13 *2641:9 0 +22 *2536:20 *2641:21 0 +23 *2588:9 *2641:21 0 +24 *2619:9 *2641:20 0 +25 *2619:14 *2641:24 0 +26 *2622:14 *2641:20 0 +27 *2635:32 *2641:21 0 +*RES +1 *39816:X *2641:7 10.2643 +2 *2641:7 *2641:9 90.0714 +3 *2641:9 *2641:20 16.7088 +4 *2641:20 *2641:21 78.5714 +5 *2641:21 *2641:24 16.7679 +6 *2641:24 *39286:A 28.1571 +7 *2641:24 *7264:DIODE 15.1393 +*END + +*D_NET *2642 0.0189212 +*CONN +*I *7262:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39284:A I *D sky130_fd_sc_hd__buf_12 +*I *39817:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *7262:DIODE 0 +2 *39284:A 0.000164786 +3 *39817:X 0.000835375 +4 *2642:63 0.00122684 +5 *2642:47 0.00535815 +6 *2642:46 0.00437786 +7 *2642:43 0.00227455 +8 *2642:34 0.00302052 +9 *2642:24 0.0016631 +10 *39284:A *2654:29 0 +11 *39284:A *2668:17 0 +12 *2642:24 *2663:40 0 +13 *2642:24 *2679:14 0 +14 *2642:24 *2891:12 0 +15 *2642:34 *2645:23 0 +16 *2642:43 *2645:23 0 +17 *2642:43 *2654:20 0 +18 *2642:43 *2666:63 0 +19 *2642:43 *2679:14 0 +20 *2642:46 *2657:15 0 +21 *2642:47 *39289:A 0 +22 *2642:47 *2645:25 0 +23 *2642:47 *2646:59 0 +24 *2642:47 *2654:29 0 +25 *2642:47 *2657:33 0 +26 *2642:47 *2657:62 0 +27 *2642:47 *2657:65 0 +28 *2642:47 *5050:29 0 +29 *2642:63 *39285:A 0 +30 *2642:63 *2645:55 0 +31 *2642:63 *2654:29 0 +32 *2642:63 *2668:15 0 +33 *2642:63 *2668:17 0 +34 la_data_in_core[1] *2642:43 0 +35 mprj_adr_o_user[18] *2642:63 0 +36 mprj_dat_o_user[17] *39284:A 0 +37 mprj_dat_o_user[28] *2642:46 0 +38 *7274:DIODE *2642:46 0 +39 *7325:DIODE *2642:43 0 +40 *38891:A *2642:43 0 +41 *38930:A *2642:43 0 +42 *38963:A *2642:24 0 +43 *39286:A *2642:63 0 +44 *39362:A *2642:43 0 +45 *332:15 *2642:24 0 +46 *1005:46 *2642:24 0 +47 *1008:19 *39284:A 0 +48 *1010:19 *2642:63 0 +49 *1011:74 *2642:24 0 +50 *2518:59 *2642:43 0 +51 *2518:63 *2642:43 0 +52 *2520:57 *2642:24 0 +53 *2546:30 *2642:24 0 +54 *2546:30 *2642:34 0 +55 *2546:37 *2642:34 0 +56 *2546:37 *2642:43 0 +57 *2546:53 *2642:47 0 +58 *2630:30 *2642:46 0 +59 *2633:35 *2642:34 0 +60 *2639:11 *2642:24 0 +61 *2639:11 *2642:43 0 +*RES +1 *39817:X *2642:24 48.7263 +2 *2642:24 *2642:34 26.4286 +3 *2642:34 *2642:43 49.39 +4 *2642:43 *2642:46 6.29464 +5 *2642:46 *2642:47 89.6607 +6 *2642:47 *2642:63 40.4821 +7 *2642:63 *39284:A 21.9964 +8 *2642:63 *7262:DIODE 9.3 +*END + +*D_NET *2643 0.018844 +*CONN +*I *7760:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39817:A I *D sky130_fd_sc_hd__buf_6 +*I *39818:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7760:DIODE 0 +2 *39817:A 0.000201662 +3 *39818:X 0.0025453 +4 *2643:34 0.00121673 +5 *2643:17 0.00667503 +6 *2643:16 0.00820526 +7 *39817:A *7808:DIODE 0 +8 *2643:16 *2656:18 0 +9 *2643:16 *2659:37 0 +10 *2643:17 *37925:A 0 +11 *2643:17 *39680:A 0 +12 *2643:17 *2667:67 0 +13 *2643:17 *2897:21 0 +14 *2643:17 *2909:31 0 +15 *2643:17 *4666:47 0 +16 *2643:17 *5042:25 0 +17 *5635:DIODE *2643:17 0 +18 *322:25 *2643:34 0 +19 *333:42 *2643:16 0 +20 *1005:46 *39817:A 0 +21 *1011:85 *39817:A 0 +22 *1016:69 *39817:A 0 +23 *1026:32 *39817:A 0 +24 *2454:30 *2643:34 0 +25 *2515:18 *2643:16 0 +26 *2515:18 *2643:17 0 +27 *2515:50 *2643:34 0 +28 *2533:34 *2643:17 0 +29 *2536:9 *2643:17 0 +30 *2544:33 *2643:17 0 +31 *2544:48 *2643:17 0 +32 *2557:16 *2643:34 0 +33 *2566:13 *2643:17 0 +34 *2619:9 *2643:34 0 +35 *2626:58 *2643:17 0 +36 *2635:11 *2643:34 0 +37 *2636:17 *2643:17 0 +38 *2636:28 *2643:17 0 +*RES +1 *39818:X *2643:16 45.6026 +2 *2643:16 *2643:17 118 +3 *2643:17 *2643:34 44.1215 +4 *2643:34 *39817:A 22.5679 +5 *2643:34 *7760:DIODE 9.3 +*END + +*D_NET *2644 0.0197444 +*CONN +*I *7761:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39818:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39819:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7761:DIODE 0 +2 *39818:A 0.000143745 +3 *39819:X 0.000465334 +4 *2644:55 0.00115039 +5 *2644:54 0.00156843 +6 *2644:39 0.00239235 +7 *2644:28 0.00271647 +8 *2644:17 0.00345022 +9 *2644:16 0.00265004 +10 *2644:11 0.00241389 +11 *2644:10 0.00279349 +12 *39818:A *2659:37 0 +13 *2644:10 *2661:41 0 +14 *2644:10 *2737:26 0 +15 *2644:10 *2742:29 0 +16 *2644:10 *3799:12 0 +17 *2644:10 *4962:10 0 +18 *2644:16 *5066:11 0 +19 *2644:17 *2659:13 0 +20 *2644:17 *2877:41 0 +21 *2644:17 *2877:45 0 +22 *2644:17 *4674:16 0 +23 *2644:28 *2667:7 0 +24 *2644:28 *4675:14 0 +25 *2644:39 *2688:34 0 +26 *2644:39 *2878:34 0 +27 *2644:39 *2889:43 0 +28 *2644:54 *38203:A 0 +29 *2644:54 *2659:13 0 +30 *2644:54 *4946:10 0 +31 *2644:55 *2659:37 0 +32 *37781:A *2644:11 0 +33 *37801:A *2644:17 0 +34 *342:40 *2644:28 0 +35 *349:10 *2644:11 0 +36 *352:29 *2644:10 0 +37 *1014:30 *2644:10 0 +38 *2344:38 *2644:11 0 +39 *2344:51 *2644:11 0 +40 *2517:11 *2644:28 0 +41 *2517:34 *2644:55 0 +42 *2519:8 *2644:16 0 +43 *2529:19 *2644:11 0 +44 *2544:14 *2644:54 0 +45 *2544:15 *39818:A 0 +46 *2544:15 *2644:55 0 +47 *2551:15 *2644:55 0 +48 *2606:10 *2644:28 0 +49 *2606:11 *2644:39 0 +50 *2625:32 *2644:39 0 +51 *2634:5 *2644:39 0 +*RES +1 *39819:X *2644:10 28.7821 +2 *2644:10 *2644:11 48.5893 +3 *2644:11 *2644:16 10.9464 +4 *2644:16 *2644:17 53.5179 +5 *2644:17 *2644:28 36.8036 +6 *2644:28 *2644:39 47.6786 +7 *2644:39 *2644:54 30.2857 +8 *2644:54 *2644:55 21.0714 +9 *2644:55 *39818:A 12.3 +10 *2644:55 *7761:DIODE 9.3 +*END + +*D_NET *2645 0.0193936 +*CONN +*I *7260:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39282:A I *D sky130_fd_sc_hd__buf_12 +*I *39820:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *7260:DIODE 0 +2 *39282:A 0.000189364 +3 *39820:X 0.000642956 +4 *2645:55 0.0022228 +5 *2645:42 0.00435449 +6 *2645:25 0.00464772 +7 *2645:23 0.00451 +8 *2645:16 0.0028263 +9 *39282:A *2662:29 0 +10 *2645:16 *4960:17 0 +11 *2645:23 *2666:63 0 +12 *2645:25 *2657:33 0 +13 *2645:25 *2665:16 0 +14 *2645:42 *2650:42 0 +15 *2645:42 *2657:62 0 +16 *2645:42 *2665:16 0 +17 *2645:42 *2665:28 0 +18 *2645:42 *2674:14 0 +19 *2645:42 *2709:23 0 +20 *2645:42 *5050:26 0 +21 *2645:55 *2646:83 0 +22 *2645:55 *2650:42 0 +23 *2645:55 *2650:49 0 +24 *2645:55 *2662:29 0 +25 *2645:55 *2674:15 0 +26 *2645:55 *2690:16 0 +27 la_data_in_core[1] *2645:23 0 +28 mprj_adr_o_user[20] *2645:42 0 +29 mprj_dat_o_user[16] *2645:55 0 +30 mprj_dat_o_user[23] *2645:42 0 +31 mprj_dat_o_user[29] *2645:23 0 +32 *7063:DIODE *2645:16 0 +33 *7272:DIODE *2645:25 0 +34 *7325:DIODE *2645:23 0 +35 *38930:A *2645:23 0 +36 *39288:A *2645:42 0 +37 *39294:A *2645:25 0 +38 *39362:A *2645:23 0 +39 *299:9 *2645:16 0 +40 *307:13 *39282:A 0 +41 *1001:22 *2645:42 0 +42 *1001:22 *2645:55 0 +43 *1006:9 *39282:A 0 +44 *1007:15 *2645:55 0 +45 *1010:19 *2645:42 0 +46 *1012:9 *2645:42 0 +47 *1023:19 *2645:16 0 +48 *2518:59 *2645:16 0 +49 *2518:59 *2645:23 0 +50 *2518:63 *2645:23 0 +51 *2543:21 *2645:16 0 +52 *2546:44 *2645:25 0 +53 *2573:8 *2645:16 0 +54 *2573:14 *2645:42 0 +55 *2573:14 *2645:55 0 +56 *2599:18 *2645:25 0 +57 *2633:35 *2645:23 0 +58 *2633:56 *2645:23 0 +59 *2633:56 *2645:25 0 +60 *2633:67 *2645:25 0 +61 *2639:13 *2645:42 0 +62 *2641:24 *2645:42 0 +63 *2642:34 *2645:23 0 +64 *2642:43 *2645:23 0 +65 *2642:47 *2645:25 0 +66 *2642:63 *2645:55 0 +*RES +1 *39820:X *2645:16 36.012 +2 *2645:16 *2645:23 45.875 +3 *2645:23 *2645:25 48.5893 +4 *2645:25 *2645:42 44.1534 +5 *2645:42 *2645:55 42.9671 +6 *2645:55 *39282:A 22.3357 +7 *2645:55 *7260:DIODE 9.3 +*END + +*D_NET *2646 0.0198639 +*CONN +*I *7259:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39281:A I *D sky130_fd_sc_hd__buf_12 +*I *39821:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *7259:DIODE 0 +2 *39281:A 0.000144411 +3 *39821:X 0.000921281 +4 *2646:83 0.00195552 +5 *2646:76 0.00320298 +6 *2646:59 0.00331019 +7 *2646:40 0.00372232 +8 *2646:24 0.00374496 +9 *2646:14 0.00286225 +10 *39281:A *2673:6 0 +11 *39281:A *2678:17 0 +12 *39281:A *5064:28 0 +13 *2646:14 *7762:DIODE 0 +14 *2646:14 *2663:59 0 +15 *2646:14 *2666:39 0 +16 *2646:14 *2709:7 0 +17 *2646:24 *39835:A 0 +18 *2646:24 *2650:24 0 +19 *2646:24 *2663:59 0 +20 *2646:24 *2666:63 0 +21 *2646:24 *2666:69 0 +22 *2646:24 *2709:7 0 +23 *2646:24 *2709:21 0 +24 *2646:40 *39293:A 0 +25 *2646:40 *2679:14 0 +26 *2646:40 *2709:21 0 +27 *2646:59 *39289:A 0 +28 *2646:59 *39846:A 0 +29 *2646:59 *2650:25 0 +30 *2646:59 *2654:25 0 +31 *2646:59 *2657:62 0 +32 *2646:59 *2665:28 0 +33 *2646:59 *2675:19 0 +34 *2646:59 *2709:23 0 +35 *2646:76 *2662:21 0 +36 *2646:76 *2665:28 0 +37 *2646:83 *2650:49 0 +38 *2646:83 *2674:15 0 +39 *2646:83 *2678:16 0 +40 *2646:83 *2678:17 0 +41 *2646:83 *2690:16 0 +42 *2646:83 *2708:13 0 +43 *2646:83 *5064:28 0 +44 mprj_adr_o_user[18] *2646:76 0 +45 mprj_adr_o_user[21] *2646:76 0 +46 mprj_dat_o_user[21] *2646:59 0 +47 mprj_dat_o_user[31] *2646:14 0 +48 mprj_dat_o_user[31] *2646:24 0 +49 *7323:DIODE *2646:24 0 +50 *39347:A *2646:83 0 +51 *39354:A *2646:59 0 +52 *1005:18 *39281:A 0 +53 *1007:28 *2646:40 0 +54 *1009:16 *2646:76 0 +55 *1016:15 *2646:40 0 +56 *2540:12 *2646:40 0 +57 *2573:14 *2646:76 0 +58 *2573:14 *2646:83 0 +59 *2635:32 *2646:59 0 +60 *2639:11 *2646:40 0 +61 *2642:47 *2646:59 0 +62 *2645:55 *2646:83 0 +*RES +1 *39821:X *2646:14 38.175 +2 *2646:14 *2646:24 48.4904 +3 *2646:24 *2646:40 39.281 +4 *2646:40 *2646:59 49.3929 +5 *2646:59 *2646:76 49.4352 +6 *2646:76 *2646:83 29.5852 +7 *2646:83 *39281:A 21.4964 +8 *2646:83 *7259:DIODE 9.3 +*END + +*D_NET *2647 0.0196735 +*CONN +*I *39821:A I *D sky130_fd_sc_hd__buf_6 +*I *7762:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39822:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39821:A 0 +2 *7762:DIODE 0.000240645 +3 *39822:X 0.000922027 +4 *2647:65 0.0014828 +5 *2647:61 0.00319309 +6 *2647:51 0.00364055 +7 *2647:33 0.0035305 +8 *2647:26 0.00379139 +9 *2647:13 0.00287254 +10 *7762:DIODE *2663:59 0 +11 *7762:DIODE *2666:39 0 +12 *7762:DIODE *2709:7 0 +13 *2647:13 *5840:DIODE 0 +14 *2647:13 *4658:28 0 +15 *2647:13 *4665:30 0 +16 *2647:13 *4665:33 0 +17 *2647:13 *4936:23 0 +18 *2647:26 *4665:30 0 +19 *2647:26 *4930:10 0 +20 *2647:33 *2663:25 0 +21 *2647:33 *2710:25 0 +22 *2647:33 *2710:44 0 +23 *2647:33 *4665:14 0 +24 *2647:33 *4932:16 0 +25 *2647:33 *4933:61 0 +26 *2647:51 *39169:A 0 +27 *2647:51 *39851:A 0 +28 *2647:51 *2663:25 0 +29 *2647:51 *4917:17 0 +30 *2647:51 *4917:19 0 +31 *2647:61 *39241:A 0 +32 *2647:61 *2663:25 0 +33 *2647:61 *2666:39 0 +34 *2647:61 *4736:22 0 +35 *2647:65 *2666:39 0 +36 *2647:65 *2709:7 0 +37 la_data_in_core[2] *2647:65 0 +38 la_data_in_core[9] *2647:51 0 +39 la_oenb_core[13] *2647:33 0 +40 la_oenb_core[6] *2647:61 0 +41 *37943:A *2647:33 0 +42 *38941:A *2647:65 0 +43 *39018:A *2647:51 0 +44 *39814:A *2647:51 0 +45 *271:27 *2647:51 0 +46 *1007:62 *2647:51 0 +47 *1007:62 *2647:61 0 +48 *1007:78 *2647:51 0 +49 *1007:86 *2647:33 0 +50 *1007:86 *2647:51 0 +51 *1007:93 *2647:13 0 +52 *1007:93 *2647:26 0 +53 *1008:56 *2647:13 0 +54 *1026:50 *2647:13 0 +55 *2485:36 *2647:13 0 +56 *2491:13 *2647:26 0 +57 *2492:74 *2647:26 0 +58 *2493:32 *2647:26 0 +59 *2493:32 *2647:33 0 +60 *2500:37 *2647:26 0 +61 *2526:48 *2647:61 0 +62 *2526:48 *2647:65 0 +63 *2640:39 *2647:51 0 +64 *2646:14 *7762:DIODE 0 +*RES +1 *39822:X *2647:13 37.7643 +2 *2647:13 *2647:26 47.6521 +3 *2647:26 *2647:33 38.4821 +4 *2647:33 *2647:51 44.5893 +5 *2647:51 *2647:61 49.8393 +6 *2647:61 *2647:65 26.0179 +7 *2647:65 *7762:DIODE 14.3536 +8 *2647:65 *39821:A 9.3 +*END + +*D_NET *2648 0.0192846 +*CONN +*I *39822:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7763:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39823:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39822:A 0.00016842 +2 *7763:DIODE 2.56688e-05 +3 *39823:X 0.00770442 +4 *2648:20 0.0019379 +5 *2648:15 0.00944823 +6 *2648:15 *2687:11 0 +7 *2648:20 *2687:11 0 +8 *2648:20 *4658:28 0 +9 *312:35 *2648:20 0 +10 *339:23 *2648:15 0 +11 *339:23 *2648:20 0 +12 *345:31 *2648:15 0 +13 *1005:76 *39822:A 0 +14 *1005:76 *2648:20 0 +15 *1007:99 *39822:A 0 +16 *1011:166 *39822:A 0 +17 *1023:96 *2648:15 0 +18 *1024:75 *2648:20 0 +19 *1028:44 *2648:15 0 +20 *1028:44 *2648:20 0 +21 *1028:46 *2648:15 0 +22 *1503:19 *2648:15 0 +23 *2519:36 *2648:20 0 +24 *2551:26 *2648:20 0 +25 *2574:16 *39822:A 0 +26 *2574:16 *2648:20 0 +*RES +1 *39823:X *2648:15 45.3813 +2 *2648:15 *2648:20 11.5007 +3 *2648:20 *7763:DIODE 14.3357 +4 *2648:20 *39822:A 17.6036 +*END + +*D_NET *2649 0.00369299 +*CONN +*I *38074:C I *D sky130_fd_sc_hd__and3b_1 +*I *38444:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *38074:C 0 +2 *38444:X 0.000104386 +3 *2649:11 0.00174211 +4 *2649:7 0.0018465 +5 *2649:7 *39142:A 0 +6 *2649:11 *5490:DIODE 0 +7 *2649:11 *38074:A_N 0 +8 *2649:11 *3327:105 0 +9 *2649:11 *3441:10 0 +10 *2649:11 *4049:18 0 +11 *2649:11 *4049:43 0 +12 *2431:24 *2649:11 0 +*RES +1 *38444:X *2649:7 15.9786 +2 *2649:7 *2649:11 44.0179 +3 *2649:11 *38074:C 9.3 +*END + +*D_NET *2650 0.0190185 +*CONN +*I *39280:A I *D sky130_fd_sc_hd__buf_12 +*I *7258:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39824:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *39280:A 0.000165991 +2 *7258:DIODE 2.56688e-05 +3 *39824:X 0.000693561 +4 *2650:49 0.00234882 +5 *2650:42 0.00374704 +6 *2650:25 0.00494436 +7 *2650:24 0.00487699 +8 *2650:14 0.00221606 +9 *7258:DIODE *2708:13 0 +10 *39280:A *2678:17 0 +11 *39280:A *2708:13 0 +12 *39280:A *5064:29 0 +13 *2650:24 *39835:A 0 +14 *2650:24 *2662:12 0 +15 *2650:24 *2663:59 0 +16 *2650:24 *2709:7 0 +17 *2650:25 *39838:A 0 +18 *2650:25 *39841:A 0 +19 *2650:25 *39850:A 0 +20 *2650:25 *2665:16 0 +21 *2650:25 *2665:28 0 +22 *2650:25 *2666:69 0 +23 *2650:25 *2678:7 0 +24 *2650:25 *2709:21 0 +25 *2650:25 *2709:23 0 +26 *2650:42 *2674:15 0 +27 *2650:42 *2678:7 0 +28 *2650:49 *2678:16 0 +29 *2650:49 *2678:17 0 +30 *2650:49 *2708:13 0 +31 *2650:49 *5064:28 0 +32 mprj_dat_o_user[24] *2650:25 0 +33 *39286:A *2650:42 0 +34 *39288:A *2650:42 0 +35 *39349:A *2650:42 0 +36 *39357:A *2650:25 0 +37 *39365:A *2650:14 0 +38 *307:13 *2650:49 0 +39 *1001:22 *2650:42 0 +40 *1001:22 *2650:49 0 +41 *1011:65 *2650:14 0 +42 *1012:9 *2650:42 0 +43 *1016:56 *2650:14 0 +44 *2515:65 *2650:14 0 +45 *2630:30 *2650:24 0 +46 *2639:13 *2650:42 0 +47 *2645:42 *2650:42 0 +48 *2645:55 *2650:42 0 +49 *2645:55 *2650:49 0 +50 *2646:24 *2650:24 0 +51 *2646:59 *2650:25 0 +52 *2646:83 *2650:49 0 +*RES +1 *39824:X *2650:14 42.175 +2 *2650:14 *2650:24 41.1964 +3 *2650:24 *2650:25 69.9464 +4 *2650:25 *2650:42 47.8561 +5 *2650:42 *2650:49 27.4417 +6 *2650:49 *7258:DIODE 9.83571 +7 *2650:49 *39280:A 13.0321 +*END + +*D_NET *2651 0.0205497 +*CONN +*I *7764:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39824:A I *D sky130_fd_sc_hd__buf_4 +*I *39825:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7764:DIODE 0 +2 *39824:A 0.000184524 +3 *39825:X 0 +4 *2651:49 0.0022337 +5 *2651:23 0.00402311 +6 *2651:20 0.00388278 +7 *2651:5 0.0060672 +8 *2651:4 0.00415834 +9 *2651:5 *39839:A 0 +10 *2651:5 *2669:26 0 +11 *2651:20 *39158:A 0 +12 *2651:20 *39263:A 0 +13 *2651:20 *4644:20 0 +14 *2651:20 *4644:27 0 +15 *2651:23 *2669:41 0 +16 *2651:23 *2686:5 0 +17 *2651:49 *2658:14 0 +18 la_data_in_core[9] *2651:20 0 +19 la_oenb_core[10] *2651:20 0 +20 *7054:DIODE *2651:49 0 +21 *7731:DIODE *2651:5 0 +22 *39707:A *2651:5 0 +23 *282:9 *2651:20 0 +24 *332:15 *2651:49 0 +25 *901:8 *2651:49 0 +26 *1011:65 *39824:A 0 +27 *1011:65 *2651:49 0 +28 *1013:32 *2651:23 0 +29 *1016:116 *2651:5 0 +30 *1023:61 *2651:5 0 +31 *1023:76 *2651:5 0 +32 *1024:18 *2651:49 0 +33 *2453:17 *2651:5 0 +34 *2485:19 *2651:5 0 +35 *2490:14 *2651:5 0 +36 *2491:13 *2651:20 0 +37 *2497:23 *2651:20 0 +38 *2504:39 *2651:20 0 +39 *2515:65 *39824:A 0 +40 *2518:17 *2651:5 0 +41 *2523:28 *2651:20 0 +42 *2546:20 *2651:20 0 +43 *2574:17 *2651:5 0 +44 *2574:40 *2651:5 0 +45 *2574:64 *2651:23 0 +46 *2574:64 *2651:49 0 +47 *2574:71 *2651:49 0 +48 *2640:39 *2651:20 0 +*RES +1 *39825:X *2651:4 9.3 +2 *2651:4 *2651:5 86.7857 +3 *2651:5 *2651:20 45.5035 +4 *2651:20 *2651:23 45.6964 +5 *2651:23 *2651:49 43.3482 +6 *2651:49 *39824:A 22.4071 +7 *2651:49 *7764:DIODE 9.3 +*END + +*D_NET *2652 0.0180739 +*CONN +*I *39279:A I *D sky130_fd_sc_hd__buf_12 +*I *7257:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39826:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *39279:A 0.00017054 +2 *7257:DIODE 0 +3 *39826:X 0 +4 *2652:12 0.000550433 +5 *2652:9 0.00520584 +6 *2652:7 0.00486103 +7 *2652:5 0.00366058 +8 *2652:4 0.0036255 +9 *39279:A *2654:51 0 +10 *39279:A *2665:47 0 +11 *2652:5 *2675:9 0 +12 *2652:12 *5160:6 0 +13 mprj_adr_o_user[13] *39279:A 0 +14 mprj_adr_o_user[13] *2652:12 0 +15 *1028:10 *2652:5 0 +16 *1028:10 *2652:9 0 +17 *2550:23 *2652:5 0 +18 *2550:23 *2652:9 0 +19 *2608:9 *2652:9 0 +*RES +1 *39826:X *2652:4 9.3 +2 *2652:4 *2652:5 75.6964 +3 *2652:5 *2652:7 0.732143 +4 *2652:7 *2652:9 100.75 +5 *2652:9 *2652:12 13.125 +6 *2652:12 *7257:DIODE 13.8 +7 *2652:12 *39279:A 17.4429 +*END + +*D_NET *2653 0.0189378 +*CONN +*I *39826:A I *D sky130_fd_sc_hd__buf_4 +*I *7766:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39827:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39826:A 0.000155069 +2 *7766:DIODE 0 +3 *39827:X 0.00158166 +4 *2653:34 0.000862757 +5 *2653:25 0.00291371 +6 *2653:17 0.00701228 +7 *2653:16 0.00481846 +8 *2653:11 0.00159385 +9 *39826:A *2675:9 0 +10 *39826:A *4960:17 0 +11 *2653:11 *2754:20 0 +12 *2653:11 *5058:48 0 +13 *2653:17 *2702:37 0 +14 *2653:17 *2707:41 0 +15 *2653:17 *4958:23 0 +16 *2653:25 *7798:DIODE 0 +17 *2653:25 *39866:A 0 +18 *2653:25 *2697:5 0 +19 *2653:25 *2697:7 0 +20 *2653:25 *2702:37 0 +21 *2653:25 *2707:53 0 +22 *2653:34 *4960:17 0 +23 *39676:A *2653:11 0 +24 *293:24 *2653:25 0 +25 *294:25 *2653:11 0 +26 *2455:24 *2653:34 0 +27 *2486:18 *2653:11 0 +28 *2487:37 *2653:11 0 +29 *2498:15 *2653:17 0 +30 *2512:24 *2653:34 0 +31 *2534:27 *2653:11 0 +32 *2536:13 *2653:34 0 +33 *2541:50 *2653:17 0 +34 *2550:23 *39826:A 0 +35 *2556:11 *2653:34 0 +36 *2557:9 *2653:11 0 +37 *2578:18 *2653:11 0 +38 *2620:9 *2653:11 0 +39 *2626:65 *39826:A 0 +40 *2626:65 *2653:34 0 +*RES +1 *39827:X *2653:11 46.6116 +2 *2653:11 *2653:16 8.18679 +3 *2653:16 *2653:17 100.339 +4 *2653:17 *2653:25 46.2857 +5 *2653:25 *2653:34 29.125 +6 *2653:34 *7766:DIODE 13.8 +7 *2653:34 *39826:A 17.3 +*END + +*D_NET *2654 0.0187748 +*CONN +*I *7256:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39278:A I *D sky130_fd_sc_hd__buf_12 +*I *39828:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *7256:DIODE 5.89896e-05 +2 *39278:A 6.58832e-05 +3 *39828:X 0.00296724 +4 *2654:51 0.00221303 +5 *2654:29 0.00430594 +6 *2654:25 0.00420711 +7 *2654:20 0.00495657 +8 *7256:DIODE *2674:24 0 +9 *7256:DIODE *2708:20 0 +10 *39278:A *2668:31 0 +11 *39278:A *2673:31 0 +12 *2654:20 *2657:15 0 +13 *2654:20 *2679:14 0 +14 *2654:25 *39289:A 0 +15 *2654:25 *2657:33 0 +16 *2654:25 *2657:62 0 +17 *2654:25 *2665:16 0 +18 *2654:25 *2668:11 0 +19 *2654:25 *5050:29 0 +20 *2654:29 *39285:A 0 +21 *2654:29 *2657:65 0 +22 *2654:29 *2665:37 0 +23 *2654:29 *2668:11 0 +24 *2654:29 *2668:15 0 +25 *2654:29 *2668:17 0 +26 *2654:29 *5050:29 0 +27 *2654:51 *2657:65 0 +28 *2654:51 *2665:37 0 +29 *2654:51 *2665:47 0 +30 *2654:51 *2668:17 0 +31 *2654:51 *2668:31 0 +32 *2654:51 *2673:31 0 +33 *2654:51 *2690:17 0 +34 mprj_adr_o_user[19] *2654:29 0 +35 mprj_dat_o_user[14] *2654:51 0 +36 mprj_dat_o_user[24] *2654:20 0 +37 *39279:A *2654:51 0 +38 *39284:A *2654:29 0 +39 *39348:A *2654:29 0 +40 *39354:A *2654:25 0 +41 *39356:A *2654:25 0 +42 *1011:56 *2654:20 0 +43 *2546:53 *2654:20 0 +44 *2573:14 *2654:20 0 +45 *2577:20 *2654:20 0 +46 *2629:24 *2654:20 0 +47 *2633:67 *2654:25 0 +48 *2639:11 *2654:20 0 +49 *2642:43 *2654:20 0 +50 *2642:47 *2654:29 0 +51 *2642:63 *2654:29 0 +52 *2646:59 *2654:25 0 +*RES +1 *39828:X *2654:20 47.7011 +2 *2654:20 *2654:25 46.0179 +3 *2654:25 *2654:29 46.3482 +4 *2654:29 *2654:51 44.1696 +5 *2654:51 *39278:A 10.675 +6 *2654:51 *7256:DIODE 19.6393 +*END + +*D_NET *2655 0.0189813 +*CONN +*I *7768:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39828:A I *D sky130_fd_sc_hd__buf_6 +*I *39829:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *7768:DIODE 0.000185965 +2 *39828:A 0.000364978 +3 *39829:X 0.000118027 +4 *2655:11 0.00937262 +5 *2655:10 0.0089397 +6 *39828:A *2658:14 0 +7 *2655:10 *2659:49 0 +8 *2655:11 *2658:14 0 +9 *2655:11 *2676:25 0 +10 *2655:11 *2687:11 0 +11 *2655:11 *2786:24 0 +12 *2655:11 *4669:35 0 +13 *333:53 *2655:11 0 +14 *1016:82 *2655:11 0 +15 *1016:137 *2655:11 0 +16 *1023:19 *39828:A 0 +17 *1024:18 *2655:11 0 +18 *2492:22 *2655:11 0 +19 *2515:50 *2655:11 0 +20 *2551:49 *2655:11 0 +21 *2574:16 *2655:11 0 +22 *2606:38 *2655:11 0 +23 *2622:14 *39828:A 0 +24 *2622:14 *2655:11 0 +25 *2624:16 *2655:11 0 +26 *2624:44 *2655:11 0 +27 *2629:24 *39828:A 0 +28 *2634:5 *2655:10 0 +*RES +1 *39829:X *2655:10 19.8439 +2 *2655:10 *2655:11 31.9725 +3 *2655:11 *39828:A 22.8954 +4 *2655:11 *7768:DIODE 21.7368 +*END + +*D_NET *2656 0.0192975 +*CONN +*I *7769:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39829:A I *D sky130_fd_sc_hd__buf_4 +*I *39830:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7769:DIODE 9.52372e-05 +2 *39829:A 0 +3 *39830:X 9.90632e-05 +4 *2656:18 0.00759637 +5 *2656:14 0.00945447 +6 *2656:8 0.0020524 +7 *2656:8 *4672:30 0 +8 *2656:14 *4965:8 0 +9 *2656:18 *2756:20 0 +10 *2656:18 *2878:34 0 +11 *2656:18 *2902:40 0 +12 *2656:18 *5052:14 0 +13 *317:7 *2656:8 0 +14 *333:42 *2656:18 0 +15 *339:8 *2656:18 0 +16 *344:42 *2656:18 0 +17 *2528:15 *2656:14 0 +18 *2532:33 *2656:14 0 +19 *2539:46 *2656:18 0 +20 *2636:16 *2656:18 0 +21 *2643:16 *2656:18 0 +*RES +1 *39830:X *2656:8 20.55 +2 *2656:8 *2656:14 49.3654 +3 *2656:14 *2656:18 32.5955 +4 *2656:18 *39829:A 13.8 +5 *2656:18 *7769:DIODE 15.9786 +*END + +*D_NET *2657 0.0190706 +*CONN +*I *7255:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39277:A I *D sky130_fd_sc_hd__buf_12 +*I *39831:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *7255:DIODE 0 +2 *39277:A 0.00018396 +3 *39831:X 0.00144291 +4 *2657:87 0.00166998 +5 *2657:65 0.00454231 +6 *2657:64 0.0030563 +7 *2657:62 0.00154777 +8 *2657:33 0.00336612 +9 *2657:15 0.00326126 +10 *2657:15 *2662:12 0 +11 *2657:33 *39292:A 0 +12 *2657:62 *39289:A 0 +13 *2657:62 *2665:28 0 +14 *2657:65 *2665:34 0 +15 *2657:65 *2690:17 0 +16 mprj_adr_o_user[14] *2657:87 0 +17 mprj_dat_o_user[14] *2657:65 0 +18 mprj_dat_o_user[21] *2657:62 0 +19 mprj_dat_o_user[28] *2657:15 0 +20 *39343:A *2657:87 0 +21 *39354:A *2657:62 0 +22 *39358:A *2657:33 0 +23 *304:23 *2657:87 0 +24 *1001:22 *2657:62 0 +25 *1004:8 *2657:87 0 +26 *1010:41 *2657:15 0 +27 *1016:15 *2657:33 0 +28 *1021:15 *2657:15 0 +29 *2533:65 *2657:33 0 +30 *2546:53 *2657:33 0 +31 *2546:53 *2657:62 0 +32 *2553:45 *2657:15 0 +33 *2565:38 *2657:15 0 +34 *2577:20 *2657:15 0 +35 *2577:35 *2657:15 0 +36 *2577:35 *2657:33 0 +37 *2577:37 *2657:33 0 +38 *2599:67 *2657:87 0 +39 *2599:69 *39277:A 0 +40 *2599:69 *2657:87 0 +41 *2633:67 *2657:33 0 +42 *2642:46 *2657:15 0 +43 *2642:47 *2657:33 0 +44 *2642:47 *2657:62 0 +45 *2642:47 *2657:65 0 +46 *2645:25 *2657:33 0 +47 *2645:42 *2657:62 0 +48 *2646:59 *2657:62 0 +49 *2654:20 *2657:15 0 +50 *2654:25 *2657:33 0 +51 *2654:25 *2657:62 0 +52 *2654:29 *2657:65 0 +53 *2654:51 *2657:65 0 +*RES +1 *39831:X *2657:15 49.1036 +2 *2657:15 *2657:33 47.2321 +3 *2657:33 *2657:62 49.552 +4 *2657:62 *2657:64 4.5 +5 *2657:64 *2657:65 63.7857 +6 *2657:65 *2657:87 40.8036 +7 *2657:87 *39277:A 13.1393 +8 *2657:87 *7255:DIODE 9.3 +*END + +*D_NET *2658 0.0188513 +*CONN +*I *7771:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39831:A I *D sky130_fd_sc_hd__buf_6 +*I *39832:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *7771:DIODE 6.20329e-05 +2 *39831:A 8.4707e-05 +3 *39832:X 0.000105631 +4 *2658:14 0.00932 +5 *2658:8 0.00927889 +6 *39828:A *2658:14 0 +7 *901:8 *2658:14 0 +8 *1011:56 *7771:DIODE 0 +9 *1011:56 *39831:A 0 +10 *1011:131 *2658:14 0 +11 *1016:39 *2658:14 0 +12 *1023:19 *2658:14 0 +13 *1024:18 *2658:14 0 +14 *1025:40 *2658:14 0 +15 *1025:62 *2658:14 0 +16 *1026:32 *7771:DIODE 0 +17 *1026:32 *39831:A 0 +18 *1026:44 *2658:14 0 +19 *2453:11 *2658:14 0 +20 *2490:36 *2658:14 0 +21 *2543:14 *2658:14 0 +22 *2543:32 *2658:14 0 +23 *2565:29 *2658:14 0 +24 *2574:64 *2658:14 0 +25 *2622:14 *2658:14 0 +26 *2624:16 *2658:14 0 +27 *2651:49 *2658:14 0 +28 *2655:11 *2658:14 0 +*RES +1 *39832:X *2658:8 19.6118 +2 *2658:8 *2658:14 44.9138 +3 *2658:14 *39831:A 11.0679 +4 *2658:14 *7771:DIODE 10.6571 +*END + +*D_NET *2659 0.0190189 +*CONN +*I *7772:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39832:A I *D sky130_fd_sc_hd__buf_4 +*I *39833:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7772:DIODE 0 +2 *39832:A 0.000147595 +3 *39833:X 0.00166903 +4 *2659:49 0.00056236 +5 *2659:47 0.00226852 +6 *2659:37 0.00319844 +7 *2659:13 0.00542431 +8 *2659:12 0.00407962 +9 *2659:10 0.00166903 +10 *2659:10 *2873:28 0 +11 *2659:10 *2881:43 0 +12 *2659:10 *4953:18 0 +13 *2659:10 *4963:42 0 +14 *2659:13 *2877:41 0 +15 *2659:37 *2756:20 0 +16 *2659:47 *2667:44 0 +17 *2659:47 *4654:20 0 +18 *39784:A *2659:13 0 +19 *39818:A *2659:37 0 +20 *301:12 *2659:37 0 +21 *342:40 *2659:13 0 +22 *344:42 *2659:13 0 +23 *347:34 *2659:10 0 +24 *1002:8 *2659:37 0 +25 *2517:35 *2659:37 0 +26 *2517:35 *2659:47 0 +27 *2544:14 *2659:13 0 +28 *2544:15 *2659:13 0 +29 *2544:15 *2659:37 0 +30 *2544:31 *2659:47 0 +31 *2555:36 *2659:13 0 +32 *2555:44 *2659:13 0 +33 *2566:11 *2659:37 0 +34 *2566:11 *2659:47 0 +35 *2569:15 *39832:A 0 +36 *2569:15 *2659:47 0 +37 *2569:15 *2659:49 0 +38 *2593:17 *2659:13 0 +39 *2606:10 *2659:13 0 +40 *2634:5 *39832:A 0 +41 *2634:5 *2659:47 0 +42 *2634:5 *2659:49 0 +43 *2636:17 *2659:13 0 +44 *2643:16 *2659:37 0 +45 *2644:17 *2659:13 0 +46 *2644:54 *2659:13 0 +47 *2644:55 *2659:37 0 +48 *2655:10 *2659:49 0 +*RES +1 *39833:X *2659:10 49.0143 +2 *2659:10 *2659:12 4.5 +3 *2659:12 *2659:13 85.1429 +4 *2659:13 *2659:37 48.8568 +5 *2659:37 *2659:47 47.9643 +6 *2659:47 *2659:49 8.75 +7 *2659:49 *39832:A 12.4429 +8 *2659:49 *7772:DIODE 9.3 +*END + +*D_NET *2660 0.00384741 +*CONN +*I *38076:C I *D sky130_fd_sc_hd__and3b_1 +*I *38445:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *38076:C 0.00103741 +2 *38445:X 0.000886296 +3 *2660:17 0.00192371 +4 *38076:C *3279:12 0 +5 *38076:C *4049:43 0 +6 *2660:17 *3105:81 0 +7 *2660:17 *3148:39 0 +8 *2660:17 *3511:73 0 +9 *2660:17 *4517:53 0 +10 *2660:17 *4881:62 0 +11 *37398:A *2660:17 0 +*RES +1 *38445:X *2660:17 42.2464 +2 *2660:17 *38076:C 37.7732 +*END + +*D_NET *2661 0.019101 +*CONN +*I *7773:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39833:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39834:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7773:DIODE 4.28265e-05 +2 *39833:A 0.000261448 +3 *39834:X 0.00138786 +4 *2661:43 0.000304274 +5 *2661:41 0.00604756 +6 *2661:40 0.00663682 +7 *2661:34 0.00181082 +8 *2661:19 0.00260941 +9 *39833:A *5108:16 0 +10 *2661:19 *2931:33 0 +11 *2661:19 *2931:35 0 +12 *2661:34 *3796:10 0 +13 *2661:40 *2742:43 0 +14 *2661:40 *3362:21 0 +15 *2661:40 *5038:11 0 +16 *2661:41 *2742:29 0 +17 *2661:41 *5038:11 0 +18 *37817:A *2661:41 0 +19 *352:36 *2661:41 0 +20 *2232:51 *2661:40 0 +21 *2274:24 *2661:34 0 +22 *2274:40 *2661:34 0 +23 *2318:33 *2661:34 0 +24 *2522:5 *2661:19 0 +25 *2525:16 *2661:34 0 +26 *2545:19 *39833:A 0 +27 *2545:19 *2661:41 0 +28 *2548:34 *39833:A 0 +29 *2555:29 *39833:A 0 +30 *2567:29 *39833:A 0 +31 *2644:10 *2661:41 0 +*RES +1 *39834:X *2661:19 47.9071 +2 *2661:19 *2661:34 44.0179 +3 *2661:34 *2661:40 21.3571 +4 *2661:40 *2661:41 126.214 +5 *2661:41 *2661:43 4.5 +6 *2661:43 *39833:A 28.7286 +7 *2661:43 *7773:DIODE 14.8357 +*END + +*D_NET *2662 0.0192532 +*CONN +*I *7285:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39307:A I *D sky130_fd_sc_hd__buf_12 +*I *39835:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *7285:DIODE 0 +2 *39307:A 0.000189364 +3 *39835:X 0.00115097 +4 *2662:33 0.00129376 +5 *2662:29 0.0037716 +6 *2662:27 0.00286571 +7 *2662:21 0.00282185 +8 *2662:20 0.00431616 +9 *2662:12 0.00284378 +10 *39307:A *2708:21 0 +11 *2662:27 *5045:9 0 +12 *2662:29 *7261:DIODE 0 +13 *2662:29 *5045:9 0 +14 *2662:33 *2708:21 0 +15 mprj_adr_o_user[27] *2662:12 0 +16 mprj_dat_o_user[26] *2662:12 0 +17 mprj_dat_o_user[9] *39307:A 0 +18 *7304:DIODE *2662:29 0 +19 *39282:A *2662:29 0 +20 *39344:A *2662:29 0 +21 *39345:A *2662:29 0 +22 *39351:A *2662:21 0 +23 *316:8 *2662:20 0 +24 *1003:8 *2662:20 0 +25 *1004:8 *2662:21 0 +26 *1015:28 *2662:20 0 +27 *1031:7 *39307:A 0 +28 *2533:62 *2662:12 0 +29 *2546:44 *2662:12 0 +30 *2553:59 *2662:20 0 +31 *2553:59 *2662:21 0 +32 *2577:61 *2662:21 0 +33 *2577:61 *2662:27 0 +34 *2577:61 *2662:29 0 +35 *2577:77 *2662:29 0 +36 *2599:27 *2662:21 0 +37 *2599:47 *2662:29 0 +38 *2599:67 *2662:29 0 +39 *2599:67 *2662:33 0 +40 *2633:56 *2662:12 0 +41 *2645:55 *2662:29 0 +42 *2646:76 *2662:21 0 +43 *2650:24 *2662:12 0 +44 *2657:15 *2662:12 0 +*RES +1 *39835:X *2662:12 47.4071 +2 *2662:12 *2662:20 49.0357 +3 *2662:20 *2662:21 54.75 +4 *2662:21 *2662:27 4.26786 +5 *2662:27 *2662:29 55.5714 +6 *2662:29 *2662:33 23.1429 +7 *2662:33 *39307:A 22.3357 +8 *2662:33 *7285:DIODE 9.3 +*END + +*D_NET *2663 0.0194017 +*CONN +*I *7774:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39835:A I *D sky130_fd_sc_hd__buf_6 +*I *39836:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *7774:DIODE 0 +2 *39835:A 0.000340539 +3 *39836:X 0.00130801 +4 *2663:59 0.00232239 +5 *2663:40 0.00353415 +6 *2663:25 0.00536241 +7 *2663:24 0.00451817 +8 *2663:18 0.00201608 +9 *2663:18 *38924:A 0 +10 *2663:18 *39183:A 0 +11 *2663:18 *2680:25 0 +12 *2663:18 *2710:44 0 +13 *2663:18 *4934:22 0 +14 *2663:24 *2680:42 0 +15 *2663:25 *39241:A 0 +16 *2663:25 *39851:A 0 +17 *2663:25 *2666:39 0 +18 *2663:25 *2710:44 0 +19 *2663:25 *4665:14 0 +20 *2663:25 *4736:22 0 +21 *2663:25 *4917:17 0 +22 *2663:25 *4917:19 0 +23 *2663:25 *4933:35 0 +24 *2663:59 *2666:63 0 +25 *2663:59 *2679:14 0 +26 *2663:59 *2709:7 0 +27 *2663:59 *4935:25 0 +28 la_data_in_core[14] *2663:18 0 +29 la_data_in_core[15] *2663:18 0 +30 la_data_in_core[9] *2663:25 0 +31 la_oenb_core[12] *2663:24 0 +32 la_oenb_core[6] *2663:25 0 +33 *7762:DIODE *2663:59 0 +34 *37943:A *2663:25 0 +35 *38952:A *2663:40 0 +36 *39018:A *2663:25 0 +37 *39814:A *2663:25 0 +38 *260:11 *2663:59 0 +39 *299:9 *2663:40 0 +40 *322:25 *2663:40 0 +41 *2498:50 *2663:18 0 +42 *2510:15 *2663:40 0 +43 *2518:17 *2663:18 0 +44 *2518:30 *2663:18 0 +45 *2518:37 *2663:24 0 +46 *2520:27 *2663:18 0 +47 *2520:31 *2663:18 0 +48 *2520:31 *2663:24 0 +49 *2520:57 *2663:40 0 +50 *2546:30 *2663:40 0 +51 *2573:8 *2663:40 0 +52 *2639:11 *2663:59 0 +53 *2640:39 *2663:25 0 +54 *2642:24 *2663:40 0 +55 *2646:14 *2663:59 0 +56 *2646:24 *39835:A 0 +57 *2646:24 *2663:59 0 +58 *2647:33 *2663:25 0 +59 *2647:51 *2663:25 0 +60 *2647:61 *2663:25 0 +61 *2650:24 *39835:A 0 +62 *2650:24 *2663:59 0 +*RES +1 *39836:X *2663:18 45.9429 +2 *2663:18 *2663:24 23.9107 +3 *2663:24 *2663:25 79.3929 +4 *2663:25 *2663:40 46.2321 +5 *2663:40 *2663:59 39.5156 +6 *2663:59 *39835:A 16.4071 +7 *2663:59 *7774:DIODE 9.3 +*END + +*D_NET *2664 0.0194643 +*CONN +*I *7775:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39836:A I *D sky130_fd_sc_hd__buf_4 +*I *39837:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7775:DIODE 9.22794e-05 +2 *39836:A 0.000223382 +3 *39837:X 0.00734533 +4 *2664:17 0.00238683 +5 *2664:11 0.0094165 +6 *39836:A *39183:A 0 +7 *2664:11 *4670:51 0 +8 *2664:11 *4673:23 0 +9 *2664:11 *4673:37 0 +10 *2664:11 *4675:26 0 +11 *2664:11 *4942:26 0 +12 *2664:11 *4963:42 0 +13 *2664:11 *4963:57 0 +14 *2664:11 *4965:24 0 +15 *2664:11 *4966:26 0 +16 *2664:11 *5049:32 0 +17 *2664:17 *2757:24 0 +18 *2664:17 *4934:29 0 +19 *317:7 *2664:11 0 +20 *1001:28 *2664:11 0 +21 *2484:32 *2664:11 0 +22 *2484:46 *2664:11 0 +23 *2486:44 *2664:11 0 +24 *2486:44 *2664:17 0 +25 *2488:29 *2664:11 0 +26 *2491:13 *39836:A 0 +27 *2491:13 *2664:11 0 +28 *2491:13 *2664:17 0 +29 *2493:32 *39836:A 0 +30 *2518:17 *39836:A 0 +31 *2520:27 *39836:A 0 +*RES +1 *39837:X *2664:11 46.0959 +2 *2664:11 *2664:17 7.5623 +3 *2664:17 *39836:A 18.9496 +4 *2664:17 *7775:DIODE 19.3082 +*END + +*D_NET *2665 0.0193004 +*CONN +*I *7282:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39304:A I *D sky130_fd_sc_hd__buf_12 +*I *39838:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *7282:DIODE 0 +2 *39304:A 0.000124066 +3 *39838:X 0.000886189 +4 *2665:55 0.00129965 +5 *2665:47 0.00309182 +6 *2665:37 0.00406727 +7 *2665:34 0.00318025 +8 *2665:28 0.00339708 +9 *2665:16 0.00325406 +10 *39304:A *2678:17 0 +11 *39304:A *5064:29 0 +12 *2665:16 *2709:21 0 +13 *2665:16 *5053:22 0 +14 *2665:28 *39846:A 0 +15 *2665:28 *39850:A 0 +16 *2665:28 *2674:14 0 +17 *2665:28 *2675:19 0 +18 *2665:28 *2709:23 0 +19 *2665:37 *2668:17 0 +20 *2665:47 *2668:37 0 +21 *2665:47 *2673:31 0 +22 *2665:47 *2673:40 0 +23 *2665:47 *2690:17 0 +24 *2665:55 *2668:37 0 +25 *2665:55 *2673:41 0 +26 *2665:55 *2678:17 0 +27 *2665:55 *5064:29 0 +28 mprj_adr_o_user[13] *2665:47 0 +29 mprj_dat_o_user[21] *2665:28 0 +30 mprj_dat_o_user[24] *2665:16 0 +31 mprj_dat_o_user[9] *2665:47 0 +32 *39279:A *2665:47 0 +33 *39348:A *2665:37 0 +34 *39356:A *2665:16 0 +35 *39357:A *2665:16 0 +36 *39370:A *2665:55 0 +37 *306:11 *2665:55 0 +38 *1001:22 *2665:28 0 +39 *1014:9 *2665:28 0 +40 *1016:15 *2665:16 0 +41 *2573:14 *2665:28 0 +42 *2599:18 *2665:16 0 +43 *2633:67 *2665:16 0 +44 *2645:25 *2665:16 0 +45 *2645:42 *2665:16 0 +46 *2645:42 *2665:28 0 +47 *2646:59 *2665:28 0 +48 *2646:76 *2665:28 0 +49 *2650:25 *2665:16 0 +50 *2650:25 *2665:28 0 +51 *2654:25 *2665:16 0 +52 *2654:29 *2665:37 0 +53 *2654:51 *2665:37 0 +54 *2654:51 *2665:47 0 +55 *2657:62 *2665:28 0 +56 *2657:65 *2665:34 0 +*RES +1 *39838:X *2665:16 46.2107 +2 *2665:16 *2665:28 45.4219 +3 *2665:28 *2665:34 30.5893 +4 *2665:34 *2665:37 49.3929 +5 *2665:37 *2665:47 44.7321 +6 *2665:47 *2665:55 33.7857 +7 *2665:55 *39304:A 11.8893 +8 *2665:55 *7282:DIODE 9.3 +*END + +*D_NET *2666 0.0198937 +*CONN +*I *7776:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39838:A I *D sky130_fd_sc_hd__buf_6 +*I *39839:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7776:DIODE 0 +2 *39838:A 0.000163425 +3 *39839:X 0.00101263 +4 *2666:69 0.00144943 +5 *2666:63 0.002962 +6 *2666:39 0.00666087 +7 *2666:38 0.00580881 +8 *2666:24 0.00183656 +9 *39838:A *2709:21 0 +10 *2666:38 *38157:A 0 +11 *2666:38 *38913:A 0 +12 *2666:38 *39851:A 0 +13 *2666:38 *2680:42 0 +14 *2666:39 *2709:7 0 +15 *2666:63 *2679:14 0 +16 *2666:69 *2709:21 0 +17 la_data_in_core[12] *2666:24 0 +18 la_oenb_core[12] *2666:24 0 +19 mprj_dat_o_user[29] *2666:63 0 +20 *7762:DIODE *2666:39 0 +21 *38922:A *2666:24 0 +22 *38922:A *2666:38 0 +23 *39295:A *2666:69 0 +24 *39357:A *39838:A 0 +25 *329:15 *2666:63 0 +26 *1023:50 *2666:24 0 +27 *2497:32 *2666:24 0 +28 *2497:32 *2666:38 0 +29 *2502:44 *2666:24 0 +30 *2523:28 *2666:24 0 +31 *2523:28 *2666:38 0 +32 *2530:20 *2666:38 0 +33 *2546:44 *2666:63 0 +34 *2574:17 *2666:24 0 +35 *2640:23 *2666:24 0 +36 *2640:23 *2666:38 0 +37 *2640:39 *2666:38 0 +38 *2642:43 *2666:63 0 +39 *2645:23 *2666:63 0 +40 *2646:14 *2666:39 0 +41 *2646:24 *2666:63 0 +42 *2646:24 *2666:69 0 +43 *2647:61 *2666:39 0 +44 *2647:65 *2666:39 0 +45 *2650:25 *39838:A 0 +46 *2650:25 *2666:69 0 +47 *2663:25 *2666:39 0 +48 *2663:59 *2666:63 0 +*RES +1 *39839:X *2666:24 49.6036 +2 *2666:24 *2666:38 26.7321 +3 *2666:38 *2666:39 104.036 +4 *2666:39 *2666:63 44.5564 +5 *2666:63 *2666:69 26.9643 +6 *2666:69 *39838:A 12.7107 +7 *2666:69 *7776:DIODE 9.3 +*END + +*D_NET *2667 0.0201872 +*CONN +*I *7777:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39839:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39840:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7777:DIODE 0 +2 *39839:A 0.000299671 +3 *39840:X 0 +4 *2667:67 0.00130399 +5 *2667:60 0.00221774 +6 *2667:44 0.00237448 +7 *2667:32 0.0028677 +8 *2667:19 0.00406645 +9 *2667:7 0.00470851 +10 *2667:4 0.00234869 +11 *39839:A *4927:8 0 +12 *39839:A *4934:10 0 +13 *2667:7 *39857:A 0 +14 *2667:7 *4951:43 0 +15 *2667:19 *4673:13 0 +16 *2667:19 *5040:11 0 +17 *2667:19 *5040:21 0 +18 *2667:32 *39646:A 0 +19 *2667:32 *39674:A 0 +20 *2667:32 *2687:11 0 +21 *2667:32 *2902:40 0 +22 *2667:32 *4677:29 0 +23 *2667:32 *4958:37 0 +24 *2667:32 *5040:21 0 +25 *2667:44 *4654:20 0 +26 *2667:60 *4650:14 0 +27 *2667:60 *4656:17 0 +28 *2667:60 *4930:10 0 +29 *2667:67 *2676:25 0 +30 *39710:A *2667:60 0 +31 *298:11 *2667:32 0 +32 *335:13 *39839:A 0 +33 *335:13 *2667:67 0 +34 *342:28 *2667:7 0 +35 *1011:131 *2667:67 0 +36 *1013:50 *39839:A 0 +37 *1013:50 *2667:67 0 +38 *1023:61 *39839:A 0 +39 *1023:61 *2667:67 0 +40 *1028:32 *2667:44 0 +41 *2482:14 *2667:7 0 +42 *2488:22 *2667:32 0 +43 *2496:23 *2667:44 0 +44 *2502:44 *39839:A 0 +45 *2517:11 *2667:7 0 +46 *2517:23 *2667:19 0 +47 *2519:9 *2667:7 0 +48 *2519:19 *2667:7 0 +49 *2519:19 *2667:19 0 +50 *2551:42 *2667:60 0 +51 *2569:14 *2667:32 0 +52 *2634:5 *2667:7 0 +53 *2634:5 *2667:19 0 +54 *2634:5 *2667:32 0 +55 *2634:5 *2667:60 0 +56 *2636:17 *2667:67 0 +57 *2643:17 *2667:67 0 +58 *2644:28 *2667:7 0 +59 *2651:5 *39839:A 0 +60 *2659:47 *2667:44 0 +*RES +1 *39840:X *2667:4 9.3 +2 *2667:4 *2667:7 49.0179 +3 *2667:7 *2667:19 49.7143 +4 *2667:19 *2667:32 47.6062 +5 *2667:32 *2667:44 42.5714 +6 *2667:44 *2667:60 43.75 +7 *2667:60 *2667:67 30.625 +8 *2667:67 *39839:A 33.7464 +9 *2667:67 *7777:DIODE 9.3 +*END + +*D_NET *2668 0.0182161 +*CONN +*I *7281:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39303:A I *D sky130_fd_sc_hd__buf_12 +*I *39841:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *7281:DIODE 0 +2 *39303:A 0.000144601 +3 *39841:X 5.89699e-05 +4 *2668:37 0.00183361 +5 *2668:31 0.00347898 +6 *2668:17 0.00449204 +7 *2668:15 0.0035885 +8 *2668:11 0.00272346 +9 *2668:8 0.001896 +10 *39303:A *2673:41 0 +11 *2668:15 *5050:26 0 +12 *2668:31 *2673:31 0 +13 *2668:31 *2673:40 0 +14 *2668:31 *5160:22 0 +15 *2668:37 *39305:A 0 +16 *2668:37 *2673:31 0 +17 *2668:37 *2673:40 0 +18 *2668:37 *2673:41 0 +19 mprj_adr_o_user[18] *2668:17 0 +20 mprj_adr_o_user[19] *2668:15 0 +21 *39278:A *2668:31 0 +22 *39284:A *2668:17 0 +23 *39372:A *2668:31 0 +24 *1016:15 *2668:8 0 +25 *2540:12 *2668:8 0 +26 *2642:63 *2668:15 0 +27 *2642:63 *2668:17 0 +28 *2654:25 *2668:11 0 +29 *2654:29 *2668:11 0 +30 *2654:29 *2668:15 0 +31 *2654:29 *2668:17 0 +32 *2654:51 *2668:17 0 +33 *2654:51 *2668:31 0 +34 *2665:37 *2668:17 0 +35 *2665:47 *2668:37 0 +36 *2665:55 *2668:37 0 +*RES +1 *39841:X *2668:8 19.6393 +2 *2668:8 *2668:11 38.3393 +3 *2668:11 *2668:15 18.625 +4 *2668:15 *2668:17 56.3929 +5 *2668:17 *2668:31 37.8214 +6 *2668:31 *2668:37 35.375 +7 *2668:37 *39303:A 12.3179 +8 *2668:37 *7281:DIODE 9.3 +*END + +*D_NET *2669 0.0200007 +*CONN +*I *7778:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39841:A I *D sky130_fd_sc_hd__buf_4 +*I *39842:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7778:DIODE 0 +2 *39841:A 0.000196558 +3 *39842:X 0.00125407 +4 *2669:67 0.00110944 +5 *2669:60 0.00283028 +6 *2669:54 0.00290164 +7 *2669:48 0.00236616 +8 *2669:41 0.00309938 +9 *2669:38 0.0033533 +10 *2669:26 0.00288991 +11 *39841:A *2709:21 0 +12 *39841:A *5053:22 0 +13 *2669:26 *2786:24 0 +14 *2669:41 *2686:5 0 +15 *2669:48 *39820:A 0 +16 *2669:48 *2686:5 0 +17 *2669:67 *2686:43 0 +18 *39758:A *2669:48 0 +19 *1010:41 *2669:60 0 +20 *1010:41 *2669:67 0 +21 *1011:56 *2669:60 0 +22 *1013:31 *2669:67 0 +23 *1013:32 *2669:41 0 +24 *1013:32 *2669:48 0 +25 *1016:15 *2669:67 0 +26 *1016:56 *2669:48 0 +27 *1016:69 *2669:41 0 +28 *1016:116 *2669:26 0 +29 *1018:11 *2669:60 0 +30 *1023:19 *2669:48 0 +31 *1023:34 *2669:38 0 +32 *1023:47 *2669:26 0 +33 *2536:20 *2669:60 0 +34 *2540:12 *39841:A 0 +35 *2540:12 *2669:67 0 +36 *2543:21 *2669:54 0 +37 *2543:41 *2669:67 0 +38 *2544:53 *2669:26 0 +39 *2565:44 *39841:A 0 +40 *2565:44 *2669:67 0 +41 *2565:49 *2669:67 0 +42 *2574:40 *2669:26 0 +43 *2574:40 *2669:38 0 +44 *2585:30 *2669:67 0 +45 *2603:5 *2669:67 0 +46 *2622:14 *2669:67 0 +47 *2650:25 *39841:A 0 +48 *2651:5 *2669:26 0 +49 *2651:23 *2669:41 0 +*RES +1 *39842:X *2669:26 49.9339 +2 *2669:26 *2669:38 47.9196 +3 *2669:38 *2669:41 35.875 +4 *2669:41 *2669:48 37.9821 +5 *2669:48 *2669:54 29.75 +6 *2669:54 *2669:60 48.2939 +7 *2669:60 *2669:67 15.8084 +8 *2669:67 *39841:A 22.7107 +9 *2669:67 *7778:DIODE 9.3 +*END + +*D_NET *2670 0.0177456 +*CONN +*I *7279:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39301:A I *D sky130_fd_sc_hd__buf_12 +*I *39843:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *7279:DIODE 0 +2 *39301:A 0.000139467 +3 *39843:X 0.00181649 +4 *2670:20 0.0010809 +5 *2670:15 0.00679905 +6 *2670:13 0.0059754 +7 *2670:5 0.00193428 +8 *39301:A *2673:41 0 +9 *2670:5 *2899:9 0 +10 *2670:5 *4935:7 0 +11 mprj_sel_o_user[3] *2670:20 0 +12 *39700:A *2670:13 0 +13 *39700:A *2670:15 0 +14 *293:24 *2670:13 0 +15 *293:24 *2670:15 0 +16 *1367:11 *2670:15 0 +17 *1367:19 *2670:13 0 +18 *1367:19 *2670:15 0 +19 *2513:8 *2670:13 0 +*RES +1 *39843:X *2670:5 47.2107 +2 *2670:5 *2670:13 11.5089 +3 *2670:13 *2670:15 122.312 +4 *2670:15 *2670:20 30.375 +5 *2670:20 *39301:A 12.2107 +6 *2670:20 *7279:DIODE 9.3 +*END + +*D_NET *2671 0.00949213 +*CONN +*I *6097:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38078:C I *D sky130_fd_sc_hd__and3b_1 +*I *38446:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *6097:DIODE 3.89135e-05 +2 *38078:C 0.000227346 +3 *38446:X 0.00119975 +4 *2671:57 0.00132212 +5 *2671:44 0.00328006 +6 *2671:14 0.00342395 +7 *38078:C *6096:DIODE 0 +8 *38078:C *3186:11 0 +9 *38078:C *3943:50 0 +10 *38078:C *3992:38 0 +11 *38078:C *4083:112 0 +12 *2671:14 *3224:9 0 +13 *2671:14 *4104:16 0 +14 *2671:14 *4297:91 0 +15 *2671:44 *38074:B 0 +16 *2671:44 *41229:A 0 +17 *2671:44 *41274:A 0 +18 *2671:44 *2832:38 0 +19 *2671:44 *2835:21 0 +20 *2671:44 *3129:45 0 +21 *2671:44 *3279:51 0 +22 *2671:44 *3532:24 0 +23 *2671:44 *3952:108 0 +24 *2671:44 *3956:80 0 +25 *2671:44 *3988:11 0 +26 *2671:44 *3992:43 0 +27 *2671:44 *4061:119 0 +28 *2671:44 *4112:119 0 +29 *2671:44 *4117:51 0 +30 *2671:44 *4166:60 0 +31 *2671:44 *4221:48 0 +32 *2671:44 *4225:35 0 +33 *2671:44 *4520:20 0 +34 *2671:57 *3322:146 0 +35 *2671:57 *3947:27 0 +36 *2671:57 *3988:11 0 +37 *2671:57 *3992:38 0 +38 *2671:57 *4061:119 0 +39 *2671:57 *4075:126 0 +40 *2671:57 *4083:131 0 +41 *2671:57 *4119:70 0 +42 *2671:57 *4521:41 0 +43 *1218:36 *2671:44 0 +44 *1226:21 *38078:C 0 +45 *2549:37 *2671:14 0 +*RES +1 *38446:X *2671:14 49.5857 +2 *2671:14 *2671:44 48.9889 +3 *2671:44 *2671:57 20.6177 +4 *2671:57 *38078:C 20.6078 +5 *2671:57 *6097:DIODE 18.0939 +*END + +*D_NET *2672 0.0179102 +*CONN +*I *39287:A I *D sky130_fd_sc_hd__buf_12 +*I *7265:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39844:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *39287:A 0.00014876 +2 *7265:DIODE 0 +3 *39844:X 0 +4 *2672:8 0.00145125 +5 *2672:5 0.00880633 +6 *2672:4 0.00750384 +7 *39287:A *2673:41 0 +8 *2672:5 *4700:11 0 +9 *292:17 *2672:8 0 +10 *1011:7 *39287:A 0 +11 *1011:7 *2672:8 0 +*RES +1 *39844:X *2672:4 9.3 +2 *2672:4 *2672:5 156.607 +3 *2672:5 *2672:8 34.0714 +4 *2672:8 *7265:DIODE 13.8 +5 *2672:8 *39287:A 17.1929 +*END + +*D_NET *2673 0.0184951 +*CONN +*I *39276:A I *D sky130_fd_sc_hd__buf_12 +*I *7254:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39845:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *39276:A 0.000193371 +2 *7254:DIODE 0 +3 *39845:X 0.00156317 +4 *2673:46 0.000252361 +5 *2673:41 0.00475167 +6 *2673:40 0.00480268 +7 *2673:31 0.00273934 +8 *2673:6 0.0041925 +9 *39276:A *5160:57 0 +10 *2673:6 *4642:10 0 +11 *2673:31 *5160:22 0 +12 *2673:41 *2690:17 0 +13 mprj_dat_o_user[0] *2673:46 0 +14 mprj_dat_o_user[8] *2673:41 0 +15 mprj_dat_o_user[9] *2673:31 0 +16 *39278:A *2673:31 0 +17 *39281:A *2673:6 0 +18 *39287:A *2673:41 0 +19 *39301:A *2673:41 0 +20 *39303:A *2673:41 0 +21 *39352:A *2673:41 0 +22 *39363:A *2673:41 0 +23 *39370:A *2673:41 0 +24 *39371:A *2673:40 0 +25 *311:41 *2673:6 0 +26 *313:33 *2673:40 0 +27 *316:11 *2673:6 0 +28 *1001:22 *2673:31 0 +29 *1005:18 *2673:6 0 +30 *1025:13 *2673:31 0 +31 *1031:7 *2673:40 0 +32 *2573:14 *2673:31 0 +33 *2654:51 *2673:31 0 +34 *2665:47 *2673:31 0 +35 *2665:47 *2673:40 0 +36 *2665:55 *2673:41 0 +37 *2668:31 *2673:31 0 +38 *2668:31 *2673:40 0 +39 *2668:37 *2673:31 0 +40 *2668:37 *2673:40 0 +41 *2668:37 *2673:41 0 +*RES +1 *39845:X *2673:6 49.2911 +2 *2673:6 *2673:31 49.8887 +3 *2673:31 *2673:40 11.4107 +4 *2673:40 *2673:41 97.875 +5 *2673:41 *2673:46 10.3393 +6 *2673:46 *7254:DIODE 9.3 +7 *2673:46 *39276:A 13.3357 +*END + +*D_NET *2674 0.018373 +*CONN +*I *7337:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39376:A I *D sky130_fd_sc_hd__buf_12 +*I *39846:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *7337:DIODE 0.000158467 +2 *39376:A 0 +3 *39846:X 0.00115253 +4 *2674:27 0.000193548 +5 *2674:25 0.00349823 +6 *2674:24 0.00356402 +7 *2674:15 0.00437729 +8 *2674:14 0.00542895 +9 *7337:DIODE *39302:A 0 +10 *2674:15 *39876:A 0 +11 *2674:15 *2678:7 0 +12 *2674:15 *2678:17 0 +13 *2674:15 *2708:13 0 +14 *2674:15 *2709:23 0 +15 *2674:15 *5064:28 0 +16 *2674:25 *2678:17 0 +17 mprj_dat_o_user[17] *2674:15 0 +18 *7256:DIODE *2674:24 0 +19 *39286:A *2674:15 0 +20 *39349:A *2674:15 0 +21 *305:19 *7337:DIODE 0 +22 *1012:9 *2674:14 0 +23 *1026:7 *7337:DIODE 0 +24 *2573:14 *2674:14 0 +25 *2645:42 *2674:14 0 +26 *2645:55 *2674:15 0 +27 *2646:83 *2674:15 0 +28 *2650:42 *2674:15 0 +29 *2665:28 *2674:14 0 +*RES +1 *39846:X *2674:14 32.056 +2 *2674:14 *2674:15 89.25 +3 *2674:15 *2674:24 11.5179 +4 *2674:24 *2674:25 72.4107 +5 *2674:25 *2674:27 0.732143 +6 *2674:27 *39376:A 9.3 +7 *2674:27 *7337:DIODE 21.9964 +*END + +*D_NET *2675 0.0185851 +*CONN +*I *7781:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39846:A I *D sky130_fd_sc_hd__buf_6 +*I *39847:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *7781:DIODE 0 +2 *39846:A 0.000143745 +3 *39847:X 0.000769208 +4 *2675:19 0.000547217 +5 *2675:9 0.00837962 +6 *2675:7 0.00874535 +7 *2675:7 *4669:35 0 +8 *2675:9 *4669:17 0 +9 *2675:9 *4669:35 0 +10 *39355:A *2675:19 0 +11 *39683:A *2675:9 0 +12 *39734:A *2675:9 0 +13 *39740:A *2675:9 0 +14 *39826:A *2675:9 0 +15 *324:11 *2675:19 0 +16 *1008:39 *2675:19 0 +17 *1016:19 *2675:9 0 +18 *1028:10 *2675:9 0 +19 *2493:14 *2675:7 0 +20 *2495:17 *2675:9 0 +21 *2543:41 *2675:9 0 +22 *2550:14 *2675:9 0 +23 *2550:17 *2675:9 0 +24 *2550:21 *2675:9 0 +25 *2550:23 *2675:9 0 +26 *2569:28 *2675:9 0 +27 *2604:9 *2675:7 0 +28 *2604:26 *2675:9 0 +29 *2604:27 *2675:9 0 +30 *2624:17 *2675:7 0 +31 *2624:17 *2675:9 0 +32 *2624:44 *2675:9 0 +33 *2646:59 *39846:A 0 +34 *2646:59 *2675:19 0 +35 *2652:5 *2675:9 0 +36 *2665:28 *39846:A 0 +37 *2665:28 *2675:19 0 +*RES +1 *39847:X *2675:7 25.3536 +2 *2675:7 *2675:9 166.464 +3 *2675:9 *2675:19 18.3214 +4 *2675:19 *39846:A 12.3 +5 *2675:19 *7781:DIODE 9.3 +*END + +*D_NET *2676 0.019406 +*CONN +*I *7782:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39847:A I *D sky130_fd_sc_hd__buf_6 +*I *39848:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *7782:DIODE 0 +2 *39847:A 0.000175953 +3 *39848:X 0.00778602 +4 *2676:25 0.00191697 +5 *2676:13 0.00952704 +6 *2676:13 *2751:22 0 +7 *2676:13 *2778:51 0 +8 *2676:13 *4940:12 0 +9 *2676:13 *5057:38 0 +10 *292:12 *2676:25 0 +11 *295:12 *2676:13 0 +12 *335:20 *2676:25 0 +13 *345:31 *2676:13 0 +14 *1005:86 *2676:13 0 +15 *1014:10 *2676:13 0 +16 *2492:22 *2676:25 0 +17 *2539:46 *2676:13 0 +18 *2547:21 *2676:13 0 +19 *2548:59 *2676:13 0 +20 *2582:20 *2676:13 0 +21 *2604:9 *39847:A 0 +22 *2623:16 *2676:13 0 +23 *2628:14 *2676:13 0 +24 *2655:11 *2676:25 0 +25 *2667:67 *2676:25 0 +*RES +1 *39848:X *2676:13 48.2246 +2 *2676:13 *2676:25 28.9709 +3 *2676:25 *39847:A 22.0321 +4 *2676:25 *7782:DIODE 9.3 +*END + +*D_NET *2677 0.0203736 +*CONN +*I *39848:A I *D sky130_fd_sc_hd__buf_4 +*I *7783:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39849:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39848:A 0.000300743 +2 *7783:DIODE 0.000104386 +3 *39849:X 0.000712309 +4 *2677:62 0.000731243 +5 *2677:61 0.00165002 +6 *2677:44 0.00335678 +7 *2677:39 0.00324056 +8 *2677:27 0.00356847 +9 *2677:18 0.00417879 +10 *2677:7 0.00253032 +11 *39848:A *2688:20 0 +12 *39848:A *2751:39 0 +13 *39848:A *4675:14 0 +14 *2677:7 *3795:43 0 +15 *2677:7 *5123:11 0 +16 *2677:18 *38251:A 0 +17 *2677:18 *39895:A 0 +18 *2677:18 *2730:16 0 +19 *2677:18 *2736:47 0 +20 *2677:18 *2851:22 0 +21 *2677:18 *3795:28 0 +22 *2677:18 *4979:10 0 +23 *2677:27 *39750:A 0 +24 *2677:27 *2693:24 0 +25 *2677:27 *2693:40 0 +26 *2677:27 *5038:28 0 +27 *2677:27 *5114:15 0 +28 *2677:39 *39830:A 0 +29 *2677:39 *5038:28 0 +30 *2677:39 *5038:29 0 +31 *2677:39 *5108:16 0 +32 *2677:61 *2693:57 0 +33 *37789:A *2677:18 0 +34 *39720:A *2677:61 0 +35 *345:36 *2677:62 0 +36 *1009:92 *2677:61 0 +37 *1031:52 *2677:27 0 +38 *1293:27 *2677:18 0 +39 *1307:22 *2677:27 0 +40 *2232:29 *2677:27 0 +41 *2232:35 *2677:18 0 +42 *2256:22 *2677:27 0 +43 *2256:24 *2677:7 0 +44 *2256:24 *2677:18 0 +45 *2281:23 *2677:18 0 +46 *2524:13 *39848:A 0 +47 *2535:31 *2677:61 0 +48 *2542:36 *2677:61 0 +49 *2545:16 *2677:7 0 +50 *2548:59 *7783:DIODE 0 +51 *2567:20 *2677:27 0 +52 *2567:31 *39848:A 0 +53 *2579:17 *2677:44 0 +54 *2625:9 *2677:44 0 +55 *2637:53 *2677:61 0 +56 *2637:55 *2677:61 0 +*RES +1 *39849:X *2677:7 24.2286 +2 *2677:7 *2677:18 46.0975 +3 *2677:18 *2677:27 37.3601 +4 *2677:27 *2677:39 34.4464 +5 *2677:39 *2677:44 47.2143 +6 *2677:44 *2677:61 49.5507 +7 *2677:61 *2677:62 7.41071 +8 *2677:62 *7783:DIODE 15.9786 +9 *2677:62 *39848:A 20.3893 +*END + +*D_NET *2678 0.0190796 +*CONN +*I *39375:A I *D sky130_fd_sc_hd__buf_12 +*I *7336:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39850:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *39375:A 0.000177328 +2 *7336:DIODE 0 +3 *39850:X 0 +4 *2678:23 0.00110897 +5 *2678:17 0.00606325 +6 *2678:16 0.00627981 +7 *2678:7 0.00329924 +8 *2678:4 0.00215104 +9 *39375:A *5160:45 0 +10 *2678:7 *2709:23 0 +11 *2678:17 *39302:A 0 +12 *2678:17 *5064:28 0 +13 *2678:17 *5064:29 0 +14 *2678:17 *5160:22 0 +15 *2678:23 *39302:A 0 +16 *2678:23 *2708:30 0 +17 *2678:23 *5160:45 0 +18 mprj_dat_o_user[17] *2678:7 0 +19 *39280:A *2678:17 0 +20 *39281:A *2678:17 0 +21 *39304:A *2678:17 0 +22 *39342:A *2678:17 0 +23 *39346:A *2678:16 0 +24 *39349:A *2678:7 0 +25 *39366:A *2678:23 0 +26 *1001:22 *2678:16 0 +27 *1026:7 *2678:23 0 +28 *2573:14 *2678:16 0 +29 *2646:83 *2678:16 0 +30 *2646:83 *2678:17 0 +31 *2650:25 *2678:7 0 +32 *2650:42 *2678:7 0 +33 *2650:49 *2678:16 0 +34 *2650:49 *2678:17 0 +35 *2665:55 *2678:17 0 +36 *2674:15 *2678:7 0 +37 *2674:15 *2678:17 0 +38 *2674:25 *2678:17 0 +*RES +1 *39850:X *2678:4 9.3 +2 *2678:4 *2678:7 49.3929 +3 *2678:7 *2678:16 16.9544 +4 *2678:16 *2678:17 106.911 +5 *2678:17 *2678:23 28.8036 +6 *2678:23 *7336:DIODE 9.3 +7 *2678:23 *39375:A 13.0321 +*END + +*D_NET *2679 0.0188677 +*CONN +*I *7785:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39850:A I *D sky130_fd_sc_hd__buf_6 +*I *39851:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *7785:DIODE 0 +2 *39850:A 0.000143745 +3 *39851:X 1.21519e-05 +4 *2679:14 0.00942167 +5 *2679:8 0.00929008 +6 *2679:14 *2710:70 0 +7 *2679:14 *2780:27 0 +8 *2679:14 *4644:20 0 +9 *1001:22 *2679:14 0 +10 *2520:57 *2679:14 0 +11 *2639:11 *2679:14 0 +12 *2639:13 *2679:14 0 +13 *2642:24 *2679:14 0 +14 *2642:43 *2679:14 0 +15 *2646:40 *2679:14 0 +16 *2650:25 *39850:A 0 +17 *2654:20 *2679:14 0 +18 *2663:59 *2679:14 0 +19 *2665:28 *39850:A 0 +20 *2666:63 *2679:14 0 +*RES +1 *39851:X *2679:8 17.4868 +2 *2679:8 *2679:14 41.7448 +3 *2679:14 *39850:A 12.3 +4 *2679:14 *7785:DIODE 9.3 +*END + +*D_NET *2680 0.0192157 +*CONN +*I *7786:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39851:A I *D sky130_fd_sc_hd__buf_6 +*I *39852:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *7786:DIODE 0 +2 *39851:A 0.000226781 +3 *39852:X 0.00060894 +4 *2680:42 0.00203758 +5 *2680:25 0.00652016 +6 *2680:24 0.00482709 +7 *2680:20 0.00225194 +8 *2680:10 0.00274316 +9 *2680:20 *39191:A 0 +10 *2680:20 *39878:A 0 +11 *2680:20 *2710:15 0 +12 *2680:24 *2710:15 0 +13 *2680:25 *39183:A 0 +14 *2680:25 *4657:11 0 +15 *2680:25 *4665:30 0 +16 *2680:25 *4934:22 0 +17 *2680:42 *38157:A 0 +18 *2680:42 *4933:35 0 +19 *2680:42 *4934:22 0 +20 la_oenb_core[17] *2680:25 0 +21 *39809:A *39851:A 0 +22 *271:27 *39851:A 0 +23 *1011:171 *2680:10 0 +24 *1011:171 *2680:20 0 +25 *1016:156 *2680:10 0 +26 *1016:156 *2680:20 0 +27 *1026:50 *2680:10 0 +28 *1031:47 *2680:10 0 +29 *2484:32 *2680:20 0 +30 *2490:36 *2680:25 0 +31 *2491:13 *2680:42 0 +32 *2492:74 *2680:25 0 +33 *2493:14 *39851:A 0 +34 *2518:37 *2680:42 0 +35 *2526:28 *2680:42 0 +36 *2530:20 *2680:42 0 +37 *2633:20 *39851:A 0 +38 *2647:51 *39851:A 0 +39 *2663:18 *2680:25 0 +40 *2663:24 *2680:42 0 +41 *2663:25 *39851:A 0 +42 *2666:38 *39851:A 0 +43 *2666:38 *2680:42 0 +*RES +1 *39852:X *2680:10 31.0679 +2 *2680:10 *2680:20 49.9911 +3 *2680:20 *2680:24 7.20536 +4 *2680:24 *2680:25 98.2857 +5 *2680:25 *2680:42 28.1766 +6 *2680:42 *39851:A 19.4786 +7 *2680:42 *7786:DIODE 13.8 +*END + +*D_NET *2681 0.0184579 +*CONN +*I *7787:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39852:A I *D sky130_fd_sc_hd__buf_4 +*I *39853:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7787:DIODE 0 +2 *39852:A 0.000124066 +3 *39853:X 0 +4 *2681:10 0.000209797 +5 *2681:5 0.00910489 +6 *2681:4 0.00901915 +7 *2681:5 *2730:17 0 +8 *2681:5 *2752:55 0 +9 *2681:5 *2752:57 0 +10 *2681:5 *2851:33 0 +11 *2681:5 *2878:35 0 +12 *2681:5 *3362:21 0 +13 *311:13 *2681:10 0 +14 *312:16 *2681:10 0 +15 *325:21 *2681:5 0 +16 *346:30 *2681:5 0 +17 *1008:67 *2681:5 0 +18 *1008:93 *2681:5 0 +19 *1013:50 *2681:5 0 +20 *1016:156 *39852:A 0 +21 *1024:94 *2681:5 0 +22 *1024:105 *2681:5 0 +23 *1026:50 *39852:A 0 +*RES +1 *39853:X *2681:4 9.3 +2 *2681:4 *2681:5 188.232 +3 *2681:5 *2681:10 10.9464 +4 *2681:10 *39852:A 11.8893 +5 *2681:10 *7787:DIODE 9.3 +*END + +*D_NET *2682 0.0262249 +*CONN +*I *6127:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38098:C I *D sky130_fd_sc_hd__and3b_1 +*I *38447:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6127:DIODE 0.000692093 +2 *38098:C 0.000151487 +3 *38447:X 0.00543351 +4 *2682:61 0.00309131 +5 *2682:45 0.00683536 +6 *2682:21 0.0100211 +7 *6127:DIODE *6126:DIODE 0 +8 *6127:DIODE *6148:DIODE 0 +9 *6127:DIODE *3015:79 0 +10 *6127:DIODE *3113:70 0 +11 *6127:DIODE *3412:53 0 +12 *6127:DIODE *3501:18 0 +13 *6127:DIODE *4263:53 0 +14 *6127:DIODE *4596:71 0 +15 *38098:C *4238:103 0 +16 *38098:C *4244:11 0 +17 *2682:21 *8252:DIODE 0 +18 *2682:21 *2816:19 0 +19 *2682:21 *3025:21 0 +20 *2682:21 *3041:11 0 +21 *2682:21 *3145:74 0 +22 *2682:21 *3298:40 0 +23 *2682:21 *4215:74 0 +24 *2682:21 *4254:19 0 +25 *2682:21 *5196:39 0 +26 *2682:45 *2816:39 0 +27 *2682:45 *2981:79 0 +28 *2682:45 *2991:30 0 +29 *2682:45 *3003:24 0 +30 *2682:45 *3042:20 0 +31 *2682:45 *3075:45 0 +32 *2682:45 *3275:65 0 +33 *2682:45 *3625:16 0 +34 *2682:45 *3678:45 0 +35 *2682:45 *3709:38 0 +36 *2682:45 *4323:77 0 +37 *2682:61 *3024:34 0 +38 *2682:61 *3028:25 0 +39 *2682:61 *3411:42 0 +40 *2682:61 *3509:25 0 +41 *2682:61 *3979:52 0 +42 *2682:61 *4030:18 0 +43 *2682:61 *4360:25 0 +44 *2682:61 *5189:34 0 +45 *6594:DIODE *2682:21 0 +46 *374:17 *2682:45 0 +47 *1022:34 *2682:21 0 +48 *1273:62 *2682:45 0 +49 *1320:11 *2682:45 0 +50 *1419:41 *2682:21 0 +51 *1449:47 *2682:45 0 +52 *2370:66 *2682:61 0 +53 *2370:81 *2682:45 0 +54 *2387:35 *2682:45 0 +55 *2391:59 *2682:45 0 +56 *2391:76 *2682:45 0 +57 *2413:38 *2682:45 0 +58 *2421:30 *2682:45 0 +59 *2440:31 *2682:61 0 +60 *2440:58 *2682:21 0 +61 *2443:36 *2682:45 0 +*RES +1 *38447:X *2682:21 48.942 +2 *2682:21 *2682:45 45.6055 +3 *2682:45 *2682:61 30.7928 +4 *2682:61 *38098:C 17.1839 +5 *2682:61 *6127:DIODE 28.7911 +*END + +*D_NET *2683 0.00633185 +*CONN +*I *38080:C I *D sky130_fd_sc_hd__and3b_1 +*I *38448:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *38080:C 0.000305122 +2 *38448:X 0.0028608 +3 *2683:27 0.00316592 +4 *38080:C *38080:B 0 +5 *38080:C *3318:28 0 +6 *38080:C *3702:125 0 +7 *38080:C *4291:75 0 +8 *2683:27 *2738:7 0 +9 *2683:27 *2760:13 0 +10 *2683:27 *2760:28 0 +11 *2683:27 *3041:11 0 +12 *2683:27 *3274:17 0 +13 *2683:27 *3500:32 0 +14 *2683:27 *3675:67 0 +15 *2683:27 *4182:20 0 +16 *2683:27 *4204:50 0 +17 *37404:A *2683:27 0 +18 *898:11 *2683:27 0 +19 *2365:76 *38080:C 0 +20 *2413:98 *38080:C 0 +*RES +1 *38448:X *2683:27 49.1693 +2 *2683:27 *38080:C 20.6839 +*END + +*D_NET *2684 0.018747 +*CONN +*I *7788:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39853:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39854:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7788:DIODE 0 +2 *39853:A 0.000136045 +3 *39854:X 0 +4 *2684:19 0.00141958 +5 *2684:13 0.00358902 +6 *2684:11 0.00240131 +7 *2684:5 0.00564842 +8 *2684:4 0.00555259 +9 *39853:A *3362:23 0 +10 *2684:5 *6358:DIODE 0 +11 *2684:5 *39225:A 0 +12 *2684:5 *2737:37 0 +13 *2684:5 *2934:9 0 +14 *2684:5 *2942:9 0 +15 *2684:11 *2737:37 0 +16 *2684:13 *2737:31 0 +17 *2684:13 *2737:37 0 +18 *2684:19 *3362:23 0 +19 *2684:19 *4986:24 0 +20 *344:12 *2684:13 0 +21 *345:11 *2684:5 0 +22 *346:10 *39853:A 0 +23 *346:10 *2684:19 0 +24 *355:18 *2684:5 0 +25 *356:14 *2684:5 0 +26 *1011:227 *2684:13 0 +27 *1525:23 *2684:5 0 +28 *1525:23 *2684:13 0 +29 *1525:32 *2684:13 0 +*RES +1 *39854:X *2684:4 9.3 +2 *2684:4 *2684:5 115.946 +3 *2684:5 *2684:11 2.21429 +4 *2684:11 *2684:13 48.1786 +5 *2684:13 *2684:19 36.1071 +6 *2684:19 *39853:A 12.1393 +7 *2684:19 *7788:DIODE 9.3 +*END + +*D_NET *2685 0.0194158 +*CONN +*I *39374:A I *D sky130_fd_sc_hd__buf_12 +*I *7335:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39855:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *39374:A 0.000190377 +2 *7335:DIODE 0 +3 *39855:X 0.000774133 +4 *2685:23 0.000759663 +5 *2685:17 0.00748274 +6 *2685:16 0.00817408 +7 *2685:10 0.00203475 +8 *39374:A *5160:45 0 +9 *2685:16 *39876:A 0 +10 *2685:16 *2709:23 0 +11 *2685:16 *5043:12 0 +12 *2685:16 *5064:10 0 +13 *2685:23 *5060:12 0 +14 *2685:23 *5160:45 0 +15 mprj_dat_o_user[2] *2685:23 0 +16 *39347:A *2685:16 0 +17 *1007:15 *2685:16 0 +18 *1026:20 *2685:10 0 +19 *2556:21 *2685:10 0 +20 *2581:33 *2685:17 0 +21 *2603:23 *2685:10 0 +22 *2614:31 *2685:17 0 +23 *2618:16 *2685:10 0 +24 *2618:16 *2685:16 0 +25 *2618:22 *2685:23 0 +*RES +1 *39855:X *2685:10 34.6393 +2 *2685:10 *2685:16 35.5893 +3 *2685:16 *2685:17 144.286 +4 *2685:17 *2685:23 21.5536 +5 *2685:23 *7335:DIODE 9.3 +6 *2685:23 *39374:A 13.3357 +*END + +*D_NET *2686 0.0194071 +*CONN +*I *7789:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39855:A I *D sky130_fd_sc_hd__buf_4 +*I *39856:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *7789:DIODE 0 +2 *39855:A 0.000124066 +3 *39856:X 0 +4 *2686:57 0.00021681 +5 *2686:51 0.00192556 +6 *2686:43 0.00381239 +7 *2686:17 0.00381047 +8 *2686:5 0.00567436 +9 *2686:4 0.00384347 +10 *2686:5 *39820:A 0 +11 *39295:A *2686:43 0 +12 *39758:A *2686:5 0 +13 *39762:A *2686:17 0 +14 *39765:A *2686:17 0 +15 *39771:A *2686:43 0 +16 *39789:A *2686:51 0 +17 *1010:41 *2686:43 0 +18 *1013:31 *2686:51 0 +19 *1013:32 *2686:5 0 +20 *1013:32 *2686:17 0 +21 *1013:32 *2686:43 0 +22 *1015:28 *2686:17 0 +23 *1016:56 *2686:5 0 +24 *1017:33 *2686:17 0 +25 *1019:7 *2686:43 0 +26 *1023:19 *2686:5 0 +27 *1023:34 *2686:5 0 +28 *1026:20 *39855:A 0 +29 *1026:20 *2686:57 0 +30 *1028:22 *2686:43 0 +31 *1028:31 *2686:43 0 +32 *2543:19 *2686:5 0 +33 *2543:21 *2686:5 0 +34 *2543:21 *2686:17 0 +35 *2565:29 *2686:17 0 +36 *2565:49 *2686:43 0 +37 *2565:49 *2686:51 0 +38 *2574:64 *2686:5 0 +39 *2577:20 *2686:17 0 +40 *2581:24 *2686:17 0 +41 *2581:24 *2686:43 0 +42 *2581:25 *2686:43 0 +43 *2581:25 *2686:51 0 +44 *2585:24 *2686:17 0 +45 *2585:24 *2686:43 0 +46 *2585:31 *2686:51 0 +47 *2591:29 *2686:51 0 +48 *2591:40 *2686:57 0 +49 *2603:5 *2686:51 0 +50 *2603:23 *39855:A 0 +51 *2603:23 *2686:57 0 +52 *2622:14 *2686:43 0 +53 *2635:32 *2686:51 0 +54 *2651:23 *2686:5 0 +55 *2669:41 *2686:5 0 +56 *2669:48 *2686:5 0 +57 *2669:67 *2686:43 0 +*RES +1 *39856:X *2686:4 9.3 +2 *2686:4 *2686:5 80.2143 +3 *2686:5 *2686:17 47.5048 +4 *2686:17 *2686:43 40.2573 +5 *2686:43 *2686:51 47.4464 +6 *2686:51 *2686:57 11.0893 +7 *2686:57 *39855:A 11.8893 +8 *2686:57 *7789:DIODE 9.3 +*END + +*D_NET *2687 0.0192818 +*CONN +*I *7790:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39856:A I *D sky130_fd_sc_hd__buf_6 +*I *39857:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *7790:DIODE 0 +2 *39856:A 0.00019739 +3 *39857:X 0.00782516 +4 *2687:24 0.00181576 +5 *2687:11 0.00944353 +6 *2687:11 *2902:40 0 +7 *2687:11 *4677:29 0 +8 *2687:11 *4958:37 0 +9 *2687:24 *39158:A 0 +10 *39683:A *2687:24 0 +11 *333:42 *2687:11 0 +12 *333:53 *2687:11 0 +13 *333:53 *2687:24 0 +14 *339:23 *2687:11 0 +15 *1002:8 *2687:24 0 +16 *1016:137 *2687:11 0 +17 *1023:34 *39856:A 0 +18 *1503:19 *2687:11 0 +19 *2492:22 *2687:11 0 +20 *2495:17 *2687:24 0 +21 *2496:16 *2687:24 0 +22 *2515:18 *2687:11 0 +23 *2515:50 *2687:24 0 +24 *2519:36 *2687:11 0 +25 *2531:50 *2687:11 0 +26 *2536:8 *2687:24 0 +27 *2551:26 *2687:11 0 +28 *2554:32 *2687:24 0 +29 *2569:14 *2687:11 0 +30 *2574:16 *2687:11 0 +31 *2574:64 *39856:A 0 +32 *2606:38 *2687:11 0 +33 *2626:48 *2687:24 0 +34 *2648:15 *2687:11 0 +35 *2648:20 *2687:11 0 +36 *2655:11 *2687:11 0 +37 *2667:32 *2687:11 0 +*RES +1 *39857:X *2687:11 49.1939 +2 *2687:11 *2687:24 24.7184 +3 *2687:24 *39856:A 22.6929 +4 *2687:24 *7790:DIODE 9.3 +*END + +*D_NET *2688 0.019457 +*CONN +*I *7791:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39857:A I *D sky130_fd_sc_hd__buf_6 +*I *39858:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *7791:DIODE 0 +2 *39857:A 0.000124066 +3 *39858:X 0.000810706 +4 *2688:34 0.000169684 +5 *2688:29 0.00161814 +6 *2688:20 0.00372218 +7 *2688:15 0.00278111 +8 *2688:9 0.00502595 +9 *2688:7 0.0052052 +10 *2688:7 *2845:26 0 +11 *2688:7 *4691:10 0 +12 *2688:7 *5133:15 0 +13 *2688:9 *2873:39 0 +14 *2688:9 *2873:41 0 +15 *2688:9 *2881:49 0 +16 *2688:9 *4680:17 0 +17 *2688:9 *4681:10 0 +18 *2688:9 *5133:15 0 +19 *2688:15 *2867:18 0 +20 *2688:15 *2873:39 0 +21 *2688:15 *2881:49 0 +22 *2688:20 *2751:39 0 +23 *2688:20 *2867:18 0 +24 *2688:29 *2755:46 0 +25 *2688:29 *2877:33 0 +26 *2688:29 *2883:41 0 +27 *2688:29 *4945:14 0 +28 *2688:29 *5108:17 0 +29 *39848:A *2688:20 0 +30 *342:50 *2688:20 0 +31 *348:47 *2688:7 0 +32 *348:47 *2688:9 0 +33 *348:54 *2688:9 0 +34 *348:72 *2688:9 0 +35 *348:72 *2688:15 0 +36 *350:14 *2688:7 0 +37 *2193:28 *2688:29 0 +38 *2517:23 *2688:29 0 +39 *2519:19 *39857:A 0 +40 *2528:15 *2688:29 0 +41 *2528:23 *2688:29 0 +42 *2528:27 *2688:29 0 +43 *2567:29 *2688:15 0 +44 *2567:31 *2688:20 0 +45 *2625:32 *2688:34 0 +46 *2634:5 *2688:29 0 +47 *2644:39 *2688:34 0 +48 *2667:7 *39857:A 0 +*RES +1 *39858:X *2688:7 26.2821 +2 *2688:7 *2688:9 91.7143 +3 *2688:9 *2688:15 13.3036 +4 *2688:15 *2688:20 49.6607 +5 *2688:20 *2688:29 47.0179 +6 *2688:29 *2688:34 10.0357 +7 *2688:34 *39857:A 11.8893 +8 *2688:34 *7791:DIODE 9.3 +*END + +*D_NET *2689 0.0187948 +*CONN +*I *39858:A I *D sky130_fd_sc_hd__buf_4 +*I *7792:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39859:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39858:A 0.000178743 +2 *7792:DIODE 0 +3 *39859:X 1.21519e-05 +4 *2689:12 0.00938526 +5 *2689:8 0.00921867 +6 *39858:A *2845:26 0 +7 *39858:A *4691:10 0 +8 *2689:12 *38960:A 0 +9 *2689:12 *2730:16 0 +10 *2689:12 *3778:20 0 +11 *2689:12 *3778:23 0 +12 *2689:12 *5109:16 0 +13 *1030:8 *2689:12 0 +14 *1031:76 *2689:12 0 +15 *2232:57 *2689:12 0 +16 *2253:49 *2689:12 0 +17 *2260:40 *2689:12 0 +18 *2282:23 *2689:12 0 +19 *2298:19 *2689:12 0 +20 *2318:33 *2689:12 0 +*RES +1 *39859:X *2689:8 17.4868 +2 *2689:8 *2689:12 40.5579 +3 *2689:12 *7792:DIODE 13.8 +4 *2689:12 *39858:A 17.9786 +*END + +*D_NET *2690 0.0184077 +*CONN +*I *7334:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39373:A I *D sky130_fd_sc_hd__buf_12 +*I *39860:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *7334:DIODE 0 +2 *39373:A 0.000196366 +3 *39860:X 0.00143836 +4 *2690:22 0.000241985 +5 *2690:17 0.00756914 +6 *2690:16 0.00896188 +7 *39373:A *5160:45 0 +8 *1009:16 *2690:16 0 +9 *1011:7 *2690:22 0 +10 *2558:20 *2690:16 0 +11 *2573:14 *2690:16 0 +12 *2585:31 *2690:16 0 +13 *2611:19 *2690:16 0 +14 *2645:55 *2690:16 0 +15 *2646:83 *2690:16 0 +16 *2654:51 *2690:17 0 +17 *2657:65 *2690:17 0 +18 *2665:47 *2690:17 0 +19 *2673:41 *2690:17 0 +*RES +1 *39860:X *2690:16 38.8054 +2 *2690:16 *2690:17 157.018 +3 *2690:17 *2690:22 10.0357 +4 *2690:22 *39373:A 13.4607 +5 *2690:22 *7334:DIODE 9.3 +*END + +*D_NET *2691 0.0187726 +*CONN +*I *7793:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39860:A I *D sky130_fd_sc_hd__buf_6 +*I *39861:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *7793:DIODE 0 +2 *39860:A 0.000266998 +3 *39861:X 8.56922e-05 +4 *2691:14 0.00075378 +5 *2691:9 0.00903363 +6 *2691:8 0.00863254 +7 *2691:8 *39861:A 0 +8 *2691:9 *4653:7 0 +9 *2691:9 *4661:11 0 +10 *343:7 *2691:8 0 +11 *2556:21 *2691:14 0 +12 *2585:31 *39860:A 0 +13 *2597:19 *2691:9 0 +14 *2615:5 *2691:9 0 +15 *2615:12 *2691:9 0 +16 *2622:14 *2691:14 0 +17 *2630:27 *2691:9 0 +*RES +1 *39861:X *2691:8 20.2464 +2 *2691:8 *2691:9 178.375 +3 *2691:9 *2691:14 20.0536 +4 *2691:14 *39860:A 24.5143 +5 *2691:14 *7793:DIODE 9.3 +*END + +*D_NET *2692 0.019872 +*CONN +*I *7794:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39861:A I *D sky130_fd_sc_hd__buf_6 +*I *39862:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *7794:DIODE 0.0001114 +2 *39861:A 0.000282148 +3 *39862:X 0.00104442 +4 *2692:35 0.00401755 +5 *2692:34 0.00384103 +6 *2692:23 0.00287973 +7 *2692:22 0.00266271 +8 *2692:20 0.00199431 +9 *2692:16 0.00303873 +10 *39861:A *5046:25 0 +11 *2692:16 *4939:14 0 +12 *2692:16 *5055:16 0 +13 *2692:20 *2755:33 0 +14 *2692:23 *6249:DIODE 0 +15 *2692:23 *39806:A 0 +16 *2692:23 *2778:51 0 +17 *2692:23 *2895:35 0 +18 *2692:23 *5056:31 0 +19 *2692:23 *5056:57 0 +20 *2692:23 *5057:39 0 +21 *2692:34 *2778:51 0 +22 *2692:35 *5046:25 0 +23 *325:34 *2692:16 0 +24 *333:53 *7794:DIODE 0 +25 *1014:10 *2692:34 0 +26 *1950:25 *2692:16 0 +27 *2486:31 *2692:20 0 +28 *2488:22 *2692:20 0 +29 *2500:37 *2692:34 0 +30 *2507:32 *7794:DIODE 0 +31 *2524:13 *2692:20 0 +32 *2541:15 *2692:16 0 +33 *2557:16 *39861:A 0 +34 *2578:34 *2692:23 0 +35 *2578:39 *2692:35 0 +36 *2578:41 *2692:35 0 +37 *2584:24 *2692:20 0 +38 *2586:21 *7794:DIODE 0 +39 *2587:37 *2692:20 0 +40 *2593:26 *2692:20 0 +41 *2600:26 *2692:35 0 +42 *2600:27 *7794:DIODE 0 +43 *2601:29 *2692:23 0 +44 *2610:37 *2692:16 0 +45 *2617:36 *2692:16 0 +46 *2623:16 *2692:16 0 +47 *2623:23 *2692:23 0 +48 *2623:31 *2692:23 0 +49 *2623:45 *2692:35 0 +50 *2630:12 *2692:35 0 +51 *2630:27 *39861:A 0 +52 *2630:27 *2692:35 0 +53 *2691:8 *39861:A 0 +*RES +1 *39862:X *2692:16 41.05 +2 *2692:16 *2692:20 46.3036 +3 *2692:20 *2692:22 4.5 +4 *2692:22 *2692:23 55.5714 +5 *2692:23 *2692:34 18.6023 +6 *2692:34 *2692:35 75.6964 +7 *2692:35 *39861:A 24.3714 +8 *2692:35 *7794:DIODE 20.7821 +*END + +*D_NET *2693 0.0206324 +*CONN +*I *7795:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39862:A I *D sky130_fd_sc_hd__buf_4 +*I *39863:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7795:DIODE 0 +2 *39862:A 0.000169645 +3 *39863:X 0.000742342 +4 *2693:95 0.000392108 +5 *2693:94 0.00153478 +6 *2693:78 0.00341993 +7 *2693:57 0.00405741 +8 *2693:50 0.00327856 +9 *2693:40 0.00262155 +10 *2693:24 0.00248328 +11 *2693:14 0.00193284 +12 *2693:14 *3795:14 0 +13 *2693:14 *3899:29 0 +14 *2693:14 *4684:23 0 +15 *2693:14 *5114:12 0 +16 *2693:14 *5118:12 0 +17 *2693:14 *5135:16 0 +18 *2693:24 *2736:47 0 +19 *2693:24 *4971:8 0 +20 *2693:24 *5114:15 0 +21 *2693:40 *39750:A 0 +22 *2693:50 *39767:A 0 +23 *2693:50 *2731:27 0 +24 *2693:50 *5108:7 0 +25 *2693:57 *39767:A 0 +26 *2693:57 *2731:27 0 +27 *2693:78 *39791:A 0 +28 *2693:78 *2877:33 0 +29 *2693:78 *4963:14 0 +30 *2693:78 *5057:11 0 +31 *2693:78 *5135:61 0 +32 *2693:94 *7744:DIODE 0 +33 *2693:94 *4686:7 0 +34 *2693:94 *5058:22 0 +35 *39711:A *2693:57 0 +36 *1000:14 *2693:78 0 +37 *1006:10 *2693:78 0 +38 *1009:92 *2693:78 0 +39 *1019:8 *2693:78 0 +40 *1289:28 *2693:40 0 +41 *1388:28 *2693:94 0 +42 *2232:15 *2693:40 0 +43 *2232:29 *2693:40 0 +44 *2232:35 *2693:24 0 +45 *2525:39 *2693:57 0 +46 *2534:13 *39862:A 0 +47 *2535:23 *2693:40 0 +48 *2535:31 *2693:57 0 +49 *2537:18 *2693:94 0 +50 *2537:18 *2693:95 0 +51 *2541:11 *39862:A 0 +52 *2541:11 *2693:95 0 +53 *2587:10 *2693:57 0 +54 *2590:44 *2693:94 0 +55 *2610:30 *2693:94 0 +56 *2617:27 *2693:94 0 +57 *2636:16 *2693:94 0 +58 *2637:20 *2693:14 0 +59 *2637:30 *2693:24 0 +60 *2637:37 *2693:40 0 +61 *2637:41 *2693:50 0 +62 *2637:41 *2693:57 0 +63 *2637:53 *2693:57 0 +64 *2677:27 *2693:24 0 +65 *2677:27 *2693:40 0 +66 *2677:61 *2693:57 0 +*RES +1 *39863:X *2693:14 34.8536 +2 *2693:14 *2693:24 34.0179 +3 *2693:24 *2693:40 45.4107 +4 *2693:40 *2693:50 36.9107 +5 *2693:50 *2693:57 49.7143 +6 *2693:57 *2693:78 40.4445 +7 *2693:78 *2693:94 46 +8 *2693:94 *2693:95 4.64286 +9 *2693:95 *39862:A 21.925 +10 *2693:95 *7795:DIODE 9.3 +*END + +*D_NET *2694 0.015888 +*CONN +*I *5786:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37900:C I *D sky130_fd_sc_hd__and3b_1 +*I *38449:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *5786:DIODE 4.56186e-05 +2 *37900:C 0.000124066 +3 *38449:X 0.00108705 +4 *2694:22 0.0014588 +5 *2694:19 0.00668726 +6 *2694:18 0.00648519 +7 *5786:DIODE *37904:C 0 +8 *5786:DIODE *3609:38 0 +9 *5786:DIODE *4126:34 0 +10 *37900:C *37900:B 0 +11 *2694:18 *39135:A 0 +12 *2694:18 *3993:14 0 +13 *2694:18 *4504:34 0 +14 *2694:18 *4504:43 0 +15 *2694:18 *4789:23 0 +16 *2694:18 *4879:28 0 +17 *2694:19 *3082:19 0 +18 *2694:22 *4126:34 0 +19 *2694:22 *4439:8 0 +20 *2694:22 *4792:8 0 +21 *37495:A *2694:18 0 +22 *38449:A *2694:18 0 +23 *39465:A *2694:19 0 +24 *39465:B *2694:19 0 +25 *310:27 *2694:18 0 +26 *1628:9 *2694:18 0 +27 *1763:10 *2694:22 0 +28 *2359:14 *2694:18 0 +29 *2449:15 *2694:19 0 +*RES +1 *38449:X *2694:18 42.7821 +2 *2694:18 *2694:19 112.661 +3 *2694:19 *2694:22 33.7679 +4 *2694:22 *37900:C 16.3893 +5 *2694:22 *5786:DIODE 14.8357 +*END + +*D_NET *2695 0.0185699 +*CONN +*I *39863:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7796:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39864:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39863:A 0.000186611 +2 *7796:DIODE 0 +3 *39864:X 0.00140768 +4 *2695:24 0.000245601 +5 *2695:21 0.00598848 +6 *2695:20 0.00763169 +7 *2695:10 0.00310988 +8 *39863:A *2729:17 0 +9 *39863:A *2846:15 0 +10 *39863:A *3899:29 0 +11 *2695:10 *3710:23 0 +12 *2695:20 *3690:19 0 +13 *2695:21 *2856:24 0 +14 *2695:21 *2875:25 0 +15 *2695:24 *2729:17 0 +16 *326:13 *39863:A 0 +17 *326:13 *2695:24 0 +18 *1932:44 *2695:21 0 +19 *2242:31 *2695:21 0 +20 *2242:47 *2695:20 0 +21 *2254:38 *2695:21 0 +22 *2259:44 *2695:20 0 +23 *2259:44 *2695:21 0 +24 *2264:57 *2695:20 0 +25 *2265:35 *2695:21 0 +26 *2265:36 *2695:21 0 +27 *2265:56 *2695:20 0 +28 *2274:15 *39863:A 0 +29 *2300:20 *2695:20 0 +30 *2300:20 *2695:21 0 +31 *2309:38 *2695:10 0 +*RES +1 *39864:X *2695:10 48.2286 +2 *2695:10 *2695:20 44.6964 +3 *2695:20 *2695:21 123.75 +4 *2695:21 *2695:24 5.83929 +5 *2695:24 *7796:DIODE 13.8 +6 *2695:24 *39863:A 17.8357 +*END + +*D_NET *2696 0.0178787 +*CONN +*I *7339:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39378:A I *D sky130_fd_sc_hd__buf_12 +*I *39865:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *7339:DIODE 0 +2 *39378:A 0.000198505 +3 *39865:X 0.000262677 +4 *2696:14 0.00060514 +5 *2696:9 0.00847818 +6 *2696:7 0.00833422 +7 *2696:7 *39869:A 0 +8 *2696:9 *2700:5 0 +9 *2696:14 *2700:10 0 +10 mprj_dat_o_user[0] *2696:14 0 +11 *2608:9 *2696:7 0 +12 *2608:9 *2696:9 0 +*RES +1 *39865:X *2696:7 14.7821 +2 *2696:7 *2696:9 168.518 +3 *2696:9 *2696:14 18.2321 +4 *2696:14 *39378:A 13.4429 +5 *2696:14 *7339:DIODE 9.3 +*END + +*D_NET *2697 0.0186086 +*CONN +*I *39865:A I *D sky130_fd_sc_hd__buf_6 +*I *7797:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39866:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *39865:A 0.000178826 +2 *7797:DIODE 0 +3 *39866:X 2.0535e-05 +4 *2697:18 0.000451751 +5 *2697:13 0.00250269 +6 *2697:12 0.00248924 +7 *2697:7 0.00660223 +8 *2697:5 0.00636329 +9 *2697:7 *2701:20 0 +10 *2697:7 *2706:5 0 +11 *2697:7 *2707:53 0 +12 *2697:7 *2775:11 0 +13 *2697:7 *2899:30 0 +14 *2697:7 *4659:7 0 +15 *2697:7 *4659:15 0 +16 *2697:13 *2701:21 0 +17 *2697:13 *5043:9 0 +18 *2697:18 *2706:22 0 +19 *2697:18 *2706:27 0 +20 *37935:A *2697:7 0 +21 *309:19 *2697:18 0 +22 *1014:9 *2697:12 0 +23 *2512:9 *2697:7 0 +24 *2562:10 *2697:18 0 +25 *2608:9 *39865:A 0 +26 *2653:25 *2697:5 0 +27 *2653:25 *2697:7 0 +*RES +1 *39866:X *2697:5 9.72857 +2 *2697:5 *2697:7 132.375 +3 *2697:7 *2697:12 14.8929 +4 *2697:12 *2697:13 46.5357 +5 *2697:13 *2697:18 15.1964 +6 *2697:18 *7797:DIODE 9.3 +7 *2697:18 *39865:A 13.0321 +*END + +*D_NET *2698 0.0188652 +*CONN +*I *7798:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39866:A I *D sky130_fd_sc_hd__buf_6 +*I *39867:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *7798:DIODE 2.26741e-05 +2 *39866:A 0.000152332 +3 *39867:X 0 +4 *2698:16 0.000594993 +5 *2698:11 0.00583781 +6 *2698:10 0.00562389 +7 *2698:5 0.0034198 +8 *2698:4 0.00321373 +9 *39866:A *2702:43 0 +10 *39866:A *2707:53 0 +11 *2698:5 *2744:9 0 +12 *2698:10 *2754:9 0 +13 *2698:10 *2888:26 0 +14 *2698:10 *4929:12 0 +15 *2698:11 *2756:14 0 +16 *2698:11 *2786:13 0 +17 *2698:11 *2892:51 0 +18 *2698:16 *2788:20 0 +19 *282:12 *2698:11 0 +20 *298:18 *2698:5 0 +21 *325:46 *2698:5 0 +22 *325:48 *2698:5 0 +23 *332:15 *39866:A 0 +24 *332:15 *2698:16 0 +25 *1370:27 *2698:11 0 +26 *1375:40 *2698:5 0 +27 *2508:17 *2698:11 0 +28 *2509:26 *39866:A 0 +29 *2559:21 *2698:11 0 +30 *2563:25 *2698:16 0 +31 *2653:25 *7798:DIODE 0 +32 *2653:25 *39866:A 0 +*RES +1 *39867:X *2698:4 9.3 +2 *2698:4 *2698:5 67.0714 +3 *2698:5 *2698:10 13.6786 +4 *2698:10 *2698:11 113.071 +5 *2698:11 *2698:16 18.5357 +6 *2698:16 *39866:A 21.6393 +7 *2698:16 *7798:DIODE 9.83571 +*END + +*D_NET *2699 0.0186527 +*CONN +*I *7799:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39867:A I *D sky130_fd_sc_hd__buf_4 +*I *39868:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7799:DIODE 0 +2 *39867:A 0.000144601 +3 *39868:X 0.00110489 +4 *2699:44 0.00200745 +5 *2699:38 0.00252812 +6 *2699:27 0.00551239 +7 *2699:26 0.00554873 +8 *2699:18 0.0018065 +9 *39867:A *2739:20 0 +10 *39867:A *5071:21 0 +11 *2699:18 *40006:A 0 +12 *2699:18 *2950:55 0 +13 *2699:18 *3575:49 0 +14 *2699:18 *4678:10 0 +15 *2699:27 *5056:11 0 +16 *2699:38 *2735:39 0 +17 *2699:44 *2703:29 0 +18 *2699:44 *2854:21 0 +19 *2699:44 *2874:19 0 +20 *2699:44 *2881:17 0 +21 *2699:44 *2889:24 0 +22 *37809:A *2699:27 0 +23 *325:42 *39867:A 0 +24 *326:14 *2699:26 0 +25 *342:50 *2699:27 0 +26 *1029:84 *2699:26 0 +27 *1388:25 *2699:44 0 +28 *1508:19 *2699:27 0 +29 *1902:22 *2699:27 0 +30 *1943:26 *2699:27 0 +31 *1943:33 *2699:18 0 +32 *2232:15 *2699:18 0 +33 *2248:18 *2699:18 0 +34 *2561:12 *2699:18 0 +35 *2561:15 *2699:27 0 +36 *2564:18 *2699:26 0 +37 *2598:22 *2699:38 0 +*RES +1 *39868:X *2699:18 46.5946 +2 *2699:18 *2699:26 14.0941 +3 *2699:26 *2699:27 101.161 +4 *2699:27 *2699:38 32.4464 +5 *2699:38 *2699:44 48.0357 +6 *2699:44 *39867:A 12.3179 +7 *2699:44 *7799:DIODE 9.3 +*END + +*D_NET *2700 0.0173957 +*CONN +*I *39377:A I *D sky130_fd_sc_hd__buf_12 +*I *7338:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39869:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *39377:A 0.000159146 +2 *7338:DIODE 0 +3 *39869:X 0 +4 *2700:10 0.000485556 +5 *2700:5 0.00853871 +6 *2700:4 0.0082123 +7 *39377:A *2708:31 0 +8 *1000:13 *2700:10 0 +9 *2696:9 *2700:5 0 +10 *2696:14 *2700:10 0 +*RES +1 *39869:X *2700:4 9.3 +2 *2700:4 *2700:5 171.393 +3 *2700:5 *2700:10 16.4107 +4 *2700:10 *7338:DIODE 9.3 +5 *2700:10 *39377:A 12.6214 +*END + +*D_NET *2701 0.0188371 +*CONN +*I *7800:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39869:A I *D sky130_fd_sc_hd__buf_6 +*I *39870:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *7800:DIODE 8.57316e-05 +2 *39869:A 0.000104386 +3 *39870:X 0.00177286 +4 *2701:24 0.000516527 +5 *2701:21 0.00255617 +6 *2701:20 0.00301319 +7 *2701:9 0.00489942 +8 *2701:7 0.00588885 +9 *7800:DIODE *5043:12 0 +10 *2701:7 *2706:11 0 +11 *2701:7 *2775:17 0 +12 *2701:9 *2706:11 0 +13 *2701:9 *2899:22 0 +14 *2701:9 *4935:11 0 +15 *2701:9 *4935:18 0 +16 *2701:21 *5043:9 0 +17 *2701:24 *5043:12 0 +18 *2500:11 *2701:7 0 +19 *2512:9 *2701:20 0 +20 *2514:9 *2701:21 0 +21 *2608:9 *39869:A 0 +22 *2615:12 *2701:20 0 +23 *2632:29 *2701:7 0 +24 *2632:37 *2701:7 0 +25 *2632:37 *2701:9 0 +26 *2632:41 *2701:9 0 +27 *2696:7 *39869:A 0 +28 *2697:7 *2701:20 0 +29 *2697:13 *2701:21 0 +*RES +1 *39870:X *2701:7 46.3 +2 *2701:7 *2701:9 85.9643 +3 *2701:9 *2701:20 34.9107 +4 *2701:20 *2701:21 46.5357 +5 *2701:21 *2701:24 11.9107 +6 *2701:24 *39869:A 15.9786 +7 *2701:24 *7800:DIODE 15.7464 +*END + +*D_NET *2702 0.0193368 +*CONN +*I *7801:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39870:A I *D sky130_fd_sc_hd__buf_6 +*I *39871:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *7801:DIODE 0 +2 *39870:A 0.000178826 +3 *39871:X 0.00138497 +4 *2702:43 0.000464346 +5 *2702:37 0.00334182 +6 *2702:36 0.0030563 +7 *2702:34 0.00211539 +8 *2702:30 0.00244175 +9 *2702:27 0.00264742 +10 *2702:9 0.00370602 +11 *39870:A *2775:17 0 +12 *2702:9 *2883:20 0 +13 *2702:9 *4938:8 0 +14 *2702:27 *2748:10 0 +15 *2702:30 *37913:A 0 +16 *2702:34 *39808:A 0 +17 *2702:34 *2775:17 0 +18 *2702:34 *2775:30 0 +19 *2702:34 *2894:34 0 +20 *2702:34 *4662:18 0 +21 *2702:37 *2707:43 0 +22 *2702:43 *2775:17 0 +23 *39866:A *2702:43 0 +24 *293:24 *2702:37 0 +25 *294:25 *2702:30 0 +26 *311:34 *2702:27 0 +27 *325:46 *2702:9 0 +28 *325:48 *2702:9 0 +29 *1000:14 *2702:27 0 +30 *1019:8 *2702:27 0 +31 *1371:23 *39870:A 0 +32 *1377:26 *2702:9 0 +33 *1926:47 *2702:27 0 +34 *2158:53 *2702:27 0 +35 *2487:36 *2702:34 0 +36 *2489:33 *2702:30 0 +37 *2498:15 *2702:37 0 +38 *2500:17 *2702:43 0 +39 *2509:26 *2702:43 0 +40 *2534:36 *2702:34 0 +41 *2541:46 *2702:34 0 +42 *2559:20 *2702:27 0 +43 *2559:21 *2702:27 0 +44 *2559:27 *2702:34 0 +45 *2563:25 *2702:43 0 +46 *2632:7 *2702:34 0 +47 *2632:29 *39870:A 0 +48 *2653:17 *2702:37 0 +49 *2653:25 *2702:37 0 +*RES +1 *39871:X *2702:9 47.4607 +2 *2702:9 *2702:27 47.6594 +3 *2702:27 *2702:30 11.9107 +4 *2702:30 *2702:34 48.7679 +5 *2702:34 *2702:36 4.5 +6 *2702:36 *2702:37 63.7857 +7 *2702:37 *2702:43 15.1786 +8 *2702:43 *39870:A 13.0321 +9 *2702:43 *7801:DIODE 9.3 +*END + +*D_NET *2703 0.0197641 +*CONN +*I *7802:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39871:A I *D sky130_fd_sc_hd__buf_4 +*I *39872:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7802:DIODE 0 +2 *39871:A 0.000144601 +3 *39872:X 0.000779576 +4 *2703:29 0.00090363 +5 *2703:19 0.00554561 +6 *2703:17 0.0054574 +7 *2703:11 0.00341224 +8 *2703:10 0.003521 +9 *39871:A *2735:32 0 +10 *2703:10 *39902:A 0 +11 *2703:10 *2737:14 0 +12 *2703:10 *2852:33 0 +13 *2703:10 *2861:17 0 +14 *2703:11 *2854:35 0 +15 *2703:11 *4962:5 0 +16 *2703:11 *5110:15 0 +17 *2703:17 *2854:35 0 +18 *2703:19 *2854:21 0 +19 *2703:19 *2854:34 0 +20 *2703:19 *5135:52 0 +21 *2703:29 *2735:32 0 +22 *2703:29 *2765:47 0 +23 *2703:29 *2880:34 0 +24 *2703:29 *4938:8 0 +25 *2703:29 *5071:26 0 +26 *8408:DIODE *2703:10 0 +27 *39482:A *2703:17 0 +28 *1385:53 *2703:17 0 +29 *1385:53 *2703:19 0 +30 *1388:25 *2703:29 0 +31 *1814:19 *2703:17 0 +32 *1814:19 *2703:19 0 +33 *1922:26 *39871:A 0 +34 *1950:61 *2703:10 0 +35 *2158:31 *2703:19 0 +36 *2158:32 *2703:19 0 +37 *2158:32 *2703:29 0 +38 *2187:16 *39871:A 0 +39 *2242:17 *2703:10 0 +40 *2248:18 *2703:10 0 +41 *2561:12 *2703:10 0 +42 *2564:38 *2703:19 0 +43 *2590:30 *2703:19 0 +44 *2699:44 *2703:29 0 +*RES +1 *39872:X *2703:10 35.9607 +2 *2703:10 *2703:11 57.2143 +3 *2703:11 *2703:17 14.125 +4 *2703:17 *2703:19 99.9286 +5 *2703:19 *2703:29 25.3393 +6 *2703:29 *39871:A 12.3179 +7 *2703:29 *7802:DIODE 9.3 +*END + +*D_NET *2704 0.0183403 +*CONN +*I *7286:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39308:A I *D sky130_fd_sc_hd__buf_12 +*I *39873:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *7286:DIODE 8.29787e-05 +2 *39308:A 0 +3 *39873:X 0 +4 *2704:8 0.000623323 +5 *2704:5 0.00908719 +6 *2704:4 0.00854685 +7 mprj_stb_o_user *7286:DIODE 0 +8 mprj_stb_o_user *2704:8 0 +9 mprj_we_o_user *7286:DIODE 0 +10 *2596:5 *2704:5 0 +*RES +1 *39873:X *2704:4 9.3 +2 *2704:4 *2704:5 178.375 +3 *2704:5 *2704:8 16.7679 +4 *2704:8 *39308:A 13.8 +5 *2704:8 *7286:DIODE 15.7464 +*END + +*D_NET *2705 0.00277133 +*CONN +*I *38394:B I *D sky130_fd_sc_hd__and2_1 +*I *38450:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *38394:B 0 +2 *38450:X 0.00138567 +3 *2705:15 0.00138567 +4 *2705:15 *38394:A 0 +5 *2705:15 *4515:16 0 +6 *38396:A *2705:15 0 +7 *39393:A *2705:15 0 +8 *1764:11 *2705:15 0 +*RES +1 *38450:X *2705:15 47.7821 +2 *2705:15 *38394:B 9.3 +*END + +*D_NET *2706 0.0187052 +*CONN +*I *39873:A I *D sky130_fd_sc_hd__buf_6 +*I *7804:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39874:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *39873:A 0.000211554 +2 *7804:DIODE 0 +3 *39874:X 0.00173778 +4 *2706:27 0.000491961 +5 *2706:22 0.00193274 +6 *2706:11 0.00705048 +7 *2706:10 0.0054705 +8 *2706:5 0.00181014 +9 *2706:5 *4659:15 0 +10 *2706:11 *4935:7 0 +11 *2706:11 *4935:11 0 +12 *2706:22 *4661:11 0 +13 *309:19 *2706:22 0 +14 *309:19 *2706:27 0 +15 *2500:11 *2706:11 0 +16 *2512:7 *2706:22 0 +17 *2596:5 *39873:A 0 +18 *2596:5 *2706:27 0 +19 *2697:7 *2706:5 0 +20 *2697:18 *2706:22 0 +21 *2697:18 *2706:27 0 +22 *2701:7 *2706:11 0 +23 *2701:9 *2706:11 0 +*RES +1 *39874:X *2706:5 45.5679 +2 *2706:5 *2706:10 10.6429 +3 *2706:10 *2706:11 112.661 +4 *2706:11 *2706:22 48.7411 +5 *2706:22 *2706:27 10.6161 +6 *2706:27 *7804:DIODE 9.3 +7 *2706:27 *39873:A 13.7464 +*END + +*D_NET *2707 0.0191392 +*CONN +*I *7805:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39874:A I *D sky130_fd_sc_hd__buf_4 +*I *39875:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7805:DIODE 0.000139215 +2 *39874:A 4.53482e-05 +3 *39875:X 0.00113326 +4 *2707:53 0.0006005 +5 *2707:43 0.0035086 +6 *2707:41 0.00496905 +7 *2707:37 0.00223405 +8 *2707:25 0.00278122 +9 *2707:23 0.00250912 +10 *2707:18 0.00121883 +11 *39874:A *4659:15 0 +12 *2707:18 *2759:28 0 +13 *2707:18 *2868:20 0 +14 *2707:18 *2883:20 0 +15 *2707:18 *4938:8 0 +16 *2707:23 *2754:37 0 +17 *2707:23 *5058:33 0 +18 *2707:25 *37913:A 0 +19 *2707:25 *2758:19 0 +20 *2707:25 *4645:10 0 +21 *2707:25 *5055:31 0 +22 *2707:25 *5058:33 0 +23 *2707:37 *39805:A 0 +24 *2707:37 *4645:10 0 +25 *2707:41 *39805:A 0 +26 *2707:41 *4958:23 0 +27 *2707:53 *4659:15 0 +28 *38217:A *2707:41 0 +29 *39752:A *2707:23 0 +30 *39752:A *2707:25 0 +31 *39866:A *2707:53 0 +32 *293:24 *39874:A 0 +33 *293:24 *2707:43 0 +34 *293:24 *2707:53 0 +35 *294:25 *2707:37 0 +36 *321:9 *7805:DIODE 0 +37 *330:15 *7805:DIODE 0 +38 *332:15 *2707:53 0 +39 *1388:25 *2707:18 0 +40 *2487:36 *2707:37 0 +41 *2498:15 *2707:41 0 +42 *2498:15 *2707:43 0 +43 *2498:32 *2707:41 0 +44 *2498:50 *2707:41 0 +45 *2509:26 *2707:53 0 +46 *2534:27 *2707:25 0 +47 *2541:50 *2707:41 0 +48 *2564:52 *2707:18 0 +49 *2578:18 *2707:37 0 +50 *2582:24 *2707:37 0 +51 *2582:24 *2707:41 0 +52 *2582:24 *2707:43 0 +53 *2617:37 *2707:37 0 +54 *2617:37 *2707:43 0 +55 *2653:17 *2707:41 0 +56 *2653:25 *2707:53 0 +57 *2697:7 *2707:53 0 +58 *2702:37 *2707:43 0 +*RES +1 *39875:X *2707:18 47.5321 +2 *2707:18 *2707:23 6.28571 +3 *2707:23 *2707:25 50.6429 +4 *2707:25 *2707:37 17.2679 +5 *2707:37 *2707:41 39.1607 +6 *2707:41 *2707:43 64.6071 +7 *2707:43 *2707:53 18.4107 +8 *2707:53 *39874:A 10.2464 +9 *2707:53 *7805:DIODE 21.4607 +*END + +*D_NET *2708 0.0189548 +*CONN +*I *7344:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39383:A I *D sky130_fd_sc_hd__buf_12 +*I *39876:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *7344:DIODE 0 +2 *39383:A 0.000159146 +3 *39876:X 0.00121317 +4 *2708:31 0.00325181 +5 *2708:30 0.00353744 +6 *2708:21 0.00392419 +7 *2708:20 0.00456765 +8 *2708:13 0.00230142 +9 *2708:13 *5064:28 0 +10 *2708:20 *5064:29 0 +11 *2708:21 *5160:45 0 +12 *2708:30 *5160:45 0 +13 *2708:31 *39298:A 0 +14 mprj_adr_o_user[4] *2708:30 0 +15 mprj_stb_o_user *2708:31 0 +16 *7256:DIODE *2708:20 0 +17 *7258:DIODE *2708:13 0 +18 *39280:A *2708:13 0 +19 *39307:A *2708:21 0 +20 *39367:A *2708:21 0 +21 *39368:A *2708:21 0 +22 *39369:A *2708:21 0 +23 *39377:A *2708:31 0 +24 *305:19 *2708:30 0 +25 *1001:16 *2708:20 0 +26 *1006:9 *2708:13 0 +27 *2599:67 *2708:21 0 +28 *2599:69 *2708:21 0 +29 *2611:24 *2708:30 0 +30 *2646:83 *2708:13 0 +31 *2650:49 *2708:13 0 +32 *2662:33 *2708:21 0 +33 *2674:15 *2708:13 0 +34 *2678:23 *2708:30 0 +*RES +1 *39876:X *2708:13 43.7286 +2 *2708:13 *2708:20 32.1786 +3 *2708:20 *2708:21 72.6161 +4 *2708:21 *2708:30 18.5625 +5 *2708:30 *2708:31 64.6071 +6 *2708:31 *39383:A 12.6214 +7 *2708:31 *7344:DIODE 9.3 +*END + +*D_NET *2709 0.0188554 +*CONN +*I *39876:A I *D sky130_fd_sc_hd__buf_6 +*I *7807:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39877:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *39876:A 0.000195511 +2 *7807:DIODE 0 +3 *39877:X 2.0535e-05 +4 *2709:23 0.00380283 +5 *2709:21 0.00548627 +6 *2709:7 0.00560435 +7 *2709:5 0.00374593 +8 *2709:7 *4960:21 0 +9 la_data_in_core[2] *2709:7 0 +10 mprj_dat_o_user[24] *2709:21 0 +11 mprj_dat_o_user[31] *2709:7 0 +12 *7762:DIODE *2709:7 0 +13 *38941:A *2709:7 0 +14 *39357:A *2709:21 0 +15 *39838:A *2709:21 0 +16 *39841:A *2709:21 0 +17 *1007:28 *2709:21 0 +18 *1007:28 *2709:23 0 +19 *1007:45 *2709:7 0 +20 *1007:45 *2709:21 0 +21 *1007:48 *2709:7 0 +22 *1008:39 *2709:23 0 +23 *1016:39 *2709:7 0 +24 *2526:48 *2709:7 0 +25 *2645:42 *2709:23 0 +26 *2646:14 *2709:7 0 +27 *2646:24 *2709:7 0 +28 *2646:24 *2709:21 0 +29 *2646:40 *2709:21 0 +30 *2646:59 *2709:23 0 +31 *2647:65 *2709:7 0 +32 *2650:24 *2709:7 0 +33 *2650:25 *2709:21 0 +34 *2650:25 *2709:23 0 +35 *2663:59 *2709:7 0 +36 *2665:16 *2709:21 0 +37 *2665:28 *2709:23 0 +38 *2666:39 *2709:7 0 +39 *2666:69 *2709:21 0 +40 *2674:15 *39876:A 0 +41 *2674:15 *2709:23 0 +42 *2678:7 *2709:23 0 +43 *2685:16 *39876:A 0 +44 *2685:16 *2709:23 0 +*RES +1 *39877:X *2709:5 9.72857 +2 *2709:5 *2709:7 77.75 +3 *2709:7 *2709:21 39.4643 +4 *2709:21 *2709:23 75.2857 +5 *2709:23 *7807:DIODE 9.3 +6 *2709:23 *39876:A 13.4429 +*END + +*D_NET *2710 0.0218715 +*CONN +*I *39877:A I *D sky130_fd_sc_hd__buf_4 +*I *7808:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39878:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39877:A 0 +2 *7808:DIODE 0.000285105 +3 *39878:X 0.00124388 +4 *2710:70 0.00324322 +5 *2710:54 0.00425489 +6 *2710:44 0.00211727 +7 *2710:25 0.00307293 +8 *2710:24 0.00433137 +9 *2710:15 0.00332281 +10 *2710:15 *7056:DIODE 0 +11 *2710:15 *38934:A 0 +12 *2710:15 *39191:A 0 +13 *2710:15 *4656:27 0 +14 *2710:15 *4665:33 0 +15 *2710:15 *4966:26 0 +16 *2710:24 *4656:27 0 +17 *2710:24 *4938:8 0 +18 *2710:24 *4966:14 0 +19 *2710:25 *4665:30 0 +20 *2710:25 *4933:61 0 +21 *2710:25 *4933:77 0 +22 *2710:44 *6243:DIODE 0 +23 *2710:44 *39179:A 0 +24 *2710:44 *4665:14 0 +25 *2710:70 *39263:A 0 +26 *2710:70 *4644:20 0 +27 la_data_in_core[10] *2710:54 0 +28 la_data_in_core[23] *2710:15 0 +29 la_oenb_core[10] *2710:54 0 +30 la_oenb_core[11] *2710:54 0 +31 la_oenb_core[13] *2710:25 0 +32 la_oenb_core[13] *2710:44 0 +33 la_oenb_core[18] *2710:24 0 +34 la_oenb_core[21] *2710:24 0 +35 la_oenb_core[8] *2710:70 0 +36 *6330:DIODE *2710:25 0 +37 *38926:A *2710:25 0 +38 *38929:A *2710:24 0 +39 *39817:A *7808:DIODE 0 +40 *301:7 *2710:24 0 +41 *322:25 *7808:DIODE 0 +42 *332:15 *7808:DIODE 0 +43 *332:15 *2710:70 0 +44 *354:11 *2710:70 0 +45 *1004:8 *2710:70 0 +46 *1005:46 *7808:DIODE 0 +47 *1007:93 *2710:25 0 +48 *1007:109 *2710:15 0 +49 *1513:31 *2710:25 0 +50 *2492:47 *2710:25 0 +51 *2492:74 *2710:24 0 +52 *2492:74 *2710:25 0 +53 *2493:32 *2710:25 0 +54 *2497:23 *2710:70 0 +55 *2498:50 *2710:44 0 +56 *2518:30 *2710:25 0 +57 *2518:30 *2710:44 0 +58 *2518:37 *2710:54 0 +59 *2520:33 *2710:54 0 +60 *2526:28 *2710:70 0 +61 *2530:20 *2710:54 0 +62 *2543:21 *7808:DIODE 0 +63 *2546:20 *2710:70 0 +64 *2633:20 *2710:54 0 +65 *2633:34 *2710:70 0 +66 *2639:11 *2710:70 0 +67 *2647:33 *2710:25 0 +68 *2647:33 *2710:44 0 +69 *2663:18 *2710:44 0 +70 *2663:25 *2710:44 0 +71 *2679:14 *2710:70 0 +72 *2680:20 *2710:15 0 +73 *2680:24 *2710:15 0 +*RES +1 *39878:X *2710:15 49.05 +2 *2710:15 *2710:24 32.8383 +3 *2710:24 *2710:25 46.9464 +4 *2710:25 *2710:44 35.4821 +5 *2710:44 *2710:54 36.3571 +6 *2710:54 *2710:70 45.2449 +7 *2710:70 *7808:DIODE 20.2286 +8 *2710:70 *39877:A 13.8 +*END + +*D_NET *2711 0.0192319 +*CONN +*I *7809:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39878:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39879:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7809:DIODE 0.000105355 +2 *39878:A 0.000126422 +3 *39879:X 0.00168578 +4 *2711:36 0.00144266 +5 *2711:25 0.0061564 +6 *2711:24 0.00648752 +7 *2711:10 0.00322778 +8 *7809:DIODE *39191:A 0 +9 *39878:A *39191:A 0 +10 *2711:10 *4681:21 0 +11 *2711:10 *4687:19 0 +12 *2711:10 *4956:15 0 +13 *2711:10 *4973:26 0 +14 *2711:24 *4687:7 0 +15 *2711:24 *5049:10 0 +16 *2711:24 *5069:36 0 +17 *2711:25 *38199:A 0 +18 *2711:25 *39837:A 0 +19 *2711:25 *2758:73 0 +20 *2711:25 *4665:65 0 +21 *2711:25 *4953:18 0 +22 *2711:25 *4954:26 0 +23 *2711:25 *4965:24 0 +24 *2711:25 *5049:36 0 +25 la_data_in_core[30] *2711:25 0 +26 la_oenb_core[27] *2711:25 0 +27 la_oenb_core[34] *2711:25 0 +28 *7075:DIODE *2711:10 0 +29 *37795:A *2711:24 0 +30 *39194:A *2711:25 0 +31 *1001:28 *2711:24 0 +32 *1007:112 *2711:25 0 +33 *2451:19 *2711:25 0 +34 *2451:34 *2711:25 0 +35 *2491:13 *2711:36 0 +36 *2575:14 *2711:24 0 +37 *2575:15 *2711:24 0 +38 *2575:15 *2711:25 0 +39 *2575:28 *2711:25 0 +40 *2575:41 *2711:36 0 +41 *2640:16 *2711:25 0 +42 *2680:20 *39878:A 0 +*RES +1 *39879:X *2711:10 49.0679 +2 *2711:10 *2711:24 47.5188 +3 *2711:24 *2711:25 103.214 +4 *2711:25 *2711:36 20.1393 +5 *2711:36 *39878:A 16.8357 +6 *2711:36 *7809:DIODE 16.2554 +*END + +*D_NET *2712 0.0299622 +*CONN +*I *7513:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *7509:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *7503:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *7499:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39523:B I *D sky130_fd_sc_hd__nand2_1 +*I *39530:B I *D sky130_fd_sc_hd__nand2_2 +*I *39525:B I *D sky130_fd_sc_hd__nand2_1 +*I *7553:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *7517:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *7551:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *7497:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39532:B I *D sky130_fd_sc_hd__nand2_2 +*I *7519:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39549:B I *D sky130_fd_sc_hd__nand2_1 +*I *39550:B I *D sky130_fd_sc_hd__nand2_1 +*I *7495:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39522:B I *D sky130_fd_sc_hd__nand2_1 +*I *39533:B I *D sky130_fd_sc_hd__nand2_1 +*I *39521:B I *D sky130_fd_sc_hd__nand2_1 +*I *7557:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *7541:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39552:B I *D sky130_fd_sc_hd__nand2_1 +*I *39544:B I *D sky130_fd_sc_hd__nand2_1 +*I *7549:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *7555:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39551:B I *D sky130_fd_sc_hd__nand2_1 +*I *39548:B I *D sky130_fd_sc_hd__nand2_1 +*I *39528:B I *D sky130_fd_sc_hd__nand2_1 +*I *39880:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *7513:DIODE 0.000173001 +2 *7509:DIODE 0 +3 *7503:DIODE 0 +4 *7499:DIODE 2.56688e-05 +5 *39523:B 0 +6 *39530:B 0 +7 *39525:B 0.000512867 +8 *7553:DIODE 0 +9 *7517:DIODE 0.000429489 +10 *7551:DIODE 0 +11 *7497:DIODE 0 +12 *39532:B 2.0535e-05 +13 *7519:DIODE 0 +14 *39549:B 0 +15 *39550:B 0.000277256 +16 *7495:DIODE 0 +17 *39522:B 0 +18 *39533:B 0 +19 *39521:B 2.0535e-05 +20 *7557:DIODE 0 +21 *7541:DIODE 0 +22 *39552:B 0 +23 *39544:B 0 +24 *7549:DIODE 0 +25 *7555:DIODE 0 +26 *39551:B 0 +27 *39548:B 0.000225882 +28 *39528:B 0.000436686 +29 *39880:X 0 +30 *2712:332 0.000768292 +31 *2712:328 0.000787648 +32 *2712:307 0.000682228 +33 *2712:190 0.00109561 +34 *2712:181 0.00121847 +35 *2712:174 0.00113342 +36 *2712:163 0.000908739 +37 *2712:162 0.00158123 +38 *2712:152 0.0019034 +39 *2712:143 0.000748061 +40 *2712:135 0.00084408 +41 *2712:125 0.00147928 +42 *2712:110 0.00198104 +43 *2712:99 0.00112487 +44 *2712:97 0.000519398 +45 *2712:96 0.000767422 +46 *2712:83 0.00109972 +47 *2712:75 0.00114756 +48 *2712:65 0.00123452 +49 *2712:59 0.000603216 +50 *2712:57 0.000494516 +51 *2712:55 0.00103319 +52 *2712:50 0.00106091 +53 *2712:45 0.000788112 +54 *2712:38 0.00108793 +55 *2712:28 0.000988996 +56 *2712:4 0.000758455 +57 *7499:DIODE *3199:81 0 +58 *7513:DIODE *3188:46 0 +59 *7513:DIODE *4602:54 0 +60 *7517:DIODE *2982:29 0 +61 *7517:DIODE *3184:57 0 +62 *7517:DIODE *3194:63 0 +63 *7517:DIODE *4415:32 0 +64 *7517:DIODE *5172:65 0 +65 *39525:B *39044:A 0 +66 *39525:B *3571:24 0 +67 *39525:B *4774:57 0 +68 *39528:B *39547:B 0 +69 *39528:B *2713:209 0 +70 *39528:B *3106:23 0 +71 *39528:B *5102:19 0 +72 *39548:B *3086:28 0 +73 *39548:B *3105:42 0 +74 *2712:38 *3481:22 0 +75 *2712:38 *4586:63 0 +76 *2712:38 *4590:47 0 +77 *2712:45 *5084:23 0 +78 *2712:50 *5084:23 0 +79 *2712:55 *3211:15 0 +80 *2712:55 *4590:47 0 +81 *2712:65 *7136:DIODE 0 +82 *2712:65 *3188:66 0 +83 *2712:65 *3211:15 0 +84 *2712:75 *3208:24 0 +85 *2712:75 *3266:92 0 +86 *2712:75 *3400:21 0 +87 *2712:75 *4550:71 0 +88 *2712:83 *3041:55 0 +89 *2712:83 *3266:92 0 +90 *2712:96 *3041:55 0 +91 *2712:96 *3113:100 0 +92 *2712:96 *3245:21 0 +93 *2712:96 *3721:24 0 +94 *2712:96 *4590:93 0 +95 *2712:110 *8080:DIODE 0 +96 *2712:110 *40295:A 0 +97 *2712:110 *40373:A 0 +98 *2712:110 *3266:113 0 +99 *2712:110 *3266:134 0 +100 *2712:125 *40295:A 0 +101 *2712:125 *40373:A 0 +102 *2712:125 *40563:A 0 +103 *2712:125 *3261:15 0 +104 *2712:125 *4524:99 0 +105 *2712:135 *40563:A 0 +106 *2712:135 *3235:111 0 +107 *2712:135 *4524:99 0 +108 *2712:135 *5034:16 0 +109 *2712:143 *40383:A 0 +110 *2712:143 *40566:A 0 +111 *2712:143 *2860:20 0 +112 *2712:143 *3235:111 0 +113 *2712:143 *3410:14 0 +114 *2712:143 *3490:14 0 +115 *2712:152 *40566:A 0 +116 *2712:162 *2793:23 0 +117 *2712:162 *3043:52 0 +118 *2712:162 *3087:98 0 +119 *2712:162 *3106:96 0 +120 *2712:162 *3126:29 0 +121 *2712:162 *3230:39 0 +122 *2712:162 *3744:70 0 +123 *2712:163 *5105:9 0 +124 *2712:174 *3422:31 0 +125 *2712:174 *4182:68 0 +126 *2712:174 *5097:13 0 +127 *2712:174 *5193:83 0 +128 *2712:181 *3435:52 0 +129 *2712:181 *4182:68 0 +130 *2712:181 *4261:87 0 +131 *2712:190 *8091:DIODE 0 +132 *2712:190 *8889:DIODE 0 +133 *2712:190 *40248:A 0 +134 *2712:190 *40334:A 0 +135 *2712:190 *40350:A 0 +136 *2712:190 *3086:28 0 +137 *2712:190 *3217:13 0 +138 *2712:190 *3302:28 0 +139 *2712:190 *4274:10 0 +140 *2712:190 *4754:12 0 +141 *2712:328 *3159:21 0 +142 *2712:328 *4590:93 0 +143 *6601:DIODE *2712:125 0 +144 *6603:DIODE *39550:B 0 +145 *6604:DIODE *39550:B 0 +146 *6604:DIODE *2712:75 0 +147 *6604:DIODE *2712:83 0 +148 *6609:DIODE *2712:328 0 +149 *6612:DIODE *2712:55 0 +150 *6649:DIODE *2712:110 0 +151 *6649:DIODE *2712:125 0 +152 *6672:DIODE *2712:97 0 +153 *6672:DIODE *2712:99 0 +154 *6754:DIODE *7517:DIODE 0 +155 *7548:DIODE *2712:181 0 +156 *7624:DIODE *2712:55 0 +157 *8012:DIODE *39548:B 0 +158 *37440:A *2712:135 0 +159 *37471:A *2712:135 0 +160 *39528:A *39528:B 0 +161 *39550:A *39550:B 0 +162 *396:25 *2712:181 0 +163 *401:34 *2712:152 0 +164 *401:51 *2712:143 0 +165 *402:35 *2712:152 0 +166 *405:54 *2712:99 0 +167 *405:54 *2712:110 0 +168 *408:52 *39550:B 0 +169 *409:61 *2712:75 0 +170 *533:40 *2712:125 0 +171 *536:46 *2712:110 0 +172 *539:29 *2712:83 0 +173 *539:48 *2712:328 0 +174 *540:55 *39525:B 0 +175 *541:59 *2712:332 0 +176 *542:60 *39528:B 0 +177 *543:12 *39528:B 0 +178 *781:30 *2712:163 0 +179 *785:43 *2712:152 0 +180 *786:21 *2712:125 0 +181 *788:57 *2712:96 0 +182 *789:59 *2712:97 0 +183 *789:59 *2712:99 0 +184 *789:59 *2712:110 0 +185 *1001:100 *2712:328 0 +186 *1027:96 *2712:97 0 +187 *2373:99 *2712:45 0 +188 *2381:49 *39548:B 0 +189 *2390:12 *2712:190 0 +*RES +1 *39880:X *2712:4 9.3 +2 *2712:4 *39528:B 28.0321 +3 *2712:4 *2712:28 11.8393 +4 *2712:28 *2712:38 24.8214 +5 *2712:38 *2712:45 21.6607 +6 *2712:45 *2712:50 8.82143 +7 *2712:50 *2712:55 22.7143 +8 *2712:55 *2712:57 0.946429 +9 *2712:57 *2712:59 0.535714 +10 *2712:59 *2712:65 12.1786 +11 *2712:65 *2712:75 23.6429 +12 *2712:75 *2712:83 19.1786 +13 *2712:83 *2712:96 25.1247 +14 *2712:96 *2712:97 3 +15 *2712:97 *2712:99 2.17857 +16 *2712:99 *2712:110 30.5179 +17 *2712:110 *2712:125 29.6429 +18 *2712:125 *2712:135 10.9821 +19 *2712:135 *2712:143 15.6071 +20 *2712:143 *2712:152 14.0179 +21 *2712:152 *2712:162 18.339 +22 *2712:162 *2712:163 2.58929 +23 *2712:163 *2712:174 34.7321 +24 *2712:174 *2712:181 16.6071 +25 *2712:181 *2712:190 32 +26 *2712:190 *39548:B 18.6393 +27 *2712:190 *39551:B 13.8 +28 *2712:181 *7555:DIODE 9.3 +29 *2712:174 *7549:DIODE 9.3 +30 *2712:163 *39544:B 9.3 +31 *2712:162 *39552:B 9.3 +32 *2712:152 *7541:DIODE 13.8 +33 *2712:143 *7557:DIODE 9.3 +34 *2712:135 *39521:B 9.72857 +35 *2712:125 *39533:B 9.3 +36 *2712:110 *39522:B 9.3 +37 *2712:99 *7495:DIODE 9.3 +38 *2712:97 *39550:B 24.6571 +39 *2712:96 *39549:B 9.3 +40 *2712:83 *7519:DIODE 9.3 +41 *2712:75 *39532:B 9.72857 +42 *2712:65 *7497:DIODE 9.3 +43 *2712:59 *7551:DIODE 9.3 +44 *2712:57 *7517:DIODE 28.0321 +45 *2712:55 *2712:307 12.2143 +46 *2712:307 *7553:DIODE 9.3 +47 *2712:307 *2712:328 17.0893 +48 *2712:328 *2712:332 5.92857 +49 *2712:332 *39525:B 24.7107 +50 *2712:332 *39530:B 13.8 +51 *2712:328 *39523:B 13.8 +52 *2712:50 *7499:DIODE 14.3357 +53 *2712:45 *7503:DIODE 9.3 +54 *2712:38 *7509:DIODE 9.3 +55 *2712:28 *7513:DIODE 17.6571 +*END + +*D_NET *2713 0.0255478 +*CONN +*I *7535:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39541:B I *D sky130_fd_sc_hd__nand2_1 +*I *39542:B I *D sky130_fd_sc_hd__nand2_1 +*I *7511:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *7559:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *7505:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39526:B I *D sky130_fd_sc_hd__nand2_1 +*I *7515:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *7521:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39534:B I *D sky130_fd_sc_hd__nand2_2 +*I *7501:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *7547:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *7810:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39880:A I *D sky130_fd_sc_hd__buf_4 +*I *39547:B I *D sky130_fd_sc_hd__nand2_1 +*I *39524:B I *D sky130_fd_sc_hd__nand2_1 +*I *39531:B I *D sky130_fd_sc_hd__nand2_2 +*I *39553:B I *D sky130_fd_sc_hd__nand2_1 +*I *39529:B I *D sky130_fd_sc_hd__nand2_1 +*I *7537:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38875:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *7535:DIODE 0.000395055 +2 *39541:B 0.000104715 +3 *39542:B 0.000224201 +4 *7511:DIODE 0.000232345 +5 *7559:DIODE 0 +6 *7505:DIODE 0.000266307 +7 *39526:B 0 +8 *7515:DIODE 0 +9 *7521:DIODE 0 +10 *39534:B 0.000232373 +11 *7501:DIODE 0 +12 *7547:DIODE 9.80294e-05 +13 *7810:DIODE 0 +14 *39880:A 0 +15 *39547:B 0.000104386 +16 *39524:B 5.79164e-05 +17 *39531:B 0 +18 *39553:B 2.56688e-05 +19 *39529:B 0.000170264 +20 *7537:DIODE 2.56688e-05 +21 *38875:X 0.000891495 +22 *2713:303 0.00049977 +23 *2713:209 0.000632764 +24 *2713:191 0.00111811 +25 *2713:186 0.000850049 +26 *2713:178 0.000497974 +27 *2713:176 0.000918003 +28 *2713:169 0.00173896 +29 *2713:137 0.00201059 +30 *2713:116 0.0012288 +31 *2713:106 0.000510281 +32 *2713:101 0.000770365 +33 *2713:83 0.000740397 +34 *2713:69 0.000452692 +35 *2713:65 0.000389251 +36 *2713:59 0.000658641 +37 *2713:52 0.000403589 +38 *2713:49 0.00318209 +39 *2713:28 0.0035941 +40 *2713:16 0.00114836 +41 *2713:11 0.00137456 +42 *7505:DIODE *3194:17 0 +43 *7511:DIODE *8999:DIODE 0 +44 *7511:DIODE *3113:14 0 +45 *7511:DIODE *3486:5 0 +46 *7511:DIODE *4529:46 0 +47 *7535:DIODE *3251:12 0 +48 *7535:DIODE *3695:23 0 +49 *7535:DIODE *4529:16 0 +50 *7537:DIODE *4539:54 0 +51 *7547:DIODE *4602:40 0 +52 *39524:B *40269:A 0 +53 *39529:B *40378:A 0 +54 *39534:B *4784:45 0 +55 *39541:B *40244:A 0 +56 *39542:B *5107:43 0 +57 *39547:B *3106:23 0 +58 *2713:11 *3209:17 0 +59 *2713:11 *4530:9 0 +60 *2713:11 *4533:25 0 +61 *2713:11 *4534:15 0 +62 *2713:16 *3209:17 0 +63 *2713:28 *2994:20 0 +64 *2713:28 *4774:25 0 +65 *2713:49 *2994:20 0 +66 *2713:49 *3403:16 0 +67 *2713:49 *4534:82 0 +68 *2713:49 *4539:69 0 +69 *2713:49 *4774:25 0 +70 *2713:49 *5175:22 0 +71 *2713:49 *5179:29 0 +72 *2713:52 *40313:A 0 +73 *2713:69 *40338:A 0 +74 *2713:83 *40443:A 0 +75 *2713:83 *4589:32 0 +76 *2713:101 *41419:A 0 +77 *2713:101 *2849:48 0 +78 *2713:101 *3209:17 0 +79 *2713:101 *5182:28 0 +80 *2713:101 *5195:21 0 +81 *2713:106 *41419:A 0 +82 *2713:116 *3194:17 0 +83 *2713:116 *3721:43 0 +84 *2713:116 *4586:24 0 +85 *2713:137 *4529:86 0 +86 *2713:169 *7145:DIODE 0 +87 *2713:169 *39049:A 0 +88 *2713:169 *40549:A 0 +89 *2713:169 *3395:23 0 +90 *2713:169 *3471:17 0 +91 *2713:169 *4416:20 0 +92 *2713:169 *4561:27 0 +93 *2713:169 *4784:45 0 +94 *2713:169 *5100:31 0 +95 *2713:169 *5171:77 0 +96 *2713:169 *5189:22 0 +97 *2713:176 *5102:19 0 +98 *2713:186 *4590:47 0 +99 *2713:191 *3478:17 0 +100 *2713:191 *4590:47 0 +101 *2713:209 *40269:A 0 +102 *2713:209 *3106:23 0 +103 *2713:209 *4555:62 0 +104 *6758:DIODE *2713:176 0 +105 *6758:DIODE *2713:186 0 +106 *6816:DIODE *2713:186 0 +107 *6874:DIODE *2713:11 0 +108 *6881:DIODE *2713:116 0 +109 *6906:DIODE *39534:B 0 +110 *6906:DIODE *2713:137 0 +111 *6906:DIODE *2713:169 0 +112 *6939:DIODE *39542:B 0 +113 *6943:DIODE *2713:69 0 +114 *6945:DIODE *2713:49 0 +115 *6947:DIODE *39534:B 0 +116 *6948:DIODE *2713:137 0 +117 *7520:DIODE *2713:137 0 +118 *38798:A *2713:49 0 +119 *39524:A *2713:191 0 +120 *39528:B *39547:B 0 +121 *39528:B *2713:209 0 +122 *39529:A *39529:B 0 +123 *39534:A *2713:137 0 +124 *795:41 *39547:B 0 +125 *966:14 *2713:169 0 +126 *1001:100 *39547:B 0 +127 *1001:100 *2713:209 0 +128 *1105:14 *39534:B 0 +129 *1105:14 *2713:169 0 +130 *1248:24 *2713:169 0 +131 *1248:51 *2713:52 0 +132 *1860:57 *2713:101 0 +133 *1861:20 *39529:B 0 +134 *1861:20 *2713:11 0 +135 *1861:20 *2713:16 0 +136 *1862:91 *2713:69 0 +137 *1862:103 *2713:49 0 +138 *2373:10 *7537:DIODE 0 +*RES +1 *38875:X *2713:11 25.5529 +2 *2713:11 *2713:16 6.1579 +3 *2713:16 *7537:DIODE 14.3357 +4 *2713:16 *2713:28 6.50293 +5 *2713:28 *2713:49 48.7013 +6 *2713:49 *2713:52 9.14286 +7 *2713:52 *2713:59 8.72321 +8 *2713:59 *2713:65 9.25893 +9 *2713:65 *2713:69 8.51786 +10 *2713:69 *39529:B 17.7286 +11 *2713:69 *2713:83 6.67857 +12 *2713:83 *39553:B 9.83571 +13 *2713:83 *2713:101 26.1049 +14 *2713:101 *2713:106 7.91071 +15 *2713:106 *39531:B 13.8 +16 *2713:106 *2713:116 12.2857 +17 *2713:116 *2713:137 29.3214 +18 *2713:137 *2713:169 46.5536 +19 *2713:169 *2713:176 27.3929 +20 *2713:176 *2713:178 1.76786 +21 *2713:178 *2713:186 15.9107 +22 *2713:186 *2713:191 16.1607 +23 *2713:191 *39524:B 15.0679 +24 *2713:191 *2713:209 24.8214 +25 *2713:209 *39547:B 11.4786 +26 *2713:209 *39880:A 9.3 +27 *2713:186 *7810:DIODE 9.3 +28 *2713:178 *7547:DIODE 20.4786 +29 *2713:176 *7501:DIODE 9.3 +30 *2713:137 *39534:B 23.3714 +31 *2713:116 *7521:DIODE 9.3 +32 *2713:101 *7515:DIODE 9.3 +33 *2713:65 *39526:B 9.3 +34 *2713:59 *7505:DIODE 20.1304 +35 *2713:52 *7559:DIODE 9.3 +36 *2713:49 *7511:DIODE 19.2821 +37 *2713:28 *39542:B 22.1832 +38 *2713:11 *2713:303 3.41 +39 *2713:303 *39541:B 16.1304 +40 *2713:303 *7535:DIODE 22.7196 +*END + +*D_NET *2714 0.0210766 +*CONN +*I *7360:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39399:B I *D sky130_fd_sc_hd__nand2_1 +*I *39881:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7360:DIODE 0 +2 *39399:B 0.000102889 +3 *39881:X 5.89896e-05 +4 *2714:57 0.0016001 +5 *2714:33 0.00221936 +6 *2714:24 0.00436662 +7 *2714:9 0.00815704 +8 *2714:8 0.00457156 +9 *39399:B *3142:19 0 +10 *39399:B *3142:40 0 +11 *39399:B *5107:27 0 +12 *2714:9 *7533:DIODE 0 +13 *2714:9 *39527:B 0 +14 *2714:9 *40228:A 0 +15 *2714:9 *40327:A 0 +16 *2714:9 *41401:A 0 +17 *2714:9 *4539:48 0 +18 *2714:9 *4544:14 0 +19 *2714:9 *4576:23 0 +20 *2714:9 *4755:10 0 +21 *2714:9 *4762:10 0 +22 *2714:24 *7290:DIODE 0 +23 *2714:24 *39313:A 0 +24 *2714:24 *2983:26 0 +25 *2714:24 *3254:16 0 +26 *2714:24 *4564:21 0 +27 *2714:24 *4592:16 0 +28 *2714:24 *4596:48 0 +29 *2714:24 *5163:22 0 +30 *2714:33 *7296:DIODE 0 +31 *2714:33 *3033:29 0 +32 *2714:33 *4558:18 0 +33 *2714:33 *4590:14 0 +34 *2714:33 *5107:27 0 +35 *2714:33 *5107:43 0 +36 *2714:33 *5177:16 0 +37 *2714:57 *7296:DIODE 0 +38 *2714:57 *8999:DIODE 0 +39 *2714:57 *40378:A 0 +40 *2714:57 *3142:19 0 +41 *2714:57 *3391:14 0 +42 *2714:57 *4558:18 0 +43 *2714:57 *5107:27 0 +44 mprj_dat_i_core[13] *2714:24 0 +45 *6890:DIODE *2714:8 0 +46 *6911:DIODE *2714:24 0 +47 *6935:DIODE *2714:33 0 +48 *39502:B *2714:9 0 +49 *39516:B *2714:9 0 +50 *927:12 *2714:57 0 +51 *930:20 *2714:24 0 +52 *1036:7 *2714:24 0 +53 *1046:8 *2714:9 0 +54 *1058:12 *2714:33 0 +55 *1603:10 *2714:8 0 +56 *1730:15 *2714:9 0 +57 *1848:15 *2714:9 0 +58 *1862:103 *2714:57 0 +*RES +1 *39881:X *2714:8 19.6393 +2 *2714:8 *2714:9 94.1786 +3 *2714:9 *2714:24 49.788 +4 *2714:24 *2714:33 15.1964 +5 *2714:33 *2714:57 49.875 +6 *2714:57 *39399:B 11.4786 +7 *2714:33 *7360:DIODE 9.3 +*END + +*D_NET *2715 0.0182081 +*CONN +*I *6463:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38323:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *39882:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6463:DIODE 0 +2 *38323:A 0.000156274 +3 *39882:X 0.000847661 +4 *2715:21 0.00134303 +5 *2715:18 0.00278069 +6 *2715:9 0.00691336 +7 *2715:8 0.00616709 +8 *38323:A *3688:32 0 +9 *38323:A *4245:35 0 +10 *2715:8 *3011:36 0 +11 *2715:8 *3584:24 0 +12 *2715:8 *3674:86 0 +13 *2715:8 *4055:52 0 +14 *2715:8 *4735:14 0 +15 *2715:9 *2837:30 0 +16 *2715:9 *3001:25 0 +17 *2715:9 *3014:33 0 +18 *2715:9 *3159:39 0 +19 *2715:9 *3280:15 0 +20 *2715:9 *4102:24 0 +21 *2715:18 *3108:37 0 +22 *2715:18 *3528:37 0 +23 *2715:18 *3676:14 0 +24 *2715:18 *4102:24 0 +25 *2715:18 *4314:28 0 +26 *2715:18 *5006:11 0 +27 *2715:21 *3688:23 0 +28 *2715:21 *3688:32 0 +29 *1310:12 *2715:8 0 +30 *2367:17 *2715:21 0 +31 *2465:7 *2715:18 0 +*RES +1 *39882:X *2715:8 37.55 +2 *2715:8 *2715:9 111.018 +3 *2715:9 *2715:18 47.6964 +4 *2715:18 *2715:21 29.2679 +5 *2715:21 *38323:A 21.6214 +6 *2715:21 *6463:DIODE 9.3 +*END + +*D_NET *2716 0.00379357 +*CONN +*I *37670:B I *D sky130_fd_sc_hd__and2_1 +*I *38451:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *37670:B 0.00124665 +2 *38451:X 0.000650136 +3 *2716:18 0.00189678 +4 *37670:B *39022:A 0 +5 *37670:B *2760:13 0 +6 *37670:B *3274:17 0 +7 *37670:B *3430:48 0 +8 *37670:B *3788:72 0 +9 *2716:18 *2749:25 0 +10 *2716:18 *3105:81 0 +11 *2716:18 *3430:5 0 +12 *2716:18 *3430:48 0 +13 *2716:18 *3497:27 0 +14 *2716:18 *4517:53 0 +15 *2716:18 *4517:62 0 +16 *519:8 *37670:B 0 +*RES +1 *38451:X *2716:18 32.3536 +2 *2716:18 *37670:B 35.3179 +*END + +*D_NET *2717 0.0186669 +*CONN +*I *38321:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *6460:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39883:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38321:A 2.26741e-05 +2 *6460:DIODE 0.000112415 +3 *39883:X 0.000500075 +4 *2717:33 0.00199094 +5 *2717:30 0.00348622 +6 *2717:21 0.00490998 +7 *2717:19 0.00521205 +8 *2717:8 0.00243251 +9 *6460:DIODE *4023:41 0 +10 *38321:A *3427:61 0 +11 *38321:A *3704:15 0 +12 *2717:8 *2915:10 0 +13 *2717:8 *3014:22 0 +14 *2717:8 *4055:39 0 +15 *2717:8 *4070:63 0 +16 *2717:8 *4323:14 0 +17 *2717:19 *2720:8 0 +18 *2717:19 *2981:53 0 +19 *2717:19 *3197:38 0 +20 *2717:19 *3662:29 0 +21 *2717:21 *3662:29 0 +22 *2717:21 *3719:21 0 +23 *2717:30 *3499:48 0 +24 *2717:30 *3770:12 0 +25 *2717:30 *3967:6 0 +26 *2717:33 *3427:61 0 +27 *2717:33 *3676:11 0 +28 *2717:33 *3704:15 0 +29 *6419:DIODE *6460:DIODE 0 +30 *2429:17 *2717:19 0 +31 *2429:17 *2717:21 0 +32 *2432:41 *2717:8 0 +33 *2464:8 *2717:30 0 +34 *2465:7 *2717:30 0 +35 *2474:5 *2717:30 0 +36 *2479:49 *2717:33 0 +*RES +1 *39883:X *2717:8 29.6571 +2 *2717:8 *2717:19 40.6696 +3 *2717:19 *2717:21 68.5089 +4 *2717:21 *2717:30 49.4643 +5 *2717:30 *2717:33 43.2321 +6 *2717:33 *6460:DIODE 20.8536 +7 *2717:33 *38321:A 9.83571 +*END + +*D_NET *2718 0.0187484 +*CONN +*I *38319:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6457:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39884:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38319:A 0 +2 *6457:DIODE 0.000273579 +3 *39884:X 0.00149558 +4 *2718:12 0.00787862 +5 *2718:6 0.00910063 +6 *6457:DIODE *5016:25 0 +7 *6457:DIODE *5017:36 0 +8 *6457:DIODE *5024:40 0 +9 *2718:6 *3545:30 0 +10 *2718:6 *3654:14 0 +11 *2718:6 *3762:18 0 +12 *2718:6 *4057:16 0 +13 *2718:12 *4720:36 0 +14 *2718:12 *4721:23 0 +15 *2718:12 *5010:40 0 +16 *2718:12 *5013:28 0 +17 la_data_in_core[93] *6457:DIODE 0 +18 *39010:A *6457:DIODE 0 +19 *362:7 *2718:6 0 +20 *380:5 *6457:DIODE 0 +21 *1013:74 *2718:12 0 +22 *1015:109 *2718:6 0 +23 *2447:31 *6457:DIODE 0 +24 *2459:19 *6457:DIODE 0 +25 *2464:24 *2718:12 0 +26 *2471:21 *6457:DIODE 0 +*RES +1 *39884:X *2718:6 47.7732 +2 *2718:6 *2718:12 34.6012 +3 *2718:12 *6457:DIODE 19.9607 +4 *2718:12 *38319:A 13.8 +*END + +*D_NET *2719 0.0184369 +*CONN +*I *39884:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7813:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39885:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39884:A 0.00018633 +2 *7813:DIODE 0 +3 *39885:X 0.00146255 +4 *2719:15 0.000803086 +5 *2719:9 0.00756957 +6 *2719:8 0.00695282 +7 *2719:6 0.00146255 +8 *39884:A *4031:21 0 +9 *2719:6 *2962:38 0 +10 *2719:6 *4024:40 0 +11 *2719:9 *2723:17 0 +12 *2719:9 *2931:9 0 +13 *2719:9 *3001:25 0 +14 *2719:9 *3014:31 0 +15 *2719:9 *3942:80 0 +16 *2719:15 *4031:21 0 +17 *1287:29 *2719:9 0 +18 *2265:59 *2719:6 0 +19 *2268:43 *2719:6 0 +20 *2273:43 *2719:6 0 +21 *2312:32 *2719:9 0 +*RES +1 *39885:X *2719:6 47.0143 +2 *2719:6 *2719:8 4.5 +3 *2719:8 *2719:9 145.107 +4 *2719:9 *2719:15 22.0536 +5 *2719:15 *7813:DIODE 9.3 +6 *2719:15 *39884:A 22.3357 +*END + +*D_NET *2720 0.0182071 +*CONN +*I *6454:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38317:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *39886:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6454:DIODE 0.00014075 +2 *38317:A 0 +3 *39886:X 0.0014202 +4 *2720:23 0.000789552 +5 *2720:11 0.00754258 +6 *2720:10 0.00689378 +7 *2720:8 0.0014202 +8 *6454:DIODE *39262:A 0 +9 *6454:DIODE *4738:31 0 +10 *2720:8 *38265:A 0 +11 *2720:8 *39244:A 0 +12 *2720:8 *2918:16 0 +13 *2720:8 *2933:18 0 +14 *2720:8 *3014:28 0 +15 *2720:8 *3701:20 0 +16 *2720:8 *3752:16 0 +17 *2720:8 *3979:32 0 +18 *2720:8 *4717:6 0 +19 *2720:11 *2942:9 0 +20 *2720:11 *2956:17 0 +21 *2720:11 *3673:11 0 +22 *2720:11 *4257:33 0 +23 *2720:23 *4738:31 0 +24 *2720:23 *5010:41 0 +25 *38297:A *2720:23 0 +26 *357:23 *2720:23 0 +27 *359:19 *2720:23 0 +28 *360:14 *2720:23 0 +29 *374:5 *2720:23 0 +30 *1026:101 *2720:8 0 +31 *2448:36 *2720:23 0 +32 *2476:16 *2720:11 0 +33 *2478:32 *2720:11 0 +34 *2717:19 *2720:8 0 +*RES +1 *39886:X *2720:8 45.9429 +2 *2720:8 *2720:10 4.5 +3 *2720:10 *2720:11 143.875 +4 *2720:11 *2720:23 32.1429 +5 *2720:23 *38317:A 9.3 +6 *2720:23 *6454:DIODE 12.3 +*END + +*D_NET *2721 0.0185723 +*CONN +*I *6436:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38303:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39887:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6436:DIODE 0 +2 *38303:A 0.000187596 +3 *39887:X 0.000592339 +4 *2721:15 0.00670447 +5 *2721:14 0.0085062 +6 *2721:10 0.00258167 +7 *38303:A *3704:27 0 +8 *38303:A *3708:25 0 +9 *2721:10 *3432:97 0 +10 *2721:14 *2922:16 0 +11 *2721:14 *3479:98 0 +12 *2721:14 *4729:10 0 +13 *2721:15 *39668:A 0 +14 *2721:15 *3708:25 0 +15 *2721:15 *3708:41 0 +16 *38299:A *2721:15 0 +17 *38301:A *2721:15 0 +18 *38335:A *2721:15 0 +19 *281:15 *2721:14 0 +20 *377:44 *2721:15 0 +21 *1456:36 *2721:10 0 +22 *1548:13 *2721:15 0 +23 *1844:23 *2721:14 0 +24 *2423:31 *2721:10 0 +25 *2471:6 *2721:14 0 +26 *2477:5 *38303:A 0 +27 *2477:5 *2721:15 0 +*RES +1 *39887:X *2721:10 27.2018 +2 *2721:10 *2721:14 49.7054 +3 *2721:14 *2721:15 136.071 +4 *2721:15 *38303:A 13.2821 +5 *2721:15 *6436:DIODE 9.3 +*END + +*D_NET *2722 0.0173224 +*CONN +*I *6412:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38285:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *39888:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6412:DIODE 0.000101392 +2 *38285:A 0 +3 *39888:X 0.0014983 +4 *2722:27 0.000193371 +5 *2722:19 0.00706148 +6 *2722:18 0.0069695 +7 *2722:16 0.0014983 +8 *6412:DIODE *3277:48 0 +9 *2722:16 *6408:DIODE 0 +10 *2722:16 *8518:DIODE 0 +11 *2722:16 *2918:16 0 +12 *2722:16 *3072:48 0 +13 *2722:16 *3073:68 0 +14 *2722:16 *4048:25 0 +15 *2722:19 *2958:35 0 +16 *2722:19 *3118:33 0 +17 *361:14 *2722:19 0 +18 *368:10 *2722:19 0 +19 *368:28 *6412:DIODE 0 +20 *368:28 *2722:19 0 +21 *368:28 *2722:27 0 +22 *1015:110 *2722:19 0 +23 *1864:50 *2722:19 0 +24 *2367:16 *2722:19 0 +25 *2384:77 *2722:16 0 +*RES +1 *39888:X *2722:16 47.8714 +2 *2722:16 *2722:18 4.5 +3 *2722:18 *2722:19 145.518 +4 *2722:19 *2722:27 2.17857 +5 *2722:27 *38285:A 9.3 +6 *2722:27 *6412:DIODE 11.4786 +*END + +*D_NET *2723 0.0177215 +*CONN +*I *38271:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6391:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39889:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38271:A 0.000206251 +2 *6391:DIODE 0 +3 *39889:X 0.00132765 +4 *2723:20 0.000265182 +5 *2723:17 0.00537836 +6 *2723:16 0.00726794 +7 *2723:10 0.00327616 +8 *38271:A *5131:10 0 +9 *2723:10 *2822:32 0 +10 *2723:10 *3159:40 0 +11 *2723:10 *3445:70 0 +12 *2723:10 *4241:21 0 +13 *2723:16 *3078:20 0 +14 *2723:16 *3713:39 0 +15 *2723:17 *2931:9 0 +16 *2723:17 *3717:15 0 +17 *1210:54 *2723:10 0 +18 *1287:29 *2723:17 0 +19 *1436:25 *2723:16 0 +20 *2317:42 *38271:A 0 +21 *2719:9 *2723:17 0 +*RES +1 *39889:X *2723:10 48.4071 +2 *2723:10 *2723:16 49.875 +3 *2723:16 *2723:17 111.018 +4 *2723:17 *2723:20 5.83929 +5 *2723:20 *6391:DIODE 13.8 +6 *2723:20 *38271:A 18.2464 +*END + +*D_NET *2724 0.0186301 +*CONN +*I *6388:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38269:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *39890:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *6388:DIODE 0.000155216 +2 *38269:A 2.25144e-05 +3 *39890:X 0.000707266 +4 *2724:11 0.00860778 +5 *2724:8 0.00913732 +6 *6388:DIODE *3445:53 0 +7 *6388:DIODE *3655:37 0 +8 *38269:A *4316:23 0 +9 *38269:A *4347:22 0 +10 *2724:8 *3693:8 0 +11 *2724:11 *2848:13 0 +12 *2724:11 *2863:46 0 +13 *2724:11 *5121:22 0 +14 *1006:10 *2724:11 0 +15 *1030:8 *2724:11 0 +16 *2293:13 *2724:8 0 +17 *2305:14 *2724:8 0 +18 *2539:14 *2724:8 0 +*RES +1 *39890:X *2724:8 33.2725 +2 *2724:8 *2724:11 33.9412 +3 *2724:11 *38269:A 14.3804 +4 *2724:11 *6388:DIODE 17.2018 +*END + +*D_NET *2725 0.0184825 +*CONN +*I *39890:A I *D sky130_fd_sc_hd__buf_2 +*I *7815:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39891:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39890:A 0.000121071 +2 *7815:DIODE 0 +3 *39891:X 0.000917973 +4 *2725:65 0.00147826 +5 *2725:47 0.00369989 +6 *2725:33 0.00454466 +7 *2725:25 0.0045023 +8 *2725:18 0.00321832 +9 *39890:A *5124:23 0 +10 *2725:18 *2740:27 0 +11 *2725:18 *3562:49 0 +12 *2725:25 *39770:A 0 +13 *2725:25 *39777:A 0 +14 *2725:25 *5071:13 0 +15 *2725:33 *39747:A 0 +16 *2725:33 *40006:A 0 +17 *2725:33 *2740:38 0 +18 *2725:33 *5071:13 0 +19 *2725:47 *39747:A 0 +20 *2725:47 *5116:55 0 +21 *2725:47 *5140:38 0 +22 *2725:65 *39727:A 0 +23 *2725:65 *2870:23 0 +24 *2725:65 *5124:23 0 +25 *37741:A *2725:33 0 +26 *37811:A *2725:25 0 +27 *39486:B *2725:47 0 +28 *39486:B *2725:65 0 +29 *1818:19 *2725:47 0 +30 *1944:42 *2725:33 0 +31 *2174:25 *2725:18 0 +32 *2197:38 *2725:25 0 +33 *2199:30 *2725:18 0 +34 *2225:18 *2725:33 0 +35 *2225:28 *2725:65 0 +36 *2251:33 *2725:65 0 +37 *2270:12 *2725:47 0 +38 *2322:40 *2725:65 0 +39 *2324:52 *39890:A 0 +40 *2324:52 *2725:65 0 +41 *2324:60 *2725:33 0 +42 *2324:60 *2725:47 0 +43 *2324:60 *2725:65 0 +44 *2324:69 *2725:33 0 +45 *2542:10 *2725:65 0 +*RES +1 *39891:X *2725:18 47.8357 +2 *2725:18 *2725:25 48.1339 +3 *2725:25 *2725:33 46.0804 +4 *2725:33 *2725:47 49.0179 +5 *2725:47 *2725:65 37.8571 +6 *2725:65 *7815:DIODE 9.3 +7 *2725:65 *39890:A 11.8893 +*END + +*D_NET *2726 0.0183761 +*CONN +*I *38265:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6382:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39892:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38265:A 0.000220928 +2 *6382:DIODE 0 +3 *39892:X 0.000308406 +4 *2726:27 0.00236073 +5 *2726:23 0.00509622 +6 *2726:21 0.0046436 +7 *2726:18 0.00356249 +8 *2726:14 0.00218371 +9 *38265:A *2958:39 0 +10 *38265:A *3688:22 0 +11 *38265:A *3701:20 0 +12 *38265:A *4989:8 0 +13 *2726:14 *4345:84 0 +14 *2726:14 *4358:51 0 +15 *2726:18 *2962:38 0 +16 *2726:18 *3085:46 0 +17 *2726:18 *5121:12 0 +18 *2726:21 *2746:11 0 +19 *2726:21 *3795:49 0 +20 *2726:21 *5146:9 0 +21 *2726:23 *2746:11 0 +22 *2726:23 *3166:37 0 +23 *2726:23 *5144:5 0 +24 *2726:27 *37749:A 0 +25 *2726:27 *2958:39 0 +26 *2726:27 *4989:11 0 +27 *2726:27 *5144:5 0 +28 *1407:44 *2726:14 0 +29 *2242:65 *2726:14 0 +30 *2242:65 *2726:18 0 +31 *2256:27 *2726:18 0 +32 *2260:59 *2726:18 0 +33 *2437:20 *2726:18 0 +34 *2466:16 *2726:23 0 +35 *2720:8 *38265:A 0 +*RES +1 *39892:X *2726:14 21.1214 +2 *2726:14 *2726:18 47.125 +3 *2726:18 *2726:21 35.2589 +4 *2726:21 *2726:23 61.7321 +5 *2726:23 *2726:27 44.7054 +6 *2726:27 *6382:DIODE 9.3 +7 *2726:27 *38265:A 23.2286 +*END + +*D_NET *2727 0.0186835 +*CONN +*I *37672:B I *D sky130_fd_sc_hd__and2_4 +*I *5495:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38452:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *37672:B 0.000550074 +2 *5495:DIODE 0 +3 *38452:X 0.000883413 +4 *2727:82 0.000983392 +5 *2727:79 0.00162672 +6 *2727:65 0.00253745 +7 *2727:49 0.00298809 +8 *2727:34 0.0037071 +9 *2727:24 0.00329347 +10 *2727:18 0.00211382 +11 *37672:B *40320:A 0 +12 *37672:B *3053:14 0 +13 *37672:B *3236:38 0 +14 *37672:B *3319:22 0 +15 *37672:B *4012:18 0 +16 *37672:B *4236:30 0 +17 *2727:18 *39020:A 0 +18 *2727:18 *3018:20 0 +19 *2727:18 *3134:23 0 +20 *2727:18 *3788:52 0 +21 *2727:18 *4204:32 0 +22 *2727:18 *4648:17 0 +23 *2727:24 *2738:7 0 +24 *2727:34 *4875:42 0 +25 *2727:34 *4878:33 0 +26 *2727:34 *4884:17 0 +27 *2727:49 *40404:A 0 +28 *2727:49 *3217:59 0 +29 *2727:49 *3517:21 0 +30 *2727:49 *3517:40 0 +31 *2727:49 *3523:54 0 +32 *2727:49 *4112:60 0 +33 *2727:49 *4297:55 0 +34 *2727:65 *3148:61 0 +35 *2727:65 *3148:74 0 +36 *2727:65 *3440:38 0 +37 *2727:65 *3511:66 0 +38 *2727:65 *4509:53 0 +39 *2727:79 *3144:50 0 +40 *2727:79 *3436:16 0 +41 *2727:79 *3440:9 0 +42 la_data_in_mprj[101] *2727:18 0 +43 *6578:DIODE *2727:49 0 +44 *40593:A *2727:65 0 +45 *390:10 *2727:18 0 +46 *509:5 *2727:49 0 +47 *637:10 *2727:34 0 +48 *1227:45 *2727:49 0 +49 *1230:21 *2727:34 0 +50 *2008:48 *2727:34 0 +51 *2008:59 *2727:34 0 +52 *2141:64 *37672:B 0 +53 *2371:38 *2727:18 0 +54 *2390:15 *2727:34 0 +55 *2415:26 *2727:34 0 +*RES +1 *38452:X *2727:18 46.1393 +2 *2727:18 *2727:24 35.2321 +3 *2727:24 *2727:34 48.2054 +4 *2727:34 *2727:49 49.5446 +5 *2727:49 *2727:65 46.4286 +6 *2727:65 *2727:79 47.6964 +7 *2727:79 *2727:82 14.3393 +8 *2727:82 *5495:DIODE 9.3 +9 *2727:82 *37672:B 30.4964 +*END + +*D_NET *2728 0.0188645 +*CONN +*I *6379:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38263:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *39893:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *6379:DIODE 0.000104386 +2 *38263:A 0 +3 *39893:X 0.00109034 +4 *2728:26 0.000617753 +5 *2728:21 0.00296645 +6 *2728:19 0.00247361 +7 *2728:17 0.00527108 +8 *2728:16 0.00634089 +9 *6379:DIODE *4711:25 0 +10 *6379:DIODE *4984:10 0 +11 *2728:16 *38960:A 0 +12 *2728:16 *2934:24 0 +13 *2728:16 *3795:43 0 +14 *2728:16 *5122:13 0 +15 *2728:17 *2734:19 0 +16 *2728:17 *3324:55 0 +17 *2728:17 *3796:17 0 +18 *2728:17 *4689:5 0 +19 *2728:21 *3796:17 0 +20 *2728:21 *4257:27 0 +21 *2728:26 *38261:A 0 +22 *2728:26 *2730:20 0 +23 *347:10 *2728:26 0 +24 *350:13 *2728:26 0 +25 *2298:11 *2728:16 0 +26 *2344:23 *2728:17 0 +27 *2539:14 *2728:16 0 +28 *2542:10 *2728:16 0 +*RES +1 *39893:X *2728:16 43.3536 +2 *2728:16 *2728:17 109.58 +3 *2728:17 *2728:19 0.428571 +4 *2728:19 *2728:21 51.2589 +5 *2728:21 *2728:26 20.6607 +6 *2728:26 *38263:A 9.3 +7 *2728:26 *6379:DIODE 11.4786 +*END + +*D_NET *2729 0.0177404 +*CONN +*I *39893:A I *D sky130_fd_sc_hd__buf_2 +*I *7817:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39894:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39893:A 0.00018149 +2 *7817:DIODE 0 +3 *39894:X 0.000806337 +4 *2729:17 0.00189821 +5 *2729:11 0.00788236 +6 *2729:10 0.00697198 +7 *39893:A *2846:17 0 +8 *2729:10 *5058:10 0 +9 *2729:11 *2950:55 0 +10 *2729:11 *2950:59 0 +11 *2729:11 *4679:11 0 +12 *2729:11 *5116:54 0 +13 *2729:11 *5117:33 0 +14 *2729:11 *5140:28 0 +15 *2729:17 *2846:15 0 +16 *2729:17 *2846:17 0 +17 *2729:17 *2875:39 0 +18 *39863:A *2729:17 0 +19 *1309:32 *2729:17 0 +20 *1508:19 *2729:11 0 +21 *1943:28 *2729:11 0 +22 *2168:9 *2729:10 0 +23 *2170:9 *2729:10 0 +24 *2254:38 *2729:11 0 +25 *2274:15 *2729:17 0 +26 *2539:14 *39893:A 0 +27 *2561:12 *2729:11 0 +28 *2561:15 *2729:11 0 +29 *2602:34 *39893:A 0 +30 *2695:24 *2729:17 0 +*RES +1 *39894:X *2729:10 36.5679 +2 *2729:10 *2729:11 128.679 +3 *2729:11 *2729:17 45.125 +4 *2729:17 *7817:DIODE 9.3 +5 *2729:17 *39893:A 22.4071 +*END + +*D_NET *2730 0.0191797 +*CONN +*I *38261:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6376:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39895:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38261:A 0.000448339 +2 *6376:DIODE 0 +3 *39895:X 0.00139532 +4 *2730:20 0.000493918 +5 *2730:17 0.00774621 +6 *2730:16 0.00909596 +7 *38261:A *38979:A 0 +8 *38261:A *39233:A 0 +9 *38261:A *4709:41 0 +10 *38261:A *4710:13 0 +11 *2730:16 *3804:14 0 +12 *2730:16 *4974:32 0 +13 *2730:17 *3362:21 0 +14 *2730:17 *3362:23 0 +15 la_oenb_core[63] *38261:A 0 +16 *347:10 *38261:A 0 +17 *348:32 *2730:16 0 +18 *350:13 *38261:A 0 +19 *350:13 *2730:20 0 +20 *1011:218 *2730:16 0 +21 *1017:86 *2730:16 0 +22 *1021:16 *2730:16 0 +23 *1511:30 *2730:16 0 +24 *2282:23 *2730:16 0 +25 *2298:11 *2730:16 0 +26 *2677:18 *2730:16 0 +27 *2681:5 *2730:17 0 +28 *2689:12 *2730:16 0 +29 *2728:26 *38261:A 0 +30 *2728:26 *2730:20 0 +*RES +1 *39895:X *2730:16 47.3252 +2 *2730:16 *2730:17 160.714 +3 *2730:17 *2730:20 5.53571 +4 *2730:20 *6376:DIODE 13.8 +5 *2730:20 *38261:A 23.8893 +*END + +*D_NET *2731 0.0185124 +*CONN +*I *39895:A I *D sky130_fd_sc_hd__buf_2 +*I *7819:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39896:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39895:A 0.000124066 +2 *7819:DIODE 0 +3 *39896:X 0.00111697 +4 *2731:32 0.000196426 +5 *2731:27 0.00730069 +6 *2731:26 0.00794278 +7 *2731:12 0.00183142 +8 *2731:12 *3566:52 0 +9 *2731:12 *5039:16 0 +10 *2731:27 *39767:A 0 +11 *2731:27 *4672:17 0 +12 *2731:27 *5108:7 0 +13 *2731:32 *3795:28 0 +14 *39480:B *2731:12 0 +15 *39720:A *2731:26 0 +16 *351:23 *2731:32 0 +17 *1288:28 *2731:12 0 +18 *1810:21 *2731:12 0 +19 *2158:17 *2731:12 0 +20 *2256:24 *39895:A 0 +21 *2522:5 *2731:27 0 +22 *2534:7 *2731:26 0 +23 *2539:46 *2731:12 0 +24 *2564:38 *2731:12 0 +25 *2637:37 *2731:27 0 +26 *2637:53 *2731:26 0 +27 *2637:53 *2731:27 0 +28 *2637:55 *2731:26 0 +29 *2677:18 *39895:A 0 +30 *2693:50 *2731:27 0 +31 *2693:57 *2731:27 0 +*RES +1 *39896:X *2731:12 43.675 +2 *2731:12 *2731:26 24.2143 +3 *2731:26 *2731:27 150.857 +4 *2731:27 *2731:32 10.6429 +5 *2731:32 *7819:DIODE 9.3 +6 *2731:32 *39895:A 11.8893 +*END + +*D_NET *2732 0.0222404 +*CONN +*I *6373:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38259:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39897:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6373:DIODE 0.000259397 +2 *38259:A 6.58832e-05 +3 *39897:X 0.000165839 +4 *2732:67 0.00336844 +5 *2732:36 0.00446763 +6 *2732:15 0.00581747 +7 *2732:14 0.00616147 +8 *2732:8 0.00193431 +9 *6373:DIODE *3651:14 0 +10 *6373:DIODE *3787:38 0 +11 *38259:A *3083:67 0 +12 *2732:14 *3550:19 0 +13 *2732:14 *4338:20 0 +14 *2732:15 *2948:37 0 +15 *2732:15 *3550:9 0 +16 *2732:15 *3550:18 0 +17 *2732:15 *3581:35 0 +18 *2732:15 *4338:20 0 +19 *2732:15 *5136:18 0 +20 *2732:36 *3812:11 0 +21 *2732:36 *4311:22 0 +22 *2732:36 *4325:34 0 +23 *2732:36 *4338:21 0 +24 *2732:36 *4347:13 0 +25 *2732:67 *3083:67 0 +26 *2732:67 *3120:50 0 +27 *2732:67 *3592:9 0 +28 *2732:67 *3651:14 0 +29 *2732:67 *3787:38 0 +30 *2732:67 *3808:19 0 +31 *2732:67 *4370:32 0 +32 *8498:DIODE *2732:67 0 +33 *40635:A *2732:67 0 +34 *40642:A *2732:67 0 +35 *40711:A *38259:A 0 +36 *1816:13 *2732:36 0 +37 *1931:36 *2732:14 0 +38 *2059:39 *2732:67 0 +39 *2192:14 *2732:14 0 +40 *2216:11 *2732:15 0 +41 *2239:10 *2732:15 0 +42 *2246:8 *2732:15 0 +43 *2249:16 *2732:15 0 +44 *2270:55 *2732:67 0 +45 *2275:46 *2732:36 0 +46 *2287:20 *2732:36 0 +47 *2290:25 *2732:36 0 +48 *2291:16 *2732:36 0 +49 *2312:15 *2732:67 0 +50 *2321:36 *2732:67 0 +51 *2327:38 *2732:15 0 +52 *2346:54 *2732:15 0 +*RES +1 *39897:X *2732:8 22.0679 +2 *2732:8 *2732:14 46 +3 *2732:14 *2732:15 91.7143 +4 *2732:15 *2732:36 49.8168 +5 *2732:36 *2732:67 48.6722 +6 *2732:67 *38259:A 10.675 +7 *2732:67 *6373:DIODE 24.1929 +*END + +*D_NET *2733 0.0221313 +*CONN +*I *7821:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39897:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39898:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7821:DIODE 0.000342046 +2 *39897:A 0 +3 *39898:X 0.00153418 +4 *2733:110 0.00157656 +5 *2733:106 0.00236923 +6 *2733:100 0.00244539 +7 *2733:86 0.00235586 +8 *2733:72 0.00236165 +9 *2733:56 0.00265074 +10 *2733:42 0.00314787 +11 *2733:20 0.00334779 +12 *7821:DIODE *3588:45 0 +13 *7821:DIODE *3831:14 0 +14 *2733:20 *37980:A_N 0 +15 *2733:20 *3596:72 0 +16 *2733:20 *3839:35 0 +17 *2733:20 *3854:17 0 +18 *2733:20 *4380:21 0 +19 *2733:20 *4397:20 0 +20 *2733:42 *3151:24 0 +21 *2733:42 *3565:41 0 +22 *2733:42 *3568:22 0 +23 *2733:42 *3630:37 0 +24 *2733:42 *3850:32 0 +25 *2733:42 *3884:23 0 +26 *2733:56 *3565:25 0 +27 *2733:56 *3580:65 0 +28 *2733:56 *3591:17 0 +29 *2733:56 *3643:29 0 +30 *2733:56 *4458:53 0 +31 *2733:72 *2747:24 0 +32 *2733:72 *3591:17 0 +33 *2733:72 *3646:38 0 +34 *2733:86 *3619:18 0 +35 *2733:86 *3856:37 0 +36 *2733:86 *3861:50 0 +37 *2733:100 *3599:49 0 +38 *2733:100 *3861:34 0 +39 *40040:A *2733:20 0 +40 *40880:A *2733:56 0 +41 *40882:A *2733:20 0 +42 *1403:43 *2733:42 0 +43 *1887:15 *2733:56 0 +44 *1912:25 *2733:100 0 +45 *1922:13 *2733:86 0 +46 *1923:8 *2733:72 0 +47 *1931:35 *2733:106 0 +48 *1931:36 *2733:110 0 +49 *1948:19 *2733:72 0 +50 *1948:19 *2733:86 0 +51 *1949:34 *2733:72 0 +52 *1951:18 *2733:86 0 +53 *1953:11 *2733:72 0 +54 *1954:24 *2733:72 0 +55 *1954:24 *2733:86 0 +56 *1955:16 *2733:86 0 +57 *2159:40 *2733:100 0 +58 *2161:35 *2733:72 0 +59 *2163:14 *2733:100 0 +60 *2163:28 *2733:100 0 +61 *2169:12 *2733:100 0 +62 *2169:12 *2733:106 0 +63 *2179:36 *2733:56 0 +64 *2188:13 *2733:106 0 +65 *2190:8 *2733:100 0 +66 *2190:8 *2733:106 0 +67 *2190:29 *2733:100 0 +68 *2191:30 *2733:20 0 +69 *2192:14 *2733:110 0 +70 *2195:21 *2733:56 0 +71 *2195:21 *2733:72 0 +72 *2201:26 *2733:100 0 +73 *2202:64 *2733:42 0 +74 *2206:31 *2733:100 0 +*RES +1 *39898:X *2733:20 46.0631 +2 *2733:20 *2733:42 45.5044 +3 *2733:42 *2733:56 46.1071 +4 *2733:56 *2733:72 46.5893 +5 *2733:72 *2733:86 44.875 +6 *2733:86 *2733:100 45.6071 +7 *2733:100 *2733:106 32.8393 +8 *2733:106 *2733:110 30.5714 +9 *2733:110 *39897:A 13.8 +10 *2733:110 *7821:DIODE 21.55 +*END + +*D_NET *2734 0.0185207 +*CONN +*I *38255:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *6367:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39899:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38255:A 0.000121071 +2 *6367:DIODE 0 +3 *39899:X 0.00122611 +4 *2734:19 0.00565398 +5 *2734:17 0.00694512 +6 *2734:10 0.00238025 +7 *2734:7 0.00219415 +8 *38255:A *3668:31 0 +9 *38255:A *3796:17 0 +10 *2734:7 *2739:29 0 +11 *2734:7 *2853:11 0 +12 *2734:7 *3899:29 0 +13 *2734:10 *39902:A 0 +14 *2734:10 *2737:14 0 +15 *2734:10 *4680:10 0 +16 *2734:10 *5118:18 0 +17 *2734:17 *2737:26 0 +18 *2734:17 *3324:51 0 +19 *2734:19 *3668:31 0 +20 *2734:19 *3796:17 0 +21 *2734:19 *4689:5 0 +22 *2734:19 *4691:20 0 +23 *39485:A *2734:7 0 +24 *350:22 *2734:17 0 +25 *352:18 *2734:19 0 +26 *1007:136 *2734:10 0 +27 *1689:11 *2734:7 0 +28 *2344:23 *2734:19 0 +29 *2607:9 *2734:19 0 +30 *2607:19 *2734:17 0 +31 *2728:17 *2734:19 0 +*RES +1 *39899:X *2734:7 39.3893 +2 *2734:7 *2734:10 26.4821 +3 *2734:10 *2734:17 29.75 +4 *2734:17 *2734:19 115.536 +5 *2734:19 *6367:DIODE 9.3 +6 *2734:19 *38255:A 11.8893 +*END + +*D_NET *2735 0.0190743 +*CONN +*I *39899:A I *D sky130_fd_sc_hd__buf_2 +*I *7822:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39900:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39899:A 0.000141606 +2 *7822:DIODE 0 +3 *39900:X 0.000997378 +4 *2735:39 0.00542167 +5 *2735:37 0.00671538 +6 *2735:32 0.00311809 +7 *2735:14 0.00268015 +8 *39899:A *2739:29 0 +9 *39899:A *3899:29 0 +10 *2735:14 *39908:A 0 +11 *2735:14 *2864:28 0 +12 *2735:14 *3548:23 0 +13 *2735:14 *3561:28 0 +14 *2735:32 *2881:17 0 +15 *2735:32 *2883:20 0 +16 *2735:32 *4942:16 0 +17 *2735:37 *2739:20 0 +18 *2735:37 *2744:9 0 +19 *2735:37 *5071:21 0 +20 *2735:39 *39804:A 0 +21 *2735:39 *39906:A 0 +22 *2735:39 *2739:27 0 +23 *2735:39 *2739:29 0 +24 *2735:39 *2744:9 0 +25 *2735:39 *3899:29 0 +26 *2735:39 *5058:16 0 +27 *2735:39 *5071:21 0 +28 *39871:A *2735:32 0 +29 *341:33 *2735:14 0 +30 *1909:70 *2735:14 0 +31 *1922:26 *2735:32 0 +32 *1925:36 *2735:32 0 +33 *1925:38 *2735:32 0 +34 *1930:62 *2735:14 0 +35 *1940:18 *2735:32 0 +36 *1940:47 *2735:39 0 +37 *2160:25 *2735:39 0 +38 *2174:60 *2735:39 0 +39 *2187:16 *2735:32 0 +40 *2598:22 *2735:39 0 +41 *2699:38 *2735:39 0 +42 *2703:29 *2735:32 0 +*RES +1 *39900:X *2735:14 40.8893 +2 *2735:14 *2735:32 49.25 +3 *2735:32 *2735:37 34.5179 +4 *2735:37 *2735:39 110.196 +5 *2735:39 *7822:DIODE 9.3 +6 *2735:39 *39899:A 12.3179 +*END + +*D_NET *2736 0.0188096 +*CONN +*I *38251:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6361:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39901:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38251:A 0.00014075 +2 *6361:DIODE 0 +3 *39901:X 0.000763585 +4 *2736:47 0.00138654 +5 *2736:46 0.00250847 +6 *2736:34 0.0034759 +7 *2736:19 0.00479722 +8 *2736:18 0.00377879 +9 *2736:15 0.00195838 +10 *38251:A *3795:28 0 +11 *2736:15 *8367:DIODE 0 +12 *2736:15 *2852:21 0 +13 *2736:15 *4346:21 0 +14 *2736:18 *8359:DIODE 0 +15 *2736:18 *2890:42 0 +16 *2736:34 *39830:A 0 +17 *2736:34 *4672:29 0 +18 *2736:34 *5108:16 0 +19 *2736:34 *5109:32 0 +20 *2736:46 *5038:28 0 +21 *2736:47 *3795:28 0 +22 *2736:47 *5114:15 0 +23 *37789:A *2736:47 0 +24 *1030:8 *2736:34 0 +25 *1289:28 *2736:34 0 +26 *1529:52 *2736:18 0 +27 *1685:18 *2736:15 0 +28 *1902:19 *2736:18 0 +29 *2158:17 *2736:18 0 +30 *2173:25 *2736:18 0 +31 *2196:44 *2736:15 0 +32 *2232:35 *2736:47 0 +33 *2522:19 *2736:34 0 +34 *2537:8 *2736:18 0 +35 *2542:36 *2736:34 0 +36 *2545:16 *2736:46 0 +37 *2552:20 *2736:19 0 +38 *2552:28 *2736:19 0 +39 *2564:38 *2736:18 0 +40 *2567:20 *2736:34 0 +41 *2579:17 *2736:19 0 +42 *2579:17 *2736:34 0 +43 *2587:11 *2736:19 0 +44 *2677:18 *38251:A 0 +45 *2677:18 *2736:47 0 +46 *2693:24 *2736:47 0 +*RES +1 *39901:X *2736:15 38.8893 +2 *2736:15 *2736:18 31.6429 +3 *2736:18 *2736:19 53.9286 +4 *2736:19 *2736:34 42.8492 +5 *2736:34 *2736:46 34.5418 +6 *2736:46 *2736:47 26 +7 *2736:47 *6361:DIODE 9.3 +8 *2736:47 *38251:A 12.3 +*END + +*D_NET *2737 0.0190353 +*CONN +*I *6358:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38249:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *39902:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *6358:DIODE 0.000101392 +2 *38249:A 0 +3 *39902:X 0.000977718 +4 *2737:37 0.000611934 +5 *2737:31 0.00671554 +6 *2737:29 0.00656308 +7 *2737:26 0.00172302 +8 *2737:14 0.00234266 +9 *2737:14 *2863:16 0 +10 *2737:14 *4680:10 0 +11 *2737:14 *4683:5 0 +12 *2737:14 *5038:28 0 +13 *2737:26 *38956:A 0 +14 *2737:26 *3799:12 0 +15 *2737:26 *5041:9 0 +16 *2737:37 *4709:10 0 +17 *345:19 *2737:37 0 +18 *1011:227 *2737:31 0 +19 *1511:27 *2737:31 0 +20 *1525:23 *6358:DIODE 0 +21 *1525:23 *2737:37 0 +22 *1950:60 *2737:14 0 +23 *2344:38 *2737:26 0 +24 *2482:19 *2737:29 0 +25 *2482:19 *2737:31 0 +26 *2482:35 *2737:31 0 +27 *2529:16 *2737:31 0 +28 *2529:19 *2737:26 0 +29 *2539:25 *2737:29 0 +30 *2607:19 *2737:26 0 +31 *2644:10 *2737:26 0 +32 *2684:5 *6358:DIODE 0 +33 *2684:5 *2737:37 0 +34 *2684:11 *2737:37 0 +35 *2684:13 *2737:31 0 +36 *2684:13 *2737:37 0 +37 *2703:10 *2737:14 0 +38 *2734:10 *2737:14 0 +39 *2734:17 *2737:26 0 +*RES +1 *39902:X *2737:14 40.4786 +2 *2737:14 *2737:26 46.875 +3 *2737:26 *2737:29 7.53571 +4 *2737:29 *2737:31 129.5 +5 *2737:31 *2737:37 19.7143 +6 *2737:37 *38249:A 9.3 +7 *2737:37 *6358:DIODE 11.4786 +*END + +*D_NET *2738 0.0147355 +*CONN +*I *5498:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37674:B I *D sky130_fd_sc_hd__and2_1 +*I *38453:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *5498:DIODE 0.000164091 +2 *37674:B 0.000812636 +3 *38453:X 2.0535e-05 +4 *2738:39 0.00170636 +5 *2738:37 0.001911 +6 *2738:15 0.00286006 +7 *2738:7 0.00445947 +8 *2738:5 0.00280132 +9 *5498:DIODE *4506:29 0 +10 *37674:B *39133:A 0 +11 *37674:B *4512:12 0 +12 *2738:7 *39022:A 0 +13 *2738:7 *2760:28 0 +14 *2738:7 *3105:76 0 +15 *2738:7 *3274:17 0 +16 *2738:7 *3430:48 0 +17 *2738:7 *3788:72 0 +18 *2738:7 *4104:27 0 +19 *2738:7 *4518:33 0 +20 *2738:7 *4880:27 0 +21 *2738:15 *2760:44 0 +22 *2738:37 *4506:49 0 +23 *2738:37 *4513:101 0 +24 *2738:37 *4878:51 0 +25 *2738:39 *37628:B 0 +26 *2738:39 *3207:76 0 +27 *2738:39 *4501:56 0 +28 *2738:39 *4512:12 0 +29 *38453:A *2738:7 0 +30 *38721:A *2738:39 0 +31 *505:12 *37674:B 0 +32 *512:11 *2738:7 0 +33 *519:8 *2738:7 0 +34 *636:10 *2738:37 0 +35 *887:7 *37674:B 0 +36 *896:8 *2738:7 0 +37 *897:8 *2738:7 0 +38 *898:11 *2738:7 0 +39 *1212:16 *2738:37 0 +40 *1213:7 *2738:37 0 +41 *1216:17 *37674:B 0 +42 *1223:14 *2738:39 0 +43 *1236:11 *37674:B 0 +44 *1987:61 *37674:B 0 +45 *2516:26 *37674:B 0 +46 *2527:19 *37674:B 0 +47 *2527:19 *2738:39 0 +48 *2549:19 *2738:15 0 +49 *2549:37 *2738:7 0 +50 *2683:27 *2738:7 0 +51 *2727:24 *2738:7 0 +*RES +1 *38453:X *2738:5 9.72857 +2 *2738:5 *2738:7 58.0357 +3 *2738:7 *2738:15 48.6429 +4 *2738:15 *2738:37 47.9464 +5 *2738:37 *2738:39 15.3214 +6 *2738:39 *37674:B 39.9935 +7 *2738:39 *5498:DIODE 21.9071 +*END + +*D_NET *2739 0.01902 +*CONN +*I *39902:A I *D sky130_fd_sc_hd__buf_2 +*I *7825:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39903:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39902:A 0.000181431 +2 *7825:DIODE 0 +3 *39903:X 0.00109634 +4 *2739:29 0.00175193 +5 *2739:27 0.00364989 +6 *2739:21 0.0052129 +7 *2739:20 0.00458236 +8 *2739:14 0.00254518 +9 *39902:A *2853:11 0 +10 *39902:A *2863:16 0 +11 *2739:14 *2864:44 0 +12 *2739:14 *2881:17 0 +13 *2739:14 *2889:24 0 +14 *2739:14 *3548:23 0 +15 *2739:20 *2744:9 0 +16 *2739:20 *5071:21 0 +17 *2739:21 *2744:9 0 +18 *2739:29 *2853:11 0 +19 *2739:29 *3899:29 0 +20 *39867:A *2739:20 0 +21 *39899:A *2739:29 0 +22 *40902:A *2739:20 0 +23 *325:42 *2739:20 0 +24 *1925:31 *2739:20 0 +25 *2703:10 *39902:A 0 +26 *2734:7 *2739:29 0 +27 *2734:10 *39902:A 0 +28 *2735:37 *2739:20 0 +29 *2735:39 *2739:27 0 +30 *2735:39 *2739:29 0 +*RES +1 *39903:X *2739:14 43.1036 +2 *2739:14 *2739:20 39.3214 +3 *2739:20 *2739:21 65.4286 +4 *2739:21 *2739:27 43.4911 +5 *2739:27 *2739:29 32.7768 +6 *2739:29 *7825:DIODE 9.3 +7 *2739:29 *39902:A 22.4071 +*END + +*D_NET *2740 0.0188154 +*CONN +*I *38245:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6352:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39904:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38245:A 6.20329e-05 +2 *6352:DIODE 5.89306e-05 +3 *39904:X 0.000999931 +4 *2740:45 0.00244762 +5 *2740:43 0.00264688 +6 *2740:38 0.00226256 +7 *2740:32 0.00400267 +8 *2740:27 0.00369758 +9 *2740:24 0.00263717 +10 *2740:24 *3558:50 0 +11 *2740:24 *3620:33 0 +12 *2740:27 *2864:65 0 +13 *2740:27 *3566:51 0 +14 *2740:27 *5140:47 0 +15 *2740:32 *2864:65 0 +16 *2740:32 *4350:33 0 +17 *2740:32 *5140:47 0 +18 *2740:38 *2861:17 0 +19 *2740:38 *5140:38 0 +20 *2740:43 *39744:A 0 +21 *2740:43 *2870:5 0 +22 *2740:43 *5136:51 0 +23 *2740:45 *37977:A 0 +24 *2740:45 *2865:36 0 +25 *2740:45 *2870:5 0 +26 *37741:A *2740:38 0 +27 *41326:A *2740:24 0 +28 *1529:52 *2740:27 0 +29 *1930:17 *2740:24 0 +30 *1934:45 *2740:27 0 +31 *1943:34 *2740:38 0 +32 *1944:42 *2740:38 0 +33 *1951:52 *2740:24 0 +34 *2173:25 *2740:24 0 +35 *2217:49 *6352:DIODE 0 +36 *2220:30 *2740:45 0 +37 *2225:18 *2740:38 0 +38 *2322:53 *38245:A 0 +39 *2322:53 *2740:45 0 +40 *2322:60 *2740:45 0 +41 *2324:60 *2740:38 0 +42 *2324:69 *2740:32 0 +43 *2564:21 *2740:32 0 +44 *2725:18 *2740:27 0 +45 *2725:33 *2740:38 0 +*RES +1 *39904:X *2740:24 41.5879 +2 *2740:24 *2740:27 34.2321 +3 *2740:27 *2740:32 47.7321 +4 *2740:32 *2740:38 49.7679 +5 *2740:38 *2740:43 11.2143 +6 *2740:43 *2740:45 48.5893 +7 *2740:45 *6352:DIODE 19.6393 +8 *2740:45 *38245:A 10.6571 +*END + +*D_NET *2741 0.0223242 +*CONN +*I *39904:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7827:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39905:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39904:A 0.000143745 +2 *7827:DIODE 0.000400331 +3 *39905:X 0.000515862 +4 *2741:72 0.000915809 +5 *2741:69 0.00339023 +6 *2741:48 0.00461165 +7 *2741:33 0.00306525 +8 *2741:15 0.00511878 +9 *2741:14 0.00416254 +10 *2741:14 *3629:45 0 +11 *2741:14 *3875:25 0 +12 *2741:14 *3897:21 0 +13 *2741:14 *4168:39 0 +14 *2741:15 *38226:A 0 +15 *2741:15 *3888:40 0 +16 *2741:15 *4136:25 0 +17 *2741:15 *4168:16 0 +18 *2741:15 *4183:7 0 +19 *2741:15 *4183:21 0 +20 *2741:15 *4214:24 0 +21 *2741:15 *4470:47 0 +22 *2741:15 *4926:26 0 +23 *2741:33 *8808:DIODE 0 +24 *2741:33 *3331:82 0 +25 *2741:33 *4152:14 0 +26 *2741:33 *4162:11 0 +27 *2741:33 *4176:32 0 +28 *2741:48 *3596:34 0 +29 *2741:69 *2850:39 0 +30 *2741:69 *3876:25 0 +31 *2741:69 *3898:15 0 +32 *2741:69 *4212:17 0 +33 *2741:72 *3587:33 0 +34 *2741:72 *3599:54 0 +35 *8776:DIODE *2741:15 0 +36 *37958:B *2741:33 0 +37 *38226:B *2741:15 0 +38 *41148:A *2741:15 0 +39 *41177:A *2741:15 0 +40 *41190:A *2741:15 0 +41 *1398:37 *2741:15 0 +42 *1506:13 *2741:48 0 +43 *1524:35 *2741:69 0 +44 *1524:47 *2741:69 0 +45 *1530:13 *2741:33 0 +46 *1530:15 *2741:48 0 +47 *1533:57 *2741:33 0 +48 *1672:43 *2741:14 0 +49 *1894:89 *2741:14 0 +50 *1895:18 *2741:33 0 +51 *1896:20 *2741:33 0 +52 *1907:16 *2741:69 0 +53 *1917:13 *7827:DIODE 0 +54 *1949:62 *39904:A 0 +55 *1951:52 *39904:A 0 +56 *1952:33 *2741:69 0 +57 *1980:10 *2741:69 0 +58 *2009:15 *2741:48 0 +59 *2048:20 *2741:15 0 +60 *2057:58 *2741:15 0 +61 *2063:22 *2741:69 0 +62 *2104:26 *2741:48 0 +63 *2108:26 *2741:69 0 +64 *2138:17 *2741:69 0 +65 *2165:22 *2741:69 0 +66 *2166:17 *2741:48 0 +*RES +1 *39905:X *2741:14 29.3357 +2 *2741:14 *2741:15 76.1071 +3 *2741:15 *2741:33 49.1071 +4 *2741:33 *2741:48 47.4732 +5 *2741:48 *2741:69 49.6178 +6 *2741:69 *2741:72 11.8832 +7 *2741:72 *7827:DIODE 22.9429 +8 *2741:72 *39904:A 16.8 +*END + +*D_NET *2742 0.0187146 +*CONN +*I *38243:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *6349:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39906:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38243:A 0.000121071 +2 *6349:DIODE 0 +3 *39906:X 0.00130583 +4 *2742:43 0.00168324 +5 *2742:29 0.00662576 +6 *2742:28 0.00636825 +7 *2742:17 0.00261048 +8 *2742:17 *4965:8 0 +9 *2742:17 *5108:17 0 +10 *2742:28 *5108:16 0 +11 *2742:28 *5118:22 0 +12 *2742:29 *39802:A 0 +13 *2742:29 *39819:A 0 +14 *2742:29 *5038:11 0 +15 *2742:29 *5109:19 0 +16 *2742:29 *5109:21 0 +17 *2742:43 *5038:11 0 +18 *37817:A *2742:29 0 +19 *39714:A *2742:17 0 +20 *347:20 *38243:A 0 +21 *347:20 *2742:43 0 +22 *347:50 *2742:17 0 +23 *352:36 *2742:29 0 +24 *1030:8 *2742:28 0 +25 *2182:29 *2742:17 0 +26 *2232:43 *2742:29 0 +27 *2232:51 *2742:43 0 +28 *2274:24 *2742:29 0 +29 *2274:24 *2742:43 0 +30 *2276:31 *2742:43 0 +31 *2282:36 *38243:A 0 +32 *2522:23 *2742:28 0 +33 *2525:22 *2742:43 0 +34 *2528:15 *2742:17 0 +35 *2529:31 *2742:17 0 +36 *2532:33 *2742:17 0 +37 *2532:33 *2742:29 0 +38 *2545:19 *2742:29 0 +39 *2548:34 *2742:28 0 +40 *2579:16 *2742:28 0 +41 *2584:11 *2742:28 0 +42 *2590:8 *2742:17 0 +43 *2628:10 *2742:28 0 +44 *2644:10 *2742:29 0 +45 *2661:40 *2742:43 0 +46 *2661:41 *2742:29 0 +*RES +1 *39906:X *2742:17 46.8714 +2 *2742:17 *2742:28 22.0309 +3 *2742:28 *2742:29 105.679 +4 *2742:29 *2742:43 42.25 +5 *2742:43 *6349:DIODE 9.3 +6 *2742:43 *38243:A 11.8893 +*END + +*D_NET *2743 0.0191869 +*CONN +*I *39906:A I *D sky130_fd_sc_hd__buf_2 +*I *7828:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39907:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39906:A 0.000166912 +2 *7828:DIODE 0 +3 *39907:X 0.00242282 +4 *2743:42 0.00567612 +5 *2743:26 0.00700371 +6 *2743:14 0.00391732 +7 *39906:A *3899:29 0 +8 *39906:A *5132:14 0 +9 *2743:14 *2876:20 0 +10 *2743:14 *2889:24 0 +11 *2743:14 *3195:48 0 +12 *2743:26 *2744:8 0 +13 *2743:26 *2766:19 0 +14 *2743:26 *2878:14 0 +15 *2743:42 *5132:14 0 +16 *300:10 *2743:14 0 +17 *314:19 *2743:42 0 +18 *317:10 *2743:42 0 +19 *326:14 *2743:42 0 +20 *328:26 *2743:42 0 +21 *339:35 *2743:42 0 +22 *342:61 *2743:42 0 +23 *1281:15 *2743:14 0 +24 *1494:24 *2743:26 0 +25 *1497:23 *2743:14 0 +26 *1804:8 *2743:14 0 +27 *1905:74 *2743:14 0 +28 *1906:47 *2743:14 0 +29 *1925:38 *2743:26 0 +30 *1926:56 *2743:14 0 +31 *2182:29 *39906:A 0 +32 *2182:29 *2743:42 0 +33 *2187:16 *2743:26 0 +34 *2561:26 *2743:42 0 +35 *2735:39 *39906:A 0 +*RES +1 *39907:X *2743:14 45.3322 +2 *2743:14 *2743:26 48.3296 +3 *2743:26 *2743:42 41.5285 +4 *2743:42 *7828:DIODE 13.8 +5 *2743:42 *39906:A 17.425 +*END + +*D_NET *2744 0.0204579 +*CONN +*I *6344:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38239:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39908:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6344:DIODE 2.56688e-05 +2 *38239:A 0.000195633 +3 *39908:X 0.000967941 +4 *2744:22 0.00219045 +5 *2744:17 0.00328732 +6 *2744:9 0.00707055 +7 *2744:8 0.00672032 +8 *6344:DIODE *2886:69 0 +9 *6344:DIODE *3575:49 0 +10 *38239:A *2886:69 0 +11 *2744:8 *2765:43 0 +12 *2744:8 *2766:19 0 +13 *2744:8 *2886:15 0 +14 *2744:17 *5132:14 0 +15 *2744:22 *37823:A 0 +16 *2744:22 *2863:10 0 +17 *2744:22 *5132:7 0 +18 *2744:22 *5140:46 0 +19 *319:5 *2744:22 0 +20 *325:42 *2744:9 0 +21 *325:46 *2744:9 0 +22 *341:33 *2744:8 0 +23 *1494:33 *2744:9 0 +24 *1925:31 *2744:9 0 +25 *1932:30 *2744:22 0 +26 *1935:28 *2744:17 0 +27 *1940:33 *2744:9 0 +28 *2187:14 *2744:17 0 +29 *2220:18 *2744:22 0 +30 *2222:10 *38239:A 0 +31 *2564:18 *2744:22 0 +32 *2570:13 *2744:22 0 +33 *2698:5 *2744:9 0 +34 *2735:37 *2744:9 0 +35 *2735:39 *2744:9 0 +36 *2739:20 *2744:9 0 +37 *2739:21 *2744:9 0 +38 *2743:26 *2744:8 0 +*RES +1 *39908:X *2744:8 40.2821 +2 *2744:8 *2744:9 120.054 +3 *2744:9 *2744:17 36.75 +4 *2744:17 *2744:22 46.4107 +5 *2744:22 *38239:A 17.9429 +6 *2744:22 *6344:DIODE 14.3357 +*END + +*D_NET *2745 0.0195549 +*CONN +*I *39908:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7830:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39909:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39908:A 0.000272171 +2 *7830:DIODE 0 +3 *39909:X 0.0006239 +4 *2745:39 0.000540987 +5 *2745:33 0.00462395 +6 *2745:32 0.00559455 +7 *2745:26 0.00312827 +8 *2745:20 0.00301803 +9 *2745:14 0.00175307 +10 *39908:A *3548:23 0 +11 *39908:A *3577:49 0 +12 *39908:A *3619:26 0 +13 *39908:A *3828:98 0 +14 *2745:14 *8248:DIODE 0 +15 *2745:14 *3384:95 0 +16 *2745:14 *3629:115 0 +17 *2745:14 *3637:9 0 +18 *2745:20 *3579:35 0 +19 *2745:20 *3618:20 0 +20 *2745:26 *38153:A 0 +21 *2745:26 *2790:23 0 +22 *2745:26 *3548:40 0 +23 *2745:26 *3561:49 0 +24 *2745:26 *3614:49 0 +25 *2745:26 *4644:10 0 +26 *2745:32 *3579:15 0 +27 *2745:32 *4944:10 0 +28 *2745:33 *3548:25 0 +29 *2745:33 *3561:49 0 +30 *2745:33 *3844:35 0 +31 *2745:33 *3844:42 0 +32 *2745:39 *3577:49 0 +33 *39466:A *2745:26 0 +34 *39931:A *2745:20 0 +35 *333:58 *2745:26 0 +36 *1181:24 *2745:14 0 +37 *1189:41 *2745:26 0 +38 *1277:42 *2745:26 0 +39 *1498:36 *2745:32 0 +40 *1500:37 *2745:32 0 +41 *1513:15 *2745:32 0 +42 *1513:24 *2745:32 0 +43 *1673:11 *2745:32 0 +44 *1930:62 *2745:39 0 +45 *2193:50 *2745:39 0 +46 *2735:14 *39908:A 0 +*RES +1 *39909:X *2745:14 32.05 +2 *2745:14 *2745:20 32.8214 +3 *2745:20 *2745:26 48.5536 +4 *2745:26 *2745:32 35 +5 *2745:32 *2745:33 90.8929 +6 *2745:33 *2745:39 14.7679 +7 *2745:39 *7830:DIODE 9.3 +8 *2745:39 *39908:A 24.3357 +*END + +*D_NET *2746 0.0183863 +*CONN +*I *6333:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38231:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *39910:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6333:DIODE 0 +2 *38231:A 0.000124066 +3 *39910:X 0.00141432 +4 *2746:44 0.00158855 +5 *2746:32 0.00254588 +6 *2746:22 0.00268137 +7 *2746:16 0.00274136 +8 *2746:11 0.00350891 +9 *2746:10 0.00236752 +10 *2746:8 0.00141432 +11 *38231:A *4976:45 0 +12 *38231:A *4979:15 0 +13 *2746:8 *2944:36 0 +14 *2746:8 *3778:24 0 +15 *2746:8 *4347:22 0 +16 *2746:8 *4369:47 0 +17 *2746:11 *3166:37 0 +18 *2746:11 *3795:49 0 +19 *2746:16 *3161:42 0 +20 *2746:16 *3798:52 0 +21 *2746:16 *4701:26 0 +22 *2746:16 *4990:20 0 +23 *2746:22 *3798:42 0 +24 *2746:32 *38968:A 0 +25 *2746:32 *3799:29 0 +26 *2746:32 *3804:40 0 +27 *2746:32 *4696:9 0 +28 *2746:32 *4980:12 0 +29 *2746:32 *4986:25 0 +30 *2746:44 *3799:25 0 +31 *2746:44 *4347:19 0 +32 *2746:44 *4974:42 0 +33 *2746:44 *4994:14 0 +34 la_data_in_core[56] *2746:32 0 +35 la_oenb_core[52] *2746:44 0 +36 la_oenb_core[54] *2746:32 0 +37 la_oenb_core[56] *2746:22 0 +38 la_oenb_core[56] *2746:32 0 +39 la_oenb_core[59] *2746:16 0 +40 *348:13 *2746:16 0 +41 *2293:25 *2746:8 0 +42 *2466:8 *2746:8 0 +43 *2576:9 *2746:22 0 +44 *2726:21 *2746:11 0 +45 *2726:23 *2746:11 0 +*RES +1 *39910:X *2746:8 45.8357 +2 *2746:8 *2746:10 4.5 +3 *2746:10 *2746:11 49.4107 +4 *2746:11 *2746:16 34.9286 +5 *2746:16 *2746:22 42.5 +6 *2746:22 *2746:32 31.875 +7 *2746:32 *2746:44 43.337 +8 *2746:44 *38231:A 11.8893 +9 *2746:44 *6333:DIODE 9.3 +*END + +*D_NET *2747 0.0194664 +*CONN +*I *38227:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6327:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39911:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38227:A 0.000163425 +2 *6327:DIODE 0 +3 *39911:X 0.00395266 +4 *2747:80 0.00265497 +5 *2747:63 0.00457081 +6 *2747:42 0.00312559 +7 *2747:24 0.00499898 +8 *38227:A *2886:69 0 +9 *2747:24 *8088:DIODE 0 +10 *2747:24 *2859:18 0 +11 *2747:24 *3152:43 0 +12 *2747:24 *3222:37 0 +13 *2747:24 *3574:20 0 +14 *2747:24 *3850:32 0 +15 *2747:24 *3857:19 0 +16 *2747:24 *3861:50 0 +17 *2747:24 *3861:70 0 +18 *2747:24 *4175:17 0 +19 *2747:42 *2866:23 0 +20 *2747:42 *3591:17 0 +21 *2747:42 *3646:38 0 +22 *2747:63 *2862:33 0 +23 *2747:63 *2879:14 0 +24 *2747:63 *2885:19 0 +25 *2747:63 *3577:27 0 +26 *2747:63 *3602:23 0 +27 *2747:63 *3623:16 0 +28 *2747:63 *3640:43 0 +29 *2747:63 *3832:18 0 +30 *2747:63 *3870:28 0 +31 *2747:63 *4317:13 0 +32 *2747:80 *2879:20 0 +33 *2747:80 *2885:51 0 +34 *2747:80 *3195:38 0 +35 *2747:80 *3552:22 0 +36 *2747:80 *3562:49 0 +37 *37808:A *2747:63 0 +38 *41380:A *2747:24 0 +39 *41381:A *2747:24 0 +40 *1302:18 *2747:80 0 +41 *1399:25 *2747:24 0 +42 *1523:21 *2747:24 0 +43 *1524:24 *2747:24 0 +44 *1893:26 *2747:24 0 +45 *1909:15 *2747:42 0 +46 *1916:16 *2747:63 0 +47 *1932:19 *2747:63 0 +48 *1948:19 *2747:42 0 +49 *1955:16 *2747:24 0 +50 *2159:40 *2747:63 0 +51 *2174:60 *2747:63 0 +52 *2181:33 *2747:24 0 +53 *2197:38 *38227:A 0 +54 *2733:72 *2747:24 0 +*RES +1 *39911:X *2747:24 48.0493 +2 *2747:24 *2747:42 40.1964 +3 *2747:42 *2747:63 48.7896 +4 *2747:63 *2747:80 35.0994 +5 *2747:80 *6327:DIODE 9.3 +6 *2747:80 *38227:A 12.7107 +*END + +*D_NET *2748 0.0193951 +*CONN +*I *38221:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6320:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39912:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38221:A 0.000161285 +2 *6320:DIODE 0 +3 *39912:X 0.000953457 +4 *2748:41 0.00433931 +5 *2748:40 0.00439078 +6 *2748:37 0.00221051 +7 *2748:15 0.00419201 +8 *2748:10 0.00314771 +9 *38221:A *2854:35 0 +10 *2748:10 *3832:41 0 +11 *2748:15 *4655:13 0 +12 *2748:40 *2873:24 0 +13 *2748:40 *2877:33 0 +14 *298:11 *2748:10 0 +15 *338:52 *2748:37 0 +16 *1000:14 *2748:37 0 +17 *1019:8 *2748:37 0 +18 *1375:40 *2748:10 0 +19 *1385:53 *38221:A 0 +20 *1385:53 *2748:41 0 +21 *1906:47 *2748:10 0 +22 *1926:40 *2748:15 0 +23 *1926:47 *2748:15 0 +24 *1950:25 *2748:37 0 +25 *1950:26 *2748:37 0 +26 *1953:11 *2748:37 0 +27 *2158:53 *2748:10 0 +28 *2170:10 *2748:15 0 +29 *2170:28 *2748:15 0 +30 *2182:60 *2748:15 0 +31 *2182:61 *2748:10 0 +32 *2590:30 *2748:40 0 +33 *2598:22 *2748:37 0 +34 *2702:27 *2748:10 0 +*RES +1 *39912:X *2748:10 39.9071 +2 *2748:10 *2748:15 45.8571 +3 *2748:15 *2748:37 49.4901 +4 *2748:37 *2748:40 9.33036 +5 *2748:40 *2748:41 87.1964 +6 *2748:41 *6320:DIODE 9.3 +7 *2748:41 *38221:A 12.7286 +*END + +*D_NET *2749 0.021268 +*CONN +*I *5501:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37676:B I *D sky130_fd_sc_hd__and2_1 +*I *38454:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5501:DIODE 0 +2 *37676:B 0 +3 *38454:X 0.00134224 +4 *2749:93 0.00110065 +5 *2749:69 0.00175194 +6 *2749:64 0.00276146 +7 *2749:56 0.0056333 +8 *2749:40 0.00542966 +9 *2749:25 0.00324877 +10 *2749:25 *40510:A 0 +11 *2749:25 *40513:A 0 +12 *2749:25 *3105:62 0 +13 *2749:25 *3105:76 0 +14 *2749:25 *3250:64 0 +15 *2749:25 *3430:5 0 +16 *2749:25 *3430:48 0 +17 *2749:25 *3497:27 0 +18 *2749:25 *4517:62 0 +19 *2749:40 *7014:DIODE 0 +20 *2749:40 *40385:A 0 +21 *2749:40 *40513:A 0 +22 *2749:40 *3105:81 0 +23 *2749:40 *3497:27 0 +24 *2749:40 *4104:16 0 +25 *2749:40 *4521:11 0 +26 *2749:40 *4521:23 0 +27 *2749:56 *3444:27 0 +28 *2749:56 *4038:18 0 +29 *2749:56 *4038:36 0 +30 *2749:56 *4875:42 0 +31 *2749:64 *37678:B 0 +32 *2749:64 *3372:80 0 +33 *2749:69 *3447:75 0 +34 *2749:69 *3815:39 0 +35 *2749:69 *3826:41 0 +36 *2749:93 *2947:70 0 +37 *2749:93 *3120:24 0 +38 *2749:93 *3161:34 0 +39 *2749:93 *3447:75 0 +40 *2749:93 *3720:23 0 +41 *2749:93 *3736:24 0 +42 *6590:DIODE *2749:25 0 +43 *6717:DIODE *2749:64 0 +44 *6723:DIODE *2749:40 0 +45 *6725:DIODE *2749:40 0 +46 *6730:DIODE *2749:25 0 +47 *38454:A *2749:25 0 +48 *38731:A *2749:40 0 +49 *40154:A *2749:25 0 +50 *642:8 *2749:40 0 +51 *896:8 *2749:40 0 +52 *1215:32 *2749:64 0 +53 *1220:14 *2749:64 0 +54 *1505:19 *2749:64 0 +55 *2008:48 *2749:56 0 +56 *2381:73 *2749:40 0 +57 *2409:22 *2749:56 0 +58 *2418:28 *2749:56 0 +59 *2424:31 *2749:56 0 +60 *2424:50 *2749:56 0 +61 *2431:49 *2749:56 0 +62 *2560:21 *2749:64 0 +63 *2716:18 *2749:25 0 +*RES +1 *38454:X *2749:25 46.9429 +2 *2749:25 *2749:40 48.5975 +3 *2749:40 *2749:56 49.5973 +4 *2749:56 *2749:64 47.9821 +5 *2749:64 *2749:69 18.5089 +6 *2749:69 *2749:93 42.5357 +7 *2749:93 *37676:B 9.3 +8 *2749:69 *5501:DIODE 9.3 +*END + +*D_NET *2750 0.0189387 +*CONN +*I *39912:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7831:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39913:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39912:A 0.00018396 +2 *7831:DIODE 0 +3 *39913:X 0.00093703 +4 *2750:37 0.00209735 +5 *2750:35 0.0039239 +6 *2750:29 0.00438059 +7 *2750:19 0.00442444 +8 *2750:12 0.00299139 +9 *2750:12 *3837:53 0 +10 *2750:12 *3845:114 0 +11 *2750:12 *3855:48 0 +12 *2750:12 *4383:41 0 +13 *2750:19 *3836:39 0 +14 *2750:29 *3577:83 0 +15 *2750:29 *3602:57 0 +16 *2750:29 *3836:39 0 +17 *2750:29 *3847:26 0 +18 *2750:35 *3863:66 0 +19 *2750:37 *3832:41 0 +20 *2750:37 *3863:60 0 +21 *37549:A *2750:19 0 +22 *37579:A *2750:29 0 +23 *39470:B *2750:19 0 +24 *39470:B *2750:29 0 +25 *39907:A *2750:35 0 +26 *39907:A *2750:37 0 +27 *1182:15 *2750:12 0 +28 *1300:47 *2750:35 0 +29 *1300:60 *2750:29 0 +30 *1300:60 *2750:35 0 +31 *1300:65 *2750:19 0 +32 *1370:20 *2750:12 0 +33 *1392:28 *2750:19 0 +34 *1520:37 *2750:29 0 +35 *1520:37 *2750:35 0 +36 *1678:29 *39912:A 0 +37 *1681:20 *39912:A 0 +38 *1681:20 *2750:37 0 +39 *2502:16 *2750:12 0 +*RES +1 *39913:X *2750:12 39.6393 +2 *2750:12 *2750:19 43 +3 *2750:19 *2750:29 49.5893 +4 *2750:29 *2750:35 42.0536 +5 *2750:35 *2750:37 39.9643 +6 *2750:37 *7831:DIODE 9.3 +7 *2750:37 *39912:A 13.2643 +*END + +*D_NET *2751 0.0175414 +*CONN +*I *38215:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6313:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39914:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38215:A 0 +2 *6313:DIODE 0.000149985 +3 *39914:X 0.000242828 +4 *2751:46 0.000219573 +5 *2751:43 0.00233871 +6 *2751:42 0.00234148 +7 *2751:39 0.00224308 +8 *2751:36 0.00323383 +9 *2751:22 0.00379611 +10 *2751:11 0.00297583 +11 *6313:DIODE *2873:39 0 +12 *2751:11 *3607:20 0 +13 *2751:22 *2754:44 0 +14 *2751:22 *4946:10 0 +15 *2751:36 *6286:DIODE 0 +16 *2751:36 *2755:46 0 +17 *2751:36 *2759:47 0 +18 *2751:36 *4945:14 0 +19 *2751:39 *38205:A 0 +20 *2751:39 *2867:11 0 +21 *2751:39 *2867:18 0 +22 *2751:43 *2877:45 0 +23 *2751:43 *4674:20 0 +24 *2751:46 *39206:A 0 +25 *2751:46 *4681:10 0 +26 *5410:DIODE *2751:11 0 +27 *39477:B *2751:11 0 +28 *39848:A *2751:39 0 +29 *40902:A *2751:22 0 +30 *315:17 *2751:42 0 +31 *325:34 *2751:22 0 +32 *339:8 *2751:36 0 +33 *350:23 *2751:46 0 +34 *1002:8 *2751:36 0 +35 *1014:10 *2751:22 0 +36 *1289:28 *6313:DIODE 0 +37 *1390:29 *2751:22 0 +38 *1494:37 *2751:22 0 +39 *1807:17 *2751:22 0 +40 *1920:43 *2751:11 0 +41 *1943:23 *2751:22 0 +42 *2173:25 *2751:22 0 +43 *2193:36 *2751:22 0 +44 *2344:51 *2751:43 0 +45 *2344:59 *2751:42 0 +46 *2524:11 *2751:39 0 +47 *2524:13 *2751:39 0 +48 *2567:31 *2751:36 0 +49 *2567:31 *2751:39 0 +50 *2607:19 *2751:43 0 +51 *2607:32 *2751:43 0 +52 *2676:13 *2751:22 0 +53 *2688:20 *2751:39 0 +*RES +1 *39914:X *2751:11 28.05 +2 *2751:11 *2751:22 46.6719 +3 *2751:22 *2751:36 29.4091 +4 *2751:36 *2751:39 49.8036 +5 *2751:39 *2751:42 6.14286 +6 *2751:42 *2751:43 47.3571 +7 *2751:43 *2751:46 6.14286 +8 *2751:46 *6313:DIODE 17.0143 +9 *2751:46 *38215:A 13.8 +*END + +*D_NET *2752 0.0194336 +*CONN +*I *6310:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38213:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *39915:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6310:DIODE 0 +2 *38213:A 0.000124066 +3 *39915:X 0.00111234 +4 *2752:62 0.000236539 +5 *2752:57 0.00301133 +6 *2752:55 0.00400861 +7 *2752:48 0.00216171 +8 *2752:27 0.00296724 +9 *2752:20 0.00330735 +10 *2752:10 0.00250441 +11 *2752:10 *3083:60 0 +12 *2752:10 *3659:14 0 +13 *2752:10 *3679:22 0 +14 *2752:10 *3789:40 0 +15 *2752:10 *4332:25 0 +16 *2752:10 *5116:16 0 +17 *2752:10 *5124:17 0 +18 *2752:20 *38967:A 0 +19 *2752:20 *39709:A 0 +20 *2752:20 *2843:52 0 +21 *2752:27 *3161:43 0 +22 *2752:27 *3693:21 0 +23 *2752:27 *4691:20 0 +24 *2752:48 *2851:42 0 +25 *2752:48 *4689:8 0 +26 *2752:48 *4967:12 0 +27 *2752:55 *3362:21 0 +28 *2752:62 *4971:8 0 +29 *38225:A *2752:55 0 +30 *340:31 *2752:20 0 +31 *346:10 *2752:27 0 +32 *346:18 *2752:55 0 +33 *346:18 *2752:57 0 +34 *1008:93 *2752:55 0 +35 *1011:198 *38213:A 0 +36 *1011:198 *2752:62 0 +37 *1015:98 *2752:48 0 +38 *1016:176 *2752:27 0 +39 *1017:86 *2752:20 0 +40 *1017:86 *2752:48 0 +41 *1021:16 *2752:20 0 +42 *1024:94 *2752:57 0 +43 *1026:50 *38213:A 0 +44 *1287:46 *2752:10 0 +45 *1287:46 *2752:20 0 +46 *1298:36 *2752:10 0 +47 *1511:18 *2752:20 0 +48 *1515:18 *2752:27 0 +49 *1535:46 *2752:48 0 +50 *2225:43 *2752:10 0 +51 *2254:68 *2752:10 0 +52 *2281:39 *2752:20 0 +53 *2325:32 *2752:10 0 +54 *2336:25 *2752:10 0 +55 *2681:5 *2752:55 0 +56 *2681:5 *2752:57 0 +*RES +1 *39915:X *2752:10 39.0411 +2 *2752:10 *2752:20 40.5947 +3 *2752:20 *2752:27 49.1786 +4 *2752:27 *2752:48 40.6119 +5 *2752:48 *2752:55 23.2857 +6 *2752:55 *2752:57 60.5 +7 *2752:57 *2752:62 11.5536 +8 *2752:62 *38213:A 11.8893 +9 *2752:62 *6310:DIODE 9.3 +*END + +*D_NET *2753 0.0181556 +*CONN +*I *38211:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6307:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39916:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38211:A 0.000144601 +2 *6307:DIODE 0 +3 *39916:X 0.000900988 +4 *2753:36 0.00290952 +5 *2753:25 0.00803222 +6 *2753:8 0.00616829 +7 *38211:A *2881:42 0 +8 *38211:A *5056:29 0 +9 *2753:8 *2765:24 0 +10 *2753:8 *2876:8 0 +11 *2753:8 *3870:46 0 +12 *2753:25 *2755:29 0 +13 *2753:36 *2878:34 0 +14 *2753:36 *2883:41 0 +15 *2753:36 *5118:22 0 +16 *7725:DIODE *2753:25 0 +17 *37583:A *2753:8 0 +18 *39776:A *2753:25 0 +19 *296:12 *2753:25 0 +20 *296:16 *2753:25 0 +21 *340:91 *2753:8 0 +22 *340:91 *2753:25 0 +23 *1009:56 *2753:25 0 +24 *1030:8 *2753:25 0 +25 *1388:16 *2753:8 0 +26 *1507:12 *2753:25 0 +27 *1529:28 *2753:8 0 +28 *1904:30 *2753:25 0 +29 *2528:55 *2753:25 0 +30 *2557:9 *2753:25 0 +31 *2557:9 *2753:36 0 +32 *2584:24 *2753:36 0 +33 *2628:14 *2753:25 0 +34 *2628:14 *2753:36 0 +*RES +1 *39916:X *2753:8 34.2911 +2 *2753:8 *2753:25 49.7187 +3 *2753:25 *2753:36 18.7344 +4 *2753:36 *6307:DIODE 9.3 +5 *2753:36 *38211:A 12.3179 +*END + +*D_NET *2754 0.0186084 +*CONN +*I *38207:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *6302:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39917:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38207:A 0.000153279 +2 *6302:DIODE 0 +3 *39917:X 0 +4 *2754:45 0.00240272 +5 *2754:44 0.00230843 +6 *2754:39 0.00248255 +7 *2754:37 0.00354477 +8 *2754:20 0.00243926 +9 *2754:9 0.00329774 +10 *2754:5 0.00197969 +11 *2754:9 *2755:20 0 +12 *2754:9 *2868:8 0 +13 *2754:9 *2888:26 0 +14 *2754:9 *2900:34 0 +15 *2754:9 *3633:85 0 +16 *2754:9 *4929:12 0 +17 *2754:9 *5055:29 0 +18 *2754:20 *2888:26 0 +19 *2754:20 *4929:12 0 +20 *2754:37 *2758:19 0 +21 *2754:37 *2759:23 0 +22 *2754:37 *5055:21 0 +23 *2754:37 *5055:29 0 +24 *2754:37 *5057:17 0 +25 *2754:37 *5058:33 0 +26 *2754:39 *2759:23 0 +27 *2754:39 *2766:19 0 +28 *2754:39 *5058:32 0 +29 *2754:39 *5058:33 0 +30 *7833:DIODE *2754:9 0 +31 *39752:A *2754:37 0 +32 *298:11 *2754:37 0 +33 *1375:44 *2754:37 0 +34 *1908:31 *2754:9 0 +35 *2528:15 *38207:A 0 +36 *2528:23 *38207:A 0 +37 *2528:23 *2754:45 0 +38 *2528:27 *2754:45 0 +39 *2531:28 *2754:45 0 +40 *2531:31 *2754:20 0 +41 *2531:31 *2754:37 0 +42 *2534:20 *2754:37 0 +43 *2534:27 *2754:9 0 +44 *2541:40 *2754:9 0 +45 *2578:18 *2754:20 0 +46 *2579:17 *2754:39 0 +47 *2653:11 *2754:20 0 +48 *2698:10 *2754:9 0 +49 *2707:23 *2754:37 0 +50 *2751:22 *2754:44 0 +*RES +1 *39917:X *2754:5 13.8 +2 *2754:5 *2754:9 49.125 +3 *2754:9 *2754:20 45.75 +4 *2754:20 *2754:37 32.8393 +5 *2754:37 *2754:39 50.6429 +6 *2754:39 *2754:44 10.3393 +7 *2754:44 *2754:45 46.9464 +8 *2754:45 *6302:DIODE 9.3 +9 *2754:45 *38207:A 21.6214 +*END + +*D_NET *2755 0.0188071 +*CONN +*I *6300:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38205:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *39918:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6300:DIODE 0 +2 *38205:A 0.000156274 +3 *39918:X 0.000871707 +4 *2755:46 0.00228737 +5 *2755:33 0.00552493 +6 *2755:32 0.00353966 +7 *2755:29 0.00285063 +8 *2755:20 0.00357651 +9 *2755:20 *2763:31 0 +10 *2755:29 *2765:31 0 +11 *2755:29 *2884:11 0 +12 *2755:29 *2888:26 0 +13 *2755:32 *2888:36 0 +14 *2755:46 *6286:DIODE 0 +15 *2755:46 *2759:47 0 +16 *2755:46 *2877:33 0 +17 *2755:46 *4675:14 0 +18 *2755:46 *4945:14 0 +19 *39735:A *2755:46 0 +20 *39749:A *2755:33 0 +21 *295:12 *2755:29 0 +22 *339:8 *2755:46 0 +23 *342:50 *2755:46 0 +24 *1002:8 *2755:46 0 +25 *1300:38 *2755:20 0 +26 *1301:14 *2755:20 0 +27 *1401:23 *2755:20 0 +28 *1513:31 *2755:32 0 +29 *1908:31 *2755:20 0 +30 *1926:47 *2755:29 0 +31 *2187:19 *2755:29 0 +32 *2524:13 *2755:33 0 +33 *2524:13 *2755:46 0 +34 *2528:55 *2755:29 0 +35 *2531:50 *2755:29 0 +36 *2551:15 *2755:46 0 +37 *2559:20 *2755:29 0 +38 *2567:31 *38205:A 0 +39 *2567:31 *2755:33 0 +40 *2567:31 *2755:46 0 +41 *2584:30 *2755:33 0 +42 *2593:26 *2755:33 0 +43 *2613:15 *2755:46 0 +44 *2613:31 *2755:33 0 +45 *2613:33 *2755:33 0 +46 *2628:14 *2755:29 0 +47 *2688:29 *2755:46 0 +48 *2692:20 *2755:33 0 +49 *2751:36 *2755:46 0 +50 *2751:39 *38205:A 0 +51 *2753:25 *2755:29 0 +52 *2754:9 *2755:20 0 +*RES +1 *39918:X *2755:20 46.05 +2 *2755:20 *2755:29 48.5762 +3 *2755:29 *2755:32 7.8125 +4 *2755:32 *2755:33 70.7679 +5 *2755:33 *2755:46 44.4952 +6 *2755:46 *38205:A 17.1214 +7 *2755:46 *6300:DIODE 13.8 +*END + +*D_NET *2756 0.0184359 +*CONN +*I *38203:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *6297:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39919:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38203:A 0.000161474 +2 *6297:DIODE 0 +3 *39919:X 0.0012353 +4 *2756:20 0.0064547 +5 *2756:14 0.00782118 +6 *2756:8 0.00276325 +7 *2756:8 *2778:51 0 +8 *2756:14 *4934:10 0 +9 *2756:20 *2878:34 0 +10 *2756:20 *2897:20 0 +11 *2756:20 *4958:23 0 +12 *295:13 *2756:8 0 +13 *333:42 *2756:20 0 +14 *1002:8 *2756:20 0 +15 *1903:44 *2756:8 0 +16 *1917:37 *2756:8 0 +17 *2502:44 *2756:14 0 +18 *2524:25 *2756:20 0 +19 *2544:14 *38203:A 0 +20 *2544:15 *38203:A 0 +21 *2547:38 *2756:20 0 +22 *2593:17 *38203:A 0 +23 *2613:31 *2756:20 0 +24 *2644:54 *38203:A 0 +25 *2656:18 *2756:20 0 +26 *2659:37 *2756:20 0 +27 *2698:11 *2756:14 0 +*RES +1 *39919:X *2756:8 46.3536 +2 *2756:8 *2756:14 41.2225 +3 *2756:14 *2756:20 30.9348 +4 *2756:20 *6297:DIODE 9.3 +5 *2756:20 *38203:A 21.7464 +*END + +*D_NET *2757 0.0188912 +*CONN +*I *38201:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6294:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39920:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38201:A 0 +2 *6294:DIODE 0.000323336 +3 *39920:X 0.00156999 +4 *2757:24 0.00787559 +5 *2757:14 0.00912224 +6 *6294:DIODE *4673:23 0 +7 *2757:14 *2874:18 0 +8 *2757:14 *2903:21 0 +9 *2757:14 *4958:23 0 +10 *2757:24 *2758:56 0 +11 *2757:24 *4665:14 0 +12 *2757:24 *4966:14 0 +13 *293:18 *2757:14 0 +14 *293:18 *2757:24 0 +15 *294:25 *2757:14 0 +16 *1016:137 *2757:14 0 +17 *2453:11 *2757:14 0 +18 *2485:36 *2757:24 0 +19 *2486:44 *2757:24 0 +20 *2489:33 *2757:14 0 +21 *2492:22 *2757:14 0 +22 *2492:47 *2757:14 0 +23 *2493:32 *2757:24 0 +24 *2496:22 *2757:14 0 +25 *2497:32 *2757:24 0 +26 *2498:50 *2757:24 0 +27 *2531:50 *2757:14 0 +28 *2551:42 *2757:14 0 +29 *2575:28 *6294:DIODE 0 +30 *2578:34 *2757:14 0 +31 *2629:11 *2757:14 0 +32 *2640:22 *2757:24 0 +33 *2664:17 *2757:24 0 +*RES +1 *39920:X *2757:14 49.6125 +2 *2757:14 *2757:24 41.4449 +3 *2757:24 *6294:DIODE 21.0143 +4 *2757:24 *38201:A 13.8 +*END + +*D_NET *2758 0.0206935 +*CONN +*I *38199:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6292:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39921:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38199:A 0.000412666 +2 *6292:DIODE 0 +3 *39921:X 0.00118129 +4 *2758:73 0.0016734 +5 *2758:57 0.00362825 +6 *2758:56 0.00448519 +7 *2758:32 0.00357974 +8 *2758:19 0.0030069 +9 *2758:12 0.00272612 +10 *2758:12 *2777:31 0 +11 *2758:19 *5055:29 0 +12 *2758:32 *7212:DIODE 0 +13 *2758:32 *39825:A 0 +14 *2758:32 *4657:11 0 +15 *2758:32 *4936:23 0 +16 *2758:56 *39188:A 0 +17 *2758:56 *4670:32 0 +18 *2758:57 *39645:A 0 +19 *2758:57 *4665:53 0 +20 *2758:73 *4673:37 0 +21 *2758:73 *4675:26 0 +22 *2758:73 *4951:43 0 +23 *2758:73 *5049:32 0 +24 la_data_in_core[24] *2758:56 0 +25 la_data_in_core[29] *2758:73 0 +26 la_oenb_core[19] *2758:32 0 +27 la_oenb_core[22] *2758:56 0 +28 *7062:DIODE *2758:73 0 +29 *7066:DIODE *38199:A 0 +30 *38944:A *38199:A 0 +31 *39752:A *2758:19 0 +32 *300:7 *2758:32 0 +33 *311:13 *2758:73 0 +34 *312:16 *38199:A 0 +35 *341:29 *2758:32 0 +36 *1029:49 *2758:12 0 +37 *1193:12 *2758:12 0 +38 *2451:34 *2758:73 0 +39 *2452:47 *2758:73 0 +40 *2453:28 *2758:32 0 +41 *2484:46 *2758:73 0 +42 *2485:36 *2758:32 0 +43 *2485:41 *2758:73 0 +44 *2486:44 *2758:32 0 +45 *2493:51 *2758:32 0 +46 *2521:58 *2758:32 0 +47 *2534:27 *2758:19 0 +48 *2570:50 *2758:32 0 +49 *2575:15 *38199:A 0 +50 *2575:15 *2758:73 0 +51 *2575:28 *2758:57 0 +52 *2575:28 *2758:73 0 +53 *2640:16 *2758:57 0 +54 *2640:22 *2758:56 0 +55 *2640:23 *2758:56 0 +56 *2707:25 *2758:19 0 +57 *2711:25 *38199:A 0 +58 *2711:25 *2758:73 0 +59 *2754:37 *2758:19 0 +60 *2757:24 *2758:56 0 +*RES +1 *39921:X *2758:12 45.1929 +2 *2758:12 *2758:19 36.8036 +3 *2758:19 *2758:32 46.2857 +4 *2758:32 *2758:56 48.4257 +5 *2758:56 *2758:57 49.4107 +6 *2758:57 *2758:73 44.9643 +7 *2758:73 *6292:DIODE 9.3 +8 *2758:73 *38199:A 27.4071 +*END + +*D_NET *2759 0.0189955 +*CONN +*I *6286:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38195:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *39922:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6286:DIODE 0.000248956 +2 *38195:A 0 +3 *39922:X 0.00118675 +4 *2759:47 0.00208612 +5 *2759:28 0.0039656 +6 *2759:23 0.00234391 +7 *2759:15 0.00274341 +8 *2759:13 0.00388096 +9 *2759:7 0.00253977 +10 *6286:DIODE *2873:24 0 +11 *2759:7 *2874:11 0 +12 *2759:7 *2874:18 0 +13 *2759:7 *2903:17 0 +14 *2759:13 *2895:35 0 +15 *2759:13 *4934:10 0 +16 *2759:15 *4936:19 0 +17 *2759:23 *2766:19 0 +18 *2759:23 *5055:16 0 +19 *2759:28 *2766:19 0 +20 *2759:47 *4945:14 0 +21 *2759:47 *4963:14 0 +22 *2759:47 *5039:28 0 +23 *2759:47 *5056:11 0 +24 *2759:47 *5120:37 0 +25 *7644:DIODE *2759:15 0 +26 *39769:A *2759:13 0 +27 *39769:A *2759:15 0 +28 *311:33 *2759:28 0 +29 *311:33 *2759:47 0 +30 *1904:22 *2759:7 0 +31 *2158:59 *2759:13 0 +32 *2193:46 *2759:28 0 +33 *2489:33 *2759:15 0 +34 *2502:44 *2759:13 0 +35 *2531:28 *2759:47 0 +36 *2534:13 *2759:15 0 +37 *2537:19 *2759:13 0 +38 *2537:19 *2759:15 0 +39 *2541:26 *2759:15 0 +40 *2541:40 *2759:15 0 +41 *2567:31 *6286:DIODE 0 +42 *2579:17 *2759:28 0 +43 *2584:11 *2759:47 0 +44 *2587:20 *2759:28 0 +45 *2589:7 *2759:13 0 +46 *2590:45 *2759:15 0 +47 *2590:49 *2759:15 0 +48 *2610:43 *2759:13 0 +49 *2613:15 *2759:47 0 +50 *2625:32 *6286:DIODE 0 +51 *2707:18 *2759:28 0 +52 *2751:36 *6286:DIODE 0 +53 *2751:36 *2759:47 0 +54 *2754:37 *2759:23 0 +55 *2754:39 *2759:23 0 +56 *2755:46 *6286:DIODE 0 +57 *2755:46 *2759:47 0 +*RES +1 *39922:X *2759:7 38.5679 +2 *2759:7 *2759:13 34.0179 +3 *2759:13 *2759:15 52.6964 +4 *2759:15 *2759:23 13.6607 +5 *2759:23 *2759:28 49.0893 +6 *2759:28 *2759:47 39.2612 +7 *2759:47 *38195:A 9.3 +8 *2759:47 *6286:DIODE 24.2286 +*END + +*D_NET *2760 0.015623 +*CONN +*I *5504:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37678:B I *D sky130_fd_sc_hd__and2_1 +*I *38455:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *5504:DIODE 0 +2 *37678:B 0.000249023 +3 *38455:X 0.00173742 +4 *2760:63 0.000897679 +5 *2760:57 0.00316959 +6 *2760:44 0.00437019 +7 *2760:28 0.00265544 +8 *2760:13 0.00254361 +9 *37678:B *3316:29 0 +10 *37678:B *3436:21 0 +11 *37678:B *3814:56 0 +12 *37678:B *4016:14 0 +13 *2760:13 *39022:A 0 +14 *2760:13 *3500:32 0 +15 *2760:28 *3105:76 0 +16 *2760:28 *3274:17 0 +17 *2760:44 *39142:A 0 +18 *2760:44 *39143:A 0 +19 *2760:44 *3134:23 0 +20 *2760:44 *3788:52 0 +21 *2760:44 *4508:41 0 +22 *2760:44 *4648:17 0 +23 *2760:44 *4887:11 0 +24 *2760:57 *3792:41 0 +25 *2760:57 *4500:13 0 +26 *2760:57 *4513:101 0 +27 *2760:63 *3316:29 0 +28 la_data_in_mprj[100] *2760:44 0 +29 *5262:DIODE *2760:28 0 +30 *6578:DIODE *2760:57 0 +31 *37404:A *2760:28 0 +32 *37670:B *2760:13 0 +33 *509:5 *2760:57 0 +34 *511:12 *2760:44 0 +35 *639:13 *2760:44 0 +36 *774:11 *2760:13 0 +37 *1213:7 *2760:57 0 +38 *1215:32 *2760:57 0 +39 *1234:10 *2760:13 0 +40 *1238:21 *37678:B 0 +41 *2409:10 *2760:28 0 +42 *2435:52 *2760:57 0 +43 *2445:48 *2760:13 0 +44 *2460:15 *2760:13 0 +45 *2460:29 *2760:44 0 +46 *2549:19 *2760:44 0 +47 *2683:27 *2760:13 0 +48 *2683:27 *2760:28 0 +49 *2738:7 *2760:28 0 +50 *2738:15 *2760:44 0 +51 *2749:64 *37678:B 0 +*RES +1 *38455:X *2760:13 48.2934 +2 *2760:13 *2760:28 34.1511 +3 *2760:28 *2760:44 48.1429 +4 *2760:44 *2760:57 48.3637 +5 *2760:57 *2760:63 21.5439 +6 *2760:63 *37678:B 24.2107 +7 *2760:63 *5504:DIODE 9.3 +*END + +*D_NET *2761 0.0185187 +*CONN +*I *39922:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7838:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39923:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39922:A 0.000143745 +2 *7838:DIODE 0 +3 *39923:X 0.000393166 +4 *2761:32 0.000229457 +5 *2761:27 0.00481476 +6 *2761:26 0.00578762 +7 *2761:20 0.00390768 +8 *2761:8 0.00324227 +9 *39922:A *2779:23 0 +10 *39922:A *2874:11 0 +11 *39922:A *2903:17 0 +12 *2761:20 *2783:9 0 +13 *2761:20 *2784:11 0 +14 *2761:20 *2892:19 0 +15 *2761:20 *2911:44 0 +16 *2761:20 *3547:30 0 +17 *2761:26 *2784:11 0 +18 *2761:26 *3573:31 0 +19 *2761:26 *5053:10 0 +20 *2761:27 *2769:13 0 +21 *2761:27 *4736:9 0 +22 *7415:DIODE *2761:26 0 +23 *39456:A *2761:8 0 +24 *40045:A *2761:20 0 +25 *271:37 *2761:26 0 +26 *320:21 *2761:26 0 +27 *334:15 *2761:20 0 +28 *1362:21 *2761:20 0 +29 *1372:44 *2761:8 0 +30 *1501:18 *2761:20 0 +31 *1520:16 *2761:26 0 +32 *1788:19 *2761:8 0 +33 *2489:20 *39922:A 0 +*RES +1 *39923:X *2761:8 27.2286 +2 *2761:8 *2761:20 48.7733 +3 *2761:20 *2761:26 32.1607 +4 *2761:26 *2761:27 98.6964 +5 *2761:27 *2761:32 10.9464 +6 *2761:32 *7838:DIODE 9.3 +7 *2761:32 *39922:A 12.3 +*END + +*D_NET *2762 0.0202141 +*CONN +*I *6283:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38193:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *39924:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6283:DIODE 0.000142248 +2 *38193:A 0 +3 *39924:X 0.000746384 +4 *2762:19 0.00936067 +5 *2762:10 0.00996481 +6 *6283:DIODE *3580:138 0 +7 *6283:DIODE *3635:40 0 +8 *6283:DIODE *3845:77 0 +9 *2762:10 *5894:DIODE 0 +10 *2762:10 *38194:A 0 +11 *2762:10 *40639:A 0 +12 *2762:10 *3573:50 0 +13 *2762:10 *3586:68 0 +14 *2762:10 *3610:52 0 +15 *2762:10 *4174:37 0 +16 *2762:10 *4181:27 0 +17 *2762:10 *4388:30 0 +18 *2762:19 *39691:A 0 +19 *2762:19 *2951:21 0 +20 *2762:19 *2951:28 0 +21 *2762:19 *3151:50 0 +22 *2762:19 *3169:53 0 +23 *2762:19 *3267:40 0 +24 *2762:19 *3267:48 0 +25 *2762:19 *3554:63 0 +26 *2762:19 *3564:16 0 +27 *2762:19 *3586:68 0 +28 *2762:19 *3837:23 0 +29 *2762:19 *3837:43 0 +30 *2762:19 *3845:77 0 +31 *2762:19 *3845:114 0 +32 *2762:19 *4361:30 0 +33 *2762:19 *4944:10 0 +34 *324:11 *2762:10 0 +35 *1496:16 *2762:10 0 +36 *1661:20 *2762:19 0 +37 *1897:26 *2762:19 0 +38 *1914:47 *2762:19 0 +*RES +1 *39924:X *2762:10 34.1118 +2 *2762:10 *2762:19 48.285 +3 *2762:19 *38193:A 9.3 +4 *2762:19 *6283:DIODE 12.3 +*END + +*D_NET *2763 0.0185509 +*CONN +*I *38189:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *6278:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39925:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38189:A 0.000121071 +2 *6278:DIODE 0 +3 *39925:X 0.00134549 +4 *2763:39 0.000310435 +5 *2763:33 0.00373764 +6 *2763:31 0.00535106 +7 *2763:15 0.00407126 +8 *2763:11 0.00361396 +9 *38189:A *2886:40 0 +10 *38189:A *3195:39 0 +11 *2763:11 *2774:22 0 +12 *2763:11 *2777:5 0 +13 *2763:11 *3353:42 0 +14 *2763:15 *2768:28 0 +15 *2763:15 *2777:5 0 +16 *2763:15 *2777:15 0 +17 *2763:15 *4620:35 0 +18 *2763:31 *2777:15 0 +19 *2763:31 *2869:55 0 +20 *2763:31 *2884:11 0 +21 *2763:33 *40020:A 0 +22 *2763:33 *2765:31 0 +23 *2763:33 *2884:11 0 +24 *2763:33 *2886:15 0 +25 *2763:39 *2886:40 0 +26 *2763:39 *3195:39 0 +27 *39918:A *2763:31 0 +28 *322:27 *2763:11 0 +29 *376:21 *2763:11 0 +30 *1279:36 *2763:31 0 +31 *1385:22 *2763:39 0 +32 *1389:36 *2763:33 0 +33 *1497:49 *2763:39 0 +34 *1501:46 *2763:15 0 +35 *2755:20 *2763:31 0 +*RES +1 *39925:X *2763:11 47.3536 +2 *2763:11 *2763:15 47.375 +3 *2763:15 *2763:31 46.9286 +4 *2763:31 *2763:33 74.0536 +5 *2763:33 *2763:39 13.0357 +6 *2763:39 *6278:DIODE 9.3 +7 *2763:39 *38189:A 11.8893 +*END + +*D_NET *2764 0.0187384 +*CONN +*I *39925:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7839:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39926:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39925:A 0.000136045 +2 *7839:DIODE 0 +3 *39926:X 0.000500134 +4 *2764:26 0.000221737 +5 *2764:21 0.00530972 +6 *2764:20 0.00586652 +7 *2764:9 0.00342328 +8 *2764:8 0.00328092 +9 *39925:A *3554:45 0 +10 *2764:8 *2952:10 0 +11 *2764:9 *4402:27 0 +12 *2764:20 *2911:34 0 +13 *2764:20 *3169:54 0 +14 *2764:21 *37545:A 0 +15 *2764:21 *3051:37 0 +16 *2764:21 *4402:27 0 +17 *2764:26 *3837:43 0 +18 *2764:26 *4361:30 0 +19 *354:17 *2764:8 0 +20 *1168:49 *2764:8 0 +21 *1364:16 *2764:20 0 +22 *1482:15 *2764:9 0 +23 *1655:10 *2764:21 0 +24 *1788:19 *2764:20 0 +25 *1789:16 *2764:8 0 +26 *1798:11 *39925:A 0 +*RES +1 *39926:X *2764:8 29.6571 +2 *2764:8 *2764:9 58.0357 +3 *2764:9 *2764:20 31.6786 +4 *2764:20 *2764:21 108.964 +5 *2764:21 *2764:26 10.9464 +6 *2764:26 *7839:DIODE 9.3 +7 *2764:26 *39925:A 12.1393 +*END + +*D_NET *2765 0.018683 +*CONN +*I *38187:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6275:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39927:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38187:A 0.000156152 +2 *6275:DIODE 0 +3 *39927:X 0.000712115 +4 *2765:53 0.000942338 +5 *2765:47 0.00269219 +6 *2765:43 0.00280768 +7 *2765:31 0.00322983 +8 *2765:30 0.00375727 +9 *2765:24 0.00255122 +10 *2765:18 0.00183423 +11 *2765:18 *2770:38 0 +12 *2765:18 *3577:83 0 +13 *2765:24 *2869:33 0 +14 *2765:30 *2884:11 0 +15 *2765:30 *4944:17 0 +16 *2765:31 *2884:11 0 +17 *2765:43 *2880:22 0 +18 *2765:43 *2884:11 0 +19 *2765:43 *2886:15 0 +20 *2765:43 *3195:39 0 +21 *2765:47 *7641:DIODE 0 +22 *2765:47 *4938:8 0 +23 *2765:47 *5071:26 0 +24 *340:91 *2765:24 0 +25 *1011:166 *38187:A 0 +26 *1011:166 *2765:53 0 +27 *1026:50 *38187:A 0 +28 *1026:50 *2765:53 0 +29 *1279:37 *2765:18 0 +30 *1377:26 *2765:47 0 +31 *1389:36 *2765:31 0 +32 *1390:22 *2765:47 0 +33 *1497:49 *2765:43 0 +34 *1497:49 *2765:47 0 +35 *1501:47 *2765:24 0 +36 *1529:28 *2765:24 0 +37 *1529:29 *2765:30 0 +38 *1529:29 *2765:43 0 +39 *1915:45 *2765:31 0 +40 *2452:15 *2765:47 0 +41 *2453:31 *2765:47 0 +42 *2484:17 *2765:47 0 +43 *2487:27 *2765:24 0 +44 *2519:36 *2765:47 0 +45 *2623:16 *2765:47 0 +46 *2703:29 *2765:47 0 +47 *2744:8 *2765:43 0 +48 *2753:8 *2765:24 0 +49 *2755:29 *2765:31 0 +50 *2763:33 *2765:31 0 +*RES +1 *39927:X *2765:18 42.9071 +2 *2765:18 *2765:24 32.625 +3 *2765:24 *2765:30 38.9107 +4 *2765:30 *2765:31 48.5893 +5 *2765:31 *2765:43 32.4643 +6 *2765:43 *2765:47 47.5893 +7 *2765:47 *2765:53 25.6429 +8 *2765:53 *6275:DIODE 9.3 +9 *2765:53 *38187:A 12.6214 +*END + +*D_NET *2766 0.0187024 +*CONN +*I *38185:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *6272:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39928:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38185:A 0.000156973 +2 *6272:DIODE 0 +3 *39928:X 0 +4 *2766:20 0.000242665 +5 *2766:19 0.00169079 +6 *2766:11 0.00387422 +7 *2766:10 0.00234146 +8 *2766:5 0.00523433 +9 *2766:4 0.00516199 +10 *38185:A *2883:20 0 +11 *2766:5 *2777:15 0 +12 *2766:5 *2778:39 0 +13 *2766:11 *2884:11 0 +14 *2766:19 *2880:22 0 +15 *2766:19 *5046:8 0 +16 *2766:20 *2878:14 0 +17 *2766:20 *2883:20 0 +18 *300:7 *2766:19 0 +19 *341:29 *2766:19 0 +20 *341:33 *2766:19 0 +21 *1279:18 *2766:5 0 +22 *1301:15 *2766:5 0 +23 *1529:29 *2766:11 0 +24 *1927:48 *2766:5 0 +25 *2182:60 *2766:19 0 +26 *2454:26 *2766:5 0 +27 *2502:25 *2766:5 0 +28 *2502:27 *2766:5 0 +29 *2502:43 *2766:5 0 +30 *2528:43 *38185:A 0 +31 *2531:31 *38185:A 0 +32 *2579:17 *2766:19 0 +33 *2743:26 *2766:19 0 +34 *2744:8 *2766:19 0 +35 *2754:39 *2766:19 0 +36 *2759:23 *2766:19 0 +37 *2759:28 *2766:19 0 +*RES +1 *39928:X *2766:4 9.3 +2 *2766:4 *2766:5 107.732 +3 *2766:5 *2766:10 10.6429 +4 *2766:10 *2766:11 47.3571 +5 *2766:11 *2766:19 48.9286 +6 *2766:19 *2766:20 1.94643 +7 *2766:20 *6272:DIODE 13.8 +8 *2766:20 *38185:A 17.3714 +*END + +*D_NET *2767 0.0188839 +*CONN +*I *39928:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7841:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39929:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39928:A 0.00016428 +2 *7841:DIODE 0 +3 *39929:X 0.00119154 +4 *2767:26 0.00143535 +5 *2767:15 0.00808613 +6 *2767:14 0.0080066 +7 *2767:14 *2797:57 0 +8 *2767:14 *3556:58 0 +9 *2767:14 *3609:11 0 +10 *2767:14 *4207:16 0 +11 *2767:14 *4688:15 0 +12 *2767:15 *39677:A 0 +13 *2767:15 *2799:11 0 +14 *2767:15 *2799:15 0 +15 *2767:15 *2892:41 0 +16 *2767:15 *5054:10 0 +17 *37805:A *2767:15 0 +18 *39945:A *2767:15 0 +19 *300:10 *2767:26 0 +20 *312:38 *39928:A 0 +21 *312:38 *2767:15 0 +22 *319:12 *2767:26 0 +23 *1280:14 *2767:26 0 +24 *1280:17 *2767:15 0 +25 *1280:19 *2767:15 0 +26 *1364:27 *2767:15 0 +27 *1368:12 *2767:14 0 +28 *1479:22 *2767:14 0 +29 *1784:12 *2767:14 0 +30 *2454:26 *39928:A 0 +31 *2499:37 *2767:26 0 +32 *2502:25 *39928:A 0 +*RES +1 *39929:X *2767:14 45.3357 +2 *2767:14 *2767:15 142.232 +3 *2767:15 *2767:26 20.8887 +4 *2767:26 *7841:DIODE 9.3 +5 *2767:26 *39928:A 12.7286 +*END + +*D_NET *2768 0.018053 +*CONN +*I *38183:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *6269:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39930:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38183:A 0.000121927 +2 *6269:DIODE 0 +3 *39930:X 0.00160931 +4 *2768:33 0.00337352 +5 *2768:31 0.00327213 +6 *2768:29 0.00285886 +7 *2768:28 0.00402315 +8 *2768:20 0.00279414 +9 *38183:A *4939:11 0 +10 *2768:20 *3833:24 0 +11 *2768:20 *3853:52 0 +12 *2768:20 *3892:33 0 +13 *2768:20 *4381:20 0 +14 *2768:20 *5009:6 0 +15 *2768:28 *2777:5 0 +16 *2768:29 *2777:23 0 +17 *2768:29 *2779:23 0 +18 *2768:33 *2769:22 0 +19 *2768:33 *4939:11 0 +20 *282:18 *2768:20 0 +21 *295:13 *2768:28 0 +22 *300:10 *2768:20 0 +23 *1300:60 *2768:20 0 +24 *1501:46 *2768:20 0 +25 *1904:16 *38183:A 0 +26 *1904:16 *2768:33 0 +27 *1908:22 *2768:33 0 +28 *1908:24 *2768:29 0 +29 *1908:24 *2768:33 0 +30 *2160:46 *2768:33 0 +31 *2489:20 *2768:28 0 +32 *2763:15 *2768:28 0 +*RES +1 *39930:X *2768:20 45.6504 +2 *2768:20 *2768:28 38.8036 +3 *2768:28 *2768:29 59.2679 +4 *2768:29 *2768:31 0.428571 +5 *2768:31 *2768:33 67.8929 +6 *2768:33 *6269:DIODE 9.3 +7 *2768:33 *38183:A 11.9071 +*END + +*D_NET *2769 0.0185052 +*CONN +*I *38181:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *6266:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39931:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38181:A 0.000121071 +2 *6266:DIODE 0 +3 *39931:X 0.00123182 +4 *2769:25 0.000304175 +5 *2769:22 0.00226244 +6 *2769:18 0.00213833 +7 *2769:13 0.00563724 +8 *2769:12 0.00681008 +9 *2769:12 *3844:51 0 +10 *2769:12 *4403:64 0 +11 *2769:12 *4985:26 0 +12 *2769:13 *38175:A 0 +13 *2769:13 *2774:29 0 +14 *2769:13 *2779:17 0 +15 *2769:13 *4736:9 0 +16 *328:37 *2769:12 0 +17 *328:39 *2769:12 0 +18 *338:85 *2769:18 0 +19 *1676:9 *2769:13 0 +20 *1903:32 *38181:A 0 +21 *1903:32 *2769:13 0 +22 *1903:32 *2769:25 0 +23 *1904:30 *2769:13 0 +24 *1917:22 *38181:A 0 +25 *1917:22 *2769:25 0 +26 *1927:40 *2769:13 0 +27 *2489:25 *2769:13 0 +28 *2761:27 *2769:13 0 +29 *2768:33 *2769:22 0 +*RES +1 *39931:X *2769:12 46.3357 +2 *2769:12 *2769:13 116.357 +3 *2769:13 *2769:18 10.3393 +4 *2769:18 *2769:22 48.0536 +5 *2769:22 *2769:25 8.32143 +6 *2769:25 *6266:DIODE 9.3 +7 *2769:25 *38181:A 11.8893 +*END + +*D_NET *2770 0.0191239 +*CONN +*I *38179:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6263:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39932:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38179:A 0.000214501 +2 *6263:DIODE 0 +3 *39932:X 0.00156061 +4 *2770:49 0.000614079 +5 *2770:47 0.0022423 +6 *2770:38 0.00358157 +7 *2770:28 0.00313527 +8 *2770:17 0.00380569 +9 *2770:8 0.00396988 +10 *38179:A *2895:35 0 +11 *38179:A *4658:7 0 +12 *2770:8 *39679:A 0 +13 *2770:8 *2774:11 0 +14 *2770:8 *2778:18 0 +15 *2770:8 *3597:55 0 +16 *2770:8 *4668:14 0 +17 *2770:17 *2774:11 0 +18 *2770:17 *2869:31 0 +19 *2770:17 *4620:14 0 +20 *2770:28 *3597:21 0 +21 *2770:28 *3834:36 0 +22 *2770:28 *4620:26 0 +23 *2770:38 *2869:33 0 +24 *2770:38 *3597:21 0 +25 *2770:47 *2884:11 0 +26 *2770:47 *4677:11 0 +27 *2770:47 *4944:17 0 +28 *2770:49 *4658:7 0 +29 *2770:49 *4677:11 0 +30 *2770:49 *4677:15 0 +31 *40025:A *2770:38 0 +32 *300:10 *2770:17 0 +33 *326:19 *2770:17 0 +34 *335:20 *38179:A 0 +35 *336:73 *2770:47 0 +36 *1279:41 *2770:28 0 +37 *1370:35 *2770:47 0 +38 *1374:8 *2770:28 0 +39 *1380:29 *2770:17 0 +40 *1388:16 *2770:47 0 +41 *1513:24 *2770:38 0 +42 *1911:37 *2770:47 0 +43 *2454:11 *2770:8 0 +44 *2487:23 *2770:28 0 +45 *2487:23 *2770:38 0 +46 *2487:27 *2770:38 0 +47 *2487:30 *2770:47 0 +48 *2499:37 *2770:8 0 +49 *2502:25 *2770:28 0 +50 *2504:24 *2770:17 0 +51 *2597:5 *2770:47 0 +52 *2597:10 *2770:47 0 +53 *2765:18 *2770:38 0 +*RES +1 *39932:X *2770:8 46.4786 +2 *2770:8 *2770:17 48.8843 +3 *2770:17 *2770:28 46.2582 +4 *2770:28 *2770:38 45.6071 +5 *2770:38 *2770:47 49.0536 +6 *2770:47 *2770:49 8.33929 +7 *2770:49 *6263:DIODE 9.3 +8 *2770:49 *38179:A 23.1214 +*END + +*D_NET *2771 0.00238947 +*CONN +*I *37680:B I *D sky130_fd_sc_hd__and2_1 +*I *38456:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *37680:B 0 +2 *38456:X 0.00119474 +3 *2771:23 0.00119474 +4 *2771:23 *2984:11 0 +5 *2771:23 *3105:42 0 +6 *2771:23 *3121:7 0 +7 *2771:23 *3300:55 0 +8 *6572:DIODE *2771:23 0 +9 *6592:DIODE *2771:23 0 +10 *6734:DIODE *2771:23 0 +11 *39551:A *2771:23 0 +12 *778:8 *2771:23 0 +13 *1883:13 *2771:23 0 +*RES +1 *38456:X *2771:23 43.9786 +2 *2771:23 *37680:B 9.3 +*END + +*D_NET *2772 0.0187144 +*CONN +*I *39932:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7842:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39933:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39932:A 0.000141456 +2 *7842:DIODE 0 +3 *39933:X 0.000954845 +4 *2772:37 0.00158404 +5 *2772:36 0.0024987 +6 *2772:27 0.00399134 +7 *2772:26 0.00396882 +8 *2772:15 0.00282699 +9 *2772:8 0.00274824 +10 *39932:A *2798:43 0 +11 *39932:A *3547:31 0 +12 *39932:A *5047:8 0 +13 *2772:8 *5068:22 0 +14 *2772:15 *2798:15 0 +15 *2772:15 *2798:17 0 +16 *2772:15 *4664:7 0 +17 *2772:26 *2798:17 0 +18 *2772:27 *39948:A 0 +19 *2772:27 *2789:37 0 +20 *2772:27 *2798:17 0 +21 *2772:27 *2798:31 0 +22 *2772:27 *3547:31 0 +23 *2772:27 *3547:35 0 +24 *2772:36 *2798:31 0 +25 *2772:36 *4749:13 0 +26 *2772:37 *2798:31 0 +27 *2772:37 *2798:43 0 +28 *2772:37 *3547:31 0 +29 *39956:A *2772:8 0 +30 *40055:A *2772:8 0 +31 *310:27 *2772:8 0 +32 *334:15 *39932:A 0 +33 *1341:15 *2772:15 0 +34 *1369:9 *2772:15 0 +35 *1369:19 *2772:15 0 +36 *1369:19 *2772:26 0 +37 *1369:21 *2772:27 0 +38 *1471:12 *2772:8 0 +39 *1480:16 *2772:27 0 +40 *1480:21 *2772:27 0 +41 *1480:21 *2772:36 0 +42 *1483:22 *2772:26 0 +43 *1484:23 *2772:36 0 +44 *1484:23 *2772:37 0 +45 *1484:37 *2772:37 0 +46 *1485:34 *2772:8 0 +*RES +1 *39933:X *2772:8 39.9786 +2 *2772:8 *2772:15 37.5536 +3 *2772:15 *2772:26 30.75 +4 *2772:26 *2772:27 61.3214 +5 *2772:27 *2772:36 31.125 +6 *2772:36 *2772:37 30.1071 +7 *2772:37 *7842:DIODE 9.3 +8 *2772:37 *39932:A 21.4964 +*END + +*D_NET *2773 0.018396 +*CONN +*I *38177:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6260:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39934:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38177:A 0.00016428 +2 *6260:DIODE 0 +3 *39934:X 0.00142695 +4 *2773:24 0.000263383 +5 *2773:21 0.00218212 +6 *2773:15 0.00750769 +7 *2773:14 0.00542467 +8 *2773:12 0.00142695 +9 *38177:A *2897:9 0 +10 *38177:A *2902:11 0 +11 *2773:12 *5594:DIODE 0 +12 *2773:12 *2789:37 0 +13 *2773:12 *2798:31 0 +14 *2773:12 *3267:48 0 +15 *2773:12 *3564:20 0 +16 *2773:12 *3570:18 0 +17 *2773:12 *3855:71 0 +18 *2773:15 *38143:A 0 +19 *2773:15 *2891:5 0 +20 *2773:15 *2891:9 0 +21 *2773:15 *2898:11 0 +22 *2773:15 *4947:5 0 +23 *2773:21 *2891:9 0 +24 *2773:21 *2894:11 0 +25 *40041:A *2773:12 0 +26 *40043:A *2773:21 0 +27 *296:19 *2773:24 0 +28 *333:58 *2773:24 0 +29 *1369:24 *2773:12 0 +30 *1484:10 *2773:12 0 +31 *2489:9 *2773:21 0 +32 *2489:15 *2773:21 0 +*RES +1 *39934:X *2773:12 45.7286 +2 *2773:12 *2773:14 4.5 +3 *2773:14 *2773:15 113.277 +4 *2773:15 *2773:21 47.9732 +5 *2773:21 *2773:24 6.75 +6 *2773:24 *6260:DIODE 9.3 +7 *2773:24 *38177:A 12.7286 +*END + +*D_NET *2774 0.0182949 +*CONN +*I *38175:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6257:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39935:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38175:A 0.000161285 +2 *6257:DIODE 0 +3 *39935:X 0.000432009 +4 *2774:29 0.0014869 +5 *2774:22 0.00270341 +6 *2774:11 0.00722857 +7 *2774:10 0.00628278 +8 *2774:10 *3586:68 0 +9 *2774:11 *39679:A 0 +10 *2774:11 *2869:31 0 +11 *2774:11 *3597:27 0 +12 *2774:11 *3597:57 0 +13 *2774:11 *4668:14 0 +14 *2774:22 *2777:5 0 +15 *2774:22 *4997:8 0 +16 *2774:29 *2778:27 0 +17 *2774:29 *2779:17 0 +18 *2774:29 *5009:6 0 +19 *296:19 *2774:22 0 +20 *1364:24 *2774:11 0 +21 *1499:26 *2774:29 0 +22 *2499:24 *2774:11 0 +23 *2499:37 *2774:11 0 +24 *2506:20 *2774:22 0 +25 *2763:11 *2774:22 0 +26 *2769:13 *38175:A 0 +27 *2769:13 *2774:29 0 +28 *2770:8 *2774:11 0 +29 *2770:17 *2774:11 0 +*RES +1 *39935:X *2774:10 28.0679 +2 *2774:10 *2774:11 122.107 +3 *2774:11 *2774:22 47.0714 +4 *2774:22 *2774:29 36.9464 +5 *2774:29 *6257:DIODE 9.3 +6 *2774:29 *38175:A 12.7286 +*END + +*D_NET *2775 0.0194414 +*CONN +*I *6254:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38173:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *39936:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6254:DIODE 0 +2 *38173:A 0.000484108 +3 *39936:X 0.00146299 +4 *2775:30 0.00204645 +5 *2775:17 0.00772798 +6 *2775:16 0.00621126 +7 *2775:11 0.00150861 +8 *2775:17 *4947:17 0 +9 *2775:30 *2897:20 0 +10 *2775:30 *4927:8 0 +11 *5865:DIODE *2775:17 0 +12 *7731:DIODE *2775:30 0 +13 *39870:A *2775:17 0 +14 *291:15 *2775:30 0 +15 *321:10 *2775:11 0 +16 *329:17 *2775:11 0 +17 *901:8 *38173:A 0 +18 *1001:22 *2775:30 0 +19 *1025:62 *2775:30 0 +20 *1507:12 *2775:30 0 +21 *2453:11 *38173:A 0 +22 *2496:16 *2775:30 0 +23 *2500:11 *2775:17 0 +24 *2500:17 *2775:17 0 +25 *2500:37 *38173:A 0 +26 *2509:22 *2775:17 0 +27 *2512:24 *2775:16 0 +28 *2534:36 *2775:30 0 +29 *2559:27 *2775:17 0 +30 *2578:34 *2775:30 0 +31 *2597:19 *2775:11 0 +32 *2606:42 *2775:30 0 +33 *2632:29 *2775:17 0 +34 *2697:7 *2775:11 0 +35 *2701:7 *2775:17 0 +36 *2702:34 *2775:17 0 +37 *2702:34 *2775:30 0 +38 *2702:43 *2775:17 0 +*RES +1 *39936:X *2775:11 49.7286 +2 *2775:11 *2775:16 10.0357 +3 *2775:16 *2775:17 128.679 +4 *2775:17 *2775:30 36.8651 +5 *2775:30 *38173:A 28.7072 +6 *2775:30 *6254:DIODE 13.8 +*END + +*D_NET *2776 0.018296 +*CONN +*I *39936:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7845:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39937:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39936:A 0.000166896 +2 *7845:DIODE 8.75996e-06 +3 *39937:X 0.00122107 +4 *2776:18 0.000320839 +5 *2776:17 0.00220553 +6 *2776:11 0.00760609 +7 *2776:10 0.00676681 +8 *7845:DIODE *5043:8 0 +9 *39936:A *5043:8 0 +10 *2776:10 *4651:8 0 +11 *2776:11 *40056:A 0 +12 *2776:11 *2898:17 0 +13 *2776:11 *2910:9 0 +14 *2776:17 *2780:9 0 +15 *2776:17 *2898:17 0 +16 *2776:18 *5043:8 0 +17 *314:25 *2776:10 0 +18 *332:25 *39936:A 0 +19 *354:14 *39936:A 0 +20 *1167:21 *2776:10 0 +21 *1777:10 *2776:10 0 +*RES +1 *39937:X *2776:10 45.9786 +2 *2776:10 *2776:11 115.741 +3 *2776:11 *2776:17 47.5625 +4 *2776:17 *2776:18 3.3125 +5 *2776:18 *7845:DIODE 14.0768 +6 *2776:18 *39936:A 17.5054 +*END + +*D_NET *2777 0.0185493 +*CONN +*I *38171:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6251:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39938:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38171:A 0 +2 *6251:DIODE 0.000136634 +3 *39938:X 0 +4 *2777:31 0.00165225 +5 *2777:23 0.00277769 +6 *2777:15 0.00301444 +7 *2777:5 0.00636034 +8 *2777:4 0.00460797 +9 *2777:5 *2778:27 0 +10 *2777:5 *2884:11 0 +11 *2777:5 *4620:35 0 +12 *2777:15 *2884:11 0 +13 *2777:23 *2779:23 0 +14 *2777:31 *37927:A 0 +15 *2777:31 *2896:14 0 +16 *39918:A *2777:15 0 +17 *295:12 *2777:31 0 +18 *310:24 *2777:5 0 +19 *1401:21 *2777:15 0 +20 *1501:46 *2777:5 0 +21 *1902:43 *2777:23 0 +22 *1904:22 *2777:23 0 +23 *1908:24 *2777:23 0 +24 *1926:47 *2777:31 0 +25 *1926:56 *2777:23 0 +26 *1927:48 *2777:15 0 +27 *2486:18 *2777:31 0 +28 *2487:9 *2777:5 0 +29 *2496:23 *2777:31 0 +30 *2506:20 *2777:5 0 +31 *2541:26 *2777:31 0 +32 *2551:42 *6251:DIODE 0 +33 *2551:42 *2777:31 0 +34 *2758:12 *2777:31 0 +35 *2763:11 *2777:5 0 +36 *2763:15 *2777:5 0 +37 *2763:15 *2777:15 0 +38 *2763:31 *2777:15 0 +39 *2766:5 *2777:15 0 +40 *2768:28 *2777:5 0 +41 *2768:29 *2777:23 0 +42 *2774:22 *2777:5 0 +*RES +1 *39938:X *2777:4 9.3 +2 *2777:4 *2777:5 96.2321 +3 *2777:5 *2777:15 45.8036 +4 *2777:15 *2777:23 40.2679 +5 *2777:23 *2777:31 38.7321 +6 *2777:31 *6251:DIODE 21.2107 +7 *2777:31 *38171:A 9.3 +*END + +*D_NET *2778 0.019023 +*CONN +*I *6249:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38169:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *39939:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6249:DIODE 0.000143745 +2 *38169:A 0 +3 *39939:X 0.00117211 +4 *2778:51 0.00305758 +5 *2778:39 0.00369186 +6 *2778:27 0.00481829 +7 *2778:26 0.00450379 +8 *2778:18 0.00163564 +9 *6249:DIODE *5056:57 0 +10 *2778:18 *2895:10 0 +11 *2778:18 *3597:44 0 +12 *2778:18 *4960:10 0 +13 *2778:26 *4620:14 0 +14 *2778:27 *2884:11 0 +15 *2778:27 *4620:35 0 +16 *2778:27 *4944:17 0 +17 *2778:51 *2895:35 0 +18 *7846:DIODE *2778:18 0 +19 *295:12 *2778:51 0 +20 *300:10 *2778:26 0 +21 *331:11 *2778:51 0 +22 *1014:10 *2778:51 0 +23 *1370:35 *2778:51 0 +24 *1392:22 *2778:18 0 +25 *1484:37 *2778:18 0 +26 *1513:24 *2778:39 0 +27 *1917:37 *2778:51 0 +28 *1918:20 *2778:39 0 +29 *2454:11 *2778:18 0 +30 *2487:9 *2778:27 0 +31 *2499:37 *2778:18 0 +32 *2502:27 *2778:39 0 +33 *2502:43 *2778:39 0 +34 *2504:24 *2778:26 0 +35 *2537:30 *2778:51 0 +36 *2559:26 *2778:51 0 +37 *2578:34 *2778:51 0 +38 *2623:31 *6249:DIODE 0 +39 *2623:31 *2778:51 0 +40 *2676:13 *2778:51 0 +41 *2692:23 *6249:DIODE 0 +42 *2692:23 *2778:51 0 +43 *2692:34 *2778:51 0 +44 *2756:8 *2778:51 0 +45 *2766:5 *2778:39 0 +46 *2770:8 *2778:18 0 +47 *2774:29 *2778:27 0 +48 *2777:5 *2778:27 0 +*RES +1 *39939:X *2778:18 47.6839 +2 *2778:18 *2778:26 14.7624 +3 *2778:26 *2778:27 84.3214 +4 *2778:27 *2778:39 29.9821 +5 *2778:39 *2778:51 49.2529 +6 *2778:51 *38169:A 9.3 +7 *2778:51 *6249:DIODE 12.3 +*END + +*D_NET *2779 0.0187571 +*CONN +*I *38167:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *6246:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39940:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38167:A 0.000173181 +2 *6246:DIODE 0 +3 *39940:X 0.00191489 +4 *2779:26 0.000379114 +5 *2779:23 0.00345902 +6 *2779:22 0.00336548 +7 *2779:17 0.00314901 +8 *2779:16 0.00371907 +9 *2779:5 0.00259734 +10 *2779:5 *2790:17 0 +11 *2779:16 *2892:41 0 +12 *2779:16 *3618:20 0 +13 *2779:17 *4736:9 0 +14 *2779:23 *2894:15 0 +15 *2779:23 *2903:17 0 +16 *2779:26 *2868:8 0 +17 *39922:A *2779:23 0 +18 *294:50 *2779:5 0 +19 *298:18 *38167:A 0 +20 *1029:31 *2779:17 0 +21 *1280:14 *2779:16 0 +22 *1364:31 *2779:17 0 +23 *1370:20 *2779:16 0 +24 *1375:29 *38167:A 0 +25 *1489:15 *2779:16 0 +26 *1499:26 *2779:22 0 +27 *1904:22 *2779:23 0 +28 *1922:47 *2779:22 0 +29 *1926:56 *2779:26 0 +30 *2489:20 *2779:23 0 +31 *2489:33 *2779:26 0 +32 *2499:37 *2779:16 0 +33 *2768:29 *2779:23 0 +34 *2769:13 *2779:17 0 +35 *2774:29 *2779:17 0 +36 *2777:23 *2779:23 0 +*RES +1 *39940:X *2779:5 49.2643 +2 *2779:5 *2779:16 29.9939 +3 *2779:16 *2779:17 63.375 +4 *2779:17 *2779:22 11.5536 +5 *2779:22 *2779:23 67.8929 +6 *2779:23 *2779:26 9.17857 +7 *2779:26 *6246:DIODE 13.8 +8 *2779:26 *38167:A 17.5321 +*END + +*D_NET *2780 0.0195154 +*CONN +*I *6243:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38165:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *39941:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6243:DIODE 0.00014075 +2 *38165:A 0 +3 *39941:X 0.000165918 +4 *2780:31 0.000205254 +5 *2780:27 0.00386134 +6 *2780:17 0.00586917 +7 *2780:14 0.0022382 +8 *2780:9 0.00351737 +9 *2780:8 0.00351741 +10 *6243:DIODE *4665:14 0 +11 *2780:8 *39941:A 0 +12 *2780:8 *5043:8 0 +13 *2780:9 *2783:15 0 +14 *2780:9 *2897:7 0 +15 *2780:9 *2898:17 0 +16 *2780:14 *2892:50 0 +17 *2780:27 *2894:50 0 +18 *2780:27 *4644:20 0 +19 *2780:27 *4736:22 0 +20 *2780:27 *5009:6 0 +21 *2780:31 *4665:14 0 +22 *39734:A *2780:27 0 +23 *271:33 *2780:27 0 +24 *332:20 *2780:17 0 +25 *354:14 *2780:17 0 +26 *376:9 *2780:27 0 +27 *1001:22 *2780:27 0 +28 *1005:46 *2780:27 0 +29 *1024:35 *2780:27 0 +30 *1357:27 *2780:9 0 +31 *1357:29 *2780:9 0 +32 *1366:16 *2780:8 0 +33 *1370:27 *2780:27 0 +34 *1922:47 *2780:27 0 +35 *2491:13 *2780:27 0 +36 *2493:32 *2780:27 0 +37 *2495:17 *2780:27 0 +38 *2504:29 *2780:17 0 +39 *2604:20 *2780:27 0 +40 *2617:48 *2780:27 0 +41 *2620:15 *2780:27 0 +42 *2631:10 *2780:27 0 +43 *2633:20 *2780:27 0 +44 *2679:14 *2780:27 0 +45 *2710:44 *6243:DIODE 0 +46 *2776:17 *2780:9 0 +*RES +1 *39941:X *2780:8 22.0679 +2 *2780:8 *2780:9 69.9464 +3 *2780:9 *2780:14 12.7679 +4 *2780:14 *2780:17 47.75 +5 *2780:17 *2780:27 49.1815 +6 *2780:27 *2780:31 5.91964 +7 *2780:31 *38165:A 9.3 +8 *2780:31 *6243:DIODE 12.3 +*END + +*D_NET *2781 0.0184205 +*CONN +*I *39941:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7849:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39942:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39941:A 0.00019974 +2 *7849:DIODE 4.56186e-05 +3 *39942:X 0.00151623 +4 *2781:13 0.00604394 +5 *2781:11 0.00744865 +6 *2781:6 0.0031663 +7 *39941:A *2785:15 0 +8 *39941:A *5043:8 0 +9 *2781:6 *37888:B 0 +10 *2781:6 *2791:38 0 +11 *2781:6 *3880:34 0 +12 *2781:11 *39845:A 0 +13 *260:14 *2781:11 0 +14 *260:14 *2781:13 0 +15 *302:13 *2781:6 0 +16 *314:25 *2781:6 0 +17 *334:15 *7849:DIODE 0 +18 *1343:11 *2781:6 0 +19 *1366:13 *39941:A 0 +20 *1366:13 *2781:13 0 +21 *1366:16 *7849:DIODE 0 +22 *1366:16 *39941:A 0 +23 *1485:34 *2781:6 0 +24 *1777:10 *2781:6 0 +25 *2780:8 *39941:A 0 +*RES +1 *39942:X *2781:6 48.2286 +2 *2781:6 *2781:11 38.9375 +3 *2781:11 *2781:13 121.08 +4 *2781:13 *7849:DIODE 19.3357 +5 *2781:13 *39941:A 22.6393 +*END + +*D_NET *2782 0.0207254 +*CONN +*I *5509:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37682:B I *D sky130_fd_sc_hd__and2_1 +*I *38457:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5509:DIODE 0.000907624 +2 *37682:B 0 +3 *38457:X 0.00635894 +4 *2782:43 0.00113256 +5 *2782:39 0.00309612 +6 *2782:14 0.00923013 +7 *5509:DIODE *40555:A 0 +8 *5509:DIODE *3205:68 0 +9 *5509:DIODE *3721:24 0 +10 *5509:DIODE *4449:18 0 +11 *2782:14 *2849:23 0 +12 *2782:14 *2916:29 0 +13 *2782:14 *3105:42 0 +14 *2782:14 *3122:31 0 +15 *2782:14 *3250:39 0 +16 *2782:14 *5182:120 0 +17 *2782:39 *2849:23 0 +18 *2782:39 *2882:17 0 +19 *2782:39 *3033:16 0 +20 *2782:39 *3077:91 0 +21 *2782:39 *4524:31 0 +22 *2782:39 *4532:38 0 +23 *2782:39 *5179:91 0 +24 la_data_in_mprj[107] *2782:14 0 +25 *6593:DIODE *2782:14 0 +26 *39604:A *5509:DIODE 0 +27 *406:49 *2782:39 0 +28 *791:50 *2782:14 0 +29 *791:50 *2782:39 0 +30 *1860:28 *5509:DIODE 0 +31 *2377:24 *2782:14 0 +32 *2382:87 *2782:14 0 +33 *2389:21 *2782:14 0 +34 *2389:29 *2782:14 0 +35 *2390:12 *2782:14 0 +*RES +1 *38457:X *2782:14 49.3458 +2 *2782:14 *2782:39 48.2117 +3 *2782:39 *2782:43 9.5625 +4 *2782:43 *37682:B 9.3 +5 *2782:43 *5509:DIODE 36.0573 +*END + +*D_NET *2783 0.0186064 +*CONN +*I *38163:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6240:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39943:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38163:A 0.000180109 +2 *6240:DIODE 0 +3 *39943:X 0.0012394 +4 *2783:27 0.00124879 +5 *2783:26 0.00291111 +6 *2783:20 0.00231588 +7 *2783:15 0.00492698 +8 *2783:14 0.00449915 +9 *2783:9 0.00128502 +10 *2783:9 *2898:17 0 +11 *2783:15 *2897:9 0 +12 *2783:15 *2900:13 0 +13 *2783:15 *2900:20 0 +14 *2783:20 *4997:8 0 +15 *2783:20 *5046:25 0 +16 *2783:26 *4917:17 0 +17 *39787:A *2783:26 0 +18 *334:15 *2783:9 0 +19 *1357:21 *2783:9 0 +20 *1374:8 *2783:20 0 +21 *1392:14 *2783:9 0 +22 *2500:26 *2783:26 0 +23 *2503:5 *2783:26 0 +24 *2589:7 *38163:A 0 +25 *2589:7 *2783:27 0 +26 *2610:43 *2783:26 0 +27 *2761:20 *2783:9 0 +28 *2780:9 *2783:15 0 +*RES +1 *39943:X *2783:9 45.6036 +2 *2783:9 *2783:14 10.0357 +3 *2783:14 *2783:15 92.9464 +4 *2783:15 *2783:20 19.75 +5 *2783:20 *2783:26 47.5357 +6 *2783:26 *2783:27 22.3036 +7 *2783:27 *6240:DIODE 9.3 +8 *2783:27 *38163:A 13.1214 +*END + +*D_NET *2784 0.0185066 +*CONN +*I *38161:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6237:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39944:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38161:A 0.000195632 +2 *6237:DIODE 0 +3 *39944:X 0.00154093 +4 *2784:23 0.00113048 +5 *2784:11 0.00751675 +6 *2784:10 0.0065819 +7 *2784:8 0.00154093 +8 *38161:A *2894:11 0 +9 *38161:A *4997:8 0 +10 *2784:11 *2887:21 0 +11 *2784:11 *3573:29 0 +12 *2784:11 *3573:31 0 +13 *2784:11 *3830:25 0 +14 *2784:11 *4724:14 0 +15 *2784:23 *2894:11 0 +16 *2784:23 *3607:23 0 +17 *2784:23 *3607:24 0 +18 *2784:23 *3614:49 0 +19 *2784:23 *3836:39 0 +20 *2784:23 *3863:74 0 +21 *37579:A *2784:23 0 +22 *40042:A *2784:11 0 +23 *40045:A *2784:11 0 +24 *294:49 *2784:11 0 +25 *296:19 *2784:23 0 +26 *333:58 *2784:23 0 +27 *1362:21 *2784:11 0 +28 *1365:27 *2784:11 0 +29 *1374:8 *38161:A 0 +30 *1380:22 *2784:11 0 +31 *1380:25 *2784:11 0 +32 *1480:29 *2784:11 0 +33 *1483:37 *2784:8 0 +34 *1499:15 *2784:11 0 +35 *1520:25 *2784:11 0 +36 *2487:23 *2784:23 0 +37 *2761:20 *2784:11 0 +38 *2761:26 *2784:11 0 +*RES +1 *39944:X *2784:8 46.0679 +2 *2784:8 *2784:10 4.5 +3 *2784:10 *2784:11 137.304 +4 *2784:11 *2784:23 34.5666 +5 *2784:23 *6237:DIODE 9.3 +6 *2784:23 *38161:A 22.8893 +*END + +*D_NET *2785 0.0187997 +*CONN +*I *38159:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6234:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39945:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38159:A 0.000127553 +2 *6234:DIODE 6.50276e-05 +3 *39945:X 0.00104003 +4 *2785:18 0.00101342 +5 *2785:15 0.00816724 +6 *2785:14 0.00838644 +7 *38159:A *2894:34 0 +8 *2785:18 *2894:34 0 +9 *39941:A *2785:15 0 +10 *260:14 *2785:15 0 +11 *294:26 *2785:15 0 +12 *295:13 *2785:18 0 +13 *298:18 *2785:15 0 +14 *310:24 *2785:14 0 +15 *325:48 *2785:15 0 +16 *1364:24 *2785:14 0 +17 *1366:13 *2785:15 0 +18 *2592:15 *38159:A 0 +19 *2600:20 *38159:A 0 +20 *2613:33 *6234:DIODE 0 +*RES +1 *39945:X *2785:14 49.9786 +2 *2785:14 *2785:15 153.321 +3 *2785:15 *2785:18 23.1429 +4 *2785:18 *6234:DIODE 15.1571 +5 *2785:18 *38159:A 16.6036 +*END + +*D_NET *2786 0.0188779 +*CONN +*I *38157:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6231:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39946:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38157:A 0.000189907 +2 *6231:DIODE 8.4707e-05 +3 *39946:X 0.00141713 +4 *2786:30 0.000545648 +5 *2786:24 0.00283122 +6 *2786:13 0.00747619 +7 *2786:11 0.00633313 +8 *38157:A *4632:20 0 +9 *2786:13 *2892:51 0 +10 *2786:24 *2909:20 0 +11 *2786:24 *4644:20 0 +12 *2786:24 *4736:16 0 +13 *2786:24 *4917:17 0 +14 *2786:30 *4632:20 0 +15 *311:34 *2786:11 0 +16 *311:34 *2786:13 0 +17 *1001:22 *2786:30 0 +18 *1015:48 *2786:30 0 +19 *1016:82 *2786:24 0 +20 *2508:17 *2786:13 0 +21 *2511:11 *2786:11 0 +22 *2511:13 *2786:11 0 +23 *2511:13 *2786:13 0 +24 *2515:50 *2786:24 0 +25 *2523:28 *38157:A 0 +26 *2530:20 *38157:A 0 +27 *2551:49 *2786:24 0 +28 *2554:32 *2786:24 0 +29 *2600:26 *2786:24 0 +30 *2633:20 *2786:24 0 +31 *2655:11 *2786:24 0 +32 *2666:38 *38157:A 0 +33 *2669:26 *2786:24 0 +34 *2680:42 *38157:A 0 +35 *2698:11 *2786:13 0 +*RES +1 *39946:X *2786:11 48.0232 +2 *2786:11 *2786:13 102.598 +3 *2786:13 *2786:24 47.1808 +4 *2786:24 *2786:30 9.31024 +5 *2786:30 *6231:DIODE 15.5679 +6 *2786:30 *38157:A 18.175 +*END + +*D_NET *2787 0.0185622 +*CONN +*I *39946:A I *D sky130_fd_sc_hd__buf_2 +*I *7854:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39947:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39946:A 0.000186552 +2 *7854:DIODE 0 +3 *39947:X 0.00150577 +4 *2787:12 0.000232131 +5 *2787:9 0.00758878 +6 *2787:8 0.0075432 +7 *2787:6 0.00150577 +8 *2787:9 *4712:9 0 +9 *2787:9 *5047:9 0 +10 *2787:12 *5054:34 0 +11 *37527:A *2787:6 0 +12 *39445:A *2787:6 0 +13 *311:34 *39946:A 0 +14 *312:41 *2787:6 0 +15 *323:13 *2787:12 0 +16 *1153:8 *2787:6 0 +17 *1340:10 *2787:6 0 +18 *2511:11 *39946:A 0 +*RES +1 *39947:X *2787:6 47.925 +2 *2787:6 *2787:8 4.5 +3 *2787:8 *2787:9 157.429 +4 *2787:9 *2787:12 5.53571 +5 *2787:12 *7854:DIODE 13.8 +6 *2787:12 *39946:A 17.8357 +*END + +*D_NET *2788 0.0183932 +*CONN +*I *38155:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *6228:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39948:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38155:A 0.000144949 +2 *6228:DIODE 0 +3 *39948:X 0.000981115 +4 *2788:21 0.00154818 +5 *2788:20 0.00198359 +6 *2788:9 0.00666729 +7 *2788:8 0.00706804 +8 *2788:20 *2891:12 0 +9 *311:34 *2788:9 0 +10 *324:11 *2788:8 0 +11 *332:15 *2788:20 0 +12 *1357:18 *2788:8 0 +13 *2498:14 *2788:20 0 +14 *2507:29 *2788:21 0 +15 *2563:25 *2788:20 0 +16 *2589:16 *38155:A 0 +17 *2589:16 *2788:21 0 +18 *2632:29 *2788:20 0 +19 *2698:16 *2788:20 0 +*RES +1 *39948:X *2788:8 40.5857 +2 *2788:8 *2788:9 127.036 +3 *2788:9 *2788:20 30.6964 +4 *2788:20 *2788:21 29.2857 +5 *2788:21 *6228:DIODE 9.3 +6 *2788:21 *38155:A 21.5679 +*END + +*D_NET *2789 0.0191999 +*CONN +*I *7856:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39948:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39949:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7856:DIODE 0 +2 *39948:A 0.000195672 +3 *39949:X 0.00039097 +4 *2789:37 0.000544209 +5 *2789:27 0.00215943 +6 *2789:21 0.00783878 +7 *2789:20 0.00685388 +8 *2789:16 0.00121696 +9 *2789:16 *3082:30 0 +10 *2789:16 *3563:56 0 +11 *2789:16 *4201:23 0 +12 *2789:16 *4420:83 0 +13 *2789:20 *4420:83 0 +14 *2789:21 *2914:9 0 +15 *2789:21 *4664:25 0 +16 *2789:21 *4664:33 0 +17 *2789:27 *3547:35 0 +18 *2789:37 *3547:31 0 +19 *39447:A *2789:21 0 +20 *39449:A *2789:21 0 +21 *354:17 *2789:27 0 +22 *1149:8 *2789:20 0 +23 *1479:11 *2789:21 0 +24 *1480:21 *39948:A 0 +25 *1483:36 *2789:21 0 +26 *1486:10 *2789:16 0 +27 *1486:10 *2789:20 0 +28 *1653:11 *2789:21 0 +29 *1779:7 *2789:21 0 +30 *2772:27 *39948:A 0 +31 *2772:27 *2789:37 0 +32 *2773:12 *2789:37 0 +*RES +1 *39949:X *2789:16 31.5054 +2 *2789:16 *2789:20 23.2946 +3 *2789:20 *2789:21 125.804 +4 *2789:21 *2789:27 47 +5 *2789:27 *2789:37 16.5714 +6 *2789:37 *39948:A 22.4429 +7 *2789:37 *7856:DIODE 9.3 +*END + +*D_NET *2790 0.0190156 +*CONN +*I *38153:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *6225:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39950:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38153:A 0.000121071 +2 *6225:DIODE 0 +3 *39950:X 0.000598946 +4 *2790:23 0.000828388 +5 *2790:17 0.00777821 +6 *2790:16 0.00808048 +7 *2790:10 0.00160854 +8 *38153:A *3561:49 0 +9 *2790:10 *37546:B 0 +10 *2790:10 *2797:75 0 +11 *2790:10 *3570:18 0 +12 *2790:16 *3556:41 0 +13 *2790:17 *3561:49 0 +14 *2790:17 *3614:49 0 +15 *2790:23 *3561:49 0 +16 *2790:23 *3835:56 0 +17 *2790:23 *3844:42 0 +18 *37547:A *2790:10 0 +19 *37757:A *2790:17 0 +20 *294:50 *2790:17 0 +21 *294:62 *2790:17 0 +22 *321:13 *2790:10 0 +23 *333:58 *2790:17 0 +24 *1356:10 *2790:10 0 +25 *1362:9 *2790:17 0 +26 *1365:27 *2790:16 0 +27 *1520:25 *2790:17 0 +28 *1520:36 *2790:17 0 +29 *1783:10 *2790:10 0 +30 *2745:26 *38153:A 0 +31 *2745:26 *2790:23 0 +32 *2779:5 *2790:17 0 +*RES +1 *39950:X *2790:10 31.8179 +2 *2790:10 *2790:16 30.1786 +3 *2790:16 *2790:17 147.571 +4 *2790:17 *2790:23 23.8214 +5 *2790:23 *6225:DIODE 9.3 +6 *2790:23 *38153:A 11.8893 +*END + +*D_NET *2791 0.0193339 +*CONN +*I *7857:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39950:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39951:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7857:DIODE 0 +2 *39950:A 0.000196469 +3 *39951:X 0.00174613 +4 *2791:47 0.00271843 +5 *2791:45 0.00372283 +6 *2791:38 0.00259529 +7 *2791:25 0.00273647 +8 *2791:21 0.00260709 +9 *2791:14 0.00301117 +10 *39950:A *3570:18 0 +11 *39950:A *3634:23 0 +12 *39950:A *3634:29 0 +13 *2791:14 *5769:DIODE 0 +14 *2791:14 *2914:8 0 +15 *2791:14 *3390:62 0 +16 *2791:14 *3585:44 0 +17 *2791:14 *4192:38 0 +18 *2791:14 *4419:62 0 +19 *2791:14 *4795:27 0 +20 *2791:21 *2912:10 0 +21 *2791:21 *3600:53 0 +22 *2791:21 *3621:48 0 +23 *2791:21 *4800:13 0 +24 *2791:25 *3621:37 0 +25 *2791:25 *3621:48 0 +26 *2791:38 *5766:DIODE 0 +27 *2791:38 *2797:35 0 +28 *2791:38 *3621:37 0 +29 *2791:45 *2797:35 0 +30 *2791:45 *3634:31 0 +31 *2791:47 *3570:24 0 +32 *2791:47 *3634:29 0 +33 *2791:47 *3634:31 0 +34 *7402:DIODE *2791:45 0 +35 *37333:A *2791:21 0 +36 *37898:C *2791:21 0 +37 *39441:B *2791:21 0 +38 *39441:B *2791:25 0 +39 *40059:A *2791:21 0 +40 *260:17 *2791:14 0 +41 *302:13 *2791:38 0 +42 *1159:20 *2791:38 0 +43 *1169:11 *2791:47 0 +44 *1344:8 *2791:14 0 +45 *1356:23 *2791:38 0 +46 *1358:14 *2791:14 0 +47 *1485:34 *2791:38 0 +48 *2781:6 *2791:38 0 +*RES +1 *39951:X *2791:14 42.1154 +2 *2791:14 *2791:21 26.4643 +3 *2791:21 *2791:25 28.0714 +4 *2791:25 *2791:38 42.1578 +5 *2791:38 *2791:45 25.3393 +6 *2791:45 *2791:47 52.6964 +7 *2791:47 *39950:A 22.4607 +8 *2791:47 *7857:DIODE 9.3 +*END + +*D_NET *2792 0.0212205 +*CONN +*I *6222:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38151:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *39952:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6222:DIODE 8.17123e-05 +2 *38151:A 0.000356701 +3 *39952:X 0.00681356 +4 *2792:47 0.000746985 +5 *2792:43 0.00335825 +6 *2792:11 0.00986325 +7 *6222:DIODE *3848:19 0 +8 *6222:DIODE *4385:47 0 +9 *38151:A *3884:71 0 +10 *38151:A *4400:29 0 +11 *2792:11 *3136:48 0 +12 *2792:11 *3383:48 0 +13 *2792:11 *4147:14 0 +14 *2792:11 *4184:48 0 +15 *2792:11 *4184:57 0 +16 *2792:11 *4202:9 0 +17 *2792:11 *4202:17 0 +18 *2792:11 *4401:42 0 +19 *2792:11 *4526:18 0 +20 *2792:43 *3619:57 0 +21 *2792:43 *3843:15 0 +22 *2792:43 *4142:15 0 +23 *2792:43 *4175:29 0 +24 *2792:43 *4380:87 0 +25 *2792:43 *4390:20 0 +26 *2792:43 *4985:20 0 +27 *2792:43 *4985:26 0 +28 *2792:47 *3832:84 0 +29 *2792:47 *3848:19 0 +30 *2792:47 *4383:20 0 +31 *2792:47 *4385:47 0 +32 *37529:A *2792:11 0 +33 *343:7 *2792:47 0 +34 *376:21 *2792:43 0 +35 *1283:20 *2792:11 0 +36 *1784:12 *2792:11 0 +37 *1795:14 *2792:43 0 +*RES +1 *39952:X *2792:11 47.1535 +2 *2792:11 *2792:43 49.7203 +3 *2792:43 *2792:47 11.1339 +4 *2792:47 *38151:A 26.175 +5 *2792:47 *6222:DIODE 11.0679 +*END + +*D_NET *2793 0.00774511 +*CONN +*I *38100:C I *D sky130_fd_sc_hd__and3b_2 +*I *38458:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *38100:C 0.000934426 +2 *38458:X 0.00293813 +3 *2793:23 0.00387256 +4 *38100:C *40563:A 0 +5 *2793:23 *2794:13 0 +6 *2793:23 *2984:36 0 +7 *2793:23 *3034:20 0 +8 *2793:23 *3043:52 0 +9 *2793:23 *3145:74 0 +10 *2793:23 *3411:17 0 +11 *2793:23 *5105:9 0 +12 *2793:23 *5196:39 0 +13 *6601:DIODE *38100:C 0 +14 *6742:DIODE *38100:C 0 +15 *38465:A *2793:23 0 +16 *530:31 *38100:C 0 +17 *532:35 *38100:C 0 +18 *2712:162 *2793:23 0 +*RES +1 *38458:X *2793:23 49.9208 +2 *2793:23 *38100:C 43.2777 +*END + +*D_NET *2794 0.00561727 +*CONN +*I *40568:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38459:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40568:A 0 +2 *38459:X 0.00149017 +3 *2794:25 0.00131846 +4 *2794:13 0.00280863 +5 *2794:13 *7020:DIODE 0 +6 *2794:13 *8520:DIODE 0 +7 *2794:13 *3043:52 0 +8 *2794:13 *3145:90 0 +9 *2794:13 *3287:66 0 +10 *2794:13 *3287:91 0 +11 *2794:13 *3435:52 0 +12 *2794:13 *5105:9 0 +13 *2794:25 *8265:DIODE 0 +14 *2794:25 *8486:DIODE 0 +15 *2794:25 *3237:22 0 +16 *2794:25 *3276:53 0 +17 *6605:DIODE *2794:13 0 +18 *7540:DIODE *2794:25 0 +19 *37443:A *2794:13 0 +20 *401:34 *2794:25 0 +21 *784:31 *2794:25 0 +22 *785:43 *2794:25 0 +23 *1030:54 *2794:25 0 +24 *2793:23 *2794:13 0 +*RES +1 *38459:X *2794:13 49.5857 +2 *2794:13 *2794:25 45.8571 +3 *2794:25 *40568:A 9.3 +*END + +*D_NET *2795 0.0186372 +*CONN +*I *39952:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7858:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39953:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39952:A 0.000221547 +2 *7858:DIODE 7.90067e-05 +3 *39953:X 0 +4 *2795:17 0.00268065 +5 *2795:5 0.00901804 +6 *2795:4 0.00663795 +7 *7858:DIODE *4401:42 0 +8 *7858:DIODE *4526:18 0 +9 *7858:DIODE *4671:46 0 +10 *39952:A *5854:DIODE 0 +11 *39952:A *4184:57 0 +12 *39952:A *4201:18 0 +13 *39952:A *4671:46 0 +14 *2795:5 *4195:5 0 +15 *2795:5 *4425:45 0 +16 *2795:17 *5845:DIODE 0 +17 *2795:17 *37920:B 0 +18 *2795:17 *3383:48 0 +19 *2795:17 *3641:39 0 +20 *2795:17 *4187:32 0 +21 *2795:17 *4190:40 0 +22 *2795:17 *4192:11 0 +23 *2795:17 *4195:5 0 +24 *37529:A *39952:A 0 +25 *38411:A *2795:5 0 +26 *1283:20 *2795:17 0 +27 *1341:14 *2795:5 0 +28 *1469:7 *2795:5 0 +29 *1486:10 *2795:5 0 +30 *1664:18 *2795:17 0 +*RES +1 *39953:X *2795:4 9.3 +2 *2795:4 *2795:5 138.536 +3 *2795:5 *2795:17 46.4384 +4 *2795:17 *7858:DIODE 15.5946 +5 *2795:17 *39952:A 18.7732 +*END + +*D_NET *2796 0.0205625 +*CONN +*I *6219:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38149:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39954:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6219:DIODE 0.000171484 +2 *38149:A 4.53811e-05 +3 *39954:X 0.00143262 +4 *2796:24 0.00169903 +5 *2796:15 0.00863177 +6 *2796:13 0.00858223 +7 *6219:DIODE *38182:B 0 +8 *6219:DIODE *4133:53 0 +9 *38149:A *4180:27 0 +10 *2796:13 *3885:38 0 +11 *2796:13 *3896:25 0 +12 *2796:13 *4210:13 0 +13 *2796:13 *4604:30 0 +14 *2796:13 *4637:47 0 +15 *2796:15 *37948:C 0 +16 *2796:15 *3862:37 0 +17 *2796:15 *3862:51 0 +18 *2796:15 *3896:21 0 +19 *2796:15 *3896:25 0 +20 *2796:15 *4169:44 0 +21 *2796:24 *3897:60 0 +22 *2796:24 *4442:20 0 +23 *2796:24 *4442:48 0 +24 *2796:24 *4443:11 0 +25 *37350:A *2796:13 0 +26 *1179:21 *2796:13 0 +27 *1179:21 *2796:15 0 +28 *1294:20 *2796:13 0 +29 *1297:5 *2796:15 0 +30 *1373:7 *2796:15 0 +31 *1648:11 *2796:13 0 +32 *2364:29 *2796:15 0 +*RES +1 *39954:X *2796:13 48.9607 +2 *2796:13 *2796:15 149.214 +3 *2796:15 *2796:24 27.9933 +4 *2796:24 *38149:A 14.9161 +5 *2796:24 *6219:DIODE 17.6125 +*END + +*D_NET *2797 0.0202663 +*CONN +*I *6216:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38147:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *39955:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6216:DIODE 0.000142248 +2 *38147:A 0 +3 *39955:X 0.000660249 +4 *2797:75 0.00128642 +5 *2797:57 0.00424894 +6 *2797:35 0.00537132 +7 *2797:26 0.00508171 +8 *2797:14 0.00347541 +9 *6216:DIODE *3631:19 0 +10 *6216:DIODE *3883:25 0 +11 *2797:14 *5769:DIODE 0 +12 *2797:14 *37918:C 0 +13 *2797:14 *3175:38 0 +14 *2797:14 *3589:45 0 +15 *2797:14 *4463:71 0 +16 *2797:26 *3600:53 0 +17 *2797:26 *3891:20 0 +18 *2797:26 *4203:30 0 +19 *2797:26 *4371:46 0 +20 *2797:35 *5768:DIODE 0 +21 *2797:35 *37888:A_N 0 +22 *2797:35 *37888:B 0 +23 *2797:35 *3600:23 0 +24 *2797:35 *3600:40 0 +25 *2797:35 *3621:37 0 +26 *2797:35 *3634:31 0 +27 *2797:57 *39649:A 0 +28 *2797:57 *40665:A 0 +29 *2797:57 *3570:18 0 +30 *2797:57 *3600:7 0 +31 *2797:57 *3600:23 0 +32 *2797:57 *3634:31 0 +33 *2797:57 *3637:40 0 +34 *2797:57 *4207:16 0 +35 *2797:57 *4399:40 0 +36 *2797:75 *5797:DIODE 0 +37 *2797:75 *37536:B 0 +38 *2797:75 *3631:19 0 +39 *2797:75 *3634:23 0 +40 *2797:75 *3637:23 0 +41 *2797:75 *3883:25 0 +42 *2797:75 *3883:39 0 +43 *37525:A *2797:57 0 +44 *39955:A *2797:14 0 +45 *260:17 *2797:14 0 +46 *311:41 *2797:57 0 +47 *1153:8 *2797:26 0 +48 *1161:11 *2797:57 0 +49 *1340:10 *2797:26 0 +50 *1347:23 *2797:14 0 +51 *1347:30 *2797:14 0 +52 *1350:36 *2797:14 0 +53 *1350:46 *2797:26 0 +54 *1355:14 *2797:14 0 +55 *1368:12 *2797:57 0 +56 *1477:23 *2797:26 0 +57 *1783:10 *2797:75 0 +58 *2767:14 *2797:57 0 +59 *2790:10 *2797:75 0 +60 *2791:38 *2797:35 0 +61 *2791:45 *2797:35 0 +*RES +1 *39955:X *2797:14 41.4607 +2 *2797:14 *2797:26 40.9295 +3 *2797:26 *2797:35 47.6429 +4 *2797:35 *2797:57 46.2378 +5 *2797:57 *2797:75 42.4286 +6 *2797:75 *38147:A 9.3 +7 *2797:75 *6216:DIODE 12.3 +*END + +*D_NET *2798 0.0187729 +*CONN +*I *38145:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6213:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39956:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38145:A 0.000161286 +2 *6213:DIODE 0 +3 *39956:X 0.000272925 +4 *2798:43 0.00210397 +5 *2798:31 0.00389121 +6 *2798:17 0.00543777 +7 *2798:15 0.00506103 +8 *2798:8 0.00184471 +9 *38145:A *3547:31 0 +10 *2798:8 *2908:10 0 +11 *2798:31 *3547:31 0 +12 *2798:43 *3547:31 0 +13 *39932:A *2798:43 0 +14 *300:10 *2798:43 0 +15 *332:37 *2798:8 0 +16 *1341:15 *2798:15 0 +17 *1369:19 *2798:17 0 +18 *1369:21 *2798:17 0 +19 *1480:21 *2798:31 0 +20 *1483:48 *2798:43 0 +21 *1483:49 *38145:A 0 +22 *1484:23 *2798:31 0 +23 *1484:37 *38145:A 0 +24 *1484:37 *2798:43 0 +25 *2772:15 *2798:15 0 +26 *2772:15 *2798:17 0 +27 *2772:26 *2798:17 0 +28 *2772:27 *2798:17 0 +29 *2772:27 *2798:31 0 +30 *2772:36 *2798:31 0 +31 *2772:37 *2798:31 0 +32 *2772:37 *2798:43 0 +33 *2773:12 *2798:31 0 +*RES +1 *39956:X *2798:8 24.4964 +2 *2798:8 *2798:15 32.9286 +3 *2798:15 *2798:17 72.8214 +4 *2798:17 *2798:31 49.875 +5 *2798:31 *2798:43 40.3964 +6 *2798:43 *6213:DIODE 9.3 +7 *2798:43 *38145:A 12.7286 +*END + +*D_NET *2799 0.0185108 +*CONN +*I *38143:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6210:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39957:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38143:A 0.000189324 +2 *6210:DIODE 0 +3 *39957:X 0.000807721 +4 *2799:18 0.000408648 +5 *2799:15 0.00243026 +6 *2799:11 0.00803903 +7 *2799:10 0.00663582 +8 *38143:A *2891:5 0 +9 *2799:11 *5064:7 0 +10 *37763:A *2799:11 0 +11 *294:68 *2799:10 0 +12 *308:11 *2799:10 0 +13 *312:38 *2799:11 0 +14 *1280:19 *2799:15 0 +15 *1280:29 *2799:11 0 +16 *2767:15 *2799:11 0 +17 *2767:15 *2799:15 0 +18 *2773:15 *38143:A 0 +*RES +1 *39957:X *2799:10 36.05 +2 *2799:10 *2799:11 121.696 +3 *2799:11 *2799:15 46.1429 +4 *2799:15 *2799:18 9.48214 +5 *2799:18 *6210:DIODE 13.8 +6 *2799:18 *38143:A 17.8357 +*END + +*D_NET *2800 0.0207457 +*CONN +*I *38127:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6182:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39958:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38127:A 0.000273899 +2 *6182:DIODE 6.50276e-05 +3 *39958:X 0.00163648 +4 *2800:78 0.000411268 +5 *2800:75 0.00177102 +6 *2800:64 0.00372613 +7 *2800:53 0.00378427 +8 *2800:48 0.00459899 +9 *2800:17 0.00447866 +10 *6182:DIODE *3044:21 0 +11 *38127:A *2990:33 0 +12 *38127:A *3012:37 0 +13 *38127:A *3476:45 0 +14 *2800:17 *38330:B 0 +15 *2800:17 *2989:36 0 +16 *2800:17 *3724:53 0 +17 *2800:17 *3950:75 0 +18 *2800:17 *3970:34 0 +19 *2800:17 *4018:95 0 +20 *2800:17 *4026:90 0 +21 *2800:48 *7991:DIODE 0 +22 *2800:48 *2803:17 0 +23 *2800:48 *2809:24 0 +24 *2800:48 *2833:20 0 +25 *2800:48 *3006:14 0 +26 *2800:48 *3286:114 0 +27 *2800:48 *3408:18 0 +28 *2800:48 *3498:51 0 +29 *2800:48 *3994:28 0 +30 *2800:48 *4334:86 0 +31 *2800:48 *4896:14 0 +32 *2800:53 *2963:25 0 +33 *2800:53 *3019:9 0 +34 *2800:53 *3020:59 0 +35 *2800:53 *3044:16 0 +36 *2800:53 *3288:69 0 +37 *2800:53 *3288:81 0 +38 *2800:53 *4065:57 0 +39 *2800:64 *2963:27 0 +40 *2800:64 *3009:58 0 +41 *2800:75 *6057:DIODE 0 +42 *2800:75 *3539:11 0 +43 *2800:75 *3930:13 0 +44 *2800:75 *3962:11 0 +45 *2800:78 *3012:37 0 +46 *39511:A *2800:78 0 +47 *375:41 *2800:17 0 +48 *378:19 *38127:A 0 +49 *378:19 *2800:78 0 +50 *1426:6 *2800:75 0 +51 *1456:22 *2800:17 0 +52 *1459:28 *2800:17 0 +53 *1467:8 *2800:75 0 +54 *1864:72 *2800:48 0 +55 *2370:19 *2800:48 0 +56 *2388:20 *2800:75 0 +*RES +1 *39958:X *2800:17 47.6 +2 *2800:17 *2800:48 47.8681 +3 *2800:48 *2800:53 41.1964 +4 *2800:53 *2800:64 47.2589 +5 *2800:64 *2800:75 49.7768 +6 *2800:75 *2800:78 6.14286 +7 *2800:78 *6182:DIODE 15.1571 +8 *2800:78 *38127:A 19.8536 +*END + +*D_NET *2801 0.021595 +*CONN +*I *38121:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6171:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39959:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38121:A 0.00016428 +2 *6171:DIODE 0 +3 *39959:X 0.0014926 +4 *2801:64 0.00016428 +5 *2801:62 0.00210185 +6 *2801:53 0.004113 +7 *2801:49 0.00291209 +8 *2801:35 0.00329647 +9 *2801:33 0.00412668 +10 *2801:26 0.00322376 +11 *38121:A *3656:49 0 +12 *38121:A *4631:7 0 +13 *2801:26 *3498:43 0 +14 *2801:26 *3700:82 0 +15 *2801:26 *3996:20 0 +16 *2801:26 *4036:18 0 +17 *2801:33 *3400:93 0 +18 *2801:33 *3945:38 0 +19 *2801:49 *39655:A 0 +20 *2801:49 *3048:20 0 +21 *2801:49 *3482:36 0 +22 *2801:53 *5549:DIODE 0 +23 *39403:A *2801:62 0 +24 *39403:B *2801:62 0 +25 *368:65 *2801:26 0 +26 *381:17 *2801:62 0 +27 *383:17 *2801:49 0 +28 *1243:20 *2801:49 0 +29 *1243:32 *2801:53 0 +30 *1243:40 *2801:62 0 +31 *1251:31 *2801:35 0 +32 *1333:9 *2801:33 0 +33 *1333:9 *2801:35 0 +34 *1573:14 *2801:35 0 +35 *1607:14 *2801:62 0 +36 *1622:8 *2801:62 0 +37 *1846:10 *2801:62 0 +38 *1846:13 *2801:53 0 +39 *1846:13 *2801:62 0 +40 *1849:14 *2801:53 0 +41 *1849:14 *2801:62 0 +42 *1863:48 *2801:49 0 +43 *2392:15 *2801:35 0 +44 *2423:31 *2801:26 0 +45 *2463:6 *2801:49 0 +*RES +1 *39959:X *2801:26 45.893 +2 *2801:26 *2801:33 36.2232 +3 *2801:33 *2801:35 50.0268 +4 *2801:35 *2801:49 26.6317 +5 *2801:49 *2801:53 42.0357 +6 *2801:53 *2801:62 49.3036 +7 *2801:62 *2801:64 4.5 +8 *2801:64 *6171:DIODE 9.3 +9 *2801:64 *38121:A 12.7286 +*END + +*D_NET *2802 0.0191472 +*CONN +*I *6167:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38119:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39960:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6167:DIODE 0 +2 *38119:A 0.00018396 +3 *39960:X 0.00197893 +4 *2802:61 0.00187938 +5 *2802:60 0.00201063 +6 *2802:49 0.00303695 +7 *2802:48 0.00355973 +8 *2802:37 0.00267832 +9 *2802:21 0.00381927 +10 *38119:A *2990:48 0 +11 *38119:A *4922:7 0 +12 *2802:21 *6447:DIODE 0 +13 *2802:21 *3394:61 0 +14 *2802:21 *3400:98 0 +15 *2802:21 *3501:94 0 +16 *2802:21 *3931:43 0 +17 *2802:21 *4010:139 0 +18 *2802:21 *4406:28 0 +19 *2802:37 *40612:A 0 +20 *2802:37 *2833:43 0 +21 *2802:37 *3020:59 0 +22 *2802:37 *3470:82 0 +23 *2802:37 *4601:70 0 +24 *2802:48 *2807:40 0 +25 *2802:48 *2833:43 0 +26 *2802:48 *2833:51 0 +27 *2802:48 *3470:93 0 +28 *2802:48 *3541:10 0 +29 *2802:48 *4601:70 0 +30 *2802:49 *37623:A 0 +31 *2802:49 *37696:A 0 +32 *2802:49 *37722:A 0 +33 *2802:49 *37722:B 0 +34 *2802:49 *2813:62 0 +35 *2802:49 *2833:51 0 +36 *2802:49 *2833:62 0 +37 *2802:49 *3761:87 0 +38 *2802:49 *4629:15 0 +39 *2802:60 *3480:39 0 +40 *2802:60 *3656:45 0 +41 *2802:61 *38056:B 0 +42 *2802:61 *2990:48 0 +43 *2802:61 *4619:11 0 +44 *2802:61 *4634:7 0 +45 *7464:DIODE *2802:37 0 +46 *38125:A *2802:61 0 +47 *39494:B *2802:37 0 +48 *1015:125 *2802:48 0 +49 *1423:21 *2802:21 0 +50 *1427:31 *2802:49 0 +51 *1461:15 *2802:49 0 +52 *1461:25 *2802:49 0 +53 *1461:25 *2802:61 0 +54 *1591:24 *2802:61 0 +55 *1698:11 *2802:49 0 +56 *1735:13 *2802:60 0 +57 *1750:14 *2802:60 0 +*RES +1 *39960:X *2802:21 49.4439 +2 *2802:21 *2802:37 46.8475 +3 *2802:37 *2802:48 35.6071 +4 *2802:48 *2802:49 56.8036 +5 *2802:49 *2802:60 24.8929 +6 *2802:60 *2802:61 35.4464 +7 *2802:61 *38119:A 13.1393 +8 *2802:61 *6167:DIODE 9.3 +*END + +*D_NET *2803 0.0194878 +*CONN +*I *38117:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6163:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39961:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38117:A 0.000187877 +2 *6163:DIODE 0 +3 *39961:X 0.00167192 +4 *2803:67 0.00103372 +5 *2803:61 0.00276131 +6 *2803:46 0.00407386 +7 *2803:42 0.00512279 +8 *2803:17 0.00463632 +9 *38117:A *2973:37 0 +10 *38117:A *4405:23 0 +11 *38117:A *4621:6 0 +12 *2803:17 *2806:50 0 +13 *2803:17 *2825:43 0 +14 *2803:17 *3088:14 0 +15 *2803:17 *3401:27 0 +16 *2803:17 *3474:18 0 +17 *2803:17 *3501:87 0 +18 *2803:17 *4013:33 0 +19 *2803:42 *2830:33 0 +20 *2803:42 *2973:23 0 +21 *2803:42 *3020:37 0 +22 *2803:42 *3045:47 0 +23 *2803:42 *3512:50 0 +24 *2803:42 *3700:82 0 +25 *2803:42 *4010:139 0 +26 *2803:42 *4600:69 0 +27 *2803:42 *5106:24 0 +28 *2803:46 *2990:13 0 +29 *2803:46 *3484:58 0 +30 *2803:46 *3779:94 0 +31 *2803:61 *39651:A 0 +32 *2803:61 *2990:21 0 +33 *2803:61 *2990:33 0 +34 *2803:61 *2996:15 0 +35 *2803:61 *3764:69 0 +36 *2803:61 *3940:26 0 +37 *2803:61 *4743:16 0 +38 *2803:61 *4744:24 0 +39 *2803:61 *4910:8 0 +40 *2803:67 *2973:37 0 +41 *2803:67 *4405:23 0 +42 *38367:A *2803:61 0 +43 *262:11 *38117:A 0 +44 *363:45 *2803:42 0 +45 *385:11 *2803:46 0 +46 *1467:8 *2803:61 0 +47 *2370:19 *2803:17 0 +48 *2376:15 *2803:42 0 +49 *2382:14 *2803:17 0 +50 *2800:48 *2803:17 0 +*RES +1 *39961:X *2803:17 49.7168 +2 *2803:17 *2803:42 38.9004 +3 *2803:42 *2803:46 49.7411 +4 *2803:46 *2803:61 47.5781 +5 *2803:61 *2803:67 26.7857 +6 *2803:67 *6163:DIODE 9.3 +7 *2803:67 *38117:A 22.5143 +*END + +*D_NET *2804 0.0191869 +*CONN +*I *38113:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6155:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39962:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38113:A 0.000163425 +2 *6155:DIODE 0 +3 *39962:X 0.000340491 +4 *2804:36 0.000690044 +5 *2804:31 0.00299104 +6 *2804:29 0.00410315 +7 *2804:24 0.00377039 +8 *2804:16 0.00219065 +9 *2804:11 0.00232811 +10 *2804:10 0.00260961 +11 *38113:A *3006:23 0 +12 *2804:10 *4404:113 0 +13 *2804:11 *3484:37 0 +14 *2804:11 *3491:13 0 +15 *2804:11 *4758:5 0 +16 *2804:24 *38124:A_N 0 +17 *2804:24 *3396:5 0 +18 *2804:24 *3398:40 0 +19 *2804:29 *4043:19 0 +20 *2804:29 *4779:17 0 +21 *2804:31 *2979:11 0 +22 *2804:31 *4043:19 0 +23 *2804:31 *4043:25 0 +24 *7575:DIODE *2804:11 0 +25 *380:31 *2804:24 0 +26 *1735:16 *2804:36 0 +27 *1750:18 *2804:36 0 +28 *1831:17 *38113:A 0 +*RES +1 *39962:X *2804:10 25.5143 +2 *2804:10 *2804:11 47.3571 +3 *2804:11 *2804:16 10.3393 +4 *2804:16 *2804:24 49.2321 +5 *2804:24 *2804:29 38.7321 +6 *2804:29 *2804:31 51.4643 +7 *2804:31 *2804:36 20.9643 +8 *2804:36 *6155:DIODE 9.3 +9 *2804:36 *38113:A 12.7107 +*END + +*D_NET *2805 0.00602405 +*CONN +*I *40566:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38460:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40566:A 0.000678891 +2 *38460:X 0.000820759 +3 *2805:27 0.00219127 +4 *2805:17 0.00233314 +5 *40566:A *3430:71 0 +6 *2805:17 *4756:42 0 +7 *2805:17 *5188:81 0 +8 *2805:27 *2978:9 0 +9 *2805:27 *3141:14 0 +10 *2805:27 *4756:42 0 +11 la_data_in_mprj[113] *2805:27 0 +12 *5268:DIODE *40566:A 0 +13 *38466:A *2805:27 0 +14 *401:51 *40566:A 0 +15 *781:12 *2805:17 0 +16 *781:30 *2805:17 0 +17 *785:43 *40566:A 0 +18 *2442:79 *2805:27 0 +19 *2712:143 *40566:A 0 +20 *2712:152 *40566:A 0 +*RES +1 *38460:X *2805:17 26.5857 +2 *2805:17 *2805:27 45.1786 +3 *2805:27 *40566:A 28.8714 +*END + +*D_NET *2806 0.0239737 +*CONN +*I *38111:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6151:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39963:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38111:A 0.000175463 +2 *6151:DIODE 4.53482e-05 +3 *39963:X 0.00167714 +4 *2806:120 0.00140196 +5 *2806:116 0.00263706 +6 *2806:108 0.0040799 +7 *2806:92 0.00424465 +8 *2806:71 0.00307147 +9 *2806:50 0.00320719 +10 *2806:22 0.00343352 +11 *6151:DIODE *3044:21 0 +12 *38111:A *2811:81 0 +13 *2806:22 *3118:6 0 +14 *2806:22 *3408:18 0 +15 *2806:22 *3409:138 0 +16 *2806:22 *3417:119 0 +17 *2806:22 *3987:43 0 +18 *2806:50 *8668:DIODE 0 +19 *2806:50 *2825:43 0 +20 *2806:50 *3097:16 0 +21 *2806:50 *3402:157 0 +22 *2806:50 *3981:59 0 +23 *2806:50 *4003:42 0 +24 *2806:50 *4216:65 0 +25 *2806:50 *4322:93 0 +26 *2806:71 *2988:18 0 +27 *2806:71 *2988:24 0 +28 *2806:71 *3109:24 0 +29 *2806:71 *3288:36 0 +30 *2806:71 *3400:108 0 +31 *2806:71 *3402:157 0 +32 *2806:71 *3953:17 0 +33 *2806:71 *3966:14 0 +34 *2806:71 *4033:77 0 +35 *2806:71 *4223:35 0 +36 *2806:71 *4228:22 0 +37 *2806:71 *4228:49 0 +38 *2806:92 *5588:DIODE 0 +39 *2806:92 *6452:DIODE 0 +40 *2806:92 *40138:A 0 +41 *2806:92 *2999:37 0 +42 *2806:92 *3020:59 0 +43 *2806:92 *3028:44 0 +44 *2806:92 *3288:69 0 +45 *2806:92 *3402:166 0 +46 *2806:92 *3481:68 0 +47 *2806:92 *4018:109 0 +48 *2806:108 *37868:A 0 +49 *2806:108 *3028:44 0 +50 *2806:108 *3286:131 0 +51 *2806:108 *3484:46 0 +52 *2806:108 *3542:56 0 +53 *2806:108 *3663:17 0 +54 *2806:108 *3737:18 0 +55 *2806:108 *4579:32 0 +56 *2806:108 *4906:8 0 +57 *2806:116 *3539:11 0 +58 *2806:120 *2811:81 0 +59 *39494:B *2806:108 0 +60 *287:17 *2806:92 0 +61 *370:51 *38111:A 0 +62 *370:55 *38111:A 0 +63 *370:55 *2806:120 0 +64 *380:31 *2806:108 0 +65 *383:17 *2806:108 0 +66 *1214:31 *2806:71 0 +67 *1274:8 *2806:92 0 +68 *1456:22 *2806:22 0 +69 *1467:8 *2806:116 0 +70 *1568:22 *2806:50 0 +71 *1601:24 *38111:A 0 +72 *1754:8 *2806:108 0 +73 *1843:5 *2806:108 0 +74 *1843:5 *2806:120 0 +75 *2370:19 *2806:22 0 +76 *2375:27 *2806:22 0 +77 *2414:41 *2806:50 0 +78 *2414:53 *2806:50 0 +79 *2414:55 *2806:50 0 +80 *2444:6 *2806:108 0 +81 *2803:17 *2806:50 0 +*RES +1 *39963:X *2806:22 45.7343 +2 *2806:22 *2806:50 46.7446 +3 *2806:50 *2806:71 47.0999 +4 *2806:71 *2806:92 49.5982 +5 *2806:92 *2806:108 47.7085 +6 *2806:108 *2806:116 44.0179 +7 *2806:116 *2806:120 29.3571 +8 *2806:120 *6151:DIODE 14.7464 +9 *2806:120 *38111:A 17.8 +*END + +*D_NET *2807 0.0249623 +*CONN +*I *38107:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6143:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39964:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38107:A 6.20329e-05 +2 *6143:DIODE 0.000104386 +3 *39964:X 0.000893545 +4 *2807:40 0.00148204 +5 *2807:35 0.0090753 +6 *2807:34 0.0082598 +7 *2807:26 0.00234588 +8 *2807:12 0.00273929 +9 *6143:DIODE *3019:11 0 +10 *6143:DIODE *3044:19 0 +11 *38107:A *3019:11 0 +12 *38107:A *3044:19 0 +13 *2807:12 *3101:55 0 +14 *2807:12 *3107:73 0 +15 *2807:12 *3509:52 0 +16 *2807:12 *4322:68 0 +17 *2807:26 *2831:63 0 +18 *2807:26 *3042:70 0 +19 *2807:26 *3218:36 0 +20 *2807:26 *4057:24 0 +21 *2807:34 *2814:45 0 +22 *2807:34 *3418:27 0 +23 *2807:35 *39659:A 0 +24 *2807:35 *39660:A 0 +25 *2807:35 *2836:33 0 +26 *2807:35 *2836:39 0 +27 *2807:35 *3489:17 0 +28 *2807:35 *4733:11 0 +29 *2807:35 *4733:20 0 +30 *2807:40 *2833:48 0 +31 *2807:40 *4728:6 0 +32 *2807:40 *4733:10 0 +33 *2807:40 *4914:22 0 +34 *39422:B *2807:35 0 +35 *357:43 *2807:34 0 +36 *380:22 *2807:26 0 +37 *1208:56 *2807:26 0 +38 *1460:30 *2807:40 0 +39 *1553:46 *2807:34 0 +40 *1572:16 *2807:12 0 +41 *1572:16 *2807:26 0 +42 *2385:26 *2807:26 0 +43 *2387:11 *2807:35 0 +44 *2403:52 *2807:26 0 +45 *2441:11 *2807:35 0 +46 *2444:6 *2807:40 0 +47 *2802:48 *2807:40 0 +*RES +1 *39964:X *2807:12 34.1839 +2 *2807:12 *2807:26 48.4085 +3 *2807:26 *2807:34 24.2679 +4 *2807:34 *2807:35 161.946 +5 *2807:35 *2807:40 38.875 +6 *2807:40 *6143:DIODE 11.4786 +7 *2807:40 *38107:A 10.6571 +*END + +*D_NET *2808 0.0191665 +*CONN +*I *38103:A I *D sky130_fd_sc_hd__buf_2 +*I *6135:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39965:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38103:A 0.000189064 +2 *6135:DIODE 0 +3 *39965:X 0.00446199 +4 *2808:38 0.000555468 +5 *2808:35 0.00328494 +6 *2808:34 0.00456577 +7 *2808:17 0.00610922 +8 *38103:A *2963:27 0 +9 *38103:A *3044:21 0 +10 *2808:17 *3477:53 0 +11 *2808:17 *3745:49 0 +12 *2808:17 *3948:28 0 +13 *2808:17 *3981:67 0 +14 *2808:17 *4030:23 0 +15 *2808:17 *4223:62 0 +16 *2808:17 *4240:28 0 +17 *2808:17 *4427:131 0 +18 *2808:34 *6488:DIODE 0 +19 *2808:34 *2988:24 0 +20 *2808:34 *3966:14 0 +21 *2808:34 *3981:89 0 +22 *2808:34 *4228:49 0 +23 *2808:35 *38368:A 0 +24 *2808:35 *38368:B 0 +25 *2808:35 *3935:29 0 +26 *2808:35 *3953:72 0 +27 *2808:35 *3981:99 0 +28 *2808:35 *4223:71 0 +29 *2808:35 *4223:78 0 +30 *262:17 *38103:A 0 +31 *262:17 *2808:38 0 +32 *1214:43 *2808:17 0 +33 *1453:30 *2808:17 0 +34 *1580:45 *2808:17 0 +35 *1583:11 *2808:35 0 +36 *1589:22 *38103:A 0 +37 *1589:22 *2808:38 0 +38 *2414:22 *2808:17 0 +*RES +1 *39965:X *2808:17 47.0009 +2 *2808:17 *2808:34 39.3332 +3 *2808:34 *2808:35 60.9107 +4 *2808:35 *2808:38 12.8214 +5 *2808:38 *6135:DIODE 13.8 +6 *2808:38 *38103:A 18.3357 +*END + +*D_NET *2809 0.0192476 +*CONN +*I *6131:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38101:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *39966:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6131:DIODE 0.000104386 +2 *38101:A 0 +3 *39966:X 0.00123461 +4 *2809:24 0.00838917 +5 *2809:11 0.0095194 +6 *2809:11 *6450:DIODE 0 +7 *2809:11 *38312:B 0 +8 *2809:11 *2815:70 0 +9 *2809:11 *3286:90 0 +10 *2809:11 *3950:75 0 +11 *2809:24 *2985:14 0 +12 *2809:24 *3006:14 0 +13 *2809:24 *3288:69 0 +14 *2809:24 *3401:36 0 +15 *2809:24 *3408:24 0 +16 *2809:24 *3495:19 0 +17 *2809:24 *4223:35 0 +18 *2809:24 *4223:62 0 +19 *2809:24 *4318:97 0 +20 *2809:24 *4334:86 0 +21 *39588:A *2809:24 0 +22 *1214:31 *2809:11 0 +23 *1461:11 *2809:24 0 +24 *1466:50 *2809:24 0 +25 *1574:17 *2809:24 0 +26 *1575:15 *2809:24 0 +27 *1593:10 *2809:24 0 +28 *1716:13 *6131:DIODE 0 +29 *1831:28 *2809:24 0 +30 *2388:20 *2809:24 0 +31 *2800:48 *2809:24 0 +*RES +1 *39966:X *2809:11 48.8714 +2 *2809:11 *2809:24 43.3457 +3 *2809:24 *38101:A 9.3 +4 *2809:24 *6131:DIODE 11.4786 +*END + +*D_NET *2810 0.0186628 +*CONN +*I *6128:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38099:A I *D sky130_fd_sc_hd__buf_2 +*I *39967:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6128:DIODE 0 +2 *38099:A 0.000159146 +3 *39967:X 0.00105022 +4 *2810:23 0.00773871 +5 *2810:22 0.00812202 +6 *2810:16 0.00159268 +7 *2810:16 *2811:35 0 +8 *2810:16 *3040:26 0 +9 *2810:22 *2823:26 0 +10 *2810:22 *2839:52 0 +11 *2810:22 *3582:30 0 +12 *261:13 *2810:16 0 +13 *1751:9 *2810:23 0 +14 *1863:39 *2810:23 0 +15 *2396:11 *2810:23 0 +16 *2396:13 *2810:23 0 +*RES +1 *39967:X *2810:16 49.8618 +2 *2810:16 *2810:22 10.1075 +3 *2810:22 *2810:23 158.25 +4 *2810:23 *38099:A 12.6214 +5 *2810:23 *6128:DIODE 9.3 +*END + +*D_NET *2811 0.0219947 +*CONN +*I *6124:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38097:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *39968:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6124:DIODE 0.000101392 +2 *38097:A 0 +3 *39968:X 0.00153501 +4 *2811:81 0.00111216 +5 *2811:69 0.00524483 +6 *2811:67 0.00519729 +7 *2811:59 0.0025815 +8 *2811:35 0.00315291 +9 *2811:20 0.00306964 +10 *6124:DIODE *3039:52 0 +11 *6124:DIODE *3944:29 0 +12 *2811:20 *3045:47 0 +13 *2811:20 *3970:19 0 +14 *2811:20 *3981:39 0 +15 *2811:20 *4256:77 0 +16 *2811:20 *4406:28 0 +17 *2811:35 *7883:DIODE 0 +18 *2811:35 *3013:54 0 +19 *2811:35 *3764:34 0 +20 *2811:35 *4010:120 0 +21 *2811:35 *4334:58 0 +22 *2811:35 *4343:65 0 +23 *2811:35 *4406:28 0 +24 *2811:59 *6037:DIODE 0 +25 *2811:59 *38042:B 0 +26 *2811:59 *38048:C 0 +27 *2811:59 *3009:22 0 +28 *2811:59 *3301:127 0 +29 *2811:59 *3506:41 0 +30 *2811:59 *3773:55 0 +31 *2811:59 *3773:57 0 +32 *2811:59 *3945:52 0 +33 *2811:59 *4010:120 0 +34 *2811:59 *4601:37 0 +35 *2811:59 *4601:52 0 +36 *2811:67 *5738:DIODE 0 +37 *2811:67 *3009:42 0 +38 *2811:67 *3773:57 0 +39 *2811:69 *2830:44 0 +40 *2811:69 *3009:52 0 +41 *2811:69 *3286:132 0 +42 *2811:69 *3773:57 0 +43 *2811:69 *4405:9 0 +44 *2811:69 *4405:19 0 +45 *2811:81 *38075:A 0 +46 *2811:81 *3039:52 0 +47 *2811:81 *3394:73 0 +48 *2811:81 *3399:31 0 +49 *2811:81 *3944:29 0 +50 *38111:A *2811:81 0 +51 *38339:A *2811:35 0 +52 *38355:A *2811:20 0 +53 *38367:A *2811:81 0 +54 *280:11 *2811:81 0 +55 *370:55 *2811:81 0 +56 *1244:30 *2811:81 0 +57 *1420:10 *2811:59 0 +58 *1456:36 *2811:59 0 +59 *1550:17 *2811:59 0 +60 *1571:37 *2811:35 0 +61 *1601:24 *2811:81 0 +62 *2376:15 *2811:81 0 +63 *2806:120 *2811:81 0 +64 *2810:16 *2811:35 0 +*RES +1 *39968:X *2811:20 46.506 +2 *2811:20 *2811:35 49.8893 +3 *2811:35 *2811:59 43.3036 +4 *2811:59 *2811:67 20.4107 +5 *2811:67 *2811:69 88.4286 +6 *2811:69 *2811:81 36.1916 +7 *2811:81 *38097:A 9.3 +8 *2811:81 *6124:DIODE 11.4786 +*END + +*D_NET *2812 0.0298171 +*CONN +*I *39968:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7867:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39969:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39968:A 4.23535e-05 +2 *7867:DIODE 8.4707e-05 +3 *39969:X 0.00397127 +4 *2812:38 0.00012706 +5 *2812:36 0.00209344 +6 *2812:27 0.0108102 +7 *2812:20 0.012688 +8 *7867:DIODE *4013:33 0 +9 *39968:A *4013:33 0 +10 *2812:20 *2820:22 0 +11 *2812:20 *2935:13 0 +12 *2812:20 *3031:17 0 +13 *2812:20 *3031:43 0 +14 *2812:20 *3295:20 0 +15 *2812:20 *3299:16 0 +16 *2812:20 *3420:40 0 +17 *2812:20 *3499:27 0 +18 *2812:20 *3522:24 0 +19 *2812:20 *3678:32 0 +20 *2812:27 *2820:29 0 +21 *2812:27 *2905:51 0 +22 *2812:27 *3028:25 0 +23 *2812:27 *3028:29 0 +24 *2812:27 *3031:66 0 +25 *2812:27 *3299:75 0 +26 *2812:27 *3310:40 0 +27 *2812:27 *3420:49 0 +28 *2812:27 *3429:39 0 +29 *2812:27 *3514:20 0 +30 *2812:27 *3666:22 0 +31 *2812:27 *3678:45 0 +32 *2812:27 *3768:47 0 +33 *2812:36 *39970:A 0 +34 *2812:36 *2814:46 0 +35 *2812:36 *2825:20 0 +36 *2812:36 *3770:34 0 +37 *266:23 *2812:36 0 +38 *266:48 *2812:36 0 +39 *1565:35 *2812:27 0 +40 *2403:27 *2812:27 0 +41 *2414:55 *7867:DIODE 0 +42 *2414:55 *39968:A 0 +43 *2426:40 *2812:27 0 +*RES +1 *39969:X *2812:20 49.5907 +2 *2812:20 *2812:27 35.0065 +3 *2812:27 *2812:36 47.5446 +4 *2812:36 *2812:38 4.5 +5 *2812:38 *7867:DIODE 11.0679 +6 *2812:38 *39968:A 10.2464 +*END + +*D_NET *2813 0.0196334 +*CONN +*I *38095:A I *D sky130_fd_sc_hd__buf_2 +*I *6121:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39970:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38095:A 0.000181791 +2 *6121:DIODE 0 +3 *39970:X 0.000748531 +4 *2813:62 0.00176435 +5 *2813:58 0.00212569 +6 *2813:47 0.00452736 +7 *2813:46 0.00552877 +8 *2813:28 0.00277645 +9 *2813:16 0.00198044 +10 *38095:A *2819:27 0 +11 *2813:16 *37690:B 0 +12 *2813:16 *3275:86 0 +13 *2813:16 *3657:45 0 +14 *2813:16 *3666:66 0 +15 *2813:16 *3773:33 0 +16 *2813:28 *38036:A_N 0 +17 *2813:28 *3308:118 0 +18 *2813:28 *3728:24 0 +19 *2813:28 *3739:33 0 +20 *2813:28 *3755:13 0 +21 *2813:46 *37874:A 0 +22 *2813:46 *3295:90 0 +23 *2813:46 *3295:102 0 +24 *2813:46 *3432:97 0 +25 *2813:46 *3739:57 0 +26 *2813:46 *3755:31 0 +27 *2813:46 *3755:33 0 +28 *2813:46 *3779:50 0 +29 *2813:46 *4087:78 0 +30 *2813:47 *40804:A 0 +31 *2813:47 *3755:33 0 +32 *2813:47 *4312:31 0 +33 *2813:47 *4312:33 0 +34 *2813:58 *3480:38 0 +35 *2813:58 *3737:32 0 +36 *2813:62 *2819:27 0 +37 *1004:23 *2813:16 0 +38 *1021:19 *38095:A 0 +39 *1021:19 *2813:62 0 +40 *1260:14 *2813:58 0 +41 *1335:23 *38095:A 0 +42 *1336:5 *2813:28 0 +43 *1423:21 *2813:46 0 +44 *1427:31 *2813:62 0 +45 *1460:30 *2813:58 0 +46 *1566:11 *2813:16 0 +47 *1583:11 *2813:58 0 +48 *1585:6 *2813:58 0 +49 *2423:31 *2813:46 0 +50 *2441:10 *2813:58 0 +51 *2473:8 *2813:28 0 +52 *2802:49 *2813:62 0 +*RES +1 *39970:X *2813:16 43.3357 +2 *2813:16 *2813:28 43.9286 +3 *2813:28 *2813:46 41.6071 +4 *2813:46 *2813:47 83.0893 +5 *2813:47 *2813:58 22.5902 +6 *2813:58 *2813:62 37.8571 +7 *2813:62 *6121:DIODE 13.8 +8 *2813:62 *38095:A 17.9071 +*END + +*D_NET *2814 0.0238101 +*CONN +*I *7868:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39970:A I *D sky130_fd_sc_hd__buf_2 +*I *39971:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7868:DIODE 0.000422898 +2 *39970:A 0.000211643 +3 *39971:X 0.00127333 +4 *2814:46 0.00171336 +5 *2814:45 0.00250168 +6 *2814:37 0.00660453 +7 *2814:36 0.00641177 +8 *2814:26 0.00231386 +9 *2814:11 0.00235709 +10 *7868:DIODE *3492:20 0 +11 *7868:DIODE *3663:17 0 +12 *7868:DIODE *3696:121 0 +13 *7868:DIODE *3773:33 0 +14 *39970:A *2819:20 0 +15 *39970:A *3779:20 0 +16 *39970:A *4339:66 0 +17 *2814:11 *5429:DIODE 0 +18 *2814:11 *3685:61 0 +19 *2814:11 *3706:16 0 +20 *2814:11 *3968:35 0 +21 *2814:11 *4723:14 0 +22 *2814:26 *2817:32 0 +23 *2814:26 *2837:31 0 +24 *2814:26 *2840:68 0 +25 *2814:26 *3298:40 0 +26 *2814:26 *3719:33 0 +27 *2814:26 *3967:6 0 +28 *2814:26 *4718:6 0 +29 *2814:36 *40766:A 0 +30 *2814:36 *2818:38 0 +31 *2814:36 *2831:39 0 +32 *2814:36 *2905:51 0 +33 *2814:36 *3021:15 0 +34 *2814:36 *3021:19 0 +35 *2814:36 *3283:53 0 +36 *2814:36 *3283:57 0 +37 *2814:36 *3713:51 0 +38 *2814:36 *4241:81 0 +39 *2814:37 *2817:47 0 +40 *2814:37 *2834:24 0 +41 *2814:37 *3003:27 0 +42 *2814:37 *3042:48 0 +43 *2814:37 *3418:26 0 +44 *2814:37 *3662:49 0 +45 *2814:45 *2834:35 0 +46 *2814:45 *3418:27 0 +47 *2814:46 *2819:20 0 +48 *39971:A *2814:11 0 +49 *266:23 *2814:46 0 +50 *374:17 *2814:37 0 +51 *1273:41 *2814:37 0 +52 *1273:62 *2814:37 0 +53 *1328:53 *2814:36 0 +54 *2369:34 *2814:36 0 +55 *2369:35 *2814:11 0 +56 *2387:22 *2814:37 0 +57 *2413:38 *2814:37 0 +58 *2413:45 *2814:11 0 +59 *2413:45 *2814:36 0 +60 *2434:15 *2814:37 0 +61 *2441:29 *2814:46 0 +62 *2478:18 *2814:36 0 +63 *2807:34 *2814:45 0 +64 *2812:36 *39970:A 0 +65 *2812:36 *2814:46 0 +*RES +1 *39971:X *2814:11 46.5143 +2 *2814:11 *2814:26 41.1429 +3 *2814:26 *2814:36 35.2321 +4 *2814:36 *2814:37 108.143 +5 *2814:37 *2814:45 43.3036 +6 *2814:45 *2814:46 24.5625 +7 *2814:46 *39970:A 18.5589 +8 *2814:46 *7868:DIODE 26.0573 +*END + +*D_NET *2815 0.0204126 +*CONN +*I *38089:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *6113:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39972:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38089:A 0.000235917 +2 *6113:DIODE 0 +3 *39972:X 0.000851918 +4 *2815:106 0.000306901 +5 *2815:101 0.00186743 +6 *2815:94 0.00320978 +7 *2815:83 0.00302728 +8 *2815:70 0.0028979 +9 *2815:43 0.00271682 +10 *2815:24 0.00293978 +11 *2815:14 0.00235883 +12 *38089:A *37684:B 0 +13 *38089:A *3044:21 0 +14 *38089:A *4744:16 0 +15 *38089:A *4910:8 0 +16 *2815:14 *3301:81 0 +17 *2815:14 *3301:89 0 +18 *2815:14 *4065:19 0 +19 *2815:24 *39985:A 0 +20 *2815:24 *3406:92 0 +21 *2815:24 *3498:40 0 +22 *2815:24 *3509:98 0 +23 *2815:24 *4065:19 0 +24 *2815:43 *3408:18 0 +25 *2815:43 *3481:57 0 +26 *2815:43 *3498:43 0 +27 *2815:43 *4318:63 0 +28 *2815:43 *4334:86 0 +29 *2815:70 *6450:DIODE 0 +30 *2815:70 *8296:DIODE 0 +31 *2815:70 *38312:B 0 +32 *2815:70 *40144:A 0 +33 *2815:70 *3286:90 0 +34 *2815:70 *3288:69 0 +35 *2815:70 *3481:57 0 +36 *2815:70 *3498:51 0 +37 *2815:70 *3950:75 0 +38 *2815:70 *3950:83 0 +39 *2815:83 *8622:DIODE 0 +40 *2815:83 *3003:30 0 +41 *2815:83 *3509:123 0 +42 *2815:83 *3930:11 0 +43 *2815:83 *3930:13 0 +44 *2815:83 *3931:43 0 +45 *2815:83 *4318:97 0 +46 *2815:83 *4581:35 0 +47 *2815:83 *4624:13 0 +48 *2815:83 *4900:16 0 +49 *2815:94 *6500:DIODE 0 +50 *2815:94 *3539:11 0 +51 *2815:94 *3540:45 0 +52 *2815:94 *3930:13 0 +53 *2815:94 *4318:97 0 +54 *2815:94 *4318:104 0 +55 *2815:101 *3044:21 0 +56 *2815:101 *3495:36 0 +57 *2815:101 *3539:11 0 +58 *2815:101 *3930:13 0 +59 *2815:106 *3491:48 0 +60 *38325:A *2815:14 0 +61 *39585:A *2815:94 0 +62 *383:17 *2815:94 0 +63 *1214:31 *2815:43 0 +64 *1425:11 *2815:83 0 +65 *1448:38 *2815:14 0 +66 *1461:15 *2815:101 0 +67 *1561:57 *2815:14 0 +68 *1574:17 *2815:83 0 +69 *1601:33 *38089:A 0 +70 *2370:19 *2815:70 0 +71 *2385:10 *2815:83 0 +72 *2388:48 *2815:70 0 +73 *2444:6 *2815:94 0 +74 *2809:11 *2815:70 0 +*RES +1 *39972:X *2815:14 36.1393 +2 *2815:14 *2815:24 40.7679 +3 *2815:24 *2815:43 49.2528 +4 *2815:43 *2815:70 45.6964 +5 *2815:70 *2815:83 42.8929 +6 *2815:83 *2815:94 38.6429 +7 *2815:94 *2815:101 46.625 +8 *2815:101 *2815:106 10.6429 +9 *2815:106 *6113:DIODE 9.3 +10 *2815:106 *38089:A 23.5321 +*END + +*D_NET *2816 0.0275154 +*CONN +*I *40565:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8293:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38461:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40565:A 2.26741e-05 +2 *8293:DIODE 0.000104386 +3 *38461:X 0.00509722 +4 *2816:64 0.000767511 +5 *2816:61 0.00239192 +6 *2816:39 0.00789296 +7 *2816:19 0.0112387 +8 *8293:DIODE *3297:35 0 +9 *8293:DIODE *3416:57 0 +10 *40565:A *3297:35 0 +11 *2816:19 *39029:A 0 +12 *2816:19 *2959:32 0 +13 *2816:19 *3134:16 0 +14 *2816:19 *3709:38 0 +15 *2816:19 *5097:13 0 +16 *2816:19 *5193:83 0 +17 *2816:39 *2831:55 0 +18 *2816:39 *2831:63 0 +19 *2816:39 *2977:62 0 +20 *2816:39 *2981:79 0 +21 *2816:39 *3042:48 0 +22 *2816:39 *3073:49 0 +23 *2816:39 *3075:45 0 +24 *2816:39 *3275:65 0 +25 *2816:39 *3704:10 0 +26 *2816:39 *3709:38 0 +27 *2816:61 *2839:25 0 +28 *2816:61 *3280:64 0 +29 *2816:61 *3509:52 0 +30 *2816:61 *3663:16 0 +31 *2816:61 *3719:52 0 +32 *2816:61 *4351:33 0 +33 *2816:64 *3023:40 0 +34 *2816:64 *3479:30 0 +35 *1006:38 *2816:61 0 +36 *1022:34 *2816:19 0 +37 *1419:41 *2816:19 0 +38 *2389:29 *2816:19 0 +39 *2391:76 *2816:19 0 +40 *2443:36 *2816:39 0 +41 *2682:21 *2816:19 0 +42 *2682:45 *2816:39 0 +*RES +1 *38461:X *2816:19 49.2155 +2 *2816:19 *2816:39 47.2707 +3 *2816:39 *2816:61 48.8526 +4 *2816:61 *2816:64 19.0446 +5 *2816:64 *8293:DIODE 11.4786 +6 *2816:64 *40565:A 9.83571 +*END + +*D_NET *2817 0.0233642 +*CONN +*I *39972:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7870:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39973:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39972:A 0.000104386 +2 *7870:DIODE 0.000157802 +3 *39973:X 0.000949955 +4 *2817:54 0.00191746 +5 *2817:47 0.00309786 +6 *2817:44 0.00171913 +7 *2817:33 0.00541886 +8 *2817:32 0.00709554 +9 *2817:16 0.00290318 +10 *7870:DIODE *3301:68 0 +11 *7870:DIODE *3481:48 0 +12 *7870:DIODE *4023:54 0 +13 *39972:A *4318:21 0 +14 *2817:16 *8470:DIODE 0 +15 *2817:16 *3283:45 0 +16 *2817:16 *3424:21 0 +17 *2817:32 *2820:29 0 +18 *2817:32 *2840:68 0 +19 *2817:32 *3310:40 0 +20 *2817:32 *3420:40 0 +21 *2817:32 *4722:12 0 +22 *2817:32 *4723:14 0 +23 *2817:33 *2837:31 0 +24 *2817:33 *3719:33 0 +25 *2817:33 *3719:37 0 +26 *2817:44 *3418:26 0 +27 *2817:44 *4021:26 0 +28 *2817:47 *2834:35 0 +29 *2817:47 *3003:27 0 +30 *2817:54 *3308:99 0 +31 *2817:54 *3424:50 0 +32 *2817:54 *3499:70 0 +33 *2817:54 *3499:79 0 +34 *2817:54 *3779:20 0 +35 *2817:54 *4023:54 0 +36 *2817:54 *4330:36 0 +37 *1016:212 *2817:33 0 +38 *1273:41 *2817:47 0 +39 *1448:38 *39972:A 0 +40 *1543:24 *2817:16 0 +41 *1551:23 *2817:54 0 +42 *2369:35 *2817:32 0 +43 *2398:35 *2817:47 0 +44 *2402:8 *2817:44 0 +45 *2413:39 *2817:33 0 +46 *2413:45 *2817:32 0 +47 *2436:13 *2817:16 0 +48 *2444:38 *2817:44 0 +49 *2446:9 *2817:33 0 +50 *2478:5 *2817:33 0 +51 *2814:26 *2817:32 0 +52 *2814:37 *2817:47 0 +*RES +1 *39973:X *2817:16 48.675 +2 *2817:16 *2817:32 38.17 +3 *2817:32 *2817:33 107.321 +4 *2817:33 *2817:44 24.1607 +5 *2817:44 *2817:47 34.6071 +6 *2817:47 *2817:54 46.3571 +7 *2817:54 *7870:DIODE 17.3 +8 *2817:54 *39972:A 15.9786 +*END + +*D_NET *2818 0.0235103 +*CONN +*I *6109:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38087:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39974:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6109:DIODE 0 +2 *38087:A 0.00016428 +3 *39974:X 0.00112641 +4 *2818:76 0.00135968 +5 *2818:73 0.00248142 +6 *2818:51 0.00291251 +7 *2818:39 0.00574249 +8 *2818:38 0.00635655 +9 *2818:17 0.00336697 +10 *38087:A *3779:31 0 +11 *38087:A *4330:46 0 +12 *2818:17 *2831:39 0 +13 *2818:17 *3485:23 0 +14 *2818:17 *3684:34 0 +15 *2818:17 *3991:133 0 +16 *2818:17 *4051:24 0 +17 *2818:17 *4077:19 0 +18 *2818:38 *2820:29 0 +19 *2818:38 *2991:30 0 +20 *2818:38 *3031:43 0 +21 *2818:38 *3283:57 0 +22 *2818:38 *3310:40 0 +23 *2818:38 *3420:40 0 +24 *2818:38 *3429:22 0 +25 *2818:38 *3524:25 0 +26 *2818:38 *3708:8 0 +27 *2818:38 *3951:29 0 +28 *2818:39 *2837:91 0 +29 *2818:39 *2954:14 0 +30 *2818:39 *3719:37 0 +31 *2818:51 *39669:A 0 +32 *2818:51 *3499:70 0 +33 *2818:73 *8452:DIODE 0 +34 *2818:73 *2828:30 0 +35 *2818:73 *2828:33 0 +36 *2818:73 *3003:27 0 +37 *2818:73 *3028:29 0 +38 *2818:73 *3418:38 0 +39 *2818:73 *3485:59 0 +40 *2818:73 *3770:34 0 +41 *2818:73 *4007:20 0 +42 *2818:76 *2989:36 0 +43 *2818:76 *3761:28 0 +44 *7617:DIODE *2818:17 0 +45 *375:23 *2818:76 0 +46 *1004:23 *2818:73 0 +47 *1016:212 *2818:39 0 +48 *1016:216 *2818:39 0 +49 *1016:216 *2818:51 0 +50 *1207:43 *2818:17 0 +51 *1250:57 *38087:A 0 +52 *1424:36 *2818:76 0 +53 *1546:14 *2818:38 0 +54 *1551:23 *2818:51 0 +55 *2398:23 *2818:73 0 +56 *2421:30 *2818:17 0 +57 *2444:21 *2818:51 0 +58 *2446:9 *2818:51 0 +59 *2473:35 *2818:39 0 +60 *2814:36 *2818:38 0 +*RES +1 *39974:X *2818:17 48.0679 +2 *2818:17 *2818:38 48.8705 +3 *2818:38 *2818:39 85.9643 +4 *2818:39 *2818:51 47.5536 +5 *2818:51 *2818:73 49.5679 +6 *2818:73 *2818:76 31.6429 +7 *2818:76 *38087:A 12.7286 +8 *2818:76 *6109:DIODE 9.3 +*END + +*D_NET *2819 0.0241207 +*CONN +*I *6106:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38085:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *39975:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6106:DIODE 0.000101392 +2 *38085:A 0 +3 *39975:X 0.00082811 +4 *2819:27 0.00151501 +5 *2819:21 0.0102163 +6 *2819:20 0.00971725 +7 *2819:17 0.00174269 +8 *6106:DIODE *3006:20 0 +9 *6106:DIODE *3962:15 0 +10 *2819:17 *3292:84 0 +11 *2819:17 *3773:33 0 +12 *2819:20 *4339:66 0 +13 *2819:21 *2955:9 0 +14 *2819:21 *2974:29 0 +15 *2819:21 *3000:51 0 +16 *2819:21 *4743:17 0 +17 *2819:27 *37714:A 0 +18 *2819:27 *2828:38 0 +19 *2819:27 *3731:12 0 +20 *2819:27 *4616:13 0 +21 *38095:A *2819:27 0 +22 *39970:A *2819:20 0 +23 *266:23 *2819:20 0 +24 *1007:199 *2819:17 0 +25 *1021:19 *2819:27 0 +26 *1454:69 *2819:17 0 +27 *1548:10 *2819:17 0 +28 *1839:23 *6106:DIODE 0 +29 *1839:23 *2819:27 0 +30 *2385:11 *2819:21 0 +31 *2813:62 *2819:27 0 +32 *2814:46 *2819:20 0 +*RES +1 *39975:X *2819:17 41.4786 +2 *2819:17 *2819:20 25.2679 +3 *2819:20 *2819:21 183.714 +4 *2819:21 *2819:27 40.9286 +5 *2819:27 *38085:A 9.3 +6 *2819:27 *6106:DIODE 11.4786 +*END + +*D_NET *2820 0.0285006 +*CONN +*I *39975:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7872:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39976:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39975:A 0.000163425 +2 *7872:DIODE 0 +3 *39976:X 0.00359599 +4 *2820:40 0.000163425 +5 *2820:38 0.00205264 +6 *2820:29 0.0104909 +7 *2820:22 0.0120342 +8 *39975:A *3936:50 0 +9 *2820:22 *3074:18 0 +10 *2820:22 *3287:91 0 +11 *2820:22 *3295:20 0 +12 *2820:22 *3420:40 0 +13 *2820:22 *3443:88 0 +14 *2820:22 *3783:24 0 +15 *2820:29 *2840:68 0 +16 *2820:29 *3310:40 0 +17 *2820:29 *3420:40 0 +18 *2820:29 *3429:39 0 +19 *2820:29 *3489:16 0 +20 *2820:38 *2829:40 0 +21 *2820:38 *2829:42 0 +22 *2820:38 *2871:54 0 +23 *2820:38 *2871:69 0 +24 *2820:38 *2977:76 0 +25 *2820:38 *4334:42 0 +26 *1009:127 *2820:38 0 +27 *1009:155 *2820:38 0 +28 *1459:28 *39975:A 0 +29 *1564:16 *2820:38 0 +30 *2444:38 *2820:29 0 +31 *2812:20 *2820:22 0 +32 *2812:27 *2820:29 0 +33 *2817:32 *2820:29 0 +34 *2818:38 *2820:29 0 +*RES +1 *39976:X *2820:22 47.5006 +2 *2820:22 *2820:29 33.9976 +3 *2820:29 *2820:38 46.7411 +4 *2820:38 *2820:40 4.5 +5 *2820:40 *7872:DIODE 9.3 +6 *2820:40 *39975:A 12.7107 +*END + +*D_NET *2821 0.0254694 +*CONN +*I *6103:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38083:A I *D sky130_fd_sc_hd__buf_2 +*I *39977:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6103:DIODE 0.000142462 +2 *38083:A 0 +3 *39977:X 0.00105984 +4 *2821:49 0.000987843 +5 *2821:45 0.00192241 +6 *2821:37 0.00808888 +7 *2821:36 0.00868873 +8 *2821:26 0.00259815 +9 *2821:23 0.00198112 +10 *6103:DIODE *2999:37 0 +11 *6103:DIODE *3039:15 0 +12 *6103:DIODE *3394:61 0 +13 *2821:23 *3028:25 0 +14 *2821:23 *3434:45 0 +15 *2821:23 *4026:30 0 +16 *2821:23 *4215:82 0 +17 *2821:23 *4339:23 0 +18 *2821:23 *4343:15 0 +19 *2821:23 *4407:30 0 +20 *2821:26 *5431:DIODE 0 +21 *2821:26 *40722:A 0 +22 *2821:26 *3818:27 0 +23 *2821:36 *2974:19 0 +24 *2821:36 *3000:49 0 +25 *2821:36 *3023:37 0 +26 *2821:36 *3218:36 0 +27 *2821:37 *3000:49 0 +28 *2821:45 *3028:44 0 +29 *2821:45 *3470:82 0 +30 *2821:45 *3582:30 0 +31 *2821:45 *3737:18 0 +32 *2821:49 *3039:15 0 +33 *2821:49 *3394:61 0 +34 *2821:49 *3394:63 0 +35 *2821:49 *4318:97 0 +36 *2821:49 *4609:6 0 +37 *358:31 *2821:26 0 +38 *1014:118 *2821:49 0 +39 *1272:24 *2821:36 0 +40 *1274:8 *2821:45 0 +41 *1416:47 *2821:26 0 +42 *1573:14 *2821:45 0 +43 *1822:16 *2821:36 0 +44 *2378:28 *2821:36 0 +45 *2385:11 *2821:37 0 +46 *2403:52 *2821:36 0 +47 *2417:43 *2821:23 0 +*RES +1 *39977:X *2821:23 36.0096 +2 *2821:23 *2821:26 25.4196 +3 *2821:26 *2821:36 44.5893 +4 *2821:36 *2821:37 146.339 +5 *2821:37 *2821:45 37.5893 +6 *2821:45 *2821:49 23.5893 +7 *2821:49 *38083:A 9.3 +8 *2821:49 *6103:DIODE 12.4607 +*END + +*D_NET *2822 0.0297302 +*CONN +*I *39977:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7874:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39978:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39977:A 0 +2 *7874:DIODE 0 +3 *39978:X 0.00135405 +4 *2822:176 0.00111449 +5 *2822:149 0.00235973 +6 *2822:145 0.00231823 +7 *2822:123 0.00329227 +8 *2822:113 0.0032968 +9 *2822:97 0.00236582 +10 *2822:55 0.00321731 +11 *2822:46 0.00369408 +12 *2822:32 0.00356423 +13 *2822:19 0.00315321 +14 *2822:19 *38084:A_N 0 +15 *2822:19 *41026:A 0 +16 *2822:19 *3167:59 0 +17 *2822:19 *3280:10 0 +18 *2822:19 *3427:34 0 +19 *2822:19 *3441:41 0 +20 *2822:19 *3715:19 0 +21 *2822:19 *4000:10 0 +22 *2822:19 *4236:95 0 +23 *2822:19 *4268:14 0 +24 *2822:19 *4278:23 0 +25 *2822:19 *4294:103 0 +26 *2822:32 *3159:40 0 +27 *2822:32 *3183:42 0 +28 *2822:32 *3942:107 0 +29 *2822:32 *4037:24 0 +30 *2822:32 *4103:47 0 +31 *2822:32 *4109:65 0 +32 *2822:32 *4171:10 0 +33 *2822:32 *4171:26 0 +34 *2822:32 *4241:21 0 +35 *2822:46 *5722:DIODE 0 +36 *2822:46 *6006:DIODE 0 +37 *2822:46 *8041:DIODE 0 +38 *2822:46 *38282:A 0 +39 *2822:46 *38282:B 0 +40 *2822:46 *40772:A 0 +41 *2822:46 *2841:61 0 +42 *2822:46 *2981:62 0 +43 *2822:46 *3303:7 0 +44 *2822:46 *3650:58 0 +45 *2822:46 *3672:50 0 +46 *2822:46 *4058:45 0 +47 *2822:55 *5429:DIODE 0 +48 *2822:55 *8711:DIODE 0 +49 *2822:55 *8749:DIODE 0 +50 *2822:55 *8882:DIODE 0 +51 *2822:55 *40071:A 0 +52 *2822:55 *40996:A 0 +53 *2822:55 *2925:25 0 +54 *2822:55 *2926:61 0 +55 *2822:55 *3424:21 0 +56 *2822:55 *3648:58 0 +57 *2822:55 *3684:13 0 +58 *2822:55 *3726:114 0 +59 *2822:55 *3803:82 0 +60 *2822:55 *4091:26 0 +61 *2822:55 *4092:83 0 +62 *2822:55 *4097:17 0 +63 *2822:55 *4241:49 0 +64 *2822:55 *4267:87 0 +65 *2822:97 *5717:DIODE 0 +66 *2822:97 *8631:DIODE 0 +67 *2822:97 *8719:DIODE 0 +68 *2822:97 *2926:40 0 +69 *2822:97 *2981:79 0 +70 *2822:97 *2992:70 0 +71 *2822:97 *3803:82 0 +72 *2822:97 *4051:24 0 +73 *2822:97 *4241:49 0 +74 *2822:113 *7884:DIODE 0 +75 *2822:113 *3669:69 0 +76 *2822:113 *3684:53 0 +77 *2822:113 *3991:133 0 +78 *2822:113 *4241:75 0 +79 *2822:123 *7988:DIODE 0 +80 *2822:123 *8491:DIODE 0 +81 *2822:123 *8936:DIODE 0 +82 *2822:123 *3283:81 0 +83 *2822:123 *3666:28 0 +84 *2822:123 *3684:75 0 +85 *2822:123 *3945:23 0 +86 *2822:123 *3945:25 0 +87 *2822:123 *4033:56 0 +88 *2822:123 *4037:95 0 +89 *2822:123 *4048:62 0 +90 *2822:123 *4074:47 0 +91 *2822:145 *3810:9 0 +92 *2822:145 *3979:52 0 +93 *2822:145 *4091:55 0 +94 *2822:145 *4224:27 0 +95 *2822:149 *8646:DIODE 0 +96 *2822:149 *3410:55 0 +97 *2822:176 *3417:67 0 +98 *2822:176 *3432:49 0 +99 *2822:176 *3487:45 0 +100 *2822:176 *4030:18 0 +101 *7617:DIODE *2822:113 0 +102 *7871:DIODE *2822:97 0 +103 *38031:A *2822:97 0 +104 *38039:A *2822:97 0 +105 *40075:A *2822:46 0 +106 *1208:41 *2822:113 0 +107 *1271:25 *2822:46 0 +108 *1319:27 *2822:113 0 +109 *1320:21 *2822:113 0 +110 *1331:31 *2822:46 0 +111 *1824:54 *2822:145 0 +112 *2370:81 *2822:123 0 +113 *2384:46 *2822:145 0 +114 *2384:77 *2822:46 0 +115 *2384:77 *2822:55 0 +116 *2398:38 *2822:149 0 +117 *2417:43 *2822:176 0 +118 *2723:10 *2822:32 0 +*RES +1 *39978:X *2822:19 47.2464 +2 *2822:19 *2822:32 47.4461 +3 *2822:32 *2822:46 46.0714 +4 *2822:46 *2822:55 44.8214 +5 *2822:55 *2822:97 49.1629 +6 *2822:97 *2822:113 36.7054 +7 *2822:113 *2822:123 46.4107 +8 *2822:123 *2822:145 46.8036 +9 *2822:145 *2822:149 32.5179 +10 *2822:149 *2822:176 45.5918 +11 *2822:176 *7874:DIODE 9.3 +12 *2822:149 *39977:A 9.3 +*END + +*D_NET *2823 0.0188674 +*CONN +*I *38077:A I *D sky130_fd_sc_hd__buf_2 +*I *6094:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39979:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38077:A 0.000124066 +2 *6094:DIODE 0 +3 *39979:X 0.00476099 +4 *2823:26 0.0046727 +5 *2823:19 0.00930963 +6 *2823:19 *2968:34 0 +7 *2823:19 *2977:76 0 +8 *2823:19 *2995:42 0 +9 *2823:19 *3218:60 0 +10 *2823:19 *3524:29 0 +11 *2823:19 *3528:54 0 +12 *2823:19 *3715:54 0 +13 *2823:26 *2839:52 0 +14 *2823:26 *2970:45 0 +15 *2823:26 *2977:76 0 +16 *2823:26 *3582:30 0 +17 *370:36 *2823:26 0 +18 *1449:55 *2823:19 0 +19 *1449:55 *2823:26 0 +20 *1553:23 *2823:26 0 +21 *1733:11 *2823:26 0 +22 *1751:9 *38077:A 0 +23 *2396:11 *38077:A 0 +24 *2396:39 *2823:19 0 +25 *2442:31 *2823:19 0 +26 *2810:22 *2823:26 0 +*RES +1 *39979:X *2823:19 45.5384 +2 *2823:19 *2823:26 24.629 +3 *2823:26 *6094:DIODE 9.3 +4 *2823:26 *38077:A 11.8893 +*END + +*D_NET *2824 0.0246167 +*CONN +*I *39979:A I *D sky130_fd_sc_hd__buf_2 +*I *7875:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39980:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39979:A 0.00018633 +2 *7875:DIODE 0 +3 *39980:X 0.00101013 +4 *2824:63 0.00183105 +5 *2824:55 0.00258123 +6 *2824:49 0.00251735 +7 *2824:35 0.0068609 +8 *2824:34 0.00528007 +9 *2824:32 0.00166976 +10 *2824:23 0.00267988 +11 *39979:A *3657:31 0 +12 *2824:23 *8696:DIODE 0 +13 *2824:23 *40772:A 0 +14 *2824:23 *3312:147 0 +15 *2824:23 *3650:23 0 +16 *2824:23 *3756:23 0 +17 *2824:23 *3822:86 0 +18 *2824:23 *3942:125 0 +19 *2824:23 *4103:47 0 +20 *2824:23 *4109:65 0 +21 *2824:23 *4248:69 0 +22 *2824:32 *2937:26 0 +23 *2824:32 *3001:29 0 +24 *2824:32 *3078:14 0 +25 *2824:32 *3715:32 0 +26 *2824:32 *3720:60 0 +27 *2824:35 *3029:57 0 +28 *2824:49 *2924:11 0 +29 *2824:49 *2957:15 0 +30 *2824:49 *2975:9 0 +31 *2824:49 *3040:21 0 +32 *2824:49 *3040:25 0 +33 *2824:49 *3088:25 0 +34 *2824:49 *4241:110 0 +35 *2824:49 *4720:24 0 +36 *2824:49 *5013:13 0 +37 *2824:55 *2980:34 0 +38 *2824:55 *3425:8 0 +39 *2824:63 *2977:62 0 +40 *2824:63 *3072:26 0 +41 *2824:63 *3159:24 0 +42 *2824:63 *3414:6 0 +43 *2824:63 *3657:31 0 +44 *2824:63 *4091:55 0 +45 *358:31 *2824:63 0 +46 *1001:37 *2824:63 0 +47 *1006:10 *2824:49 0 +48 *1210:69 *2824:23 0 +49 *1864:50 *2824:55 0 +50 *2370:66 *2824:63 0 +51 *2402:30 *2824:49 0 +*RES +1 *39980:X *2824:23 46.7466 +2 *2824:23 *2824:32 48.5439 +3 *2824:32 *2824:34 4.5 +4 *2824:34 *2824:35 110.196 +5 *2824:35 *2824:49 42.6291 +6 *2824:49 *2824:55 29.2054 +7 *2824:55 *2824:63 41.5 +8 *2824:63 *7875:DIODE 9.3 +9 *2824:63 *39979:A 22.3357 +*END + +*D_NET *2825 0.0194545 +*CONN +*I *6091:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38075:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *39981:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *6091:DIODE 0 +2 *38075:A 0.0001114 +3 *39981:X 0.000413608 +4 *2825:59 0.00125642 +5 *2825:49 0.00563641 +6 *2825:47 0.00569868 +7 *2825:43 0.00356582 +8 *2825:20 0.00277214 +9 *38075:A *3962:15 0 +10 *38075:A *4744:16 0 +11 *2825:20 *3501:74 0 +12 *2825:20 *4216:45 0 +13 *2825:43 *8668:DIODE 0 +14 *2825:43 *38114:B 0 +15 *2825:43 *3411:138 0 +16 *2825:43 *3411:164 0 +17 *2825:43 *3481:56 0 +18 *2825:43 *3501:74 0 +19 *2825:43 *3501:87 0 +20 *2825:43 *3501:94 0 +21 *2825:43 *3986:7 0 +22 *2825:43 *4073:45 0 +23 *2825:43 *4216:45 0 +24 *2825:43 *4216:65 0 +25 *2825:47 *8634:DIODE 0 +26 *2825:47 *38336:B 0 +27 *2825:47 *40570:A 0 +28 *2825:47 *40975:A 0 +29 *2825:47 *3501:94 0 +30 *2825:47 *3944:19 0 +31 *2825:47 *3950:75 0 +32 *2825:47 *4073:79 0 +33 *2825:49 *3944:19 0 +34 *2825:49 *3944:21 0 +35 *2825:59 *3491:48 0 +36 *2825:59 *3944:21 0 +37 *280:11 *38075:A 0 +38 *1255:7 *2825:59 0 +39 *1456:22 *2825:43 0 +40 *1467:8 *2825:59 0 +41 *1567:19 *2825:47 0 +42 *1831:17 *2825:59 0 +43 *2803:17 *2825:43 0 +44 *2806:50 *2825:43 0 +45 *2811:81 *38075:A 0 +46 *2812:36 *2825:20 0 +*RES +1 *39981:X *2825:20 36.4071 +2 *2825:20 *2825:43 49.9286 +3 *2825:43 *2825:47 25.1964 +4 *2825:47 *2825:49 93.7679 +5 *2825:49 *2825:59 33 +6 *2825:59 *38075:A 20.7821 +7 *2825:59 *6091:DIODE 9.3 +*END + +*D_NET *2826 0.0253496 +*CONN +*I *39981:A I *D sky130_fd_sc_hd__buf_2 +*I *7877:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39982:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39981:A 0.000121071 +2 *7877:DIODE 0 +3 *39982:X 0.00126323 +4 *2826:43 0.0024331 +5 *2826:33 0.00436927 +6 *2826:17 0.00877241 +7 *2826:16 0.00692124 +8 *2826:11 0.0014693 +9 *39981:A *3411:138 0 +10 *39981:A *4216:45 0 +11 *2826:11 *8751:DIODE 0 +12 *2826:11 *2954:17 0 +13 *2826:11 *2998:29 0 +14 *2826:11 *3005:44 0 +15 *2826:11 *3218:60 0 +16 *2826:11 *3520:61 0 +17 *2826:11 *3772:102 0 +18 *2826:11 *3780:26 0 +19 *2826:17 *2943:15 0 +20 *2826:17 *2943:17 0 +21 *2826:33 *39672:A 0 +22 *2826:33 *2842:15 0 +23 *2826:33 *2871:39 0 +24 *2826:33 *2943:15 0 +25 *2826:33 *3490:17 0 +26 *2826:33 *4725:11 0 +27 *2826:33 *4727:20 0 +28 *2826:43 *2871:54 0 +29 *2826:43 *3020:37 0 +30 *2826:43 *3301:81 0 +31 *2826:43 *3469:49 0 +32 *2826:43 *4216:45 0 +33 *2826:43 *4598:20 0 +34 *38289:A *2826:11 0 +35 *1338:14 *2826:11 0 +36 *1436:34 *2826:11 0 +37 *1571:37 *2826:43 0 +38 *2370:19 *2826:43 0 +39 *2391:76 *2826:11 0 +40 *2481:8 *2826:33 0 +*RES +1 *39982:X *2826:11 46.5679 +2 *2826:11 *2826:16 13.6786 +3 *2826:16 *2826:17 140.179 +4 *2826:17 *2826:33 45.0536 +5 *2826:33 *2826:43 24.3623 +6 *2826:43 *7877:DIODE 9.3 +7 *2826:43 *39981:A 11.8893 +*END + +*D_NET *2827 0.0104051 +*CONN +*I *8292:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40564:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38462:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8292:DIODE 9.41867e-06 +2 *40564:A 0.000325065 +3 *38462:X 5.89503e-05 +4 *2827:43 0.0018105 +5 *2827:32 0.00259732 +6 *2827:13 0.0033331 +7 *2827:8 0.00227074 +8 *40564:A *4433:25 0 +9 *40564:A *4582:13 0 +10 *2827:8 *37494:B 0 +11 *2827:13 *3049:11 0 +12 *2827:13 *4482:14 0 +13 *2827:13 *4515:11 0 +14 *2827:13 *4571:32 0 +15 *2827:13 *4785:17 0 +16 *2827:13 *4789:45 0 +17 *2827:32 *39055:A 0 +18 *2827:32 *40514:A 0 +19 *2827:32 *4433:37 0 +20 *2827:32 *4891:40 0 +21 *2827:43 *39055:A 0 +22 *2827:43 *3104:19 0 +23 *2827:43 *3431:17 0 +24 *2827:43 *3871:28 0 +25 *2827:43 *3914:18 0 +26 *2827:43 *4421:12 0 +27 *2827:43 *4891:40 0 +28 la_data_in_mprj[12] *2827:13 0 +29 la_data_in_mprj[14] *2827:13 0 +30 la_data_in_mprj[15] *2827:13 0 +31 *6610:DIODE *2827:13 0 +32 *39059:A *40564:A 0 +33 *527:5 *2827:8 0 +34 *538:8 *2827:13 0 +35 *805:11 *2827:32 0 +36 *807:5 *2827:32 0 +37 *2360:36 *40564:A 0 +38 *2360:36 *2827:43 0 +*RES +1 *38462:X *2827:8 19.6393 +2 *2827:8 *2827:13 46.1607 +3 *2827:13 *2827:32 46.4107 +4 *2827:32 *2827:43 27.4474 +5 *2827:43 *40564:A 20.7732 +6 *2827:43 *8292:DIODE 14.0768 +*END + +*D_NET *2828 0.0262935 +*CONN +*I *6088:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38073:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39983:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *6088:DIODE 4.53482e-05 +2 *38073:A 0.000136595 +3 *39983:X 3.50807e-05 +4 *2828:38 0.00198948 +5 *2828:35 0.0079043 +6 *2828:33 0.00823433 +7 *2828:30 0.00309234 +8 *2828:10 0.00288784 +9 *2828:7 0.00196815 +10 *6088:DIODE *3394:73 0 +11 *6088:DIODE *3399:27 0 +12 *38073:A *3039:46 0 +13 *38073:A *3394:73 0 +14 *38073:A *3476:31 0 +15 *2828:10 *2829:40 0 +16 *2828:10 *2829:42 0 +17 *2828:10 *3047:68 0 +18 *2828:30 *2834:35 0 +19 *2828:30 *3003:27 0 +20 *2828:30 *3485:59 0 +21 *2828:33 *39641:A 0 +22 *2828:33 *3003:27 0 +23 *2828:35 *3003:27 0 +24 *2828:35 *3489:25 0 +25 *2828:38 *4616:14 0 +26 *370:51 *2828:38 0 +27 *1021:19 *2828:38 0 +28 *1273:30 *2828:10 0 +29 *1737:22 *2828:38 0 +30 *1737:27 *2828:38 0 +31 *1839:12 *2828:38 0 +32 *1863:32 *2828:10 0 +33 *2398:23 *2828:33 0 +34 *2398:23 *2828:35 0 +35 *2818:73 *2828:30 0 +36 *2818:73 *2828:33 0 +37 *2819:27 *2828:38 0 +*RES +1 *39983:X *2828:7 14.5321 +2 *2828:7 *2828:10 48.3393 +3 *2828:10 *2828:30 38.3929 +4 *2828:30 *2828:33 44.7054 +5 *2828:33 *2828:35 127.241 +6 *2828:35 *2828:38 45.6071 +7 *2828:38 *38073:A 16.7107 +8 *2828:38 *6088:DIODE 14.7464 +*END + +*D_NET *2829 0.0305059 +*CONN +*I *39983:A I *D sky130_fd_sc_hd__buf_2 +*I *7879:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39984:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39983:A 5.12309e-05 +2 *7879:DIODE 0.000249436 +3 *39984:X 0.00331418 +4 *2829:42 0.00201982 +5 *2829:40 0.00502222 +6 *2829:28 0.00991895 +7 *2829:14 0.00993006 +8 *7879:DIODE *40236:A 0 +9 *7879:DIODE *2871:69 0 +10 *7879:DIODE *3107:28 0 +11 *7879:DIODE *3904:80 0 +12 *7879:DIODE *4013:24 0 +13 *7879:DIODE *4219:42 0 +14 *39983:A *3981:21 0 +15 *2829:14 *3287:91 0 +16 *2829:14 *3299:16 0 +17 *2829:14 *3499:48 0 +18 *2829:14 *3976:25 0 +19 *2829:14 *4233:71 0 +20 *2829:14 *5193:68 0 +21 *2829:28 *2871:21 0 +22 *2829:28 *2871:39 0 +23 *2829:28 *2980:41 0 +24 *2829:28 *3011:58 0 +25 *2829:28 *3290:21 0 +26 *2829:28 *3290:30 0 +27 *2829:28 *3506:37 0 +28 *2829:28 *3524:25 0 +29 *2829:28 *3713:39 0 +30 *2829:40 *2871:54 0 +31 *2829:40 *2980:41 0 +32 *2829:40 *2989:19 0 +33 *2829:40 *3047:68 0 +34 *2829:40 *3506:37 0 +35 *2829:40 *3514:27 0 +36 *2829:42 *40783:A 0 +37 *2829:42 *4334:42 0 +38 *1273:30 *2829:40 0 +39 *1572:21 *2829:40 0 +40 *2414:55 *39983:A 0 +41 *2473:34 *2829:40 0 +42 *2820:38 *2829:40 0 +43 *2820:38 *2829:42 0 +44 *2828:10 *2829:40 0 +45 *2828:10 *2829:42 0 +*RES +1 *39984:X *2829:14 37.9286 +2 *2829:14 *2829:28 32.6621 +3 *2829:28 *2829:40 48.8109 +4 *2829:40 *2829:42 39.1339 +5 *2829:42 *7879:DIODE 19.5679 +6 *2829:42 *39983:A 15.0679 +*END + +*D_NET *2830 0.0196742 +*CONN +*I *38071:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6085:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39985:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38071:A 0.00016043 +2 *6085:DIODE 0 +3 *39985:X 0.000861477 +4 *2830:71 0.000996804 +5 *2830:66 0.00268563 +6 *2830:56 0.00390186 +7 *2830:44 0.00331386 +8 *2830:33 0.00407695 +9 *2830:15 0.00367716 +10 *38071:A *2833:63 0 +11 *38071:A *2990:42 0 +12 *2830:15 *3764:34 0 +13 *2830:33 *38044:B 0 +14 *2830:33 *2833:30 0 +15 *2830:33 *2833:43 0 +16 *2830:33 *3013:72 0 +17 *2830:33 *3297:100 0 +18 *2830:33 *3470:82 0 +19 *2830:33 *4010:120 0 +20 *2830:33 *4600:69 0 +21 *2830:44 *37688:A 0 +22 *2830:44 *3009:52 0 +23 *2830:44 *3773:57 0 +24 *2830:44 *3779:82 0 +25 *2830:56 *3009:52 0 +26 *2830:56 *3286:131 0 +27 *2830:56 *3480:28 0 +28 *2830:56 *4405:9 0 +29 *2830:66 *3484:61 0 +30 *2830:66 *4405:9 0 +31 *2830:66 *4405:19 0 +32 *2830:66 *4405:23 0 +33 *2830:71 *2833:63 0 +34 *2830:71 *2990:33 0 +35 *2830:71 *2990:42 0 +36 *38313:A *2830:33 0 +37 *39397:B *2830:66 0 +38 *39636:A *2830:56 0 +39 *39967:A *2830:15 0 +40 *368:65 *2830:33 0 +41 *385:11 *2830:56 0 +42 *1244:30 *2830:56 0 +43 *1550:17 *2830:33 0 +44 *1568:32 *2830:15 0 +45 *1571:37 *2830:33 0 +46 *2376:15 *2830:33 0 +47 *2441:10 *2830:56 0 +48 *2803:42 *2830:33 0 +49 *2811:69 *2830:44 0 +*RES +1 *39985:X *2830:15 36.7554 +2 *2830:15 *2830:33 49.602 +3 *2830:33 *2830:44 44.4286 +4 *2830:44 *2830:56 48.0118 +5 *2830:56 *2830:66 47.6786 +6 *2830:66 *2830:71 17.5179 +7 *2830:71 *6085:DIODE 9.3 +8 *2830:71 *38071:A 12.7107 +*END + +*D_NET *2831 0.0230108 +*CONN +*I *39985:A I *D sky130_fd_sc_hd__buf_2 +*I *7881:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39986:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39985:A 0.000143745 +2 *7881:DIODE 0 +3 *39986:X 0.000860923 +4 *2831:66 0.00154605 +5 *2831:63 0.00656472 +6 *2831:55 0.00737153 +7 *2831:46 0.00296284 +8 *2831:39 0.00172691 +9 *2831:20 0.00183409 +10 *39985:A *3406:92 0 +11 *39985:A *4065:19 0 +12 *2831:20 *3810:9 0 +13 *2831:39 *3073:49 0 +14 *2831:39 *3075:73 0 +15 *2831:39 *3183:24 0 +16 *2831:46 *2972:51 0 +17 *2831:46 *3036:46 0 +18 *2831:55 *3000:37 0 +19 *2831:55 *3005:76 0 +20 *2831:55 *3042:48 0 +21 *2831:55 *3275:65 0 +22 *2831:63 *2974:28 0 +23 *2831:63 *3042:48 0 +24 *2831:63 *3042:70 0 +25 *2831:63 *3075:45 0 +26 *2831:63 *3275:85 0 +27 *2831:63 *3485:59 0 +28 *2831:66 *3118:6 0 +29 *2831:66 *3718:33 0 +30 *370:24 *2831:55 0 +31 *380:22 *2831:63 0 +32 *1211:86 *2831:55 0 +33 *1333:20 *2831:63 0 +34 *1822:25 *2831:39 0 +35 *2366:40 *2831:63 0 +36 *2387:10 *2831:66 0 +37 *2398:34 *2831:63 0 +38 *2421:18 *2831:39 0 +39 *2421:30 *2831:39 0 +40 *2436:10 *2831:20 0 +41 *2441:29 *2831:63 0 +42 *2443:36 *2831:63 0 +43 *2807:26 *2831:63 0 +44 *2814:36 *2831:39 0 +45 *2815:24 *39985:A 0 +46 *2816:39 *2831:55 0 +47 *2816:39 *2831:63 0 +48 *2818:17 *2831:39 0 +*RES +1 *39986:X *2831:20 46.5321 +2 *2831:20 *2831:39 38.9464 +3 *2831:39 *2831:46 24.8393 +4 *2831:46 *2831:55 47.4871 +5 *2831:55 *2831:63 22.1426 +6 *2831:63 *2831:66 36.3482 +7 *2831:66 *7881:DIODE 9.3 +8 *2831:66 *39985:A 12.3 +*END + +*D_NET *2832 0.021687 +*CONN +*I *7882:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39986:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39987:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7882:DIODE 0.000229882 +2 *39986:A 6.50276e-05 +3 *39987:X 0.00150924 +4 *2832:50 0.00933425 +5 *2832:38 0.0105486 +6 *7882:DIODE *3010:20 0 +7 *7882:DIODE *3991:108 0 +8 *39986:A *3780:26 0 +9 *39986:A *4225:69 0 +10 *2832:38 *2835:21 0 +11 *2832:38 *2840:27 0 +12 *2832:38 *3726:28 0 +13 *2832:38 *3942:61 0 +14 *2832:38 *3947:27 0 +15 *2832:38 *3977:74 0 +16 *2832:38 *3988:11 0 +17 *2832:38 *3992:43 0 +18 *2832:38 *4017:58 0 +19 *2832:38 *4022:68 0 +20 *2832:38 *4052:27 0 +21 *2832:38 *4061:119 0 +22 *2832:38 *4341:39 0 +23 *2832:50 *6009:DIODE 0 +24 *2832:50 *2835:31 0 +25 *2832:50 *3008:33 0 +26 *2832:50 *3010:20 0 +27 *2832:50 *3025:46 0 +28 *2832:50 *3316:98 0 +29 *2832:50 *3317:119 0 +30 *2832:50 *3318:66 0 +31 *2832:50 *3433:30 0 +32 *2832:50 *3750:98 0 +33 *2832:50 *3766:25 0 +34 *2832:50 *3776:17 0 +35 *2832:50 *4022:130 0 +36 *2832:50 *4037:42 0 +37 *2832:50 *4041:54 0 +38 *2832:50 *4106:21 0 +39 *2832:50 *4324:100 0 +40 *2832:50 *4340:40 0 +41 *2832:50 *4355:50 0 +42 *2832:50 *4357:20 0 +43 *2832:50 *4358:135 0 +44 *2832:50 *4369:67 0 +45 *2832:50 *4374:68 0 +46 *6036:DIODE *7882:DIODE 0 +47 *7871:DIODE *7882:DIODE 0 +48 *7871:DIODE *2832:50 0 +49 *39974:A *2832:50 0 +50 *39994:A *2832:38 0 +51 *1222:49 *2832:50 0 +52 *1437:59 *2832:50 0 +53 *2374:23 *2832:50 0 +54 *2671:44 *2832:38 0 +*RES +1 *39987:X *2832:38 49.5199 +2 *2832:38 *2832:50 46.3847 +3 *2832:50 *39986:A 15.1571 +4 *2832:50 *7882:DIODE 19.0857 +*END + +*D_NET *2833 0.020287 +*CONN +*I *38069:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6082:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39988:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38069:A 0.00016043 +2 *6082:DIODE 0 +3 *39988:X 0.00186454 +4 *2833:63 0.00111103 +5 *2833:62 0.00256012 +6 *2833:51 0.00361083 +7 *2833:48 0.00205026 +8 *2833:43 0.00189633 +9 *2833:30 0.00350817 +10 *2833:20 0.00352532 +11 *38069:A *3476:45 0 +12 *2833:20 *3286:114 0 +13 *2833:20 *3401:27 0 +14 *2833:20 *3940:19 0 +15 *2833:30 *37870:A 0 +16 *2833:30 *38048:A_N 0 +17 *2833:30 *40114:A 0 +18 *2833:30 *2973:23 0 +19 *2833:30 *3009:30 0 +20 *2833:30 *3295:102 0 +21 *2833:30 *3470:67 0 +22 *2833:30 *3470:82 0 +23 *2833:43 *3286:131 0 +24 *2833:43 *3470:82 0 +25 *2833:43 *4601:70 0 +26 *2833:48 *3286:131 0 +27 *2833:51 *37722:A 0 +28 *2833:51 *37722:B 0 +29 *2833:51 *2973:36 0 +30 *2833:51 *4612:14 0 +31 *2833:62 *4920:11 0 +32 *2833:63 *2990:33 0 +33 *2833:63 *2990:42 0 +34 *2833:63 *2996:15 0 +35 *2833:63 *3476:45 0 +36 *38071:A *2833:63 0 +37 *262:11 *2833:62 0 +38 *1014:118 *2833:43 0 +39 *1015:125 *2833:43 0 +40 *1244:30 *2833:43 0 +41 *1422:30 *2833:30 0 +42 *1427:22 *2833:62 0 +43 *1427:31 *2833:51 0 +44 *1427:31 *2833:62 0 +45 *1448:71 *2833:20 0 +46 *1461:25 *2833:62 0 +47 *1569:12 *2833:20 0 +48 *1590:42 *38069:A 0 +49 *1590:42 *2833:63 0 +50 *1849:21 *2833:20 0 +51 *2376:15 *2833:43 0 +52 *2800:48 *2833:20 0 +53 *2802:37 *2833:43 0 +54 *2802:48 *2833:43 0 +55 *2802:48 *2833:51 0 +56 *2802:49 *2833:51 0 +57 *2802:49 *2833:62 0 +58 *2807:40 *2833:48 0 +59 *2830:33 *2833:30 0 +60 *2830:33 *2833:43 0 +61 *2830:71 *2833:63 0 +*RES +1 *39988:X *2833:20 40.3752 +2 *2833:20 *2833:30 48.625 +3 *2833:30 *2833:43 49.6292 +4 *2833:43 *2833:48 9.0975 +5 *2833:48 *2833:51 41.8304 +6 *2833:51 *2833:62 43.0089 +7 *2833:62 *2833:63 19.8393 +8 *2833:63 *6082:DIODE 9.3 +9 *2833:63 *38069:A 12.7107 +*END + +*D_NET *2834 0.0242314 +*CONN +*I *7883:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39988:A I *D sky130_fd_sc_hd__buf_2 +*I *39989:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7883:DIODE 0.000118504 +2 *39988:A 0.000130033 +3 *39989:X 0.000869807 +4 *2834:44 0.00180169 +5 *2834:41 0.00331358 +6 *2834:35 0.00357338 +7 *2834:25 0.00595162 +8 *2834:24 0.00587082 +9 *2834:18 0.00260196 +10 *39988:A *3097:16 0 +11 *39988:A *3290:42 0 +12 *2834:18 *3650:75 0 +13 *2834:18 *3667:93 0 +14 *2834:18 *3669:69 0 +15 *2834:18 *3994:17 0 +16 *2834:18 *4225:69 0 +17 *2834:18 *4314:28 0 +18 *2834:24 *2972:51 0 +19 *2834:24 *2972:64 0 +20 *2834:24 *3005:60 0 +21 *2834:24 *3042:48 0 +22 *2834:24 *3662:49 0 +23 *2834:25 *2986:23 0 +24 *2834:25 *2997:9 0 +25 *2834:25 *3001:49 0 +26 *2834:25 *3005:66 0 +27 *2834:25 *3021:19 0 +28 *2834:25 *3283:57 0 +29 *2834:35 *3003:27 0 +30 *2834:35 *3428:40 0 +31 *2834:35 *3485:59 0 +32 *2834:41 *40758:A 0 +33 *2834:41 *4729:23 0 +34 *2834:44 *3097:18 0 +35 *2834:44 *3290:30 0 +36 *2834:44 *3400:84 0 +37 *357:43 *2834:35 0 +38 *359:29 *2834:41 0 +39 *374:20 *2834:25 0 +40 *1004:23 *2834:41 0 +41 *1016:220 *2834:41 0 +42 *1208:41 *2834:18 0 +43 *1273:40 *2834:35 0 +44 *1273:62 *2834:25 0 +45 *1553:46 *2834:35 0 +46 *1822:28 *2834:18 0 +47 *1844:39 *2834:44 0 +48 *2366:40 *2834:44 0 +49 *2398:35 *2834:35 0 +50 *2434:28 *2834:24 0 +51 *2473:21 *2834:41 0 +52 *2811:35 *7883:DIODE 0 +53 *2814:37 *2834:24 0 +54 *2814:45 *2834:35 0 +55 *2817:47 *2834:35 0 +56 *2828:30 *2834:35 0 +*RES +1 *39989:X *2834:18 38.3 +2 *2834:18 *2834:24 45.3571 +3 *2834:24 *2834:25 86.375 +4 *2834:25 *2834:35 47.6429 +5 *2834:35 *2834:41 46.1429 +6 *2834:41 *2834:44 39.8393 +7 *2834:44 *39988:A 16.8179 +8 *2834:44 *7883:DIODE 16.55 +*END + +*D_NET *2835 0.022423 +*CONN +*I *7884:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39989:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39990:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7884:DIODE 0.000472661 +2 *39989:A 8.4707e-05 +3 *39990:X 0.000813208 +4 *2835:34 0.000930457 +5 *2835:31 0.00984094 +6 *2835:21 0.0102811 +7 *7884:DIODE *3995:36 0 +8 *7884:DIODE *4241:75 0 +9 *39989:A *3667:93 0 +10 *39989:A *4225:69 0 +11 *2835:21 *38040:C 0 +12 *2835:21 *3438:116 0 +13 *2835:21 *3811:31 0 +14 *2835:21 *4001:45 0 +15 *2835:21 *4020:86 0 +16 *2835:21 *4078:7 0 +17 *2835:21 *4119:70 0 +18 *2835:21 *4408:78 0 +19 *2835:31 *3008:33 0 +20 *2835:31 *3275:32 0 +21 *2835:31 *3388:55 0 +22 *2835:31 *3626:29 0 +23 *2835:31 *3653:36 0 +24 *2835:31 *3675:24 0 +25 *2835:31 *3766:48 0 +26 *2835:31 *3942:61 0 +27 *2835:31 *3991:108 0 +28 *2835:31 *4341:39 0 +29 *2835:31 *4344:25 0 +30 *2835:31 *4358:94 0 +31 *7617:DIODE *7884:DIODE 0 +32 *1437:30 *2835:31 0 +33 *2671:44 *2835:21 0 +34 *2822:113 *7884:DIODE 0 +35 *2832:38 *2835:21 0 +36 *2832:50 *2835:31 0 +*RES +1 *39990:X *2835:21 49.8357 +2 *2835:21 *2835:31 48.1832 +3 *2835:31 *2835:34 11.8832 +4 *2835:34 *39989:A 15.5679 +5 *2835:34 *7884:DIODE 24.2286 +*END + +*D_NET *2836 0.0237345 +*CONN +*I *6079:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38067:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *39991:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *6079:DIODE 0.000101392 +2 *38067:A 0 +3 *39991:X 0.000880852 +4 *2836:43 0.00102733 +5 *2836:39 0.00275163 +6 *2836:33 0.00843112 +7 *2836:31 0.00813339 +8 *2836:21 0.00240881 +9 *6079:DIODE *3718:60 0 +10 *6079:DIODE *3761:69 0 +11 *2836:21 *3725:48 0 +12 *2836:21 *3746:19 0 +13 *2836:21 *4066:45 0 +14 *2836:31 *3003:24 0 +15 *2836:31 *3021:33 0 +16 *2836:31 *3036:57 0 +17 *2836:33 *2921:11 0 +18 *2836:39 *5106:23 0 +19 *2836:43 *37866:A 0 +20 *2836:43 *2974:34 0 +21 *2836:43 *3117:12 0 +22 *2836:43 *3718:60 0 +23 *2836:43 *4740:11 0 +24 *286:17 *2836:43 0 +25 *364:11 *2836:43 0 +26 *370:24 *2836:31 0 +27 *370:24 *2836:33 0 +28 *1273:30 *2836:33 0 +29 *2382:31 *2836:33 0 +30 *2387:11 *2836:33 0 +31 *2441:11 *2836:39 0 +32 *2441:15 *2836:33 0 +33 *2441:15 *2836:39 0 +34 *2807:35 *2836:33 0 +35 *2807:35 *2836:39 0 +*RES +1 *39991:X *2836:21 42.6571 +2 *2836:21 *2836:31 39.1964 +3 *2836:31 *2836:33 137.92 +4 *2836:33 *2836:39 42.6339 +5 *2836:39 *2836:43 25.4107 +6 *2836:43 *38067:A 9.3 +7 *2836:43 *6079:DIODE 11.4786 +*END + +*D_NET *2837 0.0333391 +*CONN +*I *7885:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39991:A I *D sky130_fd_sc_hd__buf_2 +*I *39992:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7885:DIODE 0.00099357 +2 *39991:A 0 +3 *39992:X 0.00142296 +4 *2837:91 0.00263573 +5 *2837:67 0.00211481 +6 *2837:49 0.00453583 +7 *2837:38 0.00276848 +8 *2837:31 0.0071698 +9 *2837:30 0.00661524 +10 *2837:24 0.00254738 +11 *2837:16 0.00253528 +12 *7885:DIODE *4319:41 0 +13 *2837:16 *38280:B 0 +14 *2837:16 *3029:24 0 +15 *2837:16 *3584:21 0 +16 *2837:16 *4008:99 0 +17 *2837:16 *4078:26 0 +18 *2837:16 *4117:66 0 +19 *2837:16 *4119:109 0 +20 *2837:24 *3691:49 0 +21 *2837:24 *3730:78 0 +22 *2837:30 *3001:25 0 +23 *2837:30 *3029:46 0 +24 *2837:30 *3073:74 0 +25 *2837:30 *3280:15 0 +26 *2837:30 *4717:6 0 +27 *2837:31 *3662:29 0 +28 *2837:31 *3662:35 0 +29 *2837:31 *3678:32 0 +30 *2837:31 *3719:33 0 +31 *2837:38 *3951:29 0 +32 *2837:38 *4245:40 0 +33 *2837:49 *2924:11 0 +34 *2837:49 *2975:9 0 +35 *2837:49 *2991:30 0 +36 *2837:49 *3031:43 0 +37 *2837:49 *3524:25 0 +38 *2837:49 *4031:50 0 +39 *2837:67 *3024:34 0 +40 *2837:67 *3746:19 0 +41 *2837:67 *3758:53 0 +42 *2837:67 *3932:21 0 +43 *2837:67 *3941:56 0 +44 *2837:67 *3963:10 0 +45 *2837:67 *4313:14 0 +46 *2837:67 *4331:46 0 +47 *2837:67 *4720:17 0 +48 *2837:91 *3031:43 0 +49 *2837:91 *3425:8 0 +50 *2837:91 *3514:20 0 +51 *2837:91 *3524:25 0 +52 *1016:212 *2837:91 0 +53 *1270:19 *2837:24 0 +54 *1418:25 *2837:91 0 +55 *1864:36 *2837:67 0 +56 *2380:55 *2837:67 0 +57 *2398:38 *7885:DIODE 0 +58 *2413:39 *2837:38 0 +59 *2417:43 *7885:DIODE 0 +60 *2417:52 *2837:91 0 +61 *2430:23 *2837:91 0 +62 *2430:40 *2837:91 0 +63 *2434:29 *2837:31 0 +64 *2715:9 *2837:30 0 +65 *2814:26 *2837:31 0 +66 *2817:33 *2837:31 0 +67 *2818:39 *2837:91 0 +*RES +1 *39992:X *2837:16 46.1393 +2 *2837:16 *2837:24 38.5714 +3 *2837:24 *2837:30 39.625 +4 *2837:30 *2837:31 108.143 +5 *2837:31 *2837:38 46.4821 +6 *2837:38 *2837:49 23.0657 +7 *2837:49 *2837:67 47.0399 +8 *2837:67 *39991:A 9.3 +9 *2837:49 *2837:91 45.729 +10 *2837:91 *7885:DIODE 40.8179 +*END + +*D_NET *2838 0.0064787 +*CONN +*I *40563:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38463:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40563:A 0.000800823 +2 *38463:X 0.000208764 +3 *2838:9 0.00303058 +4 *2838:8 0.00243853 +5 *40563:A *7978:DIODE 0 +6 *40563:A *2977:31 0 +7 *40563:A *3409:21 0 +8 *40563:A *5164:19 0 +9 *2838:8 *3291:37 0 +10 *2838:8 *5188:81 0 +11 *2838:9 *3018:21 0 +12 *2838:9 *3018:46 0 +13 *2838:9 *3291:21 0 +14 *2838:9 *5186:106 0 +15 *2838:9 *5188:81 0 +16 *38100:C *40563:A 0 +17 *38463:A *2838:8 0 +18 *403:18 *2838:9 0 +19 *405:8 *40563:A 0 +20 *528:31 *2838:8 0 +21 *532:35 *40563:A 0 +22 *533:10 *40563:A 0 +23 *784:10 *2838:8 0 +24 *787:22 *2838:9 0 +25 *2712:125 *40563:A 0 +26 *2712:135 *40563:A 0 +*RES +1 *38463:X *2838:8 22.9786 +2 *2838:8 *2838:9 46.5357 +3 *2838:9 *40563:A 36.2464 +*END + +*D_NET *2839 0.0220523 +*CONN +*I *6076:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38065:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *39993:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6076:DIODE 5.78771e-05 +2 *38065:A 0.000201649 +3 *39993:X 0.00109932 +4 *2839:52 0.00258354 +5 *2839:40 0.00580031 +6 *2839:25 0.00590135 +7 *2839:23 0.003867 +8 *2839:20 0.00254126 +9 *6076:DIODE *3299:75 0 +10 *38065:A *3299:75 0 +11 *38065:A *3978:99 0 +12 *38065:A *4318:63 0 +13 *2839:20 *2992:82 0 +14 *2839:20 *3667:93 0 +15 *2839:20 *3684:53 0 +16 *2839:20 *3990:16 0 +17 *2839:20 *3997:87 0 +18 *2839:20 *4037:95 0 +19 *2839:23 *37880:B 0 +20 *2839:23 *3088:29 0 +21 *2839:23 *4097:60 0 +22 *2839:23 *4614:9 0 +23 *2839:25 *3400:57 0 +24 *2839:25 *4097:60 0 +25 *2839:40 *2970:45 0 +26 *2839:40 *3295:74 0 +27 *2839:40 *3428:43 0 +28 *2839:40 *3625:50 0 +29 *2839:40 *3732:49 0 +30 *2839:40 *3996:20 0 +31 *2839:40 *4033:61 0 +32 *2839:52 *3024:61 0 +33 *2839:52 *3042:70 0 +34 *2839:52 *3400:84 0 +35 *2839:52 *3512:50 0 +36 *2839:52 *3582:30 0 +37 *2839:52 *3940:19 0 +38 *368:65 *6076:DIODE 0 +39 *1025:151 *2839:25 0 +40 *1418:21 *2839:25 0 +41 *1418:25 *2839:23 0 +42 *1418:25 *2839:25 0 +43 *1449:24 *2839:20 0 +44 *1449:55 *2839:52 0 +45 *1451:39 *2839:40 0 +46 *1544:8 *2839:40 0 +47 *2368:15 *2839:25 0 +48 *2368:40 *2839:25 0 +49 *2378:17 *2839:25 0 +50 *2384:53 *2839:23 0 +51 *2426:12 *2839:40 0 +52 *2442:31 *2839:40 0 +53 *2810:22 *2839:52 0 +54 *2816:61 *2839:25 0 +55 *2823:26 *2839:52 0 +*RES +1 *39993:X *2839:20 37.1874 +2 *2839:20 *2839:23 30.125 +3 *2839:23 *2839:25 50.6429 +4 *2839:25 *2839:40 47.39 +5 *2839:40 *2839:52 22.7559 +6 *2839:52 *38065:A 18.2107 +7 *2839:52 *6076:DIODE 15.0679 +*END + +*D_NET *2840 0.0245073 +*CONN +*I *39993:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7887:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39994:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39993:A 0.000107931 +2 *7887:DIODE 2.56688e-05 +3 *39994:X 0.00154607 +4 *2840:68 0.001711 +5 *2840:49 0.00770368 +6 *2840:48 0.00722682 +7 *2840:34 0.00287031 +8 *2840:27 0.00331584 +9 *39993:A *6065:DIODE 0 +10 *39993:A *6067:DIODE 0 +11 *39993:A *3684:53 0 +12 *2840:27 *8856:DIODE 0 +13 *2840:27 *3698:48 0 +14 *2840:27 *3726:28 0 +15 *2840:27 *3819:49 0 +16 *2840:27 *3946:31 0 +17 *2840:27 *3998:45 0 +18 *2840:27 *4061:146 0 +19 *2840:27 *4075:126 0 +20 *2840:27 *4225:35 0 +21 *2840:27 *4328:24 0 +22 *2840:27 *4352:19 0 +23 *2840:34 *3076:70 0 +24 *2840:34 *3197:38 0 +25 *2840:34 *3323:44 0 +26 *2840:34 *3733:52 0 +27 *2840:34 *3951:8 0 +28 *2840:34 *3968:23 0 +29 *2840:34 *4070:43 0 +30 *2840:34 *4089:41 0 +31 *2840:34 *4332:76 0 +32 *2840:34 *4362:54 0 +33 *2840:48 *3968:23 0 +34 *2840:49 *3713:51 0 +35 *2840:49 *4229:33 0 +36 *2840:68 *3021:19 0 +37 *2840:68 *3420:40 0 +38 *2840:68 *4722:12 0 +39 *1319:16 *39993:A 0 +40 *1437:30 *2840:27 0 +41 *1557:45 *2840:34 0 +42 *1557:45 *2840:49 0 +43 *1560:45 *2840:48 0 +44 *2309:43 *2840:34 0 +45 *2369:35 *2840:34 0 +46 *2369:35 *2840:49 0 +47 *2413:45 *2840:49 0 +48 *2421:73 *2840:27 0 +49 *2434:28 *2840:68 0 +50 *2436:27 *2840:48 0 +51 *2814:26 *2840:68 0 +52 *2817:32 *2840:68 0 +53 *2820:29 *2840:68 0 +54 *2832:38 *2840:27 0 +*RES +1 *39994:X *2840:27 42.4296 +2 *2840:27 *2840:34 48.0625 +3 *2840:34 *2840:48 45.6607 +4 *2840:48 *2840:49 127.857 +5 *2840:49 *2840:68 44.4569 +6 *2840:68 *7887:DIODE 14.3357 +7 *2840:68 *39993:A 16.2821 +*END + +*D_NET *2841 0.0213724 +*CONN +*I *6073:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38063:A I *D sky130_fd_sc_hd__buf_2 +*I *39995:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6073:DIODE 0.000416262 +2 *38063:A 2.0535e-05 +3 *39995:X 0.00255411 +4 *2841:61 0.00236255 +5 *2841:53 0.0040768 +6 *2841:50 0.00351107 +7 *2841:41 0.00361849 +8 *2841:19 0.00481257 +9 *6073:DIODE *38282:A 0 +10 *6073:DIODE *38282:B 0 +11 *6073:DIODE *3648:58 0 +12 *6073:DIODE *3650:58 0 +13 *2841:19 *3546:50 0 +14 *2841:19 *3665:21 0 +15 *2841:19 *3689:15 0 +16 *2841:19 *3757:22 0 +17 *2841:19 *3766:25 0 +18 *2841:19 *4109:15 0 +19 *2841:19 *4120:74 0 +20 *2841:19 *4291:37 0 +21 *2841:19 *4320:23 0 +22 *2841:41 *2928:83 0 +23 *2841:41 *2962:19 0 +24 *2841:41 *3689:15 0 +25 *2841:41 *3757:22 0 +26 *2841:41 *4347:38 0 +27 *2841:41 *4369:47 0 +28 *2841:41 *4377:93 0 +29 *2841:41 *4747:10 0 +30 *2841:50 *3648:17 0 +31 *2841:50 *3651:26 0 +32 *2841:53 *3650:23 0 +33 *2841:53 *3803:25 0 +34 *2841:61 *8464:DIODE 0 +35 *2841:61 *38282:B 0 +36 *2841:61 *40772:A 0 +37 *2841:61 *41077:A 0 +38 *2841:61 *2981:62 0 +39 *2841:61 *3305:171 0 +40 *2841:61 *3323:59 0 +41 *2841:61 *3648:58 0 +42 *2841:61 *3672:50 0 +43 *2841:61 *3752:16 0 +44 *2841:61 *4099:38 0 +45 *40075:A *2841:61 0 +46 *1271:25 *2841:61 0 +47 *1271:36 *2841:61 0 +48 *1271:37 *2841:53 0 +49 *1331:31 *2841:61 0 +50 *1540:10 *6073:DIODE 0 +51 *1693:20 *2841:41 0 +52 *2325:78 *2841:19 0 +53 *2822:46 *2841:61 0 +*RES +1 *39995:X *2841:19 47.7991 +2 *2841:19 *2841:41 48.8381 +3 *2841:41 *2841:50 42.3839 +4 *2841:50 *2841:53 49.3929 +5 *2841:53 *2841:61 44.9107 +6 *2841:61 *38063:A 9.72857 +7 *2841:61 *6073:DIODE 18.05 +*END + +*D_NET *2842 0.0186283 +*CONN +*I *6028:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38037:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39996:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6028:DIODE 0 +2 *38037:A 0.000188686 +3 *39996:X 0.00136758 +4 *2842:29 0.000943693 +5 *2842:23 0.00318916 +6 *2842:21 0.00343341 +7 *2842:15 0.00456872 +8 *2842:14 0.00493704 +9 *38037:A *3704:26 0 +10 *2842:14 *3278:47 0 +11 *2842:14 *3941:63 0 +12 *2842:14 *4224:51 0 +13 *2842:15 *39672:A 0 +14 *2842:15 *2943:15 0 +15 *2842:15 *2943:17 0 +16 *2842:15 *2991:46 0 +17 *2842:15 *2991:47 0 +18 *2842:15 *4725:11 0 +19 *2842:21 *2943:17 0 +20 *2842:21 *2991:33 0 +21 *2842:21 *2991:46 0 +22 *2842:23 *2943:17 0 +23 *2842:23 *2991:33 0 +24 *2842:23 *2998:37 0 +25 *2842:23 *3007:24 0 +26 *2842:23 *3280:15 0 +27 *2842:23 *3512:28 0 +28 *2842:23 *3951:23 0 +29 *2842:23 *4241:81 0 +30 *2842:29 *3007:12 0 +31 *2842:29 *3704:26 0 +32 *375:23 *2842:14 0 +33 *1332:39 *2842:14 0 +34 *2380:34 *2842:21 0 +35 *2826:33 *2842:15 0 +*RES +1 *39996:X *2842:14 49.3714 +2 *2842:14 *2842:15 74.4643 +3 *2842:15 *2842:21 20.9018 +4 *2842:21 *2842:23 50.8482 +5 *2842:23 *2842:29 26.1607 +6 *2842:29 *38037:A 22.4607 +7 *2842:29 *6028:DIODE 9.3 +*END + +*D_NET *2843 0.0241998 +*CONN +*I *5989:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38015:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *39997:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5989:DIODE 0 +2 *38015:A 0.000175953 +3 *39997:X 0.000235592 +4 *2843:66 0.00150169 +5 *2843:63 0.00357517 +6 *2843:61 0.00278463 +7 *2843:56 0.00256482 +8 *2843:52 0.00225908 +9 *2843:44 0.0022184 +10 *2843:35 0.00281933 +11 *2843:32 0.00332997 +12 *2843:19 0.00273517 +13 *38015:A *3665:27 0 +14 *38015:A *3723:61 0 +15 *2843:19 *41310:A 0 +16 *2843:19 *3588:13 0 +17 *2843:32 *2848:13 0 +18 *2843:32 *4316:10 0 +19 *2843:32 *4976:12 0 +20 *2843:35 *5121:35 0 +21 *2843:44 *39709:A 0 +22 *2843:44 *2931:35 0 +23 *2843:44 *5038:10 0 +24 *2843:44 *5121:35 0 +25 *2843:52 *38967:A 0 +26 *2843:52 *2917:22 0 +27 *2843:56 *2917:11 0 +28 *2843:56 *4124:18 0 +29 *2843:56 *5131:11 0 +30 *2843:61 *2856:45 0 +31 *2843:61 *3655:31 0 +32 *2843:61 *3655:35 0 +33 *2843:61 *5121:22 0 +34 *2843:63 *3655:35 0 +35 *2843:63 *3655:37 0 +36 *2843:63 *4701:26 0 +37 *2843:66 *3676:8 0 +38 *2843:66 *3706:10 0 +39 *39488:B *2843:66 0 +40 *1030:8 *2843:32 0 +41 *1201:16 *2843:19 0 +42 *1560:44 *2843:66 0 +43 *1816:13 *2843:32 0 +44 *2217:49 *2843:32 0 +45 *2222:58 *2843:19 0 +46 *2256:24 *2843:52 0 +47 *2260:32 *2843:44 0 +48 *2260:40 *2843:44 0 +49 *2270:21 *2843:32 0 +50 *2274:40 *2843:56 0 +51 *2281:52 *2843:56 0 +52 *2318:34 *2843:56 0 +53 *2532:19 *2843:35 0 +54 *2752:20 *2843:52 0 +*RES +1 *39997:X *2843:19 28.2464 +2 *2843:19 *2843:32 44.7274 +3 *2843:32 *2843:35 17.3929 +4 *2843:35 *2843:44 46.4286 +5 *2843:44 *2843:52 18.4464 +6 *2843:52 *2843:56 47.2857 +7 *2843:56 *2843:61 15.7321 +8 *2843:61 *2843:63 46.9464 +9 *2843:63 *2843:66 34.6786 +10 *2843:66 *38015:A 17.5321 +11 *2843:66 *5989:DIODE 13.8 +*END + +*D_NET *2844 0.0220572 +*CONN +*I *5986:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38013:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39998:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5986:DIODE 0.00014075 +2 *38013:A 2.37436e-05 +3 *39998:X 0.00665913 +4 *2844:41 0.00436948 +5 *2844:27 0.0108641 +6 *5986:DIODE *3817:63 0 +7 *2844:27 *3233:29 0 +8 *2844:27 *4118:21 0 +9 *2844:27 *4118:42 0 +10 *2844:41 *40522:A 0 +11 *2844:41 *3148:74 0 +12 *2844:41 *3158:29 0 +13 *2844:41 *3233:29 0 +14 *2844:41 *3838:47 0 +15 *2844:41 *3939:44 0 +16 *2844:41 *4002:30 0 +17 *2844:41 *4265:36 0 +18 *2844:41 *4269:22 0 +19 *2844:41 *4867:28 0 +20 *39634:A *5986:DIODE 0 +21 *39634:A *38013:A 0 +22 *39634:A *2844:41 0 +23 *1958:31 *2844:27 0 +24 *2010:25 *2844:27 0 +25 *2073:20 *2844:27 0 +26 *2098:41 *2844:27 0 +27 *2106:23 *2844:41 0 +28 *2110:23 *2844:27 0 +29 *2126:28 *2844:27 0 +30 *2282:41 *2844:41 0 +31 *2427:21 *2844:27 0 +32 *2427:26 *2844:41 0 +*RES +1 *39998:X *2844:27 45.7969 +2 *2844:27 *2844:41 35.7303 +3 *2844:41 *38013:A 9.8625 +4 *2844:41 *5986:DIODE 12.3 +*END + +*D_NET *2845 0.0183681 +*CONN +*I *38011:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *5984:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39999:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38011:A 0.000121071 +2 *5984:DIODE 0 +3 *39999:X 0.000740993 +4 *2845:35 0.00475172 +5 *2845:34 0.00498099 +6 *2845:26 0.00240256 +7 *2845:22 0.00334099 +8 *2845:19 0.00202976 +9 *2845:19 *5674:DIODE 0 +10 *2845:19 *2865:39 0 +11 *2845:22 *3778:8 0 +12 *2845:22 *3804:14 0 +13 *2845:26 *4691:10 0 +14 *2845:26 *5133:15 0 +15 *2845:35 *4694:7 0 +16 *39858:A *2845:26 0 +17 *348:14 *2845:35 0 +18 *348:32 *2845:26 0 +19 *351:14 *38011:A 0 +20 *351:14 *2845:26 0 +21 *351:14 *2845:35 0 +22 *351:23 *2845:22 0 +23 *1007:136 *2845:34 0 +24 *1014:30 *2845:34 0 +25 *1014:30 *2845:35 0 +26 *2217:49 *2845:19 0 +27 *2221:75 *2845:19 0 +28 *2226:33 *2845:19 0 +29 *2270:35 *2845:19 0 +30 *2293:13 *2845:22 0 +31 *2322:40 *2845:19 0 +32 *2322:53 *2845:19 0 +33 *2607:8 *2845:26 0 +34 *2688:7 *2845:26 0 +*RES +1 *39999:X *2845:19 42.6536 +2 *2845:19 *2845:22 33.7679 +3 *2845:22 *2845:26 47.4018 +4 *2845:26 *2845:34 13.3319 +5 *2845:34 *2845:35 96.6429 +6 *2845:35 *5984:DIODE 9.3 +7 *2845:35 *38011:A 11.8893 +*END + +*D_NET *2846 0.0232784 +*CONN +*I *38009:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *5981:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40000:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38009:A 2.26741e-05 +2 *5981:DIODE 0.000104386 +3 *40000:X 0.000981154 +4 *2846:22 0.00138903 +5 *2846:17 0.00841757 +6 *2846:15 0.009269 +7 *2846:8 0.00309455 +8 *5981:DIODE *2948:16 0 +9 *5981:DIODE *3730:23 0 +10 *38009:A *3730:23 0 +11 *2846:8 *37816:B 0 +12 *2846:8 *2865:14 0 +13 *2846:8 *3161:70 0 +14 *2846:8 *3608:18 0 +15 *2846:8 *4679:14 0 +16 *2846:15 *2853:11 0 +17 *2846:15 *2856:14 0 +18 *2846:15 *2875:39 0 +19 *2846:15 *3899:23 0 +20 *2846:15 *3899:27 0 +21 *2846:15 *3899:29 0 +22 *2846:17 *7673:DIODE 0 +23 *2846:17 *37839:A 0 +24 *2846:17 *37985:A 0 +25 *2846:17 *2875:39 0 +26 *2846:17 *2875:41 0 +27 *2846:17 *2946:13 0 +28 *2846:17 *3358:72 0 +29 *2846:17 *3899:23 0 +30 *2846:17 *4690:15 0 +31 *2846:22 *3080:76 0 +32 *2846:22 *3674:44 0 +33 *2846:22 *3786:82 0 +34 *2846:22 *4109:15 0 +35 *2846:22 *5127:18 0 +36 *2846:22 *5128:26 0 +37 *37825:A *2846:15 0 +38 *39863:A *2846:15 0 +39 *39893:A *2846:17 0 +40 *327:19 *2846:8 0 +41 *1309:32 *2846:15 0 +42 *1532:42 *2846:22 0 +43 *2059:39 *2846:8 0 +44 *2235:25 *2846:8 0 +45 *2256:13 *2846:8 0 +46 *2286:52 *2846:17 0 +47 *2286:54 *2846:17 0 +48 *2602:15 *2846:17 0 +49 *2729:17 *2846:15 0 +50 *2729:17 *2846:17 0 +*RES +1 *40000:X *2846:8 40.5857 +2 *2846:8 *2846:15 44.2321 +3 *2846:15 *2846:17 149.214 +4 *2846:17 *2846:22 37.6607 +5 *2846:22 *5981:DIODE 11.4786 +6 *2846:22 *38009:A 9.83571 +*END + +*D_NET *2847 0.0214084 +*CONN +*I *7890:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40000:A I *D sky130_fd_sc_hd__buf_2 +*I *40001:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7890:DIODE 0.000296619 +2 *40000:A 2.56688e-05 +3 *40001:X 0 +4 *2847:28 0.00503737 +5 *2847:24 0.00608582 +6 *2847:5 0.00566684 +7 *2847:4 0.0042961 +8 *2847:5 *2866:27 0 +9 *2847:5 *3578:80 0 +10 *2847:5 *3580:43 0 +11 *2847:5 *4458:53 0 +12 *2847:24 *3624:71 0 +13 *2847:24 *3671:27 0 +14 *2847:24 *4333:26 0 +15 *2847:28 *3180:14 0 +16 *2847:28 *3200:11 0 +17 *2847:28 *3385:17 0 +18 *2847:28 *4325:17 0 +19 *2847:28 *4325:34 0 +20 *2847:28 *4346:90 0 +21 *37982:B *2847:5 0 +22 *1913:12 *2847:5 0 +23 *2168:9 *2847:24 0 +24 *2195:24 *2847:5 0 +25 *2198:22 *2847:24 0 +26 *2253:17 *7890:DIODE 0 +27 *2256:13 *7890:DIODE 0 +28 *2256:13 *2847:28 0 +*RES +1 *40001:X *2847:4 9.3 +2 *2847:4 *2847:5 89.6607 +3 *2847:5 *2847:24 35.1252 +4 *2847:24 *2847:28 23.2728 +5 *2847:28 *40000:A 14.3357 +6 *2847:28 *7890:DIODE 20.6036 +*END + +*D_NET *2848 0.0251532 +*CONN +*I *5977:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38007:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *40002:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *5977:DIODE 0 +2 *38007:A 0.000355977 +3 *40002:X 0.00107841 +4 *2848:22 0.00237175 +5 *2848:13 0.0111422 +6 *2848:10 0.0102049 +7 *38007:A *3526:90 0 +8 *38007:A *3815:39 0 +9 *38007:A *3826:41 0 +10 *2848:10 *2851:22 0 +11 *2848:10 *2853:14 0 +12 *2848:10 *3795:14 0 +13 *2848:13 *2863:26 0 +14 *2848:13 *2863:46 0 +15 *2848:13 *3354:26 0 +16 *2848:22 *39864:A 0 +17 *2848:22 *3526:90 0 +18 *2848:22 *4341:39 0 +19 *2848:22 *4365:34 0 +20 *338:21 *2848:13 0 +21 *340:31 *2848:13 0 +22 *355:21 *2848:22 0 +23 *356:19 *2848:22 0 +24 *356:21 *2848:22 0 +25 *1009:92 *2848:13 0 +26 *1009:102 *2848:13 0 +27 *1030:8 *2848:13 0 +28 *1237:45 *2848:22 0 +29 *1309:32 *2848:10 0 +30 *2260:27 *2848:13 0 +31 *2268:17 *2848:10 0 +32 *2270:12 *2848:10 0 +33 *2278:35 *2848:13 0 +34 *2535:22 *2848:13 0 +35 *2542:36 *2848:13 0 +36 *2724:11 *2848:13 0 +37 *2843:32 *2848:13 0 +*RES +1 *40002:X *2848:10 41.7011 +2 *2848:10 *2848:13 36.4635 +3 *2848:13 *2848:22 46.2411 +4 *2848:22 *38007:A 30.6929 +5 *2848:22 *5977:DIODE 13.8 +*END + +*D_NET *2849 0.0213738 +*CONN +*I *8291:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40562:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38464:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8291:DIODE 0 +2 *40562:A 0.000137329 +3 *38464:X 0.000226048 +4 *2849:48 0.00144526 +5 *2849:23 0.0103235 +6 *2849:8 0.00924166 +7 *40562:A *4449:49 0 +8 *40562:A *4590:46 0 +9 *2849:23 *41424:A 0 +10 *2849:23 *2882:46 0 +11 *2849:23 *2916:29 0 +12 *2849:23 *2927:33 0 +13 *2849:23 *2960:43 0 +14 *2849:23 *2983:26 0 +15 *2849:23 *3077:91 0 +16 *2849:23 *3205:68 0 +17 *2849:23 *3266:20 0 +18 *2849:23 *4550:63 0 +19 *2849:23 *4761:31 0 +20 *2849:23 *5163:22 0 +21 *2849:23 *5165:61 0 +22 *2849:23 *5184:26 0 +23 *2849:48 *3027:43 0 +24 *2849:48 *3262:48 0 +25 *2849:48 *4529:67 0 +26 *2849:48 *4554:53 0 +27 *2849:48 *5074:44 0 +28 *2849:48 *5179:56 0 +29 *2849:48 *5195:21 0 +30 *6907:DIODE *2849:48 0 +31 *406:49 *2849:23 0 +32 *544:49 *2849:23 0 +33 *791:50 *2849:23 0 +34 *795:41 *2849:23 0 +35 *798:23 *2849:23 0 +36 *1829:47 *2849:23 0 +37 *1834:23 *2849:23 0 +38 *1860:57 *2849:48 0 +39 *1884:14 *2849:8 0 +40 *2377:24 *2849:23 0 +41 *2382:87 *2849:23 0 +42 *2406:16 *2849:23 0 +43 *2713:101 *2849:48 0 +44 *2782:14 *2849:23 0 +45 *2782:39 *2849:23 0 +*RES +1 *38464:X *2849:8 22.3439 +2 *2849:8 *2849:23 47.9961 +3 *2849:23 *2849:48 31.5773 +4 *2849:48 *40562:A 16.8 +5 *2849:48 *8291:DIODE 13.8 +*END + +*D_NET *2850 0.0239597 +*CONN +*I *40002:A I *D sky130_fd_sc_hd__buf_2 +*I *7892:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40003:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40002:A 0.000126232 +2 *7892:DIODE 0.000267532 +3 *40003:X 0.00109842 +4 *2850:40 0.000512883 +5 *2850:39 0.0104876 +6 *2850:21 0.0114669 +7 *7892:DIODE *2865:36 0 +8 *2850:21 *3222:14 0 +9 *2850:21 *3906:8 0 +10 *2850:39 *3727:23 0 +11 *2850:39 *3876:23 0 +12 *2850:39 *3985:23 0 +13 *2850:39 *4056:17 0 +14 *2850:39 *4110:28 0 +15 *2850:39 *4222:22 0 +16 *2850:39 *4290:26 0 +17 *2850:39 *4292:22 0 +18 *41143:A *2850:39 0 +19 *1309:21 *40002:A 0 +20 *1517:14 *2850:39 0 +21 *1519:23 *2850:39 0 +22 *1524:35 *2850:21 0 +23 *1524:35 *2850:39 0 +24 *1533:71 *2850:39 0 +25 *1812:23 *2850:39 0 +26 *1907:16 *2850:39 0 +27 *1929:18 *2850:39 0 +28 *1999:29 *2850:39 0 +29 *2004:19 *2850:21 0 +30 *2013:9 *2850:21 0 +31 *2036:17 *2850:39 0 +32 *2046:25 *2850:39 0 +33 *2059:39 *2850:39 0 +34 *2094:24 *2850:21 0 +35 *2098:13 *2850:21 0 +36 *2132:10 *2850:21 0 +37 *2166:16 *2850:39 0 +38 *2186:18 *2850:21 0 +39 *2186:18 *2850:39 0 +40 *2221:52 *40002:A 0 +41 *2741:69 *2850:39 0 +*RES +1 *40003:X *2850:21 45.7432 +2 *2850:21 *2850:39 48.5178 +3 *2850:39 *2850:40 2.70536 +4 *2850:40 *7892:DIODE 19.7464 +5 *2850:40 *40002:A 16.4786 +*END + +*D_NET *2851 0.0296785 +*CONN +*I *5973:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38005:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40004:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *5973:DIODE 6.50276e-05 +2 *38005:A 0.000152567 +3 *40004:X 0.000502957 +4 *2851:58 0.000799237 +5 *2851:48 0.00277067 +6 *2851:47 0.00218903 +7 *2851:45 0.0063034 +8 *2851:44 0.0063034 +9 *2851:42 0.00211501 +10 *2851:33 0.00317787 +11 *2851:22 0.00292962 +12 *2851:14 0.00236971 +13 *5973:DIODE *2948:16 0 +14 *2851:14 *5147:42 0 +15 *2851:22 *2856:14 0 +16 *2851:22 *3161:69 0 +17 *2851:22 *3795:14 0 +18 *2851:22 *4683:10 0 +19 *2851:22 *4973:26 0 +20 *2851:33 *4687:24 0 +21 *2851:33 *4974:27 0 +22 *2851:42 *3804:23 0 +23 *2851:42 *4687:24 0 +24 *2851:42 *4967:12 0 +25 *2851:42 *4971:35 0 +26 *2851:42 *4979:15 0 +27 *2851:45 *4969:10 0 +28 *2851:48 *37845:A 0 +29 *2851:48 *4100:31 0 +30 *2851:48 *4257:26 0 +31 *2851:58 *3775:48 0 +32 *2851:58 *4365:46 0 +33 *38273:A *2851:42 0 +34 *325:15 *2851:22 0 +35 *326:13 *2851:22 0 +36 *327:12 *2851:33 0 +37 *347:10 *2851:45 0 +38 *352:29 *2851:22 0 +39 *901:8 *2851:22 0 +40 *1010:86 *2851:45 0 +41 *1016:180 *2851:45 0 +42 *1267:42 *2851:42 0 +43 *1285:18 *2851:22 0 +44 *1309:32 *2851:22 0 +45 *1429:34 *2851:48 0 +46 *1429:34 *2851:58 0 +47 *1537:24 *2851:48 0 +48 *2221:52 *2851:14 0 +49 *2222:43 *2851:14 0 +50 *2270:12 *2851:14 0 +51 *2274:15 *2851:22 0 +52 *2420:40 *2851:48 0 +53 *2539:22 *2851:22 0 +54 *2542:36 *2851:22 0 +55 *2677:18 *2851:22 0 +56 *2681:5 *2851:33 0 +57 *2752:48 *2851:42 0 +58 *2848:10 *2851:22 0 +*RES +1 *40004:X *2851:14 34.2018 +2 *2851:14 *2851:22 47.86 +3 *2851:22 *2851:33 36.0179 +4 *2851:33 *2851:42 49.125 +5 *2851:42 *2851:44 4.5 +6 *2851:44 *2851:45 131.554 +7 *2851:45 *2851:47 4.5 +8 *2851:47 *2851:48 49.7589 +9 *2851:48 *2851:58 22.2232 +10 *2851:58 *38005:A 17.2643 +11 *2851:58 *5973:DIODE 15.1571 +*END + +*D_NET *2852 0.0194658 +*CONN +*I *40004:A I *D sky130_fd_sc_hd__buf_2 +*I *7894:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40005:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40004:A 0.000331487 +2 *7894:DIODE 0 +3 *40005:X 0.0011921 +4 *2852:47 0.00152267 +5 *2852:33 0.00460171 +6 *2852:32 0.00380439 +7 *2852:21 0.0036076 +8 *2852:19 0.00440583 +9 *40004:A *5413:DIODE 0 +10 *40004:A *3624:45 0 +11 *2852:19 *8375:DIODE 0 +12 *2852:19 *3608:75 0 +13 *2852:19 *3611:24 0 +14 *2852:21 *8367:DIODE 0 +15 *2852:21 *40026:A 0 +16 *2852:21 *3588:45 0 +17 *2852:21 *4346:21 0 +18 *2852:21 *4368:22 0 +19 *2852:32 *3578:58 0 +20 *2852:32 *3612:67 0 +21 *2852:32 *4480:36 0 +22 *2852:33 *5575:DIODE 0 +23 *2852:33 *39872:A 0 +24 *2852:33 *40013:A 0 +25 *2852:33 *2857:53 0 +26 *2852:33 *2857:57 0 +27 *2852:33 *2861:17 0 +28 *2852:33 *3562:22 0 +29 *2852:33 *3588:35 0 +30 *2852:33 *3612:56 0 +31 *2852:47 *5414:DIODE 0 +32 *2852:47 *39868:A 0 +33 *6322:DIODE *2852:19 0 +34 *8549:DIODE *2852:21 0 +35 *37599:A *2852:21 0 +36 *37808:A *2852:19 0 +37 *39477:B *2852:19 0 +38 *39896:A *2852:21 0 +39 *1202:20 *2852:47 0 +40 *1303:14 *2852:19 0 +41 *1303:14 *2852:21 0 +42 *1404:27 *2852:47 0 +43 *1681:49 *2852:19 0 +44 *1685:18 *2852:21 0 +45 *1685:26 *2852:21 0 +46 *1905:34 *2852:21 0 +47 *1915:18 *2852:19 0 +48 *1915:18 *2852:21 0 +49 *1949:34 *2852:19 0 +50 *2174:60 *2852:19 0 +51 *2174:74 *2852:19 0 +52 *2184:22 *2852:21 0 +53 *2199:30 *2852:21 0 +54 *2217:30 *2852:47 0 +55 *2268:17 *40004:A 0 +56 *2270:12 *40004:A 0 +57 *2703:10 *2852:33 0 +58 *2736:15 *2852:21 0 +*RES +1 *40005:X *2852:19 34.5679 +2 *2852:19 *2852:21 67.0714 +3 *2852:21 *2852:32 26.5357 +4 *2852:32 *2852:33 71.1786 +5 *2852:33 *2852:47 34.3571 +6 *2852:47 *7894:DIODE 9.3 +7 *2852:47 *40004:A 25.7464 +*END + +*D_NET *2853 0.0188607 +*CONN +*I *38003:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *5969:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40006:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38003:A 0.000121071 +2 *5969:DIODE 0 +3 *40006:X 0.000352974 +4 *2853:39 0.00113071 +5 *2853:38 0.00257343 +6 *2853:25 0.0032345 +7 *2853:15 0.0041654 +8 *2853:14 0.00279426 +9 *2853:11 0.00221745 +10 *2853:8 0.00227086 +11 *2853:11 *2856:14 0 +12 *2853:11 *3899:29 0 +13 *2853:14 *3795:14 0 +14 *2853:15 *39733:A 0 +15 *2853:15 *5114:7 0 +16 *2853:15 *5114:12 0 +17 *2853:25 *37849:A 0 +18 *2853:38 *4089:17 0 +19 *39902:A *2853:11 0 +20 *337:35 *2853:25 0 +21 *338:28 *2853:15 0 +22 *338:28 *2853:25 0 +23 *338:36 *2853:15 0 +24 *340:47 *2853:38 0 +25 *350:23 *2853:8 0 +26 *1019:8 *2853:38 0 +27 *1019:19 *2853:39 0 +28 *1953:65 *2853:8 0 +29 *2268:17 *2853:14 0 +30 *2278:35 *2853:38 0 +31 *2278:48 *38003:A 0 +32 *2278:48 *2853:39 0 +33 *2290:39 *2853:25 0 +34 *2293:22 *38003:A 0 +35 *2293:22 *2853:25 0 +36 *2293:22 *2853:39 0 +37 *2542:19 *2853:15 0 +38 *2734:7 *2853:11 0 +39 *2739:29 *2853:11 0 +40 *2846:15 *2853:11 0 +41 *2848:10 *2853:14 0 +*RES +1 *40006:X *2853:8 26.3179 +2 *2853:8 *2853:11 44.4643 +3 *2853:11 *2853:14 11.3036 +4 *2853:14 *2853:15 52.0804 +5 *2853:15 *2853:25 35.0089 +6 *2853:25 *2853:38 39.1164 +7 *2853:38 *2853:39 21.0714 +8 *2853:39 *5969:DIODE 9.3 +9 *2853:39 *38003:A 11.8893 +*END + +*D_NET *2854 0.0200498 +*CONN +*I *7896:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40006:A I *D sky130_fd_sc_hd__buf_2 +*I *40007:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7896:DIODE 0 +2 *40006:A 0.000184544 +3 *40007:X 0.000925128 +4 *2854:38 0.000481242 +5 *2854:35 0.00300176 +6 *2854:34 0.00326293 +7 *2854:21 0.00491985 +8 *2854:20 0.00535558 +9 *2854:14 0.00191873 +10 *2854:14 *2864:44 0 +11 *2854:14 *2872:16 0 +12 *2854:14 *2878:14 0 +13 *2854:14 *2883:20 0 +14 *2854:14 *3548:23 0 +15 *2854:14 *3562:61 0 +16 *2854:14 *3832:30 0 +17 *2854:14 *4938:8 0 +18 *2854:20 *4942:16 0 +19 *2854:21 *40024:A 0 +20 *2854:21 *2873:24 0 +21 *2854:21 *2874:19 0 +22 *2854:34 *5135:52 0 +23 *2854:35 *4683:5 0 +24 *2854:35 *4962:5 0 +25 *7906:DIODE *2854:14 0 +26 *37973:A *2854:35 0 +27 *38221:A *2854:35 0 +28 *39482:A *2854:35 0 +29 *350:23 *40006:A 0 +30 *350:23 *2854:38 0 +31 *1385:53 *2854:21 0 +32 *1385:53 *2854:34 0 +33 *1385:53 *2854:35 0 +34 *1385:63 *2854:35 0 +35 *1930:47 *2854:14 0 +36 *1950:25 *2854:20 0 +37 *2187:16 *2854:20 0 +38 *2232:15 *2854:38 0 +39 *2324:60 *40006:A 0 +40 *2564:18 *40006:A 0 +41 *2590:30 *2854:21 0 +42 *2610:14 *2854:21 0 +43 *2699:18 *40006:A 0 +44 *2699:44 *2854:21 0 +45 *2703:11 *2854:35 0 +46 *2703:17 *2854:35 0 +47 *2703:19 *2854:21 0 +48 *2703:19 *2854:34 0 +49 *2725:33 *40006:A 0 +*RES +1 *40007:X *2854:14 39.175 +2 *2854:14 *2854:20 30.2143 +3 *2854:20 *2854:21 91.0982 +4 *2854:21 *2854:34 21.1339 +5 *2854:34 *2854:35 56.3929 +6 *2854:35 *2854:38 11.3036 +7 *2854:38 *40006:A 17.9071 +8 *2854:38 *7896:DIODE 13.8 +*END + +*D_NET *2855 0.021199 +*CONN +*I *40007:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7897:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40008:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40007:A 0.000198647 +2 *7897:DIODE 0 +3 *40008:X 0.000961751 +4 *2855:69 0.0015261 +5 *2855:59 0.00332576 +6 *2855:51 0.00367441 +7 *2855:41 0.00306077 +8 *2855:28 0.00330178 +9 *2855:22 0.00305257 +10 *2855:16 0.00209721 +11 *40007:A *3548:23 0 +12 *40007:A *3619:26 0 +13 *40007:A *3844:33 0 +14 *2855:16 *3169:29 0 +15 *2855:16 *3586:29 0 +16 *2855:16 *3629:115 0 +17 *2855:16 *3635:80 0 +18 *2855:16 *3839:81 0 +19 *2855:16 *3852:37 0 +20 *2855:16 *4398:25 0 +21 *2855:22 *3554:54 0 +22 *2855:22 *3832:84 0 +23 *2855:28 *3051:23 0 +24 *2855:28 *3169:27 0 +25 *2855:28 *3169:29 0 +26 *2855:28 *3579:15 0 +27 *2855:41 *3051:20 0 +28 *2855:41 *3051:23 0 +29 *2855:41 *3548:40 0 +30 *2855:51 *3051:20 0 +31 *2855:51 *3639:34 0 +32 *2855:59 *37588:B 0 +33 *2855:59 *3580:102 0 +34 *2855:59 *3639:34 0 +35 *2855:69 *40036:A 0 +36 *2855:69 *2887:47 0 +37 *2855:69 *3548:23 0 +38 *2855:69 *3577:43 0 +39 *2855:69 *3844:33 0 +40 *2855:69 *3847:17 0 +41 *7833:DIODE *2855:59 0 +42 *37587:A *2855:41 0 +43 *37587:A *2855:51 0 +44 *37588:A *2855:59 0 +45 *37996:B *2855:51 0 +46 *39473:A *2855:51 0 +47 *39927:A *2855:41 0 +48 *40027:A *2855:41 0 +49 *40027:A *2855:51 0 +50 *343:7 *2855:22 0 +51 *1371:10 *2855:16 0 +52 *1492:42 *2855:28 0 +53 *1500:34 *2855:28 0 +54 *1526:9 *2855:28 0 +55 *1526:9 *2855:41 0 +56 *1796:20 *2855:51 0 +57 *1798:11 *2855:22 0 +58 *1805:15 *2855:51 0 +59 *1904:35 *2855:28 0 +60 *1906:47 *2855:69 0 +61 *1912:41 *2855:59 0 +62 *1918:27 *2855:41 0 +63 *1919:56 *2855:51 0 +64 *1921:54 *2855:51 0 +65 *1921:54 *2855:59 0 +66 *2206:50 *2855:59 0 +*RES +1 *40008:X *2855:16 48.4429 +2 *2855:16 *2855:22 32.9286 +3 *2855:22 *2855:28 49.3393 +4 *2855:28 *2855:41 38.5357 +5 *2855:41 *2855:51 44.0714 +6 *2855:51 *2855:59 46.2679 +7 *2855:59 *2855:69 42.0714 +8 *2855:69 *7897:DIODE 9.3 +9 *2855:69 *40007:A 22.5679 +*END + +*D_NET *2856 0.0197353 +*CONN +*I *5965:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38001:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *40009:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *5965:DIODE 0 +2 *38001:A 0.00013106 +3 *40009:X 0.000540069 +4 *2856:45 0.000340103 +5 *2856:39 0.00267496 +6 *2856:38 0.00447565 +7 *2856:24 0.00415305 +8 *2856:20 0.00321343 +9 *2856:14 0.00236853 +10 *2856:8 0.00183848 +11 *38001:A *2931:22 0 +12 *38001:A *3655:31 0 +13 *38001:A *4124:18 0 +14 *38001:A *4701:16 0 +15 *38001:A *5036:11 0 +16 *2856:8 *3798:14 0 +17 *2856:8 *4678:10 0 +18 *2856:20 *2875:39 0 +19 *2856:20 *3899:27 0 +20 *2856:20 *3899:29 0 +21 *2856:20 *4976:12 0 +22 *2856:20 *5135:13 0 +23 *2856:38 *3668:20 0 +24 *2856:45 *4124:18 0 +25 *2856:45 *5036:11 0 +26 *2856:45 *5121:22 0 +27 *37829:A *2856:38 0 +28 *1009:104 *2856:39 0 +29 *1027:64 *2856:38 0 +30 *1309:32 *2856:14 0 +31 *1508:28 *2856:8 0 +32 *2242:31 *2856:24 0 +33 *2259:44 *2856:24 0 +34 *2264:30 *2856:38 0 +35 *2268:22 *2856:38 0 +36 *2273:43 *2856:38 0 +37 *2293:22 *38001:A 0 +38 *2305:39 *2856:38 0 +39 *2344:7 *2856:38 0 +40 *2637:14 *2856:20 0 +41 *2695:21 *2856:24 0 +42 *2843:61 *2856:45 0 +43 *2846:15 *2856:14 0 +44 *2851:22 *2856:14 0 +45 *2853:11 *2856:14 0 +*RES +1 *40009:X *2856:8 30.5679 +2 *2856:8 *2856:14 36.2321 +3 *2856:14 *2856:20 31.5893 +4 *2856:20 *2856:24 49.5536 +5 *2856:24 *2856:38 44.9002 +6 *2856:38 *2856:39 51.4643 +7 *2856:39 *2856:45 13.4464 +8 *2856:45 *38001:A 21.1929 +9 *2856:45 *5965:DIODE 9.3 +*END + +*D_NET *2857 0.0216867 +*CONN +*I *40009:A I *D sky130_fd_sc_hd__buf_2 +*I *7898:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40010:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40009:A 0.000114655 +2 *7898:DIODE 0 +3 *40010:X 0.00208134 +4 *2857:57 0.000767926 +5 *2857:53 0.0047329 +6 *2857:52 0.00527724 +7 *2857:42 0.00391444 +8 *2857:20 0.00479816 +9 *2857:20 *2876:20 0 +10 *2857:20 *3607:23 0 +11 *2857:20 *3853:11 0 +12 *2857:20 *3870:46 0 +13 *2857:42 *3195:39 0 +14 *2857:42 *3552:51 0 +15 *2857:42 *3562:49 0 +16 *2857:42 *3562:61 0 +17 *2857:52 *2886:65 0 +18 *2857:53 *5575:DIODE 0 +19 *2857:53 *3562:22 0 +20 *2857:53 *3612:56 0 +21 *2857:57 *39872:A 0 +22 *2857:57 *40013:A 0 +23 *2857:57 *2861:17 0 +24 *39478:B *2857:52 0 +25 *319:12 *2857:42 0 +26 *1685:26 *2857:53 0 +27 *1806:16 *2857:20 0 +28 *1934:54 *2857:53 0 +29 *1948:42 *2857:42 0 +30 *1948:42 *2857:52 0 +31 *1953:11 *2857:20 0 +32 *2184:22 *2857:53 0 +33 *2198:43 *2857:52 0 +34 *2227:13 *2857:53 0 +35 *2245:18 *40009:A 0 +36 *2245:18 *2857:57 0 +37 *2852:33 *2857:53 0 +38 *2852:33 *2857:57 0 +*RES +1 *40010:X *2857:20 47.5318 +2 *2857:20 *2857:42 47.7908 +3 *2857:42 *2857:52 34.2143 +4 *2857:52 *2857:53 85.1429 +5 *2857:53 *2857:57 13.6964 +6 *2857:57 *7898:DIODE 9.3 +7 *2857:57 *40009:A 20.8893 +*END + +*D_NET *2858 0.0213433 +*CONN +*I *5961:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37999:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40011:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *5961:DIODE 0.000101392 +2 *37999:A 2.56688e-05 +3 *40011:X 0.000426613 +4 *2858:30 0.00136437 +5 *2858:15 0.010118 +6 *2858:8 0.00930729 +7 *5961:DIODE *4128:50 0 +8 *5961:DIODE *4358:68 0 +9 *37999:A *4128:50 0 +10 *2858:8 *4329:12 0 +11 *2858:15 *41342:A 0 +12 *2858:15 *3340:38 0 +13 *2858:15 *3447:25 0 +14 *2858:15 *3449:44 0 +15 *2858:15 *3526:67 0 +16 *2858:15 *3763:21 0 +17 *2858:15 *3806:53 0 +18 *2858:15 *3821:28 0 +19 *2858:15 *4353:33 0 +20 *2858:15 *4356:37 0 +21 *2858:15 *4365:34 0 +22 *2858:30 *3677:17 0 +23 *2858:30 *4329:51 0 +24 *2858:30 *4365:34 0 +25 *2858:30 *4698:8 0 +26 *1224:20 *5961:DIODE 0 +27 *1224:20 *37999:A 0 +28 *1407:44 *2858:15 0 +29 *2101:27 *2858:15 0 +30 *2229:18 *2858:15 0 +31 *2236:25 *2858:15 0 +32 *2248:18 *2858:8 0 +33 *2249:16 *2858:8 0 +34 *2250:25 *2858:15 0 +35 *2267:62 *2858:15 0 +36 *2272:46 *2858:15 0 +37 *2288:19 *2858:15 0 +38 *2321:36 *2858:15 0 +39 *2324:37 *2858:15 0 +*RES +1 *40011:X *2858:8 26.8975 +2 *2858:8 *2858:15 46.5241 +3 *2858:15 *2858:30 32.5111 +4 *2858:30 *37999:A 9.83571 +5 *2858:30 *5961:DIODE 11.4786 +*END + +*D_NET *2859 0.0209024 +*CONN +*I *7899:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40011:A I *D sky130_fd_sc_hd__buf_4 +*I *40012:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7899:DIODE 0 +2 *40011:A 0.000144601 +3 *40012:X 0.00144246 +4 *2859:24 0.00900876 +5 *2859:18 0.0103066 +6 *2859:18 *3384:18 0 +7 *2859:18 *3861:50 0 +8 *2859:24 *3180:14 0 +9 *2859:24 *3385:17 0 +10 *2859:24 *3386:48 0 +11 *2859:24 *3615:19 0 +12 *2859:24 *3630:37 0 +13 *2859:24 *4373:16 0 +14 *2859:24 *5115:20 0 +15 *40843:A *40011:A 0 +16 *41381:A *2859:18 0 +17 *1289:20 *2859:24 0 +18 *1523:21 *2859:18 0 +19 *1890:19 *2859:18 0 +20 *2044:18 *2859:24 0 +21 *2161:63 *2859:18 0 +22 *2189:18 *2859:24 0 +23 *2215:20 *40011:A 0 +24 *2236:25 *2859:24 0 +25 *2245:18 *2859:24 0 +26 *2747:24 *2859:18 0 +*RES +1 *40012:X *2859:18 30.0639 +2 *2859:18 *2859:24 45.0984 +3 *2859:24 *40011:A 12.3179 +4 *2859:24 *7899:DIODE 9.3 +*END + +*D_NET *2860 0.00240142 +*CONN +*I *40561:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38465:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40561:A 8.4707e-05 +2 *38465:X 0.001116 +3 *2860:20 0.00120071 +4 *2860:20 *40497:A 0 +5 *2860:20 *40498:A 0 +6 *2860:20 *3126:29 0 +7 *2860:20 *3410:14 0 +8 *2860:20 *5105:22 0 +9 *6600:DIODE *40561:A 0 +10 *38607:A *2860:20 0 +11 *402:35 *2860:20 0 +12 *404:34 *40561:A 0 +13 *404:34 *2860:20 0 +14 *532:10 *2860:20 0 +15 *785:43 *40561:A 0 +16 *2712:143 *2860:20 0 +*RES +1 *38465:X *2860:20 46.5143 +2 *2860:20 *40561:A 15.5679 +*END + +*D_NET *2861 0.0190342 +*CONN +*I *37997:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *5957:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40013:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37997:A 0.00013207 +2 *5957:DIODE 0 +3 *40013:X 0.0030431 +4 *2861:25 0.006474 +5 *2861:17 0.00938503 +6 *37997:A *3789:65 0 +7 *2861:17 *2936:37 0 +8 *2861:25 *2932:12 0 +9 *2861:25 *2936:37 0 +10 *2861:25 *2945:25 0 +11 *2861:25 *2961:36 0 +12 *2861:25 *2961:53 0 +13 *2861:25 *3334:19 0 +14 *2861:25 *3808:40 0 +15 *8408:DIODE *2861:17 0 +16 *326:14 *2861:17 0 +17 *336:52 *2861:25 0 +18 *1029:84 *2861:25 0 +19 *2225:27 *2861:17 0 +20 *2242:17 *2861:17 0 +21 *2248:18 *2861:17 0 +22 *2248:29 *2861:17 0 +23 *2248:29 *2861:25 0 +24 *2254:38 *2861:17 0 +25 *2259:75 *37997:A 0 +26 *2266:72 *37997:A 0 +27 *2266:72 *2861:25 0 +28 *2270:55 *2861:25 0 +29 *2315:34 *37997:A 0 +30 *2315:34 *2861:25 0 +31 *2703:10 *2861:17 0 +32 *2740:38 *2861:17 0 +33 *2852:33 *2861:17 0 +34 *2857:57 *2861:17 0 +*RES +1 *40013:X *2861:17 36.6822 +2 *2861:17 *2861:25 31.9172 +3 *2861:25 *5957:DIODE 9.3 +4 *2861:25 *37997:A 21.3893 +*END + +*D_NET *2862 0.0194761 +*CONN +*I *7901:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40013:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40014:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7901:DIODE 3.61606e-05 +2 *40013:A 7.36435e-05 +3 *40014:X 0.00265917 +4 *2862:33 0.00707887 +5 *2862:15 0.00962823 +6 *2862:15 *2885:19 0 +7 *2862:15 *3562:49 0 +8 *2862:15 *3562:61 0 +9 *2862:15 *3580:43 0 +10 *2862:15 *3598:19 0 +11 *2862:15 *3834:21 0 +12 *2862:33 *2876:20 0 +13 *2862:33 *2885:19 0 +14 *2862:33 *2885:51 0 +15 *2862:33 *3553:27 0 +16 *2862:33 *3553:35 0 +17 *2862:33 *3580:28 0 +18 *2862:33 *3611:24 0 +19 *2862:33 *3612:56 0 +20 *2862:33 *3834:21 0 +21 *2862:33 *4342:28 0 +22 *2862:33 *4368:22 0 +23 *2862:33 *4368:42 0 +24 *7826:DIODE *2862:15 0 +25 *1385:22 *2862:15 0 +26 *1923:8 *2862:15 0 +27 *1927:23 *2862:33 0 +28 *1940:18 *2862:15 0 +29 *1949:62 *2862:33 0 +30 *2174:92 *2862:15 0 +31 *2184:34 *2862:15 0 +32 *2235:25 *2862:33 0 +33 *2747:63 *2862:33 0 +34 *2852:33 *40013:A 0 +35 *2857:57 *40013:A 0 +*RES +1 *40014:X *2862:15 48.6033 +2 *2862:15 *2862:33 33.8456 +3 *2862:33 *40013:A 15.3268 +4 *2862:33 *7901:DIODE 14.6839 +*END + +*D_NET *2863 0.0191388 +*CONN +*I *37995:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *5953:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40015:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37995:A 0.000104386 +2 *5953:DIODE 0 +3 *40015:X 0.00054169 +4 *2863:46 0.00505212 +5 *2863:26 0.00790114 +6 *2863:16 0.0039756 +7 *2863:10 0.00156388 +8 *37995:A *39834:A 0 +9 *2863:10 *37823:A 0 +10 *2863:10 *5136:53 0 +11 *2863:16 *4683:5 0 +12 *2863:26 *3799:8 0 +13 *2863:26 *3799:12 0 +14 *2863:26 *4683:5 0 +15 *2863:26 *4962:5 0 +16 *2863:26 *5110:15 0 +17 *2863:46 *5121:22 0 +18 *39902:A *2863:16 0 +19 *337:29 *2863:46 0 +20 *352:39 *2863:10 0 +21 *1006:10 *2863:46 0 +22 *1009:102 *2863:46 0 +23 *1269:12 *2863:10 0 +24 *1306:8 *2863:10 0 +25 *1308:14 *2863:26 0 +26 *1817:11 *2863:10 0 +27 *2253:31 *2863:26 0 +28 *2260:27 *2863:46 0 +29 *2305:39 *2863:46 0 +30 *2318:33 *2863:46 0 +31 *2535:22 *2863:46 0 +32 *2542:36 *2863:26 0 +33 *2548:16 *2863:26 0 +34 *2570:13 *2863:10 0 +35 *2637:14 *2863:26 0 +36 *2724:11 *2863:46 0 +37 *2737:14 *2863:16 0 +38 *2744:22 *2863:10 0 +39 *2848:13 *2863:26 0 +40 *2848:13 *2863:46 0 +*RES +1 *40015:X *2863:10 30.4964 +2 *2863:10 *2863:16 30.3929 +3 *2863:16 *2863:26 48.8683 +4 *2863:26 *2863:46 40.0377 +5 *2863:46 *5953:DIODE 9.3 +6 *2863:46 *37995:A 11.4786 +*END + +*D_NET *2864 0.0205545 +*CONN +*I *40015:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7903:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40016:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40015:A 0.000161286 +2 *7903:DIODE 0 +3 *40016:X 0.00110975 +4 *2864:65 0.00449674 +5 *2864:64 0.00469361 +6 *2864:53 0.00222813 +7 *2864:44 0.0043126 +8 *2864:28 0.00355238 +9 *40015:A *5136:53 0 +10 *2864:28 *5657:DIODE 0 +11 *2864:28 *3200:16 0 +12 *2864:28 *3619:26 0 +13 *2864:28 *3830:17 0 +14 *2864:28 *3836:22 0 +15 *2864:28 *3840:28 0 +16 *2864:28 *3853:22 0 +17 *2864:44 *2876:20 0 +18 *2864:44 *2881:17 0 +19 *2864:44 *2885:19 0 +20 *2864:44 *3602:42 0 +21 *2864:53 *2872:17 0 +22 *2864:64 *39788:A 0 +23 *2864:64 *2872:17 0 +24 *2864:64 *2872:23 0 +25 *2864:64 *3552:22 0 +26 *2864:64 *5136:65 0 +27 *2864:65 *2872:23 0 +28 *2864:65 *2872:25 0 +29 *2864:65 *5136:53 0 +30 *40035:A *2864:28 0 +31 *328:34 *2864:44 0 +32 *336:72 *2864:44 0 +33 *1195:49 *2864:28 0 +34 *1302:25 *2864:53 0 +35 *1390:29 *2864:53 0 +36 *1679:5 *2864:53 0 +37 *1905:56 *2864:53 0 +38 *1909:47 *2864:44 0 +39 *1911:16 *2864:53 0 +40 *1918:20 *2864:53 0 +41 *1921:31 *2864:28 0 +42 *1930:33 *2864:53 0 +43 *1930:33 *2864:64 0 +44 *1934:45 *2864:64 0 +45 *1934:45 *2864:65 0 +46 *1944:35 *2864:53 0 +47 *1944:35 *2864:64 0 +48 *1952:49 *2864:28 0 +49 *2564:21 *40015:A 0 +50 *2564:21 *2864:65 0 +51 *2610:14 *2864:53 0 +52 *2735:14 *2864:28 0 +53 *2739:14 *2864:44 0 +54 *2740:27 *2864:65 0 +55 *2740:32 *2864:65 0 +56 *2854:14 *2864:44 0 +*RES +1 *40016:X *2864:28 48.1214 +2 *2864:28 *2864:44 46.6996 +3 *2864:44 *2864:53 43.8036 +4 *2864:53 *2864:64 16.7857 +5 *2864:64 *2864:65 90.4821 +6 *2864:65 *7903:DIODE 9.3 +7 *2864:65 *40015:A 12.7286 +*END + +*D_NET *2865 0.0196795 +*CONN +*I *37993:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *5949:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40017:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *37993:A 0 +2 *5949:DIODE 0.000202205 +3 *40017:X 0.000930254 +4 *2865:47 0.000443706 +5 *2865:43 0.00383524 +6 *2865:41 0.00361427 +7 *2865:39 0.00285276 +8 *2865:38 0.00283223 +9 *2865:36 0.00201929 +10 *2865:14 0.00294955 +11 *5949:DIODE *2915:17 0 +12 *5949:DIODE *2936:17 0 +13 *2865:14 *3578:35 0 +14 *2865:14 *3578:37 0 +15 *2865:36 *37977:A 0 +16 *2865:36 *2950:54 0 +17 *2865:36 *4685:13 0 +18 *2865:39 *5674:DIODE 0 +19 *2865:39 *37847:A 0 +20 *2865:39 *39724:A 0 +21 *2865:39 *2941:47 0 +22 *2865:39 *3710:9 0 +23 *2865:39 *3791:36 0 +24 *2865:39 *5125:50 0 +25 *2865:39 *5125:51 0 +26 *2865:43 *3674:33 0 +27 *2865:43 *4367:35 0 +28 *2865:43 *4367:46 0 +29 *2865:47 *3710:23 0 +30 *7892:DIODE *2865:36 0 +31 *8346:DIODE *2865:14 0 +32 *8928:DIODE *2865:14 0 +33 *1267:28 *2865:43 0 +34 *2217:30 *2865:36 0 +35 *2220:30 *2865:36 0 +36 *2225:49 *2865:43 0 +37 *2226:33 *2865:36 0 +38 *2226:33 *2865:39 0 +39 *2234:24 *2865:14 0 +40 *2251:33 *2865:36 0 +41 *2251:39 *2865:39 0 +42 *2256:13 *2865:14 0 +43 *2267:26 *2865:39 0 +44 *2270:35 *2865:39 0 +45 *2276:41 *5949:DIODE 0 +46 *2281:15 *2865:36 0 +47 *2292:50 *2865:39 0 +48 *2292:57 *2865:39 0 +49 *2292:57 *2865:43 0 +50 *2309:30 *2865:43 0 +51 *2309:36 *2865:43 0 +52 *2309:36 *2865:47 0 +53 *2309:38 *2865:47 0 +54 *2322:53 *2865:36 0 +55 *2322:53 *2865:39 0 +56 *2329:64 *2865:39 0 +57 *2740:45 *2865:36 0 +58 *2845:19 *2865:39 0 +59 *2846:8 *2865:14 0 +*RES +1 *40017:X *2865:14 47.55 +2 *2865:14 *2865:36 48.1607 +3 *2865:36 *2865:38 4.5 +4 *2865:38 *2865:39 59.0625 +5 *2865:39 *2865:41 0.428571 +6 *2865:41 *2865:43 75.0804 +7 *2865:43 *2865:47 5.07143 +8 *2865:47 *5949:DIODE 22.7643 +9 *2865:47 *37993:A 9.3 +*END + +*D_NET *2866 0.0204897 +*CONN +*I *40017:A I *D sky130_fd_sc_hd__buf_2 +*I *7905:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40018:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40017:A 0.000320432 +2 *7905:DIODE 0 +3 *40018:X 0.00126927 +4 *2866:39 0.000993256 +5 *2866:33 0.00418933 +6 *2866:31 0.00414648 +7 *2866:27 0.00297962 +8 *2866:23 0.00383586 +9 *2866:14 0.00275549 +10 *40017:A *3624:45 0 +11 *40017:A *3624:51 0 +12 *40017:A *4373:16 0 +13 *2866:14 *3856:37 0 +14 *2866:23 *3646:38 0 +15 *2866:27 *3578:80 0 +16 *2866:27 *3591:12 0 +17 *2866:27 *4458:55 0 +18 *2866:33 *4366:11 0 +19 *2866:39 *3624:51 0 +20 *37816:A *2866:39 0 +21 *40018:A *2866:14 0 +22 *40698:A *2866:39 0 +23 *40914:A *2866:27 0 +24 *41361:A *40017:A 0 +25 *1406:19 *40017:A 0 +26 *1909:15 *2866:23 0 +27 *1909:15 *2866:27 0 +28 *1913:12 *2866:27 0 +29 *1916:16 *2866:27 0 +30 *1919:23 *2866:14 0 +31 *1921:22 *2866:23 0 +32 *1928:30 *2866:27 0 +33 *1933:15 *2866:23 0 +34 *1936:14 *2866:39 0 +35 *1951:18 *2866:14 0 +36 *1955:16 *2866:23 0 +37 *2046:25 *2866:23 0 +38 *2163:28 *2866:14 0 +39 *2163:46 *2866:14 0 +40 *2205:10 *2866:23 0 +41 *2205:10 *2866:27 0 +42 *2205:10 *2866:31 0 +43 *2205:10 *2866:33 0 +44 *2206:32 *2866:14 0 +45 *2206:32 *2866:23 0 +46 *2217:19 *2866:39 0 +47 *2747:42 *2866:23 0 +48 *2847:5 *2866:27 0 +*RES +1 *40018:X *2866:14 44.9607 +2 *2866:14 *2866:23 40.6964 +3 *2866:23 *2866:27 49.1161 +4 *2866:27 *2866:31 13.1786 +5 *2866:31 *2866:33 73.4375 +6 *2866:33 *2866:39 24.2321 +7 *2866:39 *7905:DIODE 9.3 +8 *2866:39 *40017:A 16.3 +*END + +*D_NET *2867 0.0188833 +*CONN +*I *37991:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *5945:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40019:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *37991:A 0.000183848 +2 *5945:DIODE 0 +3 *40019:X 0.000894818 +4 *2867:24 0.000229467 +5 *2867:19 0.00735266 +6 *2867:18 0.00831737 +7 *2867:11 0.00190514 +8 *37991:A *5133:15 0 +9 *2867:11 *5135:52 0 +10 *2867:18 *2881:49 0 +11 *2867:19 *3651:23 0 +12 *39482:B *2867:11 0 +13 *39708:A *2867:18 0 +14 *314:19 *2867:11 0 +15 *346:36 *2867:11 0 +16 *347:20 *2867:19 0 +17 *348:73 *2867:18 0 +18 *351:14 *37991:A 0 +19 *1953:51 *2867:11 0 +20 *2524:11 *2867:11 0 +21 *2525:23 *2867:19 0 +22 *2545:27 *2867:11 0 +23 *2555:26 *2867:19 0 +24 *2567:29 *2867:18 0 +25 *2570:49 *2867:11 0 +26 *2688:15 *2867:18 0 +27 *2688:20 *2867:18 0 +28 *2751:39 *2867:11 0 +29 *2751:39 *2867:18 0 +*RES +1 *40019:X *2867:11 38.3536 +2 *2867:11 *2867:18 30.2679 +3 *2867:18 *2867:19 152.5 +4 *2867:19 *2867:24 10.0357 +5 *2867:24 *5945:DIODE 9.3 +6 *2867:24 *37991:A 22.3625 +*END + +*D_NET *2868 0.0191772 +*CONN +*I *40019:A I *D sky130_fd_sc_hd__buf_2 +*I *7907:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40020:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40019:A 0.000150376 +2 *7907:DIODE 0 +3 *40020:X 0.000663141 +4 *2868:33 0.00326421 +5 *2868:32 0.00354715 +6 *2868:24 0.00243394 +7 *2868:20 0.00240778 +8 *2868:9 0.0032273 +9 *2868:8 0.00348328 +10 *40019:A *2880:39 0 +11 *2868:9 *2880:34 0 +12 *2868:9 *5046:9 0 +13 *2868:20 *2874:19 0 +14 *2868:20 *4938:8 0 +15 *2868:24 *2880:37 0 +16 *2868:33 *2880:39 0 +17 *2868:33 *5132:22 0 +18 *337:36 *2868:24 0 +19 *338:52 *2868:32 0 +20 *1377:17 *2868:9 0 +21 *1388:17 *2868:9 0 +22 *1388:25 *2868:9 0 +23 *1388:25 *2868:20 0 +24 *1908:31 *2868:8 0 +25 *1926:40 *2868:24 0 +26 *1950:26 *2868:32 0 +27 *1953:27 *2868:32 0 +28 *2158:32 *2868:9 0 +29 *2170:10 *2868:33 0 +30 *2564:41 *2868:33 0 +31 *2564:49 *2868:24 0 +32 *2590:14 *2868:33 0 +33 *2602:39 *40019:A 0 +34 *2602:39 *2868:33 0 +35 *2617:27 *2868:24 0 +36 *2707:18 *2868:20 0 +37 *2754:9 *2868:8 0 +38 *2779:26 *2868:8 0 +*RES +1 *40020:X *2868:8 33.3 +2 *2868:8 *2868:9 58.8571 +3 *2868:9 *2868:20 26.8393 +4 *2868:20 *2868:24 46.4107 +5 *2868:24 *2868:32 22.75 +6 *2868:32 *2868:33 65.0179 +7 *2868:33 *7907:DIODE 9.3 +8 *2868:33 *40019:A 12.7286 +*END + +*D_NET *2869 0.0189719 +*CONN +*I *40020:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7908:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40021:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40020:A 0.00014075 +2 *7908:DIODE 0 +3 *40021:X 0.00339741 +4 *2869:55 0.00142744 +5 *2869:33 0.00421892 +6 *2869:31 0.00466111 +7 *2869:14 0.00512629 +8 *40020:A *2884:11 0 +9 *2869:14 *2911:44 0 +10 *2869:14 *2951:21 0 +11 *2869:14 *3267:40 0 +12 *2869:14 *3547:18 0 +13 *2869:14 *3554:63 0 +14 *2869:14 *3618:20 0 +15 *2869:14 *3848:40 0 +16 *2869:14 *3852:71 0 +17 *2869:14 *3855:48 0 +18 *2869:31 *3561:61 0 +19 *2869:31 *3597:21 0 +20 *2869:31 *3597:27 0 +21 *2869:31 *4620:14 0 +22 *2869:33 *3597:21 0 +23 *2869:55 *2884:11 0 +24 *2869:55 *2888:18 0 +25 *2869:55 *4944:17 0 +26 *294:49 *2869:14 0 +27 *300:10 *2869:31 0 +28 *330:17 *2869:14 0 +29 *335:20 *2869:55 0 +30 *1279:36 *2869:55 0 +31 *1292:14 *2869:14 0 +32 *1300:38 *2869:55 0 +33 *1371:10 *2869:14 0 +34 *1401:21 *2869:55 0 +35 *1401:23 *2869:55 0 +36 *1489:15 *2869:14 0 +37 *1498:41 *2869:55 0 +38 *1501:47 *2869:55 0 +39 *1520:16 *2869:14 0 +40 *1529:28 *2869:33 0 +41 *1529:28 *2869:55 0 +42 *1662:23 *2869:14 0 +43 *1920:61 *2869:55 0 +44 *1926:56 *2869:55 0 +45 *2453:7 *2869:55 0 +46 *2487:27 *2869:33 0 +47 *2502:25 *2869:31 0 +48 *2506:31 *2869:31 0 +49 *2763:31 *2869:55 0 +50 *2763:33 *40020:A 0 +51 *2765:24 *2869:33 0 +52 *2770:17 *2869:31 0 +53 *2770:38 *2869:33 0 +54 *2774:11 *2869:31 0 +*RES +1 *40021:X *2869:14 45.8252 +2 *2869:14 *2869:31 46.9557 +3 *2869:31 *2869:33 61.3214 +4 *2869:33 *2869:55 45.25 +5 *2869:55 *7908:DIODE 9.3 +6 *2869:55 *40020:A 12.3 +*END + +*D_NET *2870 0.0188777 +*CONN +*I *37989:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *5941:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40022:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *37989:A 0.000181752 +2 *5941:DIODE 0 +3 *40022:X 0 +4 *2870:44 0.00226586 +5 *2870:39 0.00246033 +6 *2870:31 0.00271512 +7 *2870:23 0.00360274 +8 *2870:5 0.00445789 +9 *2870:4 0.00319405 +10 *37989:A *2931:33 0 +11 *37989:A *4709:10 0 +12 *37989:A *5036:11 0 +13 *2870:5 *39744:A 0 +14 *2870:5 *5136:51 0 +15 *2870:5 *5140:38 0 +16 *2870:23 *39724:A 0 +17 *2870:23 *5125:51 0 +18 *2870:31 *3358:48 0 +19 *2870:31 *5125:51 0 +20 *2870:44 *2940:33 0 +21 *2870:44 *4709:10 0 +22 *40869:A *2870:23 0 +23 *336:23 *2870:39 0 +24 *1018:54 *2870:31 0 +25 *1267:28 *37989:A 0 +26 *1267:28 *2870:44 0 +27 *1690:22 *2870:23 0 +28 *2220:40 *2870:23 0 +29 *2225:28 *2870:23 0 +30 *2258:31 *2870:31 0 +31 *2270:35 *2870:23 0 +32 *2270:35 *2870:31 0 +33 *2292:50 *2870:31 0 +34 *2305:42 *2870:44 0 +35 *2305:44 *2870:44 0 +36 *2315:21 *2870:31 0 +37 *2317:41 *2870:39 0 +38 *2317:41 *2870:44 0 +39 *2317:42 *2870:44 0 +40 *2324:52 *2870:31 0 +41 *2329:64 *2870:23 0 +42 *2525:16 *2870:39 0 +43 *2564:18 *2870:5 0 +44 *2580:22 *2870:39 0 +45 *2580:23 *2870:39 0 +46 *2725:65 *2870:23 0 +47 *2740:43 *2870:5 0 +48 *2740:45 *2870:5 0 +*RES +1 *40022:X *2870:4 9.3 +2 *2870:4 *2870:5 66.6607 +3 *2870:5 *2870:23 44.8929 +4 *2870:23 *2870:31 46.9494 +5 *2870:31 *2870:39 16.2582 +6 *2870:39 *2870:44 48.1607 +7 *2870:44 *5941:DIODE 13.8 +8 *2870:44 *37989:A 17.9071 +*END + +*D_NET *2871 0.0300717 +*CONN +*I *40559:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8289:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38466:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40559:A 2.26741e-05 +2 *8289:DIODE 8.46584e-05 +3 *38466:X 0.00486902 +4 *2871:69 0.00114489 +5 *2871:54 0.00316536 +6 *2871:39 0.00902193 +7 *2871:21 0.0117631 +8 *8289:DIODE *3416:73 0 +9 *40559:A *3402:102 0 +10 *2871:21 *3011:58 0 +11 *2871:21 *3043:52 0 +12 *2871:21 *3087:98 0 +13 *2871:21 *3776:17 0 +14 *2871:39 *3029:68 0 +15 *2871:39 *3290:21 0 +16 *2871:39 *3290:30 0 +17 *2871:39 *3490:17 0 +18 *2871:39 *4074:47 0 +19 *2871:39 *4229:51 0 +20 *2871:54 *3045:47 0 +21 *2871:54 *3299:75 0 +22 *2871:54 *3308:118 0 +23 *2871:54 *3469:49 0 +24 *2871:54 *3978:67 0 +25 *2871:54 *4036:11 0 +26 *2871:54 *4077:19 0 +27 *2871:69 *3498:25 0 +28 *2871:69 *3904:80 0 +29 *2871:69 *4216:45 0 +30 *7879:DIODE *2871:69 0 +31 *1009:127 *2871:54 0 +32 *1009:155 *2871:69 0 +33 *1018:54 *2871:21 0 +34 *1018:60 *2871:21 0 +35 *1018:60 *2871:39 0 +36 *1018:62 *2871:39 0 +37 *1450:45 *40559:A 0 +38 *1450:45 *2871:69 0 +39 *1571:37 *2871:69 0 +40 *2398:34 *2871:54 0 +41 *2402:8 *2871:39 0 +42 *2442:79 *2871:21 0 +43 *2469:21 *2871:54 0 +44 *2820:38 *2871:54 0 +45 *2820:38 *2871:69 0 +46 *2826:33 *2871:39 0 +47 *2826:43 *2871:54 0 +48 *2829:28 *2871:21 0 +49 *2829:28 *2871:39 0 +50 *2829:40 *2871:54 0 +*RES +1 *38466:X *2871:21 43.6849 +2 *2871:21 *2871:39 36.3837 +3 *2871:39 *2871:54 43.8461 +4 *2871:54 *2871:69 36.9911 +5 *2871:69 *8289:DIODE 20.175 +6 *2871:69 *40559:A 9.83571 +*END + +*D_NET *2872 0.0191229 +*CONN +*I *40022:A I *D sky130_fd_sc_hd__buf_2 +*I *7909:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40023:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40022:A 0.000337059 +2 *7909:DIODE 0 +3 *40023:X 0.00123754 +4 *2872:25 0.00445455 +5 *2872:23 0.00495172 +6 *2872:17 0.00386935 +7 *2872:16 0.00427266 +8 *40022:A *5897:DIODE 0 +9 *40022:A *5136:53 0 +10 *2872:16 *2885:19 0 +11 *2872:16 *2889:24 0 +12 *2872:16 *3555:52 0 +13 *2872:16 *3562:61 0 +14 *2872:16 *3834:21 0 +15 *2872:17 *3552:22 0 +16 *2872:25 *5136:53 0 +17 *39478:A *2872:23 0 +18 *345:36 *2872:23 0 +19 *1289:28 *40022:A 0 +20 *1390:29 *2872:17 0 +21 *1497:42 *2872:16 0 +22 *1902:19 *2872:23 0 +23 *1905:56 *2872:17 0 +24 *1911:16 *2872:17 0 +25 *2160:63 *2872:16 0 +26 *2854:14 *2872:16 0 +27 *2864:53 *2872:17 0 +28 *2864:64 *2872:17 0 +29 *2864:64 *2872:23 0 +30 *2864:65 *2872:23 0 +31 *2864:65 *2872:25 0 +*RES +1 *40023:X *2872:16 47.2837 +2 *2872:16 *2872:17 63.375 +3 *2872:17 *2872:23 17.4107 +4 *2872:23 *2872:25 85.9643 +5 *2872:25 *7909:DIODE 9.3 +6 *2872:25 *40022:A 26.0054 +*END + +*D_NET *2873 0.018791 +*CONN +*I *37987:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *5937:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40024:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *37987:A 0.00018633 +2 *5937:DIODE 0 +3 *40024:X 0.00126589 +4 *2873:41 0.00265224 +5 *2873:39 0.00477824 +6 *2873:36 0.0034055 +7 *2873:28 0.00316504 +8 *2873:24 0.00333775 +9 *37987:A *5133:15 0 +10 *2873:24 *2877:33 0 +11 *2873:24 *2883:41 0 +12 *2873:24 *5056:29 0 +13 *2873:24 *5118:22 0 +14 *2873:28 *2881:43 0 +15 *2873:39 *39706:A 0 +16 *2873:39 *5133:27 0 +17 *2873:41 *5133:15 0 +18 *6286:DIODE *2873:24 0 +19 *6313:DIODE *2873:39 0 +20 *39708:A *2873:39 0 +21 *328:19 *37987:A 0 +22 *348:72 *2873:39 0 +23 *1005:88 *2873:36 0 +24 *1014:10 *2873:36 0 +25 *1031:47 *2873:36 0 +26 *1385:53 *2873:24 0 +27 *2522:23 *2873:39 0 +28 *2552:38 *2873:24 0 +29 *2567:29 *2873:39 0 +30 *2590:30 *2873:24 0 +31 *2610:14 *2873:24 0 +32 *2659:10 *2873:28 0 +33 *2688:9 *2873:39 0 +34 *2688:9 *2873:41 0 +35 *2688:15 *2873:39 0 +36 *2748:40 *2873:24 0 +37 *2854:21 *2873:24 0 +*RES +1 *40024:X *2873:24 46.7142 +2 *2873:24 *2873:28 47.8125 +3 *2873:28 *2873:36 15.7669 +4 *2873:36 *2873:39 48.1964 +5 *2873:39 *2873:41 51.4643 +6 *2873:41 *5937:DIODE 9.3 +7 *2873:41 *37987:A 22.3357 +*END + +*D_NET *2874 0.0185388 +*CONN +*I *40024:A I *D sky130_fd_sc_hd__buf_2 +*I *7911:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40025:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40024:A 0.000163425 +2 *7911:DIODE 0 +3 *40025:X 0.000486664 +4 *2874:19 0.00607323 +5 *2874:18 0.0069791 +6 *2874:11 0.00270952 +7 *2874:8 0.0021269 +8 *2874:8 *4620:48 0 +9 *2874:8 *4933:20 0 +10 *2874:11 *2903:9 0 +11 *2874:11 *2903:17 0 +12 *2874:18 *2888:18 0 +13 *2874:18 *2903:21 0 +14 *39922:A *2874:11 0 +15 *387:20 *2874:8 0 +16 *1388:17 *2874:19 0 +17 *1388:25 *2874:19 0 +18 *1501:46 *2874:8 0 +19 *1904:22 *2874:18 0 +20 *1904:35 *2874:8 0 +21 *2489:20 *2874:11 0 +22 *2699:44 *2874:19 0 +23 *2757:14 *2874:18 0 +24 *2759:7 *2874:11 0 +25 *2759:7 *2874:18 0 +26 *2854:21 *40024:A 0 +27 *2854:21 *2874:19 0 +28 *2868:20 *2874:19 0 +*RES +1 *40025:X *2874:8 29.3536 +2 *2874:8 *2874:11 34.2321 +3 *2874:11 *2874:18 31.8571 +4 *2874:18 *2874:19 123.339 +5 *2874:19 *7911:DIODE 9.3 +6 *2874:19 *40024:A 12.8982 +*END + +*D_NET *2875 0.0185306 +*CONN +*I *37985:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *5933:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40026:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37985:A 0.000121071 +2 *5933:DIODE 0 +3 *40026:X 0.000757359 +4 *2875:41 0.00132601 +5 *2875:39 0.00174046 +6 *2875:25 0.00530392 +7 *2875:24 0.00664641 +8 *2875:14 0.00263537 +9 *37985:A *3899:23 0 +10 *2875:14 *3575:68 0 +11 *2875:14 *3588:45 0 +12 *2875:14 *3899:32 0 +13 *2875:39 *3899:23 0 +14 *2875:39 *4976:12 0 +15 *2875:41 *3899:23 0 +16 *348:73 *2875:24 0 +17 *1027:39 *2875:24 0 +18 *1309:32 *2875:39 0 +19 *1932:30 *2875:24 0 +20 *1932:30 *2875:25 0 +21 *1932:44 *2875:25 0 +22 *2170:9 *2875:14 0 +23 *2254:38 *2875:39 0 +24 *2695:21 *2875:25 0 +25 *2729:17 *2875:39 0 +26 *2846:15 *2875:39 0 +27 *2846:17 *37985:A 0 +28 *2846:17 *2875:39 0 +29 *2846:17 *2875:41 0 +30 *2856:20 *2875:39 0 +*RES +1 *40026:X *2875:14 35.3357 +2 *2875:14 *2875:24 48.6071 +3 *2875:24 *2875:25 99.5179 +4 *2875:25 *2875:39 29.375 +5 *2875:39 *2875:41 25.1786 +6 *2875:41 *5933:DIODE 9.3 +7 *2875:41 *37985:A 11.8893 +*END + +*D_NET *2876 0.0184708 +*CONN +*I *40026:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7913:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40027:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40026:A 0.000166873 +2 *7913:DIODE 0 +3 *40027:X 0.00052021 +4 *2876:20 0.00871517 +5 *2876:8 0.00906851 +6 *2876:20 *2885:19 0 +7 *2876:20 *2889:24 0 +8 *2876:20 *3555:63 0 +9 *2876:20 *3580:28 0 +10 *2876:20 *3603:37 0 +11 *2876:20 *3607:23 0 +12 *2876:20 *3834:21 0 +13 *2876:20 *3835:26 0 +14 *2876:20 *3835:44 0 +15 *2876:20 *3853:11 0 +16 *2876:20 *3866:32 0 +17 *2876:20 *3870:46 0 +18 *2876:20 *4342:28 0 +19 *2876:20 *4368:42 0 +20 *37583:A *2876:8 0 +21 *39473:A *2876:8 0 +22 *340:91 *2876:8 0 +23 *1281:15 *2876:20 0 +24 *1497:23 *2876:20 0 +25 *1681:14 *2876:20 0 +26 *1685:26 *40026:A 0 +27 *1796:20 *2876:8 0 +28 *1909:47 *2876:20 0 +29 *1915:29 *2876:20 0 +30 *1920:61 *2876:20 0 +31 *1925:50 *2876:20 0 +32 *1934:25 *2876:20 0 +33 *1949:34 *2876:20 0 +34 *1949:62 *2876:20 0 +35 *2160:63 *2876:20 0 +36 *2173:16 *2876:20 0 +37 *2174:74 *2876:20 0 +38 *2743:14 *2876:20 0 +39 *2753:8 *2876:8 0 +40 *2852:21 *40026:A 0 +41 *2857:20 *2876:20 0 +42 *2862:33 *2876:20 0 +43 *2864:44 *2876:20 0 +*RES +1 *40027:X *2876:8 29.0225 +2 *2876:8 *2876:20 42.8356 +3 *2876:20 *7913:DIODE 13.8 +4 *2876:20 *40026:A 17.425 +*END + +*D_NET *2877 0.0185592 +*CONN +*I *37983:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *5929:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40028:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37983:A 0.00019974 +2 *5929:DIODE 0 +3 *40028:X 0.00116502 +4 *2877:47 0.00274138 +5 *2877:45 0.00439171 +6 *2877:41 0.00380155 +7 *2877:38 0.00205049 +8 *2877:33 0.00157165 +9 *2877:23 0.00263765 +10 *37983:A *3324:51 0 +11 *2877:23 *3612:78 0 +12 *2877:33 *4945:14 0 +13 *2877:33 *4963:14 0 +14 *2877:33 *5057:16 0 +15 *2877:33 *5058:16 0 +16 *2877:41 *4963:42 0 +17 *2877:45 *4674:16 0 +18 *2877:47 *3324:51 0 +19 *37801:A *2877:41 0 +20 *39784:A *2877:41 0 +21 *40028:A *2877:23 0 +22 *342:40 *2877:41 0 +23 *1299:25 *2877:41 0 +24 *1299:25 *2877:45 0 +25 *1903:25 *2877:23 0 +26 *2344:51 *2877:45 0 +27 *2524:13 *2877:33 0 +28 *2541:11 *2877:33 0 +29 *2552:38 *2877:33 0 +30 *2555:36 *2877:41 0 +31 *2567:31 *2877:33 0 +32 *2593:17 *2877:41 0 +33 *2607:18 *37983:A 0 +34 *2607:19 *2877:47 0 +35 *2607:32 *2877:45 0 +36 *2607:32 *2877:47 0 +37 *2613:15 *2877:33 0 +38 *2637:55 *2877:33 0 +39 *2644:17 *2877:41 0 +40 *2644:17 *2877:45 0 +41 *2659:13 *2877:41 0 +42 *2688:29 *2877:33 0 +43 *2693:78 *2877:33 0 +44 *2748:40 *2877:33 0 +45 *2751:43 *2877:45 0 +46 *2755:46 *2877:33 0 +47 *2873:24 *2877:33 0 +*RES +1 *40028:X *2877:23 44.2651 +2 *2877:23 *2877:33 45.5446 +3 *2877:33 *2877:38 11.25 +4 *2877:38 *2877:41 40.6964 +5 *2877:41 *2877:45 38.6429 +6 *2877:45 *2877:47 53.1071 +7 *2877:47 *5929:DIODE 9.3 +8 *2877:47 *37983:A 22.6393 +*END + +*D_NET *2878 0.0183676 +*CONN +*I *37981:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *5927:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40029:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37981:A 0.000187877 +2 *5927:DIODE 0 +3 *40029:X 0.00134741 +4 *2878:41 0.000981748 +5 *2878:35 0.00388953 +6 *2878:34 0.00685462 +7 *2878:14 0.00510637 +8 *37981:A *38948:A 0 +9 *2878:14 *2883:20 0 +10 *2878:34 *2881:31 0 +11 *2878:34 *4955:10 0 +12 *37793:A *2878:14 0 +13 *301:12 *2878:14 0 +14 *315:10 *2878:41 0 +15 *339:8 *2878:34 0 +16 *339:23 *2878:34 0 +17 *346:30 *2878:35 0 +18 *1002:8 *2878:34 0 +19 *1011:174 *2878:35 0 +20 *1013:50 *2878:35 0 +21 *1016:156 *37981:A 0 +22 *1016:156 *2878:41 0 +23 *1023:78 *2878:35 0 +24 *1024:76 *2878:35 0 +25 *1024:87 *2878:35 0 +26 *1024:93 *2878:41 0 +27 *1026:50 *37981:A 0 +28 *1026:50 *2878:41 0 +29 *1295:31 *2878:14 0 +30 *1681:35 *2878:14 0 +31 *1930:47 *2878:14 0 +32 *2182:47 *2878:14 0 +33 *2193:46 *2878:14 0 +34 *2453:38 *2878:34 0 +35 *2557:9 *2878:34 0 +36 *2579:23 *2878:14 0 +37 *2613:31 *2878:34 0 +38 *2625:32 *2878:34 0 +39 *2644:39 *2878:34 0 +40 *2656:18 *2878:34 0 +41 *2681:5 *2878:35 0 +42 *2743:26 *2878:14 0 +43 *2753:36 *2878:34 0 +44 *2756:20 *2878:34 0 +45 *2766:20 *2878:14 0 +46 *2854:14 *2878:14 0 +*RES +1 *40029:X *2878:14 47.8261 +2 *2878:14 *2878:34 43.1959 +3 *2878:34 *2878:35 64.6071 +4 *2878:35 *2878:41 25.75 +5 *2878:41 *5927:DIODE 9.3 +6 *2878:41 *37981:A 22.5143 +*END + +*D_NET *2879 0.0185421 +*CONN +*I *37977:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *5919:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40030:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37977:A 0.000144601 +2 *5919:DIODE 0 +3 *40030:X 0.000943094 +4 *2879:20 0.00832797 +5 *2879:14 0.00912646 +6 *2879:14 *2885:19 0 +7 *2879:14 *3608:75 0 +8 *2879:20 *2885:51 0 +9 *2879:20 *3552:22 0 +10 *2879:20 *3552:51 0 +11 *2879:20 *3562:49 0 +12 *2879:20 *3566:51 0 +13 *2879:20 *3575:68 0 +14 *2879:20 *3797:24 0 +15 *2879:20 *4342:38 0 +16 *41311:A *2879:14 0 +17 *319:10 *2879:20 0 +18 *319:12 *2879:20 0 +19 *1198:42 *2879:14 0 +20 *1818:19 *2879:20 0 +21 *1934:25 *2879:14 0 +22 *2184:34 *2879:14 0 +23 *2199:14 *2879:20 0 +24 *2217:19 *2879:20 0 +25 *2220:18 *2879:20 0 +26 *2220:30 *37977:A 0 +27 *2220:30 *2879:20 0 +28 *2226:33 *2879:20 0 +29 *2254:19 *2879:20 0 +30 *2259:26 *2879:20 0 +31 *2322:53 *2879:20 0 +32 *2740:45 *37977:A 0 +33 *2747:63 *2879:14 0 +34 *2747:80 *2879:20 0 +35 *2865:36 *37977:A 0 +*RES +1 *40030:X *2879:14 37.9909 +2 *2879:14 *2879:20 37.7811 +3 *2879:20 *5919:DIODE 9.3 +4 *2879:20 *37977:A 12.3179 +*END + +*D_NET *2880 0.0200708 +*CONN +*I *37975:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *5915:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40031:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37975:A 0.00018396 +2 *5915:DIODE 0 +3 *40031:X 0.000624119 +4 *2880:44 0.00024291 +5 *2880:39 0.00549496 +6 *2880:37 0.00650404 +7 *2880:34 0.00265074 +8 *2880:22 0.00266432 +9 *2880:19 0.00170573 +10 *37975:A *5135:17 0 +11 *2880:19 *3574:20 0 +12 *2880:19 *3580:65 0 +13 *2880:19 *3630:37 0 +14 *2880:22 *2886:15 0 +15 *2880:34 *39875:A 0 +16 *2880:34 *2881:17 0 +17 *2880:34 *4942:16 0 +18 *2880:39 *39764:A 0 +19 *2880:39 *5132:22 0 +20 *2880:39 *5134:37 0 +21 *2880:39 *5135:52 0 +22 *37601:A *2880:39 0 +23 *39760:A *2880:39 0 +24 *40019:A *2880:39 0 +25 *300:7 *2880:22 0 +26 *337:36 *2880:37 0 +27 *337:36 *2880:39 0 +28 *1012:10 *2880:34 0 +29 *1022:10 *2880:34 0 +30 *1388:25 *2880:34 0 +31 *1926:33 *2880:34 0 +32 *1953:64 *37975:A 0 +33 *2158:32 *2880:34 0 +34 *2160:63 *2880:22 0 +35 *2171:10 *2880:19 0 +36 *2564:41 *2880:39 0 +37 *2564:49 *2880:37 0 +38 *2564:49 *2880:39 0 +39 *2570:27 *2880:39 0 +40 *2579:16 *2880:39 0 +41 *2595:40 *2880:39 0 +42 *2602:39 *2880:39 0 +43 *2617:27 *2880:39 0 +44 *2703:29 *2880:34 0 +45 *2765:43 *2880:22 0 +46 *2766:19 *2880:22 0 +47 *2868:9 *2880:34 0 +48 *2868:24 *2880:37 0 +49 *2868:33 *2880:39 0 +*RES +1 *40031:X *2880:19 31.3659 +2 *2880:19 *2880:22 29.0625 +3 *2880:22 *2880:34 44.3574 +4 *2880:34 *2880:37 22.3214 +5 *2880:37 *2880:39 113.482 +6 *2880:39 *2880:44 10.3393 +7 *2880:44 *5915:DIODE 9.3 +8 *2880:44 *37975:A 13.1393 +*END + +*D_NET *2881 0.0181573 +*CONN +*I *5908:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37971:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40032:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5908:DIODE 0 +2 *37971:A 0.00016428 +3 *40032:X 0.000251511 +4 *2881:49 0.00192643 +5 *2881:43 0.00468069 +6 *2881:42 0.00411389 +7 *2881:31 0.00206758 +8 *2881:17 0.00278684 +9 *2881:13 0.00216611 +10 *2881:13 *3602:42 0 +11 *2881:13 *3840:17 0 +12 *2881:13 *3847:15 0 +13 *2881:17 *2888:37 0 +14 *2881:17 *2889:42 0 +15 *2881:17 *4942:16 0 +16 *2881:17 *4942:26 0 +17 *2881:31 *2888:51 0 +18 *2881:31 *5056:29 0 +19 *2881:42 *2888:51 0 +20 *2881:42 *4955:10 0 +21 *2881:42 *5056:29 0 +22 *2881:43 *37961:A 0 +23 *2881:43 *2888:51 0 +24 *38211:A *2881:42 0 +25 *311:33 *2881:31 0 +26 *347:34 *2881:43 0 +27 *348:72 *37971:A 0 +28 *348:72 *2881:49 0 +29 *1494:37 *2881:31 0 +30 *2168:27 *2881:17 0 +31 *2174:74 *2881:17 0 +32 *2204:92 *2881:13 0 +33 *2545:27 *2881:43 0 +34 *2545:34 *2881:43 0 +35 *2552:38 *2881:42 0 +36 *2567:31 *2881:31 0 +37 *2593:11 *2881:43 0 +38 *2601:28 *2881:43 0 +39 *2601:29 *2881:43 0 +40 *2613:31 *2881:31 0 +41 *2623:16 *2881:17 0 +42 *2623:16 *2881:31 0 +43 *2659:10 *2881:43 0 +44 *2688:9 *2881:49 0 +45 *2688:15 *2881:49 0 +46 *2699:44 *2881:17 0 +47 *2735:32 *2881:17 0 +48 *2739:14 *2881:17 0 +49 *2864:44 *2881:17 0 +50 *2867:18 *2881:49 0 +51 *2873:28 *2881:43 0 +52 *2878:34 *2881:31 0 +53 *2880:34 *2881:17 0 +*RES +1 *40032:X *2881:13 28.175 +2 *2881:13 *2881:17 47.1786 +3 *2881:17 *2881:31 36.625 +4 *2881:31 *2881:42 34.125 +5 *2881:42 *2881:43 60.9107 +6 *2881:43 *2881:49 46.0714 +7 *2881:49 *37971:A 12.7286 +8 *2881:49 *5908:DIODE 9.3 +*END + +*D_NET *2882 0.0157891 +*CONN +*I *37698:B I *D sky130_fd_sc_hd__and2_1 +*I *5530:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38467:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *37698:B 0 +2 *5530:DIODE 0.000461779 +3 *38467:X 0.000863647 +4 *2882:46 0.00304451 +5 *2882:17 0.00524244 +6 *2882:16 0.00398642 +7 *2882:10 0.00219035 +8 *5530:DIODE *3375:23 0 +9 *5530:DIODE *4590:47 0 +10 *5530:DIODE *4780:86 0 +11 *2882:10 *40496:A 0 +12 *2882:10 *3145:58 0 +13 *2882:16 *3034:54 0 +14 *2882:17 *39043:A 0 +15 *2882:17 *2938:24 0 +16 *2882:17 *4524:31 0 +17 *2882:17 *5169:57 0 +18 *2882:17 *5171:118 0 +19 *2882:17 *5175:108 0 +20 *2882:17 *5179:133 0 +21 *2882:17 *5179:144 0 +22 *2882:46 *37698:A 0 +23 *2882:46 *3122:31 0 +24 *2882:46 *3205:68 0 +25 *2882:46 *3266:20 0 +26 *2882:46 *3571:48 0 +27 *2882:46 *4348:34 0 +28 *2882:46 *4471:20 0 +29 *2882:46 *4524:31 0 +30 *2882:46 *4550:63 0 +31 *2882:46 *5072:32 0 +32 *2882:46 *5168:49 0 +33 *2882:46 *5169:57 0 +34 *2882:46 *5171:107 0 +35 *6756:DIODE *2882:46 0 +36 *403:18 *2882:16 0 +37 *403:33 *2882:16 0 +38 *409:29 *2882:17 0 +39 *415:10 *2882:46 0 +40 *533:10 *2882:10 0 +41 *790:29 *2882:17 0 +42 *795:8 *2882:17 0 +43 *795:41 *2882:17 0 +44 *795:41 *2882:46 0 +45 *1726:43 *2882:46 0 +46 *1731:51 *2882:46 0 +47 *1829:47 *2882:46 0 +48 *1834:23 *2882:46 0 +49 *2382:87 *2882:17 0 +50 *2406:16 *2882:46 0 +51 *2408:26 *2882:17 0 +52 *2408:35 *2882:17 0 +53 *2408:37 *2882:17 0 +54 *2782:39 *2882:17 0 +55 *2849:23 *2882:46 0 +*RES +1 *38467:X *2882:10 37.2732 +2 *2882:10 *2882:16 37.0179 +3 *2882:16 *2882:17 55.5714 +4 *2882:17 *2882:46 36.6954 +5 *2882:46 *5530:DIODE 24.4607 +6 *2882:46 *37698:B 13.8 +*END + +*D_NET *2883 0.0195468 +*CONN +*I *37969:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *5905:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40033:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37969:A 0.000164776 +2 *5905:DIODE 1.21519e-05 +3 *40033:X 0.00113742 +4 *2883:41 0.00863597 +5 *2883:20 0.00959646 +6 *37969:A *5118:22 0 +7 *2883:20 *4938:8 0 +8 *2883:41 *4963:14 0 +9 *2883:41 *5056:11 0 +10 *2883:41 *5057:11 0 +11 *2883:41 *5118:22 0 +12 *2883:41 *5120:37 0 +13 *38185:A *2883:20 0 +14 *1005:88 *2883:41 0 +15 *1031:52 *37969:A 0 +16 *1031:52 *2883:41 0 +17 *2193:46 *2883:20 0 +18 *2567:29 *37969:A 0 +19 *2567:29 *2883:41 0 +20 *2584:11 *2883:41 0 +21 *2584:24 *2883:20 0 +22 *2628:14 *2883:41 0 +23 *2688:29 *2883:41 0 +24 *2702:9 *2883:20 0 +25 *2707:18 *2883:20 0 +26 *2735:32 *2883:20 0 +27 *2753:36 *2883:41 0 +28 *2766:20 *2883:20 0 +29 *2854:14 *2883:20 0 +30 *2873:24 *2883:41 0 +31 *2878:14 *2883:20 0 +*RES +1 *40033:X *2883:20 43.2904 +2 *2883:20 *2883:41 48.6924 +3 *2883:41 *5905:DIODE 17.4868 +4 *2883:41 *37969:A 18.5733 +*END + +*D_NET *2884 0.0185083 +*CONN +*I *40033:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7916:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40034:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40033:A 0.00014075 +2 *7916:DIODE 0 +3 *40034:X 0.000817662 +4 *2884:19 0.000536064 +5 *2884:11 0.00829574 +6 *2884:10 0.00871808 +7 *2884:10 *3586:29 0 +8 *2884:10 *4361:30 0 +9 *2884:10 *5063:10 0 +10 *2884:11 *4944:17 0 +11 *40020:A *2884:11 0 +12 *294:35 *2884:10 0 +13 *301:12 *2884:19 0 +14 *376:21 *2884:10 0 +15 *1029:70 *2884:19 0 +16 *1279:36 *2884:11 0 +17 *1295:31 *2884:19 0 +18 *1389:36 *2884:11 0 +19 *1529:29 *2884:11 0 +20 *1677:11 *2884:10 0 +21 *1904:22 *40033:A 0 +22 *1908:24 *40033:A 0 +23 *1908:24 *2884:19 0 +24 *1915:45 *2884:11 0 +25 *2502:25 *2884:10 0 +26 *2755:29 *2884:11 0 +27 *2763:31 *2884:11 0 +28 *2763:33 *2884:11 0 +29 *2765:30 *2884:11 0 +30 *2765:31 *2884:11 0 +31 *2765:43 *2884:11 0 +32 *2766:11 *2884:11 0 +33 *2770:47 *2884:11 0 +34 *2777:5 *2884:11 0 +35 *2777:15 *2884:11 0 +36 *2778:27 *2884:11 0 +37 *2869:55 *2884:11 0 +*RES +1 *40034:X *2884:10 36.6036 +2 *2884:10 *2884:11 164.821 +3 *2884:11 *2884:19 17.8929 +4 *2884:19 *7916:DIODE 9.3 +5 *2884:19 *40033:A 12.3 +*END + +*D_NET *2885 0.0213808 +*CONN +*I *37967:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *5901:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40035:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37967:A 0.000134973 +2 *5901:DIODE 1.21519e-05 +3 *40035:X 0.00439414 +4 *2885:51 0.00629625 +5 *2885:19 0.0105433 +6 *37967:A *5136:51 0 +7 *37967:A *5140:38 0 +8 *2885:19 *2889:24 0 +9 *2885:19 *3555:52 0 +10 *2885:19 *3562:49 0 +11 *2885:19 *3577:43 0 +12 *2885:19 *3611:24 0 +13 *2885:19 *3612:84 0 +14 *2885:19 *3834:21 0 +15 *2885:51 *37806:B 0 +16 *2885:51 *3195:33 0 +17 *2885:51 *3552:22 0 +18 *2885:51 *3562:49 0 +19 *2885:51 *4342:38 0 +20 *2885:51 *4368:22 0 +21 *2885:51 *4368:42 0 +22 *40005:A *2885:19 0 +23 *1497:42 *2885:19 0 +24 *1903:25 *2885:19 0 +25 *1905:34 *2885:19 0 +26 *1909:47 *2885:19 0 +27 *1915:29 *2885:19 0 +28 *1937:19 *2885:19 0 +29 *1937:19 *2885:51 0 +30 *1953:65 *37967:A 0 +31 *2174:74 *2885:19 0 +32 *2184:34 *2885:19 0 +33 *2217:19 *37967:A 0 +34 *2226:33 *2885:51 0 +35 *2747:63 *2885:19 0 +36 *2747:80 *2885:51 0 +37 *2862:15 *2885:19 0 +38 *2862:33 *2885:19 0 +39 *2862:33 *2885:51 0 +40 *2864:44 *2885:19 0 +41 *2872:16 *2885:19 0 +42 *2876:20 *2885:19 0 +43 *2879:14 *2885:19 0 +44 *2879:20 *2885:51 0 +*RES +1 *40035:X *2885:19 47.036 +2 *2885:19 *2885:51 42.5604 +3 *2885:51 *5901:DIODE 14.0768 +4 *2885:51 *37967:A 16.8446 +*END + +*D_NET *2886 0.0199227 +*CONN +*I *37965:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *5897:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40036:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *37965:A 4.23535e-05 +2 *5897:DIODE 0.000192503 +3 *40036:X 0.0013541 +4 *2886:69 0.00319126 +5 *2886:67 0.00299362 +6 *2886:65 0.00238827 +7 *2886:56 0.00314077 +8 *2886:50 0.00239595 +9 *2886:40 0.00223799 +10 *2886:15 0.00198587 +11 *5897:DIODE *4973:10 0 +12 *37965:A *3575:49 0 +13 *2886:15 *3828:98 0 +14 *2886:40 *3195:39 0 +15 *2886:40 *4942:16 0 +16 *2886:65 *8359:DIODE 0 +17 *2886:65 *8391:DIODE 0 +18 *2886:65 *3195:33 0 +19 *2886:65 *3575:68 0 +20 *2886:69 *3575:49 0 +21 *2886:69 *4350:33 0 +22 *2886:69 *4973:7 0 +23 *5410:DIODE *2886:50 0 +24 *6344:DIODE *2886:69 0 +25 *38189:A *2886:40 0 +26 *38227:A *2886:69 0 +27 *38239:A *2886:69 0 +28 *39478:B *2886:65 0 +29 *40022:A *5897:DIODE 0 +30 *1289:28 *5897:DIODE 0 +31 *1305:19 *2886:65 0 +32 *1385:46 *2886:40 0 +33 *1387:93 *2886:50 0 +34 *1389:36 *2886:15 0 +35 *1401:41 *2886:50 0 +36 *1497:49 *2886:15 0 +37 *1497:49 *2886:40 0 +38 *1682:25 *2886:40 0 +39 *1682:25 *2886:50 0 +40 *1682:43 *2886:50 0 +41 *1903:25 *2886:56 0 +42 *1909:47 *2886:40 0 +43 *1911:27 *2886:40 0 +44 *1911:27 *2886:50 0 +45 *1917:13 *2886:56 0 +46 *1920:43 *2886:40 0 +47 *1930:17 *2886:65 0 +48 *1944:35 *2886:50 0 +49 *1948:19 *2886:50 0 +50 *1948:29 *2886:56 0 +51 *2168:24 *2886:56 0 +52 *2196:44 *2886:50 0 +53 *2197:38 *2886:69 0 +54 *2198:22 *2886:65 0 +55 *2198:43 *2886:65 0 +56 *2199:14 *2886:69 0 +57 *2199:30 *2886:65 0 +58 *2222:10 *2886:69 0 +59 *2222:28 *37965:A 0 +60 *2222:28 *2886:69 0 +61 *2744:8 *2886:15 0 +62 *2763:33 *2886:15 0 +63 *2763:39 *2886:40 0 +64 *2765:43 *2886:15 0 +65 *2857:52 *2886:65 0 +66 *2880:22 *2886:15 0 +*RES +1 *40036:X *2886:15 47.3536 +2 *2886:15 *2886:40 32.1607 +3 *2886:40 *2886:50 43 +4 *2886:50 *2886:56 25.9107 +5 *2886:56 *2886:65 49.4821 +6 *2886:65 *2886:67 1.03571 +7 *2886:67 *2886:69 61.7321 +8 *2886:69 *5897:DIODE 22.675 +9 *2886:69 *37965:A 10.2464 +*END + +*D_NET *2887 0.0197195 +*CONN +*I *40036:A I *D sky130_fd_sc_hd__buf_2 +*I *7919:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40037:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40036:A 0.000121071 +2 *7919:DIODE 0 +3 *40037:X 0.00236667 +4 *2887:47 0.00121829 +5 *2887:38 0.00320404 +6 *2887:33 0.00355305 +7 *2887:21 0.00416797 +8 *2887:20 0.00508841 +9 *40036:A *3847:17 0 +10 *40036:A *3853:34 0 +11 *2887:20 *8980:DIODE 0 +12 *2887:20 *2951:21 0 +13 *2887:20 *3548:50 0 +14 *2887:20 *3556:22 0 +15 *2887:20 *3561:61 0 +16 *2887:20 *3614:49 0 +17 *2887:20 *3618:20 0 +18 *2887:20 *3629:115 0 +19 *2887:20 *4403:64 0 +20 *2887:21 *3573:26 0 +21 *2887:21 *3573:29 0 +22 *2887:21 *3577:65 0 +23 *2887:21 *3830:25 0 +24 *2887:21 *3832:83 0 +25 *2887:21 *3835:45 0 +26 *2887:21 *3835:56 0 +27 *2887:21 *3853:35 0 +28 *2887:33 *3577:65 0 +29 *2887:33 *3830:25 0 +30 *2887:33 *3853:35 0 +31 *2887:38 *2889:14 0 +32 *2887:38 *3577:49 0 +33 *2887:38 *3853:35 0 +34 *2887:38 *3863:40 0 +35 *2887:47 *37804:B 0 +36 *2887:47 *3577:49 0 +37 *2887:47 *3847:17 0 +38 *2887:47 *3853:34 0 +39 *37591:A *2887:33 0 +40 *1280:14 *2887:20 0 +41 *1401:23 *2887:47 0 +42 *1489:15 *2887:20 0 +43 *1915:30 *2887:47 0 +44 *2784:11 *2887:21 0 +45 *2855:69 *40036:A 0 +46 *2855:69 *2887:47 0 +*RES +1 *40037:X *2887:20 45.9719 +2 *2887:20 *2887:21 56.8036 +3 *2887:21 *2887:33 39.3929 +4 *2887:33 *2887:38 48.9286 +5 *2887:38 *2887:47 36.8036 +6 *2887:47 *7919:DIODE 9.3 +7 *2887:47 *40036:A 11.8893 +*END + +*D_NET *2888 0.0187678 +*CONN +*I *5889:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37961:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40038:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5889:DIODE 0 +2 *37961:A 0.00015658 +3 *40038:X 0.0014154 +4 *2888:51 0.00199746 +5 *2888:37 0.00470038 +6 *2888:36 0.0043147 +7 *2888:26 0.00311156 +8 *2888:18 0.00307176 +9 *2888:18 *2890:28 0 +10 *2888:18 *2903:21 0 +11 *2888:18 *3832:58 0 +12 *2888:18 *3840:71 0 +13 *2888:18 *3863:60 0 +14 *2888:26 *2902:30 0 +15 *2888:26 *4929:12 0 +16 *2888:26 *4930:10 0 +17 *2888:37 *5056:31 0 +18 *2888:51 *39800:A 0 +19 *2888:51 *4955:10 0 +20 *2888:51 *5039:38 0 +21 *2888:51 *5108:23 0 +22 *37957:A *2888:37 0 +23 *39732:A *2888:51 0 +24 *39766:A *2888:36 0 +25 *39803:A *2888:36 0 +26 *346:78 *2888:18 0 +27 *1277:20 *2888:18 0 +28 *1279:36 *2888:18 0 +29 *1300:38 *2888:18 0 +30 *1375:44 *2888:36 0 +31 *1681:14 *2888:18 0 +32 *1902:43 *2888:18 0 +33 *1925:38 *2888:26 0 +34 *1926:56 *2888:26 0 +35 *2486:18 *2888:36 0 +36 *2489:33 *2888:18 0 +37 *2552:38 *2888:51 0 +38 *2584:30 *2888:36 0 +39 *2586:7 *2888:36 0 +40 *2587:37 *2888:36 0 +41 *2593:41 *2888:36 0 +42 *2601:28 *37961:A 0 +43 *2601:28 *2888:51 0 +44 *2613:33 *2888:36 0 +45 *2623:16 *2888:37 0 +46 *2623:23 *2888:37 0 +47 *2626:14 *2888:36 0 +48 *2698:10 *2888:26 0 +49 *2754:9 *2888:26 0 +50 *2754:20 *2888:26 0 +51 *2755:29 *2888:26 0 +52 *2755:32 *2888:36 0 +53 *2869:55 *2888:18 0 +54 *2874:18 *2888:18 0 +55 *2881:17 *2888:37 0 +56 *2881:31 *2888:51 0 +57 *2881:42 *2888:51 0 +58 *2881:43 *37961:A 0 +59 *2881:43 *2888:51 0 +*RES +1 *40038:X *2888:18 46.1929 +2 *2888:18 *2888:26 49.875 +3 *2888:26 *2888:36 39.9464 +4 *2888:36 *2888:37 59.6786 +5 *2888:37 *2888:51 47.7321 +6 *2888:51 *37961:A 12.5679 +7 *2888:51 *5889:DIODE 9.3 +*END + +*D_NET *2889 0.0187181 +*CONN +*I *37959:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *5887:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40039:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37959:A 0.000161285 +2 *5887:DIODE 0 +3 *40039:X 0.00138353 +4 *2889:43 0.00351277 +5 *2889:42 0.00489644 +6 *2889:24 0.00446276 +7 *2889:14 0.00430134 +8 *2889:14 *3555:63 0 +9 *2889:14 *3835:44 0 +10 *2889:14 *3863:40 0 +11 *2889:24 *3552:51 0 +12 *2889:24 *3562:61 0 +13 *2889:24 *3834:21 0 +14 *2889:24 *3835:44 0 +15 *2889:42 *4673:10 0 +16 *2889:42 *4939:14 0 +17 *2889:42 *4940:12 0 +18 *300:10 *2889:24 0 +19 *312:35 *2889:24 0 +20 *312:35 *2889:42 0 +21 *1300:18 *2889:14 0 +22 *1301:9 *2889:14 0 +23 *1387:70 *2889:14 0 +24 *1399:25 *2889:14 0 +25 *1804:8 *2889:14 0 +26 *1805:34 *2889:14 0 +27 *2452:15 *2889:42 0 +28 *2521:38 *2889:42 0 +29 *2598:28 *2889:42 0 +30 *2606:11 *37959:A 0 +31 *2606:11 *2889:43 0 +32 *2625:35 *2889:43 0 +33 *2644:39 *2889:43 0 +34 *2699:44 *2889:24 0 +35 *2739:14 *2889:24 0 +36 *2743:14 *2889:24 0 +37 *2872:16 *2889:24 0 +38 *2876:20 *2889:24 0 +39 *2881:17 *2889:42 0 +40 *2885:19 *2889:24 0 +41 *2887:38 *2889:14 0 +*RES +1 *40039:X *2889:14 48.6475 +2 *2889:14 *2889:24 26.224 +3 *2889:24 *2889:42 48.3304 +4 *2889:42 *2889:43 69.9464 +5 *2889:43 *5887:DIODE 9.3 +6 *2889:43 *37959:A 12.7286 +*END + +*D_NET *2890 0.0193841 +*CONN +*I *37955:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *5880:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40040:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37955:A 0.000124066 +2 *5880:DIODE 0 +3 *40040:X 0.000723401 +4 *2890:44 0.000124066 +5 *2890:42 0.00616187 +6 *2890:31 0.00786029 +7 *2890:28 0.00268272 +8 *2890:20 0.0017077 +9 *2890:20 *8339:DIODE 0 +10 *2890:20 *3555:75 0 +11 *2890:20 *3565:66 0 +12 *2890:20 *3645:29 0 +13 *2890:20 *3841:9 0 +14 *2890:20 *3845:46 0 +15 *2890:20 *4380:21 0 +16 *2890:20 *4387:27 0 +17 *2890:42 *2902:40 0 +18 *2890:42 *4672:17 0 +19 *2890:42 *4936:19 0 +20 *2890:42 *5056:11 0 +21 *311:33 *2890:42 0 +22 *318:46 *2890:42 0 +23 *346:78 *2890:20 0 +24 *346:78 *2890:28 0 +25 *1009:56 *2890:42 0 +26 *1009:92 *2890:42 0 +27 *1030:8 *2890:42 0 +28 *1277:20 *2890:20 0 +29 *1279:36 *2890:28 0 +30 *1494:18 *2890:42 0 +31 *1681:14 *2890:28 0 +32 *1917:32 *2890:31 0 +33 *1923:57 *2890:20 0 +34 *2170:32 *2890:42 0 +35 *2193:46 *2890:42 0 +36 *2570:49 *2890:42 0 +37 *2587:11 *37955:A 0 +38 *2590:44 *2890:42 0 +39 *2598:28 *2890:42 0 +40 *2610:30 *2890:42 0 +41 *2736:18 *2890:42 0 +42 *2888:18 *2890:28 0 +*RES +1 *40040:X *2890:20 38.8357 +2 *2890:20 *2890:28 26.9464 +3 *2890:28 *2890:31 39.9464 +4 *2890:31 *2890:42 46.4662 +5 *2890:42 *2890:44 4.5 +6 *2890:44 *5880:DIODE 9.3 +7 *2890:44 *37955:A 11.8893 +*END + +*D_NET *2891 0.017994 +*CONN +*I *37941:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *5860:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40041:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37941:A 0.000582952 +2 *5860:DIODE 0 +3 *40041:X 0 +4 *2891:14 0.000582952 +5 *2891:12 0.00189034 +6 *2891:9 0.0049368 +7 *2891:7 0.00306699 +8 *2891:5 0.00347726 +9 *2891:4 0.00345673 +10 *2891:5 *2898:11 0 +11 *2891:9 *2903:9 0 +12 *2891:12 *39208:A 0 +13 *2891:12 *2892:50 0 +14 *2891:12 *4659:29 0 +15 la_oenb_core[3] *2891:12 0 +16 la_oenb_core[4] *37941:A 0 +17 *38143:A *2891:5 0 +18 *38963:A *2891:12 0 +19 *321:9 *2891:12 0 +20 *322:25 *37941:A 0 +21 *330:17 *2891:12 0 +22 *1371:23 *2891:12 0 +23 *2489:9 *2891:9 0 +24 *2504:28 *2891:12 0 +25 *2509:26 *2891:12 0 +26 *2553:29 *37941:A 0 +27 *2563:25 *2891:12 0 +28 *2642:24 *2891:12 0 +29 *2773:15 *2891:5 0 +30 *2773:15 *2891:9 0 +31 *2773:21 *2891:9 0 +32 *2788:20 *2891:12 0 +*RES +1 *40041:X *2891:4 9.3 +2 *2891:4 *2891:5 72.2054 +3 *2891:5 *2891:7 0.428571 +4 *2891:7 *2891:9 63.5804 +5 *2891:9 *2891:12 47.4286 +6 *2891:12 *2891:14 4.5 +7 *2891:14 *5860:DIODE 9.3 +8 *2891:14 *37941:A 30.55 +*END + +*D_NET *2892 0.0190886 +*CONN +*I *37933:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *5844:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40042:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37933:A 0.000147764 +2 *5844:DIODE 0 +3 *40042:X 0.00107904 +4 *2892:51 0.00432579 +5 *2892:50 0.00499841 +6 *2892:41 0.00217226 +7 *2892:25 0.00331907 +8 *2892:19 0.00304624 +9 *2892:19 *5043:8 0 +10 *2892:19 *5047:8 0 +11 *2892:25 *3597:44 0 +12 *2892:25 *5043:8 0 +13 *2892:50 *2900:13 0 +14 *37805:A *2892:41 0 +15 *40042:A *2892:19 0 +16 *40043:A *2892:50 0 +17 *282:12 *2892:51 0 +18 *299:30 *2892:19 0 +19 *300:10 *2892:19 0 +20 *310:24 *2892:25 0 +21 *311:34 *37933:A 0 +22 *311:34 *2892:51 0 +23 *340:91 *37933:A 0 +24 *1280:17 *2892:41 0 +25 *1364:34 *2892:50 0 +26 *1484:37 *2892:19 0 +27 *1507:12 *37933:A 0 +28 *2499:37 *2892:41 0 +29 *2504:24 *2892:50 0 +30 *2504:28 *2892:50 0 +31 *2506:11 *2892:19 0 +32 *2506:11 *2892:25 0 +33 *2506:20 *2892:25 0 +34 *2506:20 *2892:41 0 +35 *2506:20 *2892:50 0 +36 *2559:21 *37933:A 0 +37 *2559:21 *2892:51 0 +38 *2698:11 *2892:51 0 +39 *2761:20 *2892:19 0 +40 *2767:15 *2892:41 0 +41 *2779:16 *2892:41 0 +42 *2780:14 *2892:50 0 +43 *2786:13 *2892:51 0 +44 *2891:12 *2892:50 0 +*RES +1 *40042:X *2892:19 48 +2 *2892:19 *2892:25 49.0618 +3 *2892:25 *2892:41 46.7143 +4 *2892:41 *2892:50 27.6964 +5 *2892:50 *2892:51 87.1964 +6 *2892:51 *5844:DIODE 9.3 +7 *2892:51 *37933:A 21.6036 +*END + +*D_NET *2893 0.0113017 +*CONN +*I *40558:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8288:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38468:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40558:A 0 +2 *8288:DIODE 0.000301886 +3 *38468:X 7.23213e-05 +4 *2893:43 0.00109754 +5 *2893:39 0.00221713 +6 *2893:28 0.00221742 +7 *2893:17 0.00305951 +8 *2893:8 0.00233588 +9 *8288:DIODE *4590:47 0 +10 *2893:17 *40490:A 0 +11 *2893:17 *3034:73 0 +12 *2893:17 *3087:74 0 +13 *2893:17 *3412:7 0 +14 *2893:17 *3793:20 0 +15 *2893:17 *3904:26 0 +16 *2893:17 *4315:15 0 +17 *2893:17 *4756:19 0 +18 *2893:17 *4756:23 0 +19 *2893:17 *5072:17 0 +20 *2893:17 *5163:18 0 +21 *2893:17 *5186:85 0 +22 *2893:28 *5103:23 0 +23 *2893:28 *5175:86 0 +24 *2893:28 *5177:23 0 +25 *2893:39 *2927:66 0 +26 *2893:39 *2971:16 0 +27 *2893:39 *4536:9 0 +28 *2893:39 *5103:23 0 +29 *2893:39 *5103:25 0 +30 *2893:39 *5167:85 0 +31 *2893:39 *5171:107 0 +32 *2893:39 *5171:118 0 +33 *2893:43 *8166:DIODE 0 +34 *2893:43 *3479:20 0 +35 *2893:43 *4532:38 0 +36 *2893:43 *4602:54 0 +37 *2893:43 *4774:35 0 +38 *39525:A *2893:39 0 +39 *39525:A *2893:43 0 +40 *407:10 *2893:17 0 +41 *408:23 *2893:17 0 +42 *531:33 *2893:17 0 +43 *534:22 *2893:17 0 +44 *793:49 *2893:39 0 +45 *798:23 *2893:39 0 +46 *1006:38 *2893:43 0 +47 *2371:20 *2893:17 0 +48 *2408:26 *2893:39 0 +*RES +1 *38468:X *2893:8 19.9429 +2 *2893:8 *2893:17 47.4286 +3 *2893:17 *2893:28 35.125 +4 *2893:28 *2893:39 43.5179 +5 *2893:39 *2893:43 22.1071 +6 *2893:43 *8288:DIODE 24.7821 +7 *2893:43 *40558:A 9.3 +*END + +*D_NET *2894 0.0185352 +*CONN +*I *37931:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *5840:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40043:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37931:A 4.53482e-05 +2 *5840:DIODE 9.72752e-05 +3 *40043:X 0.00107189 +4 *2894:50 0.00433787 +5 *2894:34 0.00619871 +6 *2894:15 0.00385782 +7 *2894:11 0.00292624 +8 *2894:34 *39158:A 0 +9 *2894:34 *2903:17 0 +10 *2894:34 *4632:20 0 +11 *2894:34 *4917:17 0 +12 *2894:50 *4647:19 0 +13 *7680:DIODE *2894:34 0 +14 *38159:A *2894:34 0 +15 *38161:A *2894:11 0 +16 *39725:A *2894:34 0 +17 *271:27 *2894:34 0 +18 *1001:22 *2894:50 0 +19 *1007:93 *5840:DIODE 0 +20 *1011:144 *2894:50 0 +21 *1513:24 *2894:34 0 +22 *1922:40 *2894:34 0 +23 *2489:15 *2894:11 0 +24 *2489:20 *2894:11 0 +25 *2489:20 *2894:15 0 +26 *2490:36 *2894:50 0 +27 *2491:13 *2894:50 0 +28 *2493:32 *2894:50 0 +29 *2496:54 *5840:DIODE 0 +30 *2496:54 *2894:50 0 +31 *2500:26 *2894:34 0 +32 *2541:50 *2894:34 0 +33 *2647:13 *5840:DIODE 0 +34 *2702:34 *2894:34 0 +35 *2773:21 *2894:11 0 +36 *2779:23 *2894:15 0 +37 *2780:27 *2894:50 0 +38 *2784:23 *2894:11 0 +39 *2785:18 *2894:34 0 +*RES +1 *40043:X *2894:11 31.7643 +2 *2894:11 *2894:15 43.2321 +3 *2894:15 *2894:34 49.3654 +4 *2894:34 *2894:50 20.941 +5 *2894:50 *5840:DIODE 15.8893 +6 *2894:50 *37931:A 14.7464 +*END + +*D_NET *2895 0.0187956 +*CONN +*I *5837:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37929:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40044:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5837:DIODE 0 +2 *37929:A 0.000190833 +3 *40044:X 0.00120675 +4 *2895:35 0.00161886 +5 *2895:19 0.0031334 +6 *2895:11 0.00657217 +7 *2895:10 0.00607355 +8 *2895:10 *2896:10 0 +9 *2895:10 *3579:35 0 +10 *2895:10 *4960:10 0 +11 *2895:19 *4917:17 0 +12 *2895:19 *5046:9 0 +13 *2895:35 *4934:10 0 +14 *2895:35 *5056:57 0 +15 *38179:A *2895:35 0 +16 *293:18 *2895:35 0 +17 *295:12 *2895:35 0 +18 *299:24 *2895:10 0 +19 *325:48 *2895:11 0 +20 *335:20 *2895:35 0 +21 *387:5 *2895:19 0 +22 *1291:13 *2895:19 0 +23 *1377:17 *2895:19 0 +24 *1388:17 *2895:19 0 +25 *1499:12 *2895:10 0 +26 *1501:18 *2895:10 0 +27 *1922:40 *2895:19 0 +28 *2158:59 *2895:35 0 +29 *2500:26 *2895:35 0 +30 *2531:50 *37929:A 0 +31 *2578:34 *2895:35 0 +32 *2586:7 *37929:A 0 +33 *2601:35 *37929:A 0 +34 *2623:31 *2895:35 0 +35 *2623:45 *2895:35 0 +36 *2626:14 *37929:A 0 +37 *2629:11 *2895:35 0 +38 *2692:23 *2895:35 0 +39 *2759:13 *2895:35 0 +40 *2778:18 *2895:10 0 +41 *2778:51 *2895:35 0 +*RES +1 *40044:X *2895:10 45.5857 +2 *2895:10 *2895:11 101.571 +3 *2895:11 *2895:19 49.25 +4 *2895:19 *2895:35 46.7503 +5 *2895:35 *37929:A 22.5143 +6 *2895:35 *5837:DIODE 9.3 +*END + +*D_NET *2896 0.0183349 +*CONN +*I *37927:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *5834:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40045:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37927:A 0.000186572 +2 *5834:DIODE 0 +3 *40045:X 0.00105753 +4 *2896:14 0.00023219 +5 *2896:11 0.00792337 +6 *2896:10 0.00893527 +7 *2896:10 *4620:14 0 +8 *2896:10 *4960:10 0 +9 *2896:10 *4960:17 0 +10 *2896:11 *5046:9 0 +11 *311:34 *37927:A 0 +12 *321:10 *2896:11 0 +13 *1374:11 *2896:11 0 +14 *1484:46 *2896:10 0 +15 *1926:47 *37927:A 0 +16 *1926:47 *2896:14 0 +17 *2455:24 *2896:10 0 +18 *2504:29 *2896:11 0 +19 *2777:31 *37927:A 0 +20 *2777:31 *2896:14 0 +21 *2895:10 *2896:10 0 +*RES +1 *40045:X *2896:10 41.9786 +2 *2896:10 *2896:11 164.411 +3 *2896:11 *2896:14 5.53571 +4 *2896:14 *5834:DIODE 13.8 +5 *2896:14 *37927:A 17.8357 +*END + +*D_NET *2897 0.0182979 +*CONN +*I *37925:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *5830:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40046:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37925:A 0.000128046 +2 *5830:DIODE 0 +3 *40046:X 0.0013844 +4 *2897:21 0.00143288 +5 *2897:20 0.00336006 +6 *2897:9 0.00633165 +7 *2897:7 0.00566082 +8 *2897:7 *2900:5 0 +9 *2897:7 *2902:11 0 +10 *2897:9 *2900:13 0 +11 *2897:9 *2900:20 0 +12 *2897:9 *2902:11 0 +13 *2897:9 *2902:19 0 +14 *2897:9 *2902:21 0 +15 *2897:9 *4934:5 0 +16 *2897:20 *4927:8 0 +17 *38177:A *2897:9 0 +18 *40051:A *2897:7 0 +19 *296:12 *37925:A 0 +20 *333:42 *2897:20 0 +21 *1002:8 *2897:20 0 +22 *1281:18 *2897:20 0 +23 *1357:29 *2897:7 0 +24 *1377:14 *2897:20 0 +25 *1507:12 *2897:20 0 +26 *2515:18 *37925:A 0 +27 *2541:46 *2897:20 0 +28 *2634:17 *2897:20 0 +29 *2636:17 *37925:A 0 +30 *2636:17 *2897:21 0 +31 *2643:17 *37925:A 0 +32 *2643:17 *2897:21 0 +33 *2756:20 *2897:20 0 +34 *2775:30 *2897:20 0 +35 *2780:9 *2897:7 0 +36 *2783:15 *2897:9 0 +*RES +1 *40046:X *2897:7 38.1929 +2 *2897:7 *2897:9 89.25 +3 *2897:9 *2897:20 44.397 +4 *2897:20 *2897:21 27.2321 +5 *2897:21 *5830:DIODE 9.3 +6 *2897:21 *37925:A 21.1929 +*END + +*D_NET *2898 0.0185237 +*CONN +*I *40046:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7925:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40047:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40046:A 0.00014075 +2 *7925:DIODE 0 +3 *40047:X 0.00121405 +4 *2898:27 0.0016583 +5 *2898:17 0.00486903 +6 *2898:16 0.00349062 +7 *2898:11 0.003038 +8 *2898:10 0.00411291 +9 *40046:A *2900:5 0 +10 *2898:10 *3547:49 0 +11 *2898:10 *3600:7 0 +12 *2898:10 *3634:31 0 +13 *2898:10 *3637:46 0 +14 *2898:11 *39845:A 0 +15 *2898:27 *40049:A 0 +16 *2898:27 *2900:5 0 +17 *37755:A *2898:11 0 +18 *40041:A *2898:11 0 +19 *317:15 *2898:10 0 +20 *1357:21 *2898:17 0 +21 *1357:27 *2898:27 0 +22 *1357:29 *40046:A 0 +23 *1357:29 *2898:27 0 +24 *1362:8 *2898:10 0 +25 *1653:11 *2898:10 0 +26 *2513:8 *2898:10 0 +27 *2773:15 *2898:11 0 +28 *2776:11 *2898:17 0 +29 *2776:17 *2898:17 0 +30 *2780:9 *2898:17 0 +31 *2783:9 *2898:17 0 +32 *2891:5 *2898:11 0 +*RES +1 *40047:X *2898:10 45.7821 +2 *2898:10 *2898:11 60.5 +3 *2898:11 *2898:16 12.1607 +4 *2898:16 *2898:17 69.9464 +5 *2898:17 *2898:27 40.9107 +6 *2898:27 *7925:DIODE 9.3 +7 *2898:27 *40046:A 12.3 +*END + +*D_NET *2899 0.01843 +*CONN +*I *5826:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37923:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *40048:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5826:DIODE 0.000143745 +2 *37923:A 0 +3 *40048:X 0.00116866 +4 *2899:30 0.00160747 +5 *2899:22 0.00354937 +6 *2899:18 0.00220182 +7 *2899:9 0.00435323 +8 *2899:8 0.00540572 +9 *2899:9 *39697:A 0 +10 *2899:9 *39843:A 0 +11 *2899:9 *4688:15 0 +12 *2899:9 *4935:7 0 +13 *2899:22 *4935:11 0 +14 *38141:A *2899:9 0 +15 *304:23 *2899:8 0 +16 *2509:7 *2899:9 0 +17 *2615:5 *5826:DIODE 0 +18 *2630:27 *5826:DIODE 0 +19 *2670:5 *2899:9 0 +20 *2697:7 *2899:30 0 +21 *2701:9 *2899:22 0 +*RES +1 *40048:X *2899:8 44.8357 +2 *2899:8 *2899:9 88.4286 +3 *2899:9 *2899:18 11.9286 +4 *2899:18 *2899:22 48.1607 +5 *2899:22 *2899:30 44.3036 +6 *2899:30 *37923:A 9.3 +7 *2899:30 *5826:DIODE 12.3 +*END + +*D_NET *2900 0.0190031 +*CONN +*I *37919:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *5819:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40049:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *37919:A 3.4096e-05 +2 *5819:DIODE 8.65377e-05 +3 *40049:X 0 +4 *2900:34 0.000766491 +5 *2900:32 0.00187473 +6 *2900:21 0.00373415 +7 *2900:20 0.00360192 +8 *2900:13 0.00218077 +9 *2900:5 0.00390426 +10 *2900:4 0.00282014 +11 *2900:5 *2902:11 0 +12 *2900:20 *2902:11 0 +13 *2900:20 *4917:7 0 +14 *2900:20 *4934:5 0 +15 *2900:21 *2902:21 0 +16 *2900:34 *4645:10 0 +17 *2900:34 *4929:12 0 +18 *2900:34 *5058:48 0 +19 *39678:A *2900:34 0 +20 *40046:A *2900:5 0 +21 *40051:A *2900:5 0 +22 *294:19 *2900:34 0 +23 *311:34 *2900:32 0 +24 *335:20 *2900:32 0 +25 *1357:29 *2900:5 0 +26 *1375:22 *2900:32 0 +27 *1499:26 *2900:20 0 +28 *1922:47 *2900:20 0 +29 *2453:11 *2900:32 0 +30 *2489:33 *2900:32 0 +31 *2528:55 *2900:34 0 +32 *2541:40 *2900:34 0 +33 *2559:21 *2900:32 0 +34 *2754:9 *2900:34 0 +35 *2783:15 *2900:13 0 +36 *2783:15 *2900:20 0 +37 *2892:50 *2900:13 0 +38 *2897:7 *2900:5 0 +39 *2897:9 *2900:13 0 +40 *2897:9 *2900:20 0 +41 *2898:27 *2900:5 0 +*RES +1 *40049:X *2900:4 9.3 +2 *2900:4 *2900:5 58.8571 +3 *2900:5 *2900:13 31.7411 +4 *2900:13 *2900:20 32.0268 +5 *2900:20 *2900:21 52.2857 +6 *2900:21 *2900:32 40.3125 +7 *2900:32 *2900:34 14.6964 +8 *2900:34 *5819:DIODE 15.8982 +9 *2900:34 *37919:A 14.6125 +*END + +*D_NET *2901 0.0187818 +*CONN +*I *40049:A I *D sky130_fd_sc_hd__buf_2 +*I *7927:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40050:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40049:A 0.000175831 +2 *7927:DIODE 0 +3 *40050:X 0.000379893 +4 *2901:21 0.00295662 +5 *2901:20 0.00318714 +6 *2901:15 0.00454502 +7 *2901:14 0.00564805 +8 *2901:8 0.00188928 +9 *2901:8 *2906:8 0 +10 *2901:14 *4676:11 0 +11 *299:30 *2901:15 0 +12 *311:41 *2901:14 0 +13 *1278:10 *2901:20 0 +14 *1352:9 *2901:15 0 +15 *1357:21 *2901:21 0 +16 *1357:27 *40049:A 0 +17 *1357:27 *2901:21 0 +18 *1779:10 *2901:8 0 +19 *2501:8 *2901:14 0 +20 *2898:27 *40049:A 0 +*RES +1 *40050:X *2901:8 26.925 +2 *2901:8 *2901:14 40.7321 +3 *2901:14 *2901:15 86.375 +4 *2901:15 *2901:20 18.2321 +5 *2901:20 *2901:21 58.0357 +6 *2901:21 *7927:DIODE 9.3 +7 *2901:21 *40049:A 13.0321 +*END + +*D_NET *2902 0.0189805 +*CONN +*I *5815:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37917:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *40051:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5815:DIODE 0 +2 *37917:A 0.000104386 +3 *40051:X 0.00148082 +4 *2902:40 0.00239164 +5 *2902:30 0.00425129 +6 *2902:21 0.0052505 +7 *2902:19 0.00365375 +8 *2902:11 0.00184812 +9 *2902:19 *4997:8 0 +10 *2902:21 *4934:5 0 +11 *2902:40 *4670:22 0 +12 *38177:A *2902:11 0 +13 *39920:A *2902:21 0 +14 *39920:A *2902:30 0 +15 *296:12 *2902:40 0 +16 *296:19 *2902:19 0 +17 *333:58 *2902:11 0 +18 *338:85 *2902:30 0 +19 *338:85 *2902:40 0 +20 *1011:144 *37917:A 0 +21 *1026:50 *37917:A 0 +22 *1925:38 *2902:21 0 +23 *1925:38 *2902:30 0 +24 *1925:50 *2902:21 0 +25 *1926:56 *2902:30 0 +26 *2170:32 *2902:30 0 +27 *2170:32 *2902:40 0 +28 *2187:16 *2902:30 0 +29 *2486:18 *2902:40 0 +30 *2488:22 *2902:40 0 +31 *2515:18 *2902:40 0 +32 *2569:14 *2902:40 0 +33 *2574:16 *2902:40 0 +34 *2582:12 *2902:40 0 +35 *2606:38 *2902:40 0 +36 *2656:18 *2902:40 0 +37 *2667:32 *2902:40 0 +38 *2687:11 *2902:40 0 +39 *2888:26 *2902:30 0 +40 *2890:42 *2902:40 0 +41 *2897:7 *2902:11 0 +42 *2897:9 *2902:11 0 +43 *2897:9 *2902:19 0 +44 *2897:9 *2902:21 0 +45 *2900:5 *2902:11 0 +46 *2900:20 *2902:11 0 +47 *2900:21 *2902:21 0 +*RES +1 *40051:X *2902:11 49.2643 +2 *2902:11 *2902:19 16.75 +3 *2902:19 *2902:21 68.7143 +4 *2902:21 *2902:30 46.0446 +5 *2902:30 *2902:40 43.9971 +6 *2902:40 *37917:A 11.4786 +7 *2902:40 *5815:DIODE 9.3 +*END + +*D_NET *2903 0.0185655 +*CONN +*I *5810:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37913:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *40052:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *5810:DIODE 0 +2 *37913:A 0.000252042 +3 *40052:X 0.000112356 +4 *2903:21 0.00126073 +5 *2903:17 0.00269368 +6 *2903:9 0.00790968 +7 *2903:8 0.00633703 +8 *37913:A *5055:31 0 +9 *2903:21 *5055:31 0 +10 *39922:A *2903:17 0 +11 *294:25 *37913:A 0 +12 *376:14 *2903:9 0 +13 *1291:12 *2903:21 0 +14 *1904:22 *2903:17 0 +15 *2453:11 *2903:21 0 +16 *2487:36 *37913:A 0 +17 *2489:9 *2903:9 0 +18 *2489:15 *2903:9 0 +19 *2489:20 *2903:9 0 +20 *2541:46 *37913:A 0 +21 *2702:30 *37913:A 0 +22 *2707:25 *37913:A 0 +23 *2757:14 *2903:21 0 +24 *2759:7 *2903:17 0 +25 *2779:23 *2903:17 0 +26 *2874:11 *2903:9 0 +27 *2874:11 *2903:17 0 +28 *2874:18 *2903:21 0 +29 *2888:18 *2903:21 0 +30 *2891:9 *2903:9 0 +31 *2894:34 *2903:17 0 +*RES +1 *40052:X *2903:8 20.8536 +2 *2903:8 *2903:9 129.911 +3 *2903:9 *2903:17 48.75 +4 *2903:17 *2903:21 26.8929 +5 *2903:21 *37913:A 23.8179 +6 *2903:21 *5810:DIODE 9.3 +*END + +*D_NET *2904 0.0171279 +*CONN +*I *37902:C I *D sky130_fd_sc_hd__and3b_1 +*I *5790:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38469:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37902:C 0.000112474 +2 *5790:DIODE 6.50276e-05 +3 *38469:X 0.000698576 +4 *2904:14 0.00130617 +5 *2904:11 0.0076879 +6 *2904:10 0.0072578 +7 *2904:10 *37494:B 0 +8 *2904:10 *4451:75 0 +9 *2904:10 *4523:10 0 +10 *2904:10 *4891:51 0 +11 *2904:11 *3390:35 0 +12 *2904:11 *4418:21 0 +13 *2904:11 *4815:31 0 +14 *1350:14 *37902:C 0 +15 *1475:9 *5790:DIODE 0 +*RES +1 *38469:X *2904:10 34.05 +2 *2904:10 *2904:11 136.893 +3 *2904:11 *2904:14 30.125 +4 *2904:14 *5790:DIODE 15.1571 +5 *2904:14 *37902:C 16.3536 +*END + +*D_NET *2905 0.0197156 +*CONN +*I *37702:B I *D sky130_fd_sc_hd__and2_1 +*I *5535:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38470:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *37702:B 6.50276e-05 +2 *5535:DIODE 8.56529e-05 +3 *38470:X 0.00396745 +4 *2905:66 0.00186612 +5 *2905:51 0.00573967 +6 *2905:28 0.00799168 +7 *37702:B *4327:26 0 +8 *37702:B *4343:23 0 +9 *2905:28 *2984:36 0 +10 *2905:28 *3033:16 0 +11 *2905:28 *3042:20 0 +12 *2905:28 *3290:21 0 +13 *2905:28 *4323:77 0 +14 *2905:51 *2980:41 0 +15 *2905:51 *3031:43 0 +16 *2905:51 *3275:65 0 +17 *2905:51 *3310:59 0 +18 *2905:51 *3514:20 0 +19 *2905:51 *3524:25 0 +20 *2905:51 *3666:22 0 +21 *2905:51 *3678:45 0 +22 *2905:66 *3686:36 0 +23 *2905:66 *3752:40 0 +24 *534:22 *2905:28 0 +25 *1003:22 *2905:66 0 +26 *1449:17 *2905:28 0 +27 *1884:36 *2905:28 0 +28 *2391:59 *2905:51 0 +29 *2422:48 *2905:66 0 +30 *2426:40 *2905:51 0 +31 *2429:14 *2905:51 0 +32 *2478:18 *2905:28 0 +33 *2812:27 *2905:51 0 +34 *2814:36 *2905:51 0 +*RES +1 *38470:X *2905:28 49.2504 +2 *2905:28 *2905:51 38.5994 +3 *2905:51 *2905:66 48.2232 +4 *2905:66 *5535:DIODE 15.7464 +5 *2905:66 *37702:B 15.1571 +*END + +*D_NET *2906 0.0183167 +*CONN +*I *40052:A I *D sky130_fd_sc_hd__buf_2 +*I *7930:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40053:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40052:A 0.000121071 +2 *7930:DIODE 0 +3 *40053:X 0.000821135 +4 *2906:13 0.00226998 +5 *2906:9 0.00821615 +6 *2906:8 0.00688838 +7 *40052:A *4736:9 0 +8 *2906:9 *37899:A 0 +9 *2906:9 *2913:11 0 +10 *2906:13 *4736:9 0 +11 *37889:A *2906:8 0 +12 *39447:B *2906:8 0 +13 *332:37 *2906:8 0 +14 *1779:10 *2906:8 0 +15 *2501:13 *2906:9 0 +16 *2501:13 *2906:13 0 +17 *2901:8 *2906:8 0 +*RES +1 *40053:X *2906:8 36.9429 +2 *2906:8 *2906:9 126.625 +3 *2906:9 *2906:13 44.9107 +4 *2906:13 *7930:DIODE 9.3 +5 *2906:13 *40052:A 11.8893 +*END + +*D_NET *2907 0.0216427 +*CONN +*I *37911:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *5806:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40054:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37911:A 0.000200317 +2 *5806:DIODE 0.000124066 +3 *40054:X 0.00103007 +4 *2907:20 0.00183479 +5 *2907:11 0.00946688 +6 *2907:10 0.00898654 +7 *5806:DIODE *3839:75 0 +8 *2907:10 *5871:DIODE 0 +9 *2907:10 *37914:A_N 0 +10 *2907:10 *37948:B 0 +11 *2907:10 *37948:C 0 +12 *2907:10 *3304:48 0 +13 *2907:10 *3563:19 0 +14 *2907:10 *3610:59 0 +15 *2907:10 *4187:16 0 +16 *2907:10 *4285:27 0 +17 *2907:10 *4737:18 0 +18 *2907:11 *3136:35 0 +19 *2907:11 *3241:51 0 +20 *2907:11 *3896:21 0 +21 *2907:11 *4068:45 0 +22 *2907:11 *4391:38 0 +23 *2907:20 *41158:A 0 +24 *2907:20 *3213:22 0 +25 *2907:20 *3333:58 0 +26 *2907:20 *3645:89 0 +27 *2907:20 *3841:32 0 +28 *2907:20 *3862:26 0 +29 *2907:20 *4123:32 0 +30 *2907:20 *4148:22 0 +31 *2907:20 *4162:62 0 +32 *2907:20 *4169:22 0 +33 *2907:20 *4173:34 0 +34 *2907:20 *4197:36 0 +35 *2907:20 *4392:80 0 +36 *2907:20 *4440:20 0 +37 *365:11 *2907:20 0 +38 *1294:5 *2907:11 0 +39 *1294:9 *2907:11 0 +40 *1297:5 *2907:11 0 +41 *1297:14 *2907:11 0 +42 *1297:18 *2907:11 0 +43 *1783:10 *2907:10 0 +44 *1974:44 *2907:20 0 +*RES +1 *40054:X *2907:10 41.2821 +2 *2907:10 *2907:11 166.054 +3 *2907:11 *2907:20 32.05 +4 *2907:20 *5806:DIODE 16.3893 +5 *2907:20 *37911:A 18.3357 +*END + +*D_NET *2908 0.0200311 +*CONN +*I *37909:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *5802:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40055:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37909:A 0.000168131 +2 *5802:DIODE 0 +3 *40055:X 0.000725691 +4 *2908:31 0.00128475 +5 *2908:23 0.00247995 +6 *2908:15 0.00373844 +7 *2908:13 0.00239565 +8 *2908:11 0.00426669 +9 *2908:10 0.00497184 +10 *37909:A *3634:21 0 +11 *37909:A *3637:21 0 +12 *37909:A *3855:59 0 +13 *37909:A *3855:63 0 +14 *37909:A *3866:46 0 +15 *2908:10 *3589:25 0 +16 *2908:10 *3593:43 0 +17 *2908:10 *4399:48 0 +18 *2908:11 *37771:A 0 +19 *2908:15 *3579:47 0 +20 *2908:15 *3586:52 0 +21 *2908:15 *4667:14 0 +22 *2908:23 *2911:34 0 +23 *2908:23 *4402:27 0 +24 *2908:31 *37986:A_N 0 +25 *2908:31 *3169:53 0 +26 *2908:31 *3637:21 0 +27 *2908:31 *3866:46 0 +28 *2908:31 *4402:27 0 +29 *37947:A *2908:15 0 +30 *39451:A *2908:15 0 +31 *39451:B *2908:15 0 +32 *39456:A *2908:23 0 +33 *40050:A *2908:11 0 +34 *40053:A *2908:10 0 +35 *40055:A *2908:10 0 +36 *332:37 *2908:10 0 +37 *1356:11 *2908:11 0 +38 *1356:11 *2908:15 0 +39 *1358:25 *2908:11 0 +40 *1372:44 *2908:23 0 +41 *1485:34 *2908:10 0 +42 *1486:22 *2908:11 0 +43 *1486:32 *2908:11 0 +44 *1486:37 *2908:15 0 +45 *2798:8 *2908:10 0 +*RES +1 *40055:X *2908:10 34.6571 +2 *2908:10 *2908:11 88.6339 +3 *2908:11 *2908:13 0.428571 +4 *2908:13 *2908:15 49.6161 +5 *2908:15 *2908:23 37.7589 +6 *2908:23 *2908:31 32.7232 +7 *2908:31 *5802:DIODE 9.3 +8 *2908:31 *37909:A 13.1214 +*END + +*D_NET *2909 0.0186525 +*CONN +*I *37905:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *5795:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40056:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37905:A 4.23535e-05 +2 *5795:DIODE 8.42371e-05 +3 *40056:X 0 +4 *2909:31 0.00109973 +5 *2909:20 0.00226654 +6 *2909:5 0.00822653 +7 *2909:4 0.00693314 +8 *2909:20 *4644:20 0 +9 *2909:20 *5046:25 0 +10 *298:18 *2909:5 0 +11 *387:5 *2909:31 0 +12 *1291:18 *2909:31 0 +13 *1374:8 *2909:20 0 +14 *2495:17 *2909:31 0 +15 *2506:32 *2909:20 0 +16 *2547:58 *5795:DIODE 0 +17 *2566:13 *37905:A 0 +18 *2566:13 *2909:31 0 +19 *2578:39 *2909:20 0 +20 *2582:40 *2909:31 0 +21 *2586:21 *2909:31 0 +22 *2612:16 *2909:31 0 +23 *2615:5 *2909:20 0 +24 *2630:24 *2909:20 0 +25 *2643:17 *2909:31 0 +26 *2786:24 *2909:20 0 +*RES +1 *40056:X *2909:4 9.3 +2 *2909:4 *2909:5 144.696 +3 *2909:5 *2909:20 46.7679 +4 *2909:20 *2909:31 29.6071 +5 *2909:31 *5795:DIODE 20.2464 +6 *2909:31 *37905:A 10.2464 +*END + +*D_NET *2910 0.0184991 +*CONN +*I *40056:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7933:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40057:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40056:A 0.000147764 +2 *7933:DIODE 0 +3 *40057:X 0.000968216 +4 *2910:9 0.00828134 +5 *2910:8 0.0091018 +6 *2910:8 *5067:8 0 +7 *306:11 *2910:8 0 +8 *1479:10 *2910:8 0 +9 *2776:11 *40056:A 0 +10 *2776:11 *2910:9 0 +*RES +1 *40057:X *2910:8 40.2821 +2 *2910:8 *2910:9 169.75 +3 *2910:9 *7933:DIODE 9.3 +4 *2910:9 *40056:A 21.6036 +*END + +*D_NET *2911 0.0195804 +*CONN +*I *37903:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *5791:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40058:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37903:A 0 +2 *5791:DIODE 0.000164825 +3 *40058:X 0.00076289 +4 *2911:44 0.00265883 +5 *2911:34 0.0043741 +6 *2911:17 0.00636849 +7 *2911:15 0.00525129 +8 *5791:DIODE *4402:17 0 +9 *2911:15 *4646:11 0 +10 *2911:15 *4651:8 0 +11 *2911:17 *5325:DIODE 0 +12 *2911:17 *5357:DIODE 0 +13 *2911:17 *2952:11 0 +14 *2911:17 *4402:27 0 +15 *2911:17 *4402:31 0 +16 *2911:17 *4402:37 0 +17 *2911:17 *4646:11 0 +18 *2911:17 *4972:15 0 +19 *2911:34 *39648:A 0 +20 *2911:34 *4402:27 0 +21 *2911:44 *3547:30 0 +22 *2911:44 *3586:52 0 +23 *2911:44 *4620:14 0 +24 *2911:44 *5043:8 0 +25 *37557:A *2911:34 0 +26 *39457:A *2911:17 0 +27 *39457:B *2911:17 0 +28 *304:23 *2911:15 0 +29 *1169:11 *2911:17 0 +30 *1175:11 *2911:34 0 +31 *1292:14 *2911:44 0 +32 *1362:21 *2911:44 0 +33 *1372:44 *2911:34 0 +34 *1373:13 *2911:34 0 +35 *1482:30 *2911:44 0 +36 *1489:15 *2911:44 0 +37 *1499:12 *2911:44 0 +38 *1662:23 *2911:44 0 +39 *1777:10 *2911:15 0 +40 *1785:10 *2911:44 0 +41 *1789:10 *2911:17 0 +42 *2454:10 *2911:34 0 +43 *2761:20 *2911:44 0 +44 *2764:20 *2911:34 0 +45 *2869:14 *2911:44 0 +46 *2908:23 *2911:34 0 +*RES +1 *40058:X *2911:15 34.8357 +2 *2911:15 *2911:17 93.7679 +3 *2911:17 *2911:34 48.8393 +4 *2911:34 *2911:44 38.9308 +5 *2911:44 *5791:DIODE 17.4964 +6 *2911:44 *37903:A 13.8 +*END + +*D_NET *2912 0.0180895 +*CONN +*I *37901:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *5787:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40059:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37901:A 0.000141606 +2 *5787:DIODE 0 +3 *40059:X 0.000397745 +4 *2912:21 0.00407364 +5 *2912:19 0.00395256 +6 *2912:17 0.00257201 +7 *2912:16 0.00255148 +8 *2912:14 0.00200138 +9 *2912:10 0.00239912 +10 *2912:14 *5068:25 0 +11 *2912:21 *3579:59 0 +12 *37527:A *2912:10 0 +13 *37547:A *2912:21 0 +14 *37898:C *2912:10 0 +15 *39452:B *2912:21 0 +16 *294:62 *37901:A 0 +17 *294:62 *2912:17 0 +18 *294:62 *2912:21 0 +19 *294:68 *2912:17 0 +20 *297:13 *2912:14 0 +21 *306:11 *2912:10 0 +22 *310:27 *2912:14 0 +23 *1153:14 *2912:14 0 +24 *1154:16 *2912:10 0 +25 *1346:24 *2912:10 0 +26 *1358:25 *2912:14 0 +27 *1479:10 *2912:10 0 +28 *1649:15 *2912:17 0 +29 *1661:31 *37901:A 0 +30 *1661:31 *2912:21 0 +31 *2791:21 *2912:10 0 +*RES +1 *40059:X *2912:10 27.175 +2 *2912:10 *2912:14 46.5 +3 *2912:14 *2912:16 4.5 +4 *2912:16 *2912:17 53.3125 +5 *2912:17 *2912:19 0.428571 +6 *2912:19 *2912:21 82.0625 +7 *2912:21 *5787:DIODE 9.3 +8 *2912:21 *37901:A 12.3179 +*END + +*D_NET *2913 0.0180812 +*CONN +*I *37899:A I *D sky130_fd_sc_hd__buf_2 +*I *5783:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40060:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37899:A 0.000121071 +2 *5783:DIODE 0 +3 *40060:X 0.00110083 +4 *2913:11 0.00793978 +5 *2913:10 0.00891954 +6 *2913:10 *3621:37 0 +7 *2913:10 *4712:8 0 +8 *39441:B *2913:10 0 +9 *293:27 *2913:10 0 +10 *1369:6 *2913:10 0 +11 *2501:9 *2913:11 0 +12 *2501:13 *37899:A 0 +13 *2501:13 *2913:11 0 +14 *2906:9 *37899:A 0 +15 *2906:9 *2913:11 0 +*RES +1 *40060:X *2913:10 43.2464 +2 *2913:10 *2913:11 163.179 +3 *2913:11 *5783:DIODE 9.3 +4 *2913:11 *37899:A 11.8893 +*END + +*D_NET *2914 0.0189803 +*CONN +*I *5779:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37897:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *40061:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5779:DIODE 0.000143745 +2 *37897:A 0 +3 *40061:X 0.000526934 +4 *2914:24 0.000229477 +5 *2914:19 0.00231549 +6 *2914:18 0.00228875 +7 *2914:13 0.00277924 +8 *2914:11 0.00274078 +9 *2914:9 0.00372475 +10 *2914:8 0.00423115 +11 *2914:8 *4608:8 0 +12 *354:17 *2914:18 0 +13 *1342:18 *2914:9 0 +14 *1344:8 *2914:8 0 +15 *1358:14 *2914:8 0 +16 *1362:9 *2914:19 0 +17 *1365:20 *2914:13 0 +18 *1365:27 *5779:DIODE 0 +19 *1479:11 *2914:9 0 +20 *1479:11 *2914:13 0 +21 *1483:22 *2914:13 0 +22 *1483:37 *5779:DIODE 0 +23 *1781:13 *2914:13 0 +24 *2789:21 *2914:9 0 +25 *2791:14 *2914:8 0 +*RES +1 *40061:X *2914:8 30.2643 +2 *2914:8 *2914:9 77.3393 +3 *2914:9 *2914:11 0.428571 +4 *2914:11 *2914:13 56.8036 +5 *2914:13 *2914:18 10.3393 +6 *2914:18 *2914:19 46.5357 +7 *2914:19 *2914:24 10.9464 +8 *2914:24 *37897:A 9.3 +9 *2914:24 *5779:DIODE 12.3 +*END + +*D_NET *2915 0.0187822 +*CONN +*I *5754:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37881:A I *D sky130_fd_sc_hd__buf_4 +*I *40062:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5754:DIODE 0 +2 *37881:A 0.000169645 +3 *40062:X 0.00134708 +4 *2915:17 0.00648973 +5 *2915:16 0.00787439 +6 *2915:10 0.00290138 +7 *37881:A *3710:17 0 +8 *2915:10 *2937:29 0 +9 *2915:10 *3124:29 0 +10 *2915:10 *3687:42 0 +11 *2915:16 *2944:24 0 +12 *2915:17 *2923:71 0 +13 *2915:17 *2936:15 0 +14 *2915:17 *2936:17 0 +15 *2915:17 *2939:46 0 +16 *2915:17 *3710:17 0 +17 *2915:17 *3710:36 0 +18 *2915:17 *3789:65 0 +19 *2915:17 *3825:25 0 +20 *2915:17 *3825:42 0 +21 *2915:17 *4367:51 0 +22 *2915:17 *5143:13 0 +23 *5949:DIODE *2915:17 0 +24 *1439:58 *2915:16 0 +25 *2336:25 *37881:A 0 +26 *2432:33 *2915:10 0 +27 *2432:41 *2915:10 0 +28 *2432:41 *2915:16 0 +29 *2717:8 *2915:10 0 +*RES +1 *40062:X *2915:10 46.8179 +2 *2915:10 *2915:16 41.5714 +3 *2915:16 *2915:17 131.964 +4 *2915:17 *37881:A 21.925 +5 *2915:17 *5754:DIODE 9.3 +*END + +*D_NET *2916 0.00914903 +*CONN +*I *40556:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38471:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40556:A 0.00185399 +2 *38471:X 0.00272053 +3 *2916:29 0.00457452 +4 *40556:A *2984:58 0 +5 *40556:A *3122:31 0 +6 *40556:A *3209:17 0 +7 *40556:A *5186:65 0 +8 *2916:29 *2984:58 0 +9 *2916:29 *3122:31 0 +10 *2916:29 *3125:22 0 +11 *2916:29 *3250:39 0 +12 *2916:29 *3413:60 0 +13 *2916:29 *5163:22 0 +14 *2916:29 *5165:61 0 +15 *2916:29 *5173:66 0 +16 *2916:29 *5182:120 0 +17 *7494:DIODE *2916:29 0 +18 *540:55 *40556:A 0 +19 *791:50 *2916:29 0 +20 *2379:30 *2916:29 0 +21 *2782:14 *2916:29 0 +22 *2849:23 *2916:29 0 +*RES +1 *38471:X *2916:29 48.1123 +2 *2916:29 *40556:A 37.5258 +*END + +*D_NET *2917 0.0192943 +*CONN +*I *5751:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37879:A I *D sky130_fd_sc_hd__buf_2 +*I *40063:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5751:DIODE 0 +2 *37879:A 0.000124066 +3 *40063:X 0.00108697 +4 *2917:22 0.00148931 +5 *2917:11 0.00843613 +6 *2917:10 0.00815786 +7 *37879:A *2931:35 0 +8 *2917:10 *3820:61 0 +9 *2917:10 *3979:26 0 +10 *2917:11 *3688:16 0 +11 *2917:11 *3753:13 0 +12 *2917:11 *3778:20 0 +13 *2917:11 *5131:11 0 +14 *2917:22 *4692:11 0 +15 *2917:22 *4709:10 0 +16 *338:21 *2917:22 0 +17 *1329:30 *2917:10 0 +18 *1511:18 *2917:22 0 +19 *2256:24 *2917:22 0 +20 *2281:39 *2917:22 0 +21 *2281:52 *2917:22 0 +22 *2318:34 *2917:11 0 +23 *2367:23 *2917:11 0 +24 *2434:47 *2917:10 0 +25 *2522:5 *37879:A 0 +26 *2843:52 *2917:22 0 +27 *2843:56 *2917:11 0 +*RES +1 *40063:X *2917:10 42.9429 +2 *2917:10 *2917:11 147.571 +3 *2917:11 *2917:22 46.8571 +4 *2917:22 *37879:A 11.8893 +5 *2917:22 *5751:DIODE 9.3 +*END + +*D_NET *2918 0.0186535 +*CONN +*I *37877:A I *D sky130_fd_sc_hd__buf_6 +*I *5748:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40064:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37877:A 0.000186552 +2 *5748:DIODE 0 +3 *40064:X 0.00296593 +4 *2918:20 0.0063608 +5 *2918:16 0.00914018 +6 *37877:A *2940:32 0 +7 *2918:16 *3014:28 0 +8 *2918:16 *3114:50 0 +9 *2918:16 *3713:39 0 +10 *2918:16 *3729:18 0 +11 *2918:16 *3735:22 0 +12 *2918:20 *3081:63 0 +13 *2918:20 *3717:31 0 +14 *2918:20 *4341:39 0 +15 *1018:54 *2918:16 0 +16 *1022:16 *2918:20 0 +17 *1027:64 *2918:20 0 +18 *2268:40 *2918:20 0 +19 *2273:43 *2918:20 0 +20 *2317:55 *37877:A 0 +21 *2421:49 *2918:16 0 +22 *2720:8 *2918:16 0 +23 *2722:16 *2918:16 0 +*RES +1 *40064:X *2918:16 48.1402 +2 *2918:16 *2918:20 27.5355 +3 *2918:20 *5748:DIODE 13.8 +4 *2918:20 *37877:A 17.8357 +*END + +*D_NET *2919 0.0244574 +*CONN +*I *5745:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37875:A I *D sky130_fd_sc_hd__buf_6 +*I *40065:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5745:DIODE 0 +2 *37875:A 0.000175973 +3 *40065:X 0.00189312 +4 *2919:91 0.00341286 +5 *2919:66 0.0053201 +6 *2919:62 0.00297473 +7 *2919:43 0.00400685 +8 *2919:42 0.00394801 +9 *2919:24 0.0027258 +10 *37875:A *3323:57 0 +11 *37875:A *4323:14 0 +12 *2919:24 *41323:A 0 +13 *2919:24 *2975:14 0 +14 *2919:24 *3283:81 0 +15 *2919:24 *3308:15 0 +16 *2919:24 *3439:51 0 +17 *2919:24 *3662:52 0 +18 *2919:24 *3666:13 0 +19 *2919:24 *3776:17 0 +20 *2919:24 *4040:15 0 +21 *2919:42 *3941:34 0 +22 *2919:42 *4319:25 0 +23 *2919:42 *4335:13 0 +24 *2919:43 *3274:79 0 +25 *2919:43 *3274:91 0 +26 *2919:43 *3279:95 0 +27 *2919:43 *3522:35 0 +28 *2919:43 *3658:73 0 +29 *2919:43 *4008:120 0 +30 *2919:43 *4355:50 0 +31 *2919:62 *3279:95 0 +32 *2919:62 *3658:65 0 +33 *2919:62 *3685:40 0 +34 *2919:62 *3988:25 0 +35 *2919:62 *4041:86 0 +36 *2919:62 *4344:17 0 +37 *2919:66 *3811:45 0 +38 *2919:66 *4008:103 0 +39 *2919:91 *2925:25 0 +40 *2919:91 *2925:37 0 +41 *2919:91 *3112:59 0 +42 *2919:91 *3298:40 0 +43 *2919:91 *3305:168 0 +44 *2919:91 *3317:176 0 +45 *2919:91 *3323:59 0 +46 *2919:91 *3528:20 0 +47 *2919:91 *3531:36 0 +48 *2919:91 *3647:16 0 +49 *2919:91 *3679:64 0 +50 *2919:91 *3685:40 0 +51 *2919:91 *3733:78 0 +52 *2919:91 *3742:48 0 +53 *2919:91 *3763:36 0 +54 *2919:91 *3942:80 0 +55 *1324:33 *37875:A 0 +56 *1414:44 *2919:43 0 +57 *1449:24 *2919:24 0 +58 *2336:47 *2919:91 0 +59 *2380:60 *2919:24 0 +60 *2434:47 *2919:91 0 +*RES +1 *40065:X *2919:24 47.6294 +2 *2919:24 *2919:42 28 +3 *2919:42 *2919:43 65.0179 +4 *2919:43 *2919:62 42.7988 +5 *2919:62 *2919:66 48.5446 +6 *2919:66 *2919:91 44.8522 +7 *2919:91 *37875:A 22.0321 +8 *2919:91 *5745:DIODE 9.3 +*END + +*D_NET *2920 0.0192562 +*CONN +*I *5742:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37873:A I *D sky130_fd_sc_hd__buf_6 +*I *40066:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *5742:DIODE 0 +2 *37873:A 0.000195613 +3 *40066:X 0.00242453 +4 *2920:19 0.00720357 +5 *2920:11 0.00943248 +6 *2920:11 *3031:17 0 +7 *2920:11 *3074:45 0 +8 *2920:11 *3424:24 0 +9 *2920:11 *3524:25 0 +10 *2920:11 *3713:39 0 +11 *2920:11 *3768:14 0 +12 *2920:19 *3029:55 0 +13 *2920:19 *3074:45 0 +14 *2920:19 *3358:72 0 +15 *2920:19 *3713:17 0 +16 *2920:19 *3713:39 0 +17 *2920:19 *3720:50 0 +18 *2920:19 *3818:15 0 +19 *2920:19 *3942:80 0 +20 *2920:19 *4067:39 0 +21 *2920:19 *4309:40 0 +22 *2920:19 *4341:39 0 +23 *355:21 *2920:19 0 +24 *1018:54 *2920:19 0 +25 *2336:26 *37873:A 0 +26 *2336:26 *2920:19 0 +27 *2429:34 *2920:19 0 +*RES +1 *40066:X *2920:11 33.8915 +2 *2920:11 *2920:19 37.8965 +3 *2920:19 *37873:A 22.4429 +4 *2920:19 *5742:DIODE 9.3 +*END + +*D_NET *2921 0.0185133 +*CONN +*I *7941:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40066:A I *D sky130_fd_sc_hd__buf_6 +*I *40067:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *7941:DIODE 0 +2 *40066:A 0.000143745 +3 *40067:X 0.000384237 +4 *2921:19 0.00192944 +5 *2921:11 0.00872867 +6 *2921:10 0.00732721 +7 *40066:A *3424:21 0 +8 *2921:10 *3108:30 0 +9 *2921:10 *3295:40 0 +10 *2921:10 *3400:61 0 +11 *2921:11 *40762:A 0 +12 *2921:11 *3036:57 0 +13 *2921:11 *3183:24 0 +14 *2921:19 *4355:23 0 +15 *1272:39 *2921:19 0 +16 *1337:41 *2921:19 0 +17 *2411:41 *2921:10 0 +18 *2419:45 *2921:11 0 +19 *2419:49 *40066:A 0 +20 *2419:49 *2921:11 0 +21 *2419:49 *2921:19 0 +22 *2421:42 *2921:19 0 +23 *2836:33 *2921:11 0 +*RES +1 *40067:X *2921:10 26.8714 +2 *2921:10 *2921:11 144.902 +3 *2921:11 *2921:19 37.4554 +4 *2921:19 *40066:A 12.3 +5 *2921:19 *7941:DIODE 9.3 +*END + +*D_NET *2922 0.0187863 +*CONN +*I *7942:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40067:A I *D sky130_fd_sc_hd__buf_4 +*I *40068:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7942:DIODE 2.26741e-05 +2 *40067:A 8.4707e-05 +3 *40068:X 0 +4 *2922:22 0.000193113 +5 *2922:19 0.00219742 +6 *2922:16 0.00342799 +7 *2922:5 0.00708835 +8 *2922:4 0.00577205 +9 *7942:DIODE *3400:66 0 +10 *40067:A *3400:66 0 +11 *2922:5 *39654:A 0 +12 *2922:16 *2957:10 0 +13 *2922:16 *3428:49 0 +14 *2922:16 *4729:10 0 +15 *37717:A *2922:5 0 +16 *39412:A *2922:5 0 +17 *1009:127 *2922:22 0 +18 *1241:9 *2922:5 0 +19 *1257:19 *2922:5 0 +20 *1274:11 *2922:5 0 +21 *1332:19 *2922:16 0 +22 *1605:11 *2922:5 0 +23 *1826:9 *2922:5 0 +24 *2392:15 *2922:19 0 +25 *2396:13 *2922:16 0 +26 *2396:30 *7942:DIODE 0 +27 *2396:30 *40067:A 0 +28 *2411:41 *2922:16 0 +29 *2423:11 *2922:5 0 +30 *2426:24 *2922:22 0 +31 *2721:14 *2922:16 0 +*RES +1 *40068:X *2922:4 9.3 +2 *2922:4 *2922:5 120.464 +3 *2922:5 *2922:16 46.1786 +4 *2922:16 *2922:19 48.5714 +5 *2922:19 *2922:22 6.44643 +6 *2922:22 *40067:A 11.0679 +7 *2922:22 *7942:DIODE 9.83571 +*END + +*D_NET *2923 0.0198841 +*CONN +*I *5739:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37871:A I *D sky130_fd_sc_hd__buf_6 +*I *40069:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *5739:DIODE 0 +2 *37871:A 0.000143745 +3 *40069:X 0.000853237 +4 *2923:76 0.000242828 +5 *2923:71 0.00315388 +6 *2923:69 0.00448867 +7 *2923:43 0.0033693 +8 *2923:36 0.00350339 +9 *2923:26 0.00242189 +10 *2923:16 0.00170716 +11 *37871:A *3710:23 0 +12 *37871:A *3825:45 0 +13 *37871:A *3825:52 0 +14 *2923:16 *2926:57 0 +15 *2923:16 *3112:37 0 +16 *2923:36 *6111:DIODE 0 +17 *2923:36 *3317:197 0 +18 *2923:36 *4323:43 0 +19 *2923:43 *2939:31 0 +20 *2923:43 *4323:34 0 +21 *2923:69 *2939:31 0 +22 *2923:69 *2972:50 0 +23 *2923:69 *2981:39 0 +24 *2923:69 *3354:30 0 +25 *2923:69 *3690:28 0 +26 *2923:69 *4055:52 0 +27 *2923:69 *4323:30 0 +28 *2923:71 *2939:31 0 +29 *2923:71 *2939:35 0 +30 *2923:71 *2939:39 0 +31 *2923:71 *3710:36 0 +32 *2923:71 *4070:63 0 +33 *2923:76 *3710:36 0 +34 *2923:76 *3778:24 0 +35 *1211:63 *2923:26 0 +36 *1330:25 *2923:43 0 +37 *1339:36 *2923:36 0 +38 *1411:40 *2923:69 0 +39 *1411:46 *2923:71 0 +40 *1419:23 *2923:69 0 +41 *2293:25 *2923:76 0 +42 *2309:38 *37871:A 0 +43 *2419:49 *2923:36 0 +44 *2419:55 *2923:36 0 +45 *2419:55 *2923:43 0 +46 *2432:13 *2923:26 0 +47 *2432:32 *2923:36 0 +48 *2915:17 *2923:71 0 +*RES +1 *40069:X *2923:16 45.4964 +2 *2923:16 *2923:26 27.2143 +3 *2923:26 *2923:36 46.5893 +4 *2923:36 *2923:43 49.3929 +5 *2923:43 *2923:69 41.8676 +6 *2923:69 *2923:71 63.7857 +7 *2923:71 *2923:76 11.25 +8 *2923:76 *37871:A 12.3 +9 *2923:76 *5739:DIODE 9.3 +*END + +*D_NET *2924 0.0225998 +*CONN +*I *7944:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40069:A I *D sky130_fd_sc_hd__buf_4 +*I *40070:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7944:DIODE 0.000126562 +2 *40069:A 9.49922e-05 +3 *40070:X 0.00128032 +4 *2924:20 0.00117642 +5 *2924:19 0.00266809 +6 *2924:11 0.00884316 +7 *2924:10 0.00841025 +8 *7944:DIODE *2926:57 0 +9 *7944:DIODE *3650:75 0 +10 *40069:A *4097:29 0 +11 *2924:10 *3295:74 0 +12 *2924:10 *3308:118 0 +13 *2924:10 *3625:50 0 +14 *2924:10 *4902:6 0 +15 *2924:11 *2975:9 0 +16 *2924:11 *3088:19 0 +17 *2924:11 *3088:25 0 +18 *2924:11 *3420:49 0 +19 *2924:11 *3485:35 0 +20 *2924:11 *3514:26 0 +21 *2924:19 *2943:17 0 +22 *2924:19 *2958:34 0 +23 *2924:19 *3014:33 0 +24 *2924:19 *3280:15 0 +25 *2924:19 *3652:40 0 +26 *2924:19 *3951:23 0 +27 *2924:19 *4102:24 0 +28 *2924:20 *3278:10 0 +29 *2924:20 *3647:62 0 +30 *2924:20 *3669:51 0 +31 *2924:20 *3676:14 0 +32 *2924:20 *4048:40 0 +33 *2447:6 *2924:10 0 +34 *2824:49 *2924:11 0 +35 *2837:49 *2924:11 0 +*RES +1 *40070:X *2924:10 47.3 +2 *2924:10 *2924:11 148.804 +3 *2924:11 *2924:19 49.5357 +4 *2924:19 *2924:20 21.8304 +5 *2924:20 *40069:A 16.2286 +6 *2924:20 *7944:DIODE 16.7375 +*END + +*D_NET *2925 0.0206204 +*CONN +*I *5736:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37869:A I *D sky130_fd_sc_hd__buf_6 +*I *40071:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *5736:DIODE 0 +2 *37869:A 0.000143745 +3 *40071:X 0.00480133 +4 *2925:37 0.00550887 +5 *2925:25 0.0101665 +6 *37869:A *3714:23 0 +7 *37869:A *3775:48 0 +8 *37869:A *4369:45 0 +9 *2925:25 *8252:DIODE 0 +10 *2925:25 *2937:14 0 +11 *2925:25 *3298:40 0 +12 *2925:25 *3709:38 0 +13 *2925:37 *2939:46 0 +14 *2925:37 *3074:45 0 +15 *2925:37 *3531:36 0 +16 *2925:37 *3659:32 0 +17 *2925:37 *3674:86 0 +18 *2925:37 *3775:48 0 +19 *2925:37 *3820:61 0 +20 *2925:37 *3825:42 0 +21 *2925:37 *4100:31 0 +22 *2925:37 *4314:20 0 +23 *2925:37 *4369:45 0 +24 *2925:37 *4705:14 0 +25 *1310:22 *2925:37 0 +26 *1325:44 *2925:25 0 +27 *1419:23 *2925:25 0 +28 *1419:23 *2925:37 0 +29 *1419:41 *2925:25 0 +30 *1436:22 *2925:37 0 +31 *1559:36 *2925:37 0 +32 *1560:44 *2925:37 0 +33 *1692:21 *2925:37 0 +34 *1820:18 *2925:37 0 +35 *2304:51 *2925:37 0 +36 *2395:52 *2925:25 0 +37 *2436:26 *2925:25 0 +38 *2443:36 *2925:25 0 +39 *2822:55 *2925:25 0 +40 *2919:91 *2925:25 0 +41 *2919:91 *2925:37 0 +*RES +1 *40071:X *2925:25 49.174 +2 *2925:25 *2925:37 37.5871 +3 *2925:37 *37869:A 12.3 +4 *2925:37 *5736:DIODE 9.3 +*END + +*D_NET *2926 0.0204131 +*CONN +*I *7945:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40071:A I *D sky130_fd_sc_hd__buf_4 +*I *40072:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7945:DIODE 0 +2 *40071:A 0.000484745 +3 *40072:X 0.0012007 +4 *2926:61 0.000862255 +5 *2926:57 0.00188257 +6 *2926:40 0.00275639 +7 *2926:21 0.00550807 +8 *2926:20 0.0053872 +9 *2926:13 0.00233116 +10 *40071:A *8508:DIODE 0 +11 *40071:A *3424:21 0 +12 *40071:A *3650:59 0 +13 *2926:13 *3108:30 0 +14 *2926:13 *3428:29 0 +15 *2926:13 *3432:75 0 +16 *2926:13 *3732:49 0 +17 *2926:13 *4023:54 0 +18 *2926:20 *3428:29 0 +19 *2926:20 *3654:29 0 +20 *2926:21 *4033:57 0 +21 *2926:21 *4048:43 0 +22 *2926:21 *4048:52 0 +23 *2926:21 *4097:54 0 +24 *2926:40 *3647:62 0 +25 *2926:40 *4048:43 0 +26 *2926:57 *2989:12 0 +27 *2926:57 *2992:70 0 +28 *2926:57 *3803:82 0 +29 *2926:57 *4051:24 0 +30 *2926:57 *4097:29 0 +31 *2926:57 *4241:49 0 +32 *2926:61 *8508:DIODE 0 +33 *2926:61 *3684:13 0 +34 *7944:DIODE *2926:57 0 +35 *38039:A *2926:40 0 +36 *1449:42 *2926:21 0 +37 *1553:46 *2926:13 0 +38 *2366:49 *2926:20 0 +39 *2384:53 *2926:40 0 +40 *2384:63 *2926:57 0 +41 *2385:22 *2926:20 0 +42 *2417:71 *2926:40 0 +43 *2430:11 *2926:13 0 +44 *2822:55 *40071:A 0 +45 *2822:55 *2926:61 0 +46 *2822:97 *2926:40 0 +47 *2923:16 *2926:57 0 +*RES +1 *40072:X *2926:13 44.1304 +2 *2926:13 *2926:20 32.7411 +3 *2926:20 *2926:21 88.8393 +4 *2926:21 *2926:40 49.0893 +5 *2926:40 *2926:57 49.7143 +6 *2926:57 *2926:61 12.625 +7 *2926:61 *40071:A 37.7107 +8 *2926:61 *7945:DIODE 9.3 +*END + +*D_NET *2927 0.00898967 +*CONN +*I *40555:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38472:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40555:A 0.000576692 +2 *38472:X 0.000965053 +3 *2927:66 0.00153128 +4 *2927:41 0.00295309 +5 *2927:33 0.00296356 +6 *40555:A *37682:A 0 +7 *40555:A *40269:A 0 +8 *40555:A *3018:95 0 +9 *40555:A *3077:70 0 +10 *40555:A *3077:91 0 +11 *2927:33 *3077:108 0 +12 *2927:33 *3087:74 0 +13 *2927:33 *3145:46 0 +14 *2927:33 *3250:39 0 +15 *2927:33 *3250:48 0 +16 *2927:33 *3571:11 0 +17 *2927:33 *5175:108 0 +18 *2927:41 *2938:44 0 +19 *2927:41 *3017:11 0 +20 *2927:41 *3017:31 0 +21 *2927:41 *3142:50 0 +22 *2927:41 *3142:74 0 +23 *2927:41 *3142:91 0 +24 *2927:41 *5172:94 0 +25 *2927:66 *40252:A 0 +26 *2927:66 *3017:31 0 +27 la_data_in_mprj[124] *2927:66 0 +28 *5509:DIODE *40555:A 0 +29 *537:22 *2927:33 0 +30 *787:22 *2927:41 0 +31 *791:50 *2927:33 0 +32 *792:36 *2927:33 0 +33 *1010:169 *40555:A 0 +34 *1240:24 *40555:A 0 +35 *1731:67 *2927:41 0 +36 *1855:22 *2927:41 0 +37 *1855:27 *2927:41 0 +38 *1855:27 *2927:66 0 +39 *2410:24 *2927:41 0 +40 *2849:23 *2927:33 0 +41 *2893:39 *2927:66 0 +*RES +1 *38472:X *2927:33 48.5833 +2 *2927:33 *2927:41 46.4911 +3 *2927:41 *2927:66 48.7321 +4 *2927:66 *40555:A 21.3357 +*END + +*D_NET *2928 0.0222832 +*CONN +*I *37867:A I *D sky130_fd_sc_hd__buf_6 +*I *5733:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40073:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *37867:A 0.000201 +2 *5733:DIODE 0 +3 *40073:X 0.00131182 +4 *2928:91 0.000420935 +5 *2928:83 0.00276314 +6 *2928:76 0.00418506 +7 *2928:55 0.00347796 +8 *2928:39 0.00363869 +9 *2928:28 0.00338769 +10 *2928:21 0.00289692 +11 *37867:A *3741:47 0 +12 *37867:A *4332:58 0 +13 *37867:A *4377:72 0 +14 *2928:21 *6006:DIODE 0 +15 *2928:21 *6415:DIODE 0 +16 *2928:21 *38288:A 0 +17 *2928:21 *3070:108 0 +18 *2928:21 *3274:79 0 +19 *2928:21 *3667:45 0 +20 *2928:21 *3750:55 0 +21 *2928:21 *3766:95 0 +22 *2928:28 *3274:79 0 +23 *2928:28 *3279:95 0 +24 *2928:28 *3319:126 0 +25 *2928:28 *3667:45 0 +26 *2928:28 *3730:101 0 +27 *2928:28 *4119:122 0 +28 *2928:39 *5420:DIODE 0 +29 *2928:39 *5438:DIODE 0 +30 *2928:39 *6020:DIODE 0 +31 *2928:39 *6021:DIODE 0 +32 *2928:39 *8483:DIODE 0 +33 *2928:39 *8513:DIODE 0 +34 *2928:39 *3305:142 0 +35 *2928:39 *3317:129 0 +36 *2928:39 *3317:135 0 +37 *2928:39 *3317:176 0 +38 *2928:39 *3319:115 0 +39 *2928:39 *3319:126 0 +40 *2928:39 *3667:44 0 +41 *2928:39 *3667:45 0 +42 *2928:39 *3825:75 0 +43 *2928:39 *4335:11 0 +44 *2928:39 *4335:13 0 +45 *2928:55 *8930:DIODE 0 +46 *2928:55 *8933:DIODE 0 +47 *2928:55 *8937:DIODE 0 +48 *2928:55 *8940:DIODE 0 +49 *2928:55 *38256:B 0 +50 *2928:55 *3283:21 0 +51 *2928:55 *3305:105 0 +52 *2928:55 *3316:98 0 +53 *2928:55 *3334:53 0 +54 *2928:55 *3815:70 0 +55 *2928:55 *4113:99 0 +56 *2928:55 *4128:83 0 +57 *2928:55 *4335:11 0 +58 *2928:55 *4336:54 0 +59 *2928:76 *3670:31 0 +60 *2928:76 *3772:32 0 +61 *2928:76 *4022:68 0 +62 *2928:76 *4310:80 0 +63 *2928:76 *4328:24 0 +64 *2928:76 *4352:19 0 +65 *2928:83 *3191:54 0 +66 *2928:83 *3358:81 0 +67 *2928:83 *3672:25 0 +68 *2928:83 *3689:15 0 +69 *2928:83 *3757:22 0 +70 *2928:83 *4103:47 0 +71 *2928:83 *4336:22 0 +72 *2928:83 *4708:19 0 +73 *2928:91 *3161:34 0 +74 *2928:91 *3741:47 0 +75 *2928:91 *4024:30 0 +76 *2928:91 *4332:58 0 +77 *37613:A *2928:39 0 +78 *37613:A *2928:55 0 +79 *39910:A *2928:76 0 +80 *1206:41 *2928:21 0 +81 *1532:36 *2928:76 0 +82 *2293:25 *2928:76 0 +83 *2434:47 *2928:39 0 +84 *2841:41 *2928:83 0 +*RES +1 *40073:X *2928:21 46.7464 +2 *2928:21 *2928:28 42.1964 +3 *2928:28 *2928:39 37.8036 +4 *2928:39 *2928:55 47.5357 +5 *2928:55 *2928:76 49.233 +6 *2928:76 *2928:83 47.0814 +7 *2928:83 *2928:91 13.9464 +8 *2928:91 *5733:DIODE 9.3 +9 *2928:91 *37867:A 22.5857 +*END + +*D_NET *2929 0.0217207 +*CONN +*I *40073:A I *D sky130_fd_sc_hd__buf_4 +*I *7947:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40074:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40073:A 2.56688e-05 +2 *7947:DIODE 0.00052989 +3 *40074:X 0.000714035 +4 *2929:64 0.00125907 +5 *2929:51 0.00551127 +6 *2929:50 0.00545045 +7 *2929:44 0.00196782 +8 *2929:21 0.00343682 +9 *2929:17 0.00282572 +10 *7947:DIODE *6004:DIODE 0 +11 *7947:DIODE *8919:DIODE 0 +12 *7947:DIODE *38024:A_N 0 +13 *7947:DIODE *2937:14 0 +14 *7947:DIODE *3387:14 0 +15 *40073:A *6415:DIODE 0 +16 *2929:17 *3432:75 0 +17 *2929:17 *4069:21 0 +18 *2929:21 *5582:DIODE 0 +19 *2929:21 *3810:9 0 +20 *2929:21 *4069:13 0 +21 *2929:21 *4069:21 0 +22 *2929:21 *4097:94 0 +23 *2929:44 *37852:B 0 +24 *2929:44 *40393:A 0 +25 *2929:44 *3284:10 0 +26 *2929:44 *3657:23 0 +27 *2929:44 *3732:35 0 +28 *2929:44 *4084:43 0 +29 *2929:44 *4330:11 0 +30 *2929:50 *3725:22 0 +31 *2929:50 *3756:37 0 +32 *2929:50 *4354:27 0 +33 *2929:51 *3036:33 0 +34 *2929:51 *3098:43 0 +35 *2929:51 *3285:78 0 +36 *2929:51 *3667:45 0 +37 *2929:51 *4034:88 0 +38 *2929:51 *4088:36 0 +39 *2929:51 *4225:59 0 +40 *2929:51 *4225:66 0 +41 *2929:51 *4335:13 0 +42 *2929:64 *38024:A_N 0 +43 *2929:64 *3387:14 0 +44 *2929:64 *3726:114 0 +45 *2929:64 *3780:18 0 +46 *2929:64 *4099:60 0 +47 *2929:64 *4267:87 0 +48 *39490:B *2929:17 0 +49 *1823:18 *2929:44 0 +50 *2370:66 *2929:44 0 +51 *2380:55 *2929:50 0 +52 *2430:11 *2929:17 0 +53 *2430:11 *2929:21 0 +54 *2432:32 *7947:DIODE 0 +*RES +1 *40074:X *2929:17 42.3714 +2 *2929:17 *2929:21 48.5714 +3 *2929:21 *2929:44 49.9904 +4 *2929:44 *2929:50 12.7676 +5 *2929:50 *2929:51 100.339 +6 *2929:51 *2929:64 29.4464 +7 *2929:64 *7947:DIODE 34.6929 +8 *2929:64 *40073:A 14.3357 +*END + +*D_NET *2930 0.019558 +*CONN +*I *5730:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37865:A I *D sky130_fd_sc_hd__buf_6 +*I *40075:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5730:DIODE 0 +2 *37865:A 0.000124066 +3 *40075:X 0.00363514 +4 *2930:40 0.00614383 +5 *2930:19 0.00965491 +6 *37865:A *3083:45 0 +7 *37865:A *4362:23 0 +8 *2930:19 *2959:32 0 +9 *2930:19 *3054:70 0 +10 *2930:19 *3080:50 0 +11 *2930:19 *3124:17 0 +12 *2930:19 *3709:27 0 +13 *2930:19 *3709:38 0 +14 *2930:19 *3741:79 0 +15 *2930:19 *3825:67 0 +16 *2930:19 *4114:53 0 +17 *2930:40 *2941:33 0 +18 *2930:40 *2941:47 0 +19 *2930:40 *2959:32 0 +20 *2930:40 *3080:50 0 +21 *2930:40 *3307:46 0 +22 *2930:40 *3307:66 0 +23 *2930:40 *3323:44 0 +24 *2930:40 *3741:79 0 +25 *2930:40 *3808:56 0 +26 *2930:40 *3825:67 0 +27 *2930:40 *4341:39 0 +28 *1271:25 *2930:19 0 +29 *1310:12 *2930:19 0 +30 *1325:44 *2930:19 0 +31 *2412:31 *2930:19 0 +32 *2412:31 *2930:40 0 +*RES +1 *40075:X *2930:19 44.7097 +2 *2930:19 *2930:40 33.2854 +3 *2930:40 *37865:A 11.8893 +4 *2930:40 *5730:DIODE 9.3 +*END + +*D_NET *2931 0.018749 +*CONN +*I *37863:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *5727:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40076:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37863:A 0.000141606 +2 *5727:DIODE 0 +3 *40076:X 0.000606944 +4 *2931:35 0.00183703 +5 *2931:33 0.00384476 +6 *2931:22 0.00314612 +7 *2931:9 0.00478122 +8 *2931:8 0.00439138 +9 *2931:8 *3693:36 0 +10 *2931:8 *3942:64 0 +11 *2931:8 *4089:41 0 +12 *2931:9 *3717:15 0 +13 *2931:22 *37859:A 0 +14 *2931:22 *2933:25 0 +15 *2931:22 *3655:31 0 +16 *2931:22 *3717:13 0 +17 *2931:22 *3717:15 0 +18 *2931:22 *4695:14 0 +19 *2931:22 *5036:11 0 +20 *2931:22 *5129:11 0 +21 *2931:33 *39834:A 0 +22 *2931:33 *5036:11 0 +23 *2931:33 *5121:22 0 +24 *37879:A *2931:35 0 +25 *37989:A *2931:33 0 +26 *38001:A *2931:22 0 +27 *1287:29 *2931:9 0 +28 *1446:29 *2931:8 0 +29 *2260:32 *37863:A 0 +30 *2260:40 *37863:A 0 +31 *2260:40 *2931:35 0 +32 *2264:39 *2931:22 0 +33 *2298:28 *2931:33 0 +34 *2312:18 *2931:22 0 +35 *2312:30 *2931:9 0 +36 *2312:30 *2931:22 0 +37 *2312:32 *2931:9 0 +38 *2420:57 *2931:22 0 +39 *2522:5 *37863:A 0 +40 *2522:5 *2931:35 0 +41 *2661:19 *2931:33 0 +42 *2661:19 *2931:35 0 +43 *2719:9 *2931:9 0 +44 *2723:17 *2931:9 0 +45 *2843:44 *2931:35 0 +*RES +1 *40076:X *2931:8 32.0857 +2 *2931:8 *2931:9 78.9821 +3 *2931:9 *2931:22 30.5536 +4 *2931:22 *2931:33 44.9821 +5 *2931:33 *2931:35 35.4464 +6 *2931:35 *5727:DIODE 9.3 +7 *2931:35 *37863:A 12.3179 +*END + +*D_NET *2932 0.0183131 +*CONN +*I *37861:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *5724:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40077:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37861:A 0.000133842 +2 *5724:DIODE 0 +3 *40077:X 0.000333036 +4 *2932:12 0.0088235 +5 *2932:8 0.0090227 +6 *2932:8 *3713:17 0 +7 *2932:8 *3733:52 0 +8 *2932:8 *4309:14 0 +9 *2932:12 *2935:20 0 +10 *2932:12 *2961:36 0 +11 *2932:12 *3074:45 0 +12 *2932:12 *3334:39 0 +13 *2932:12 *3674:68 0 +14 *2932:12 *3786:59 0 +15 *2932:12 *4067:17 0 +16 *1314:19 *2932:12 0 +17 *2254:68 *2932:12 0 +18 *2264:30 *37861:A 0 +19 *2268:22 *37861:A 0 +20 *2270:55 *2932:12 0 +21 *2317:26 *2932:12 0 +22 *2318:18 *2932:12 0 +23 *2329:52 *2932:12 0 +24 *2861:25 *2932:12 0 +*RES +1 *40077:X *2932:8 24.7725 +2 *2932:8 *2932:12 40.2173 +3 *2932:12 *5724:DIODE 13.8 +4 *2932:12 *37861:A 16.7107 +*END + +*D_NET *2933 0.0185691 +*CONN +*I *37859:A I *D sky130_fd_sc_hd__buf_6 +*I *5721:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40078:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37859:A 0.000175831 +2 *5721:DIODE 0 +3 *40078:X 0.000486743 +4 *2933:25 0.000453198 +5 *2933:19 0.00687595 +6 *2933:18 0.00834462 +7 *2933:8 0.00223278 +8 *2933:8 *3545:30 0 +9 *2933:8 *3762:18 0 +10 *2933:18 *3011:36 0 +11 *2933:18 *3011:43 0 +12 *2933:18 *3283:44 0 +13 *2933:19 *2940:20 0 +14 *2933:19 *3011:25 0 +15 *2933:19 *3387:25 0 +16 *2933:19 *3690:19 0 +17 *2933:19 *3822:58 0 +18 *2933:19 *4074:25 0 +19 *2933:25 *3798:54 0 +20 *39886:A *2933:18 0 +21 *39886:A *2933:19 0 +22 *1339:46 *2933:8 0 +23 *2264:57 *2933:19 0 +24 *2298:37 *2933:25 0 +25 *2300:20 *2933:19 0 +26 *2312:18 *37859:A 0 +27 *2312:30 *37859:A 0 +28 *2312:30 *2933:25 0 +29 *2720:8 *2933:18 0 +30 *2931:22 *37859:A 0 +31 *2931:22 *2933:25 0 +*RES +1 *40078:X *2933:8 29.3536 +2 *2933:8 *2933:18 46.1964 +3 *2933:18 *2933:19 137.714 +4 *2933:19 *2933:25 15.1429 +5 *2933:25 *5721:DIODE 9.3 +6 *2933:25 *37859:A 13.0321 +*END + +*D_NET *2934 0.0205334 +*CONN +*I *5718:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37857:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *40079:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5718:DIODE 0 +2 *37857:A 0.000124066 +3 *40079:X 0.00140879 +4 *2934:24 0.00153118 +5 *2934:9 0.00873384 +6 *2934:8 0.00732673 +7 *2934:6 0.00140879 +8 *2934:6 *38979:A 0 +9 *2934:6 *4355:8 0 +10 *2934:24 *38960:A 0 +11 *2934:24 *3161:54 0 +12 *344:10 *2934:9 0 +13 *344:12 *2934:9 0 +14 *345:20 *2934:9 0 +15 *346:18 *2934:24 0 +16 *347:20 *37857:A 0 +17 *352:17 *2934:6 0 +18 *356:14 *2934:9 0 +19 *1286:12 *2934:6 0 +20 *1515:6 *2934:6 0 +21 *1525:23 *2934:9 0 +22 *2276:31 *37857:A 0 +23 *2482:35 *2934:24 0 +24 *2529:16 *2934:24 0 +25 *2684:5 *2934:9 0 +26 *2728:16 *2934:24 0 +*RES +1 *40079:X *2934:6 45.8 +2 *2934:6 *2934:8 4.5 +3 *2934:8 *2934:9 152.911 +4 *2934:9 *2934:24 48.8214 +5 *2934:24 *37857:A 11.8893 +6 *2934:24 *5718:DIODE 9.3 +*END + +*D_NET *2935 0.0190173 +*CONN +*I *7953:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40079:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40080:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7953:DIODE 0 +2 *40079:A 0.00016428 +3 *40080:X 0.00184803 +4 *2935:20 0.00766062 +5 *2935:13 0.00934437 +6 *40079:A *2945:20 0 +7 *40079:A *2945:23 0 +8 *40079:A *4114:44 0 +9 *2935:13 *5425:DIODE 0 +10 *2935:13 *8510:DIODE 0 +11 *2935:13 *8711:DIODE 0 +12 *2935:13 *2959:19 0 +13 *2935:13 *2992:70 0 +14 *2935:13 *3005:44 0 +15 *2935:13 *3031:17 0 +16 *2935:13 *3420:40 0 +17 *2935:13 *3685:61 0 +18 *2935:13 *3770:12 0 +19 *2935:13 *3780:26 0 +20 *2935:13 *4723:14 0 +21 *2935:20 *2937:26 0 +22 *2935:20 *2961:36 0 +23 *2935:20 *3011:36 0 +24 *2935:20 *3029:46 0 +25 *2935:20 *3031:17 0 +26 *2935:20 *3074:18 0 +27 *2935:20 *3074:45 0 +28 *2935:20 *3310:20 0 +29 *2935:20 *3310:40 0 +30 *2935:20 *3334:39 0 +31 *2935:20 *3420:40 0 +32 *2935:20 *3662:28 0 +33 *2935:20 *3674:86 0 +34 *2935:20 *3679:64 0 +35 *2935:20 *3715:32 0 +36 *2935:20 *3733:72 0 +37 *2935:20 *3822:58 0 +38 *1029:84 *2935:20 0 +39 *1316:22 *40079:A 0 +40 *1330:34 *2935:20 0 +41 *1338:14 *2935:13 0 +42 *1338:14 *2935:20 0 +43 *1429:43 *40079:A 0 +44 *1446:29 *2935:20 0 +45 *2443:59 *2935:20 0 +46 *2812:20 *2935:13 0 +47 *2932:12 *2935:20 0 +*RES +1 *40080:X *2935:13 43.2304 +2 *2935:13 *2935:20 35.2948 +3 *2935:20 *40079:A 12.7286 +4 *2935:20 *7953:DIODE 9.3 +*END + +*D_NET *2936 0.0187873 +*CONN +*I *5715:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37855:A I *D sky130_fd_sc_hd__buf_4 +*I *40081:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5715:DIODE 0 +2 *37855:A 0.000104386 +3 *40081:X 0.00107787 +4 *2936:42 0.000170061 +5 *2936:37 0.00541541 +6 *2936:17 0.00814571 +7 *2936:15 0.00387385 +8 *2936:15 *3789:65 0 +9 *2936:15 *4024:37 0 +10 *2936:15 *4990:17 0 +11 *2936:17 *3080:83 0 +12 *2936:17 *3710:17 0 +13 *2936:17 *3789:43 0 +14 *2936:17 *3825:25 0 +15 *2936:17 *3825:42 0 +16 *2936:17 *5125:30 0 +17 *2936:17 *5127:36 0 +18 *2936:17 *5134:7 0 +19 *2936:37 *2961:36 0 +20 *2936:37 *2961:53 0 +21 *2936:37 *3786:59 0 +22 *2936:37 *3791:45 0 +23 *2936:37 *5134:7 0 +24 *2936:37 *5142:16 0 +25 *2936:42 *3795:14 0 +26 *5949:DIODE *2936:17 0 +27 *38267:A *2936:15 0 +28 *1029:84 *2936:37 0 +29 *1532:43 *2936:15 0 +30 *2222:83 *2936:37 0 +31 *2242:23 *37855:A 0 +32 *2254:38 *2936:37 0 +33 *2258:31 *2936:37 0 +34 *2259:43 *2936:37 0 +35 *2265:20 *37855:A 0 +36 *2266:49 *2936:37 0 +37 *2273:26 *2936:37 0 +38 *2280:30 *2936:37 0 +39 *2286:36 *2936:37 0 +40 *2292:50 *2936:37 0 +41 *2329:52 *2936:37 0 +42 *2344:7 *2936:37 0 +43 *2861:17 *2936:37 0 +44 *2861:25 *2936:37 0 +45 *2915:17 *2936:15 0 +46 *2915:17 *2936:17 0 +*RES +1 *40081:X *2936:15 32.1036 +2 *2936:15 *2936:17 58.4464 +3 *2936:17 *2936:37 49.2829 +4 *2936:37 *2936:42 9.40107 +5 *2936:42 *37855:A 11.4786 +6 *2936:42 *5715:DIODE 9.3 +*END + +*D_NET *2937 0.0202007 +*CONN +*I *7954:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40081:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40082:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7954:DIODE 0 +2 *40081:A 0.00016428 +3 *40082:X 0.00184909 +4 *2937:44 0.000209879 +5 *2937:39 0.0035727 +6 *2937:37 0.00523515 +7 *2937:29 0.00317116 +8 *2937:26 0.00280625 +9 *2937:14 0.00319222 +10 *40081:A *3789:75 0 +11 *2937:14 *6112:DIODE 0 +12 *2937:14 *6416:DIODE 0 +13 *2937:14 *8919:DIODE 0 +14 *2937:14 *38024:A_N 0 +15 *2937:14 *3050:10 0 +16 *2937:14 *3387:14 0 +17 *2937:14 *3648:58 0 +18 *2937:14 *3650:59 0 +19 *2937:14 *3740:46 0 +20 *2937:26 *3310:20 0 +21 *2937:26 *3715:32 0 +22 *2937:26 *3720:60 0 +23 *2937:29 *3687:42 0 +24 *2937:37 *3687:31 0 +25 *2937:37 *3687:42 0 +26 *2937:39 *3687:21 0 +27 *2937:39 *3687:31 0 +28 *2937:39 *3789:75 0 +29 *7947:DIODE *2937:14 0 +30 *40062:A *2937:29 0 +31 *40090:A *2937:37 0 +32 *1029:84 *2937:26 0 +33 *1211:54 *2937:14 0 +34 *1318:26 *2937:29 0 +35 *1325:44 *2937:14 0 +36 *1411:24 *2937:29 0 +37 *1532:42 *2937:39 0 +38 *2395:52 *2937:14 0 +39 *2395:64 *2937:14 0 +40 *2432:33 *2937:29 0 +41 *2824:32 *2937:26 0 +42 *2915:10 *2937:29 0 +43 *2925:25 *2937:14 0 +44 *2935:20 *2937:26 0 +*RES +1 *40082:X *2937:14 47.3073 +2 *2937:14 *2937:26 22.8207 +3 *2937:26 *2937:29 30.5357 +4 *2937:29 *2937:37 36.0179 +5 *2937:37 *2937:39 73.6429 +6 *2937:39 *2937:44 10.0357 +7 *2937:44 *40081:A 12.7286 +8 *2937:44 *7954:DIODE 9.3 +*END + +*D_NET *2938 0.0109133 +*CONN +*I *5543:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37708:B I *D sky130_fd_sc_hd__and2_1 +*I *38473:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5543:DIODE 0.000293634 +2 *37708:B 2.0535e-05 +3 *38473:X 0.00079173 +4 *2938:61 0.000991997 +5 *2938:53 0.00233689 +6 *2938:50 0.00313689 +7 *2938:44 0.00201386 +8 *2938:24 0.00132776 +9 *5543:DIODE *5542:DIODE 0 +10 *5543:DIODE *3027:43 0 +11 *5543:DIODE *3075:14 0 +12 *2938:24 *39040:A 0 +13 *2938:24 *3034:73 0 +14 *2938:24 *3571:11 0 +15 *2938:24 *5072:17 0 +16 *2938:24 *5171:118 0 +17 *2938:44 *3017:11 0 +18 *2938:44 *5177:23 0 +19 *2938:50 *5103:25 0 +20 *2938:50 *5177:17 0 +21 *2938:50 *5177:21 0 +22 *2938:53 *4348:43 0 +23 *2938:53 *4574:17 0 +24 *2938:53 *5103:25 0 +25 *2938:61 *5542:DIODE 0 +26 *2938:61 *3743:59 0 +27 la_data_in_mprj[121] *2938:24 0 +28 *545:47 *2938:53 0 +29 *1726:25 *2938:53 0 +30 *1731:67 *2938:44 0 +31 *2445:22 *2938:24 0 +32 *2882:17 *2938:24 0 +33 *2927:41 *2938:44 0 +*RES +1 *38473:X *2938:24 49.1214 +2 *2938:24 *2938:44 34.9286 +3 *2938:44 *2938:50 39.8393 +4 *2938:50 *2938:53 39.125 +5 *2938:53 *2938:61 19.8036 +6 *2938:61 *37708:B 9.72857 +7 *2938:61 *5543:DIODE 24.8714 +*END + +*D_NET *2939 0.0216345 +*CONN +*I *5712:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37853:A I *D sky130_fd_sc_hd__buf_6 +*I *40083:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5712:DIODE 2.56688e-05 +2 *37853:A 0.000195653 +3 *40083:X 0.000752158 +4 *2939:60 0.000765363 +5 *2939:59 0.00305122 +6 *2939:46 0.00418986 +7 *2939:39 0.00280975 +8 *2939:35 0.00309822 +9 *2939:31 0.00398282 +10 *2939:10 0.00276383 +11 *5712:DIODE *4336:9 0 +12 *37853:A *3191:57 0 +13 *37853:A *4336:9 0 +14 *2939:10 *3159:40 0 +15 *2939:10 *3183:36 0 +16 *2939:10 *3820:77 0 +17 *2939:31 *2959:32 0 +18 *2939:31 *2972:50 0 +19 *2939:31 *2981:39 0 +20 *2939:31 *3317:176 0 +21 *2939:31 *3690:28 0 +22 *2939:31 *4323:30 0 +23 *2939:35 *3080:60 0 +24 *2939:39 *37747:A 0 +25 *2939:39 *2944:42 0 +26 *2939:39 *3710:36 0 +27 *2939:39 *4100:31 0 +28 *2939:46 *37747:A 0 +29 *2939:46 *2944:42 0 +30 *2939:46 *3011:24 0 +31 *2939:46 *3710:36 0 +32 *2939:46 *3789:75 0 +33 *2939:46 *3795:60 0 +34 *2939:46 *4367:51 0 +35 *2939:46 *5143:13 0 +36 *2939:59 *3083:60 0 +37 *2939:59 *3124:39 0 +38 *2939:59 *3687:21 0 +39 *2939:59 *3808:19 0 +40 *2939:59 *3825:25 0 +41 *2939:59 *4341:22 0 +42 *2939:60 *4711:16 0 +43 *40076:A *2939:35 0 +44 *40077:A *2939:39 0 +45 *1310:22 *2939:31 0 +46 *1310:22 *2939:59 0 +47 *1330:25 *2939:31 0 +48 *1330:35 *2939:35 0 +49 *1532:42 *2939:59 0 +50 *1559:18 *2939:60 0 +51 *1560:26 *2939:59 0 +52 *2413:69 *2939:31 0 +53 *2419:68 *2939:10 0 +54 *2421:51 *2939:31 0 +55 *2421:51 *2939:35 0 +56 *2915:17 *2939:46 0 +57 *2923:43 *2939:31 0 +58 *2923:69 *2939:31 0 +59 *2923:71 *2939:31 0 +60 *2923:71 *2939:35 0 +61 *2923:71 *2939:39 0 +62 *2925:37 *2939:46 0 +*RES +1 *40083:X *2939:10 35.2643 +2 *2939:10 *2939:31 40.7605 +3 *2939:31 *2939:35 41.1384 +4 *2939:35 *2939:39 23.5536 +5 *2939:39 *2939:46 44.3393 +6 *2939:46 *2939:59 47.941 +7 *2939:59 *2939:60 12.4196 +8 *2939:60 *37853:A 17.9429 +9 *2939:60 *5712:DIODE 14.3357 +*END + +*D_NET *2940 0.0186787 +*CONN +*I *5706:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37849:A I *D sky130_fd_sc_hd__buf_6 +*I *40084:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5706:DIODE 0 +2 *37849:A 0.000158582 +3 *40084:X 0.00120699 +4 *2940:38 0.000217571 +5 *2940:33 0.00484707 +6 *2940:32 0.00617119 +7 *2940:20 0.00312668 +8 *2940:14 0.00295056 +9 *2940:14 *3011:24 0 +10 *2940:14 *3177:46 0 +11 *2940:14 *3730:23 0 +12 *2940:14 *3789:75 0 +13 *2940:14 *4332:66 0 +14 *2940:14 *4355:8 0 +15 *2940:14 *4365:46 0 +16 *2940:14 *4746:27 0 +17 *2940:20 *3690:19 0 +18 *2940:20 *4710:8 0 +19 *2940:32 *4699:8 0 +20 *2940:32 *5131:10 0 +21 *37877:A *2940:32 0 +22 *40092:A *2940:14 0 +23 *337:36 *2940:33 0 +24 *340:53 *37849:A 0 +25 *1286:12 *2940:14 0 +26 *1286:25 *2940:32 0 +27 *1525:20 *2940:20 0 +28 *1820:18 *2940:20 0 +29 *2278:35 *2940:38 0 +30 *2293:22 *2940:32 0 +31 *2308:27 *37849:A 0 +32 *2308:34 *2940:33 0 +33 *2317:42 *2940:33 0 +34 *2317:55 *2940:32 0 +35 *2420:57 *2940:33 0 +36 *2535:10 *37849:A 0 +37 *2580:23 *2940:33 0 +38 *2853:25 *37849:A 0 +39 *2870:44 *2940:33 0 +40 *2933:19 *2940:20 0 +*RES +1 *40084:X *2940:14 45.7286 +2 *2940:14 *2940:20 46.0893 +3 *2940:20 *2940:32 47.1071 +4 *2940:32 *2940:33 99.9286 +5 *2940:33 *2940:38 10.3393 +6 *2940:38 *37849:A 21.7464 +7 *2940:38 *5706:DIODE 9.3 +*END + +*D_NET *2941 0.0198311 +*CONN +*I *5703:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37847:A I *D sky130_fd_sc_hd__buf_6 +*I *40085:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5703:DIODE 0 +2 *37847:A 0.000233986 +3 *40085:X 0.00390356 +4 *2941:47 0.00601197 +5 *2941:33 0.00968154 +6 *37847:A *3710:16 0 +7 *37847:A *3787:38 0 +8 *37847:A *4367:26 0 +9 *37847:A *5113:14 0 +10 *37847:A *5127:41 0 +11 *2941:33 *2959:32 0 +12 *2941:33 *3307:46 0 +13 *2941:33 *3323:44 0 +14 *2941:33 *3709:16 0 +15 *2941:33 *3741:79 0 +16 *2941:33 *3808:56 0 +17 *2941:33 *4341:39 0 +18 *2941:47 *3080:83 0 +19 *2941:47 *3307:21 0 +20 *2941:47 *3307:46 0 +21 *2941:47 *3553:27 0 +22 *2941:47 *3575:18 0 +23 *2941:47 *3679:22 0 +24 *2941:47 *4341:22 0 +25 *2941:47 *4341:39 0 +26 *40623:A *2941:47 0 +27 *1310:22 *2941:33 0 +28 *1310:22 *2941:47 0 +29 *2059:53 *2941:47 0 +30 *2222:73 *37847:A 0 +31 *2264:69 *2941:33 0 +32 *2267:57 *2941:47 0 +33 *2304:32 *2941:47 0 +34 *2304:51 *2941:47 0 +35 *2309:43 *2941:33 0 +36 *2317:55 *2941:33 0 +37 *2325:78 *2941:33 0 +38 *2436:44 *2941:33 0 +39 *2865:39 *37847:A 0 +40 *2865:39 *2941:47 0 +41 *2930:40 *2941:33 0 +42 *2930:40 *2941:47 0 +*RES +1 *40085:X *2941:33 49.2536 +2 *2941:33 *2941:47 41.561 +3 *2941:47 *37847:A 23.7107 +4 *2941:47 *5703:DIODE 9.3 +*END + +*D_NET *2942 0.018451 +*CONN +*I *5700:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37845:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *40086:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5700:DIODE 0 +2 *37845:A 0.000171134 +3 *40086:X 0.000967783 +4 *2942:15 0.000990044 +5 *2942:9 0.0080866 +6 *2942:8 0.00823547 +7 *2942:8 *2956:10 0 +8 *2942:8 *3424:24 0 +9 *2942:8 *3768:18 0 +10 *2942:8 *4023:18 0 +11 *2942:8 *5003:10 0 +12 *2942:9 *37751:A 0 +13 *2942:9 *2956:17 0 +14 *2942:9 *3673:11 0 +15 *2942:9 *3762:21 0 +16 *347:10 *37845:A 0 +17 *352:17 *2942:15 0 +18 *355:16 *2942:9 0 +19 *355:18 *2942:9 0 +20 *356:14 *2942:9 0 +21 *366:7 *2942:8 0 +22 *1016:180 *37845:A 0 +23 *1016:180 *2942:15 0 +24 *1024:116 *37845:A 0 +25 *1024:116 *2942:15 0 +26 *1024:118 *2942:15 0 +27 *1537:24 *37845:A 0 +28 *2684:5 *2942:9 0 +29 *2720:11 *2942:9 0 +30 *2851:48 *37845:A 0 +*RES +1 *40086:X *2942:8 40.2821 +2 *2942:8 *2942:9 151.679 +3 *2942:9 *2942:15 26.5357 +4 *2942:15 *37845:A 22.1036 +5 *2942:15 *5700:DIODE 9.3 +*END + +*D_NET *2943 0.0186738 +*CONN +*I *7956:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40086:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40087:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7956:DIODE 0 +2 *40086:A 0.000202783 +3 *40087:X 0.00142519 +4 *2943:23 0.000364653 +5 *2943:17 0.00723276 +6 *2943:15 0.00754705 +7 *2943:10 0.00190135 +8 *40086:A *3050:11 0 +9 *40086:A *3951:11 0 +10 *2943:10 *3732:49 0 +11 *2943:10 *4895:20 0 +12 *2943:17 *2998:37 0 +13 *2943:17 *3007:24 0 +14 *2943:17 *3014:33 0 +15 *2943:17 *3512:28 0 +16 *2943:17 *3652:40 0 +17 *2943:17 *3951:23 0 +18 *2943:23 *3050:11 0 +19 *2943:23 *3519:10 0 +20 *2943:23 *3768:18 0 +21 *2943:23 *3951:11 0 +22 *1436:33 *2943:17 0 +23 *1544:8 *2943:10 0 +24 *2826:17 *2943:15 0 +25 *2826:17 *2943:17 0 +26 *2826:33 *2943:15 0 +27 *2842:15 *2943:15 0 +28 *2842:15 *2943:17 0 +29 *2842:21 *2943:17 0 +30 *2842:23 *2943:17 0 +31 *2924:19 *2943:17 0 +*RES +1 *40087:X *2943:10 46.0857 +2 *2943:10 *2943:15 14.5 +3 *2943:15 *2943:17 147.571 +4 *2943:17 *2943:23 12.6964 +5 *2943:23 *40086:A 13.5321 +6 *2943:23 *7956:DIODE 9.3 +*END + +*D_NET *2944 0.0195939 +*CONN +*I *5697:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37843:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *40088:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5697:DIODE 0 +2 *37843:A 0.000169684 +3 *40088:X 0.00056284 +4 *2944:43 0.00166831 +5 *2944:42 0.00317176 +6 *2944:36 0.00295078 +7 *2944:24 0.00323146 +8 *2944:11 0.00461502 +9 *2944:10 0.00322405 +10 *37843:A *3789:65 0 +11 *37843:A *4367:46 0 +12 *2944:10 *3648:42 0 +13 *2944:10 *4124:40 0 +14 *2944:11 *2972:51 0 +15 *2944:24 *3825:52 0 +16 *2944:36 *3080:61 0 +17 *2944:36 *3531:36 0 +18 *2944:36 *3674:86 0 +19 *2944:36 *3720:41 0 +20 *2944:36 *3825:52 0 +21 *2944:42 *37747:A 0 +22 *2944:42 *3659:32 0 +23 *2944:42 *3789:75 0 +24 *2944:42 *5143:13 0 +25 *2944:43 *3080:76 0 +26 *2944:43 *3710:23 0 +27 *2944:43 *4367:46 0 +28 *1329:30 *2944:11 0 +29 *1329:30 *2944:24 0 +30 *1329:36 *2944:24 0 +31 *1338:17 *2944:11 0 +32 *1560:26 *37843:A 0 +33 *1692:21 *2944:36 0 +34 *2293:25 *2944:36 0 +35 *2317:55 *2944:42 0 +36 *2432:41 *2944:24 0 +37 *2746:8 *2944:36 0 +38 *2915:16 *2944:24 0 +39 *2939:39 *2944:42 0 +40 *2939:46 *2944:42 0 +*RES +1 *40088:X *2944:10 30.7464 +2 *2944:10 *2944:11 55.5714 +3 *2944:11 *2944:24 49.1154 +4 *2944:24 *2944:36 36.4972 +5 *2944:36 *2944:42 44.125 +6 *2944:42 *2944:43 31.3393 +7 *2944:43 *37843:A 21.925 +8 *2944:43 *5697:DIODE 9.3 +*END + +*D_NET *2945 0.0185208 +*CONN +*I *5694:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37841:A I *D sky130_fd_sc_hd__buf_6 +*I *40089:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5694:DIODE 0 +2 *37841:A 0.000228703 +3 *40089:X 0.000525783 +4 *2945:25 0.00401014 +5 *2945:23 0.00561547 +6 *2945:20 0.00204359 +7 *2945:11 0.00289045 +8 *2945:10 0.00320667 +9 *2945:10 *2998:10 0 +10 *2945:10 *3681:23 0 +11 *2945:10 *3709:27 0 +12 *2945:10 *4055:31 0 +13 *2945:10 *5130:8 0 +14 *2945:11 *3283:27 0 +15 *2945:11 *3822:38 0 +16 *2945:11 *4114:44 0 +17 *2945:11 *4735:10 0 +18 *2945:20 *4114:44 0 +19 *2945:23 *4705:24 0 +20 *2945:23 *5129:10 0 +21 *2945:25 *4990:17 0 +22 *2945:25 *5129:10 0 +23 *6070:DIODE *2945:11 0 +24 *40079:A *2945:20 0 +25 *40079:A *2945:23 0 +26 *1316:22 *2945:20 0 +27 *1316:22 *2945:23 0 +28 *1429:43 *2945:11 0 +29 *1429:43 *2945:20 0 +30 *1429:43 *2945:23 0 +31 *1536:19 *2945:11 0 +32 *1559:18 *2945:25 0 +33 *2266:72 *2945:25 0 +34 *2279:38 *37841:A 0 +35 *2279:38 *2945:25 0 +36 *2315:34 *2945:25 0 +37 *2315:43 *2945:23 0 +38 *2336:32 *2945:11 0 +39 *2336:32 *2945:20 0 +40 *2336:34 *2945:11 0 +41 *2861:25 *2945:25 0 +*RES +1 *40089:X *2945:10 30.1929 +2 *2945:10 *2945:11 55.9821 +3 *2945:11 *2945:20 13.4643 +4 *2945:20 *2945:23 38.3393 +5 *2945:23 *2945:25 78.9821 +6 *2945:25 *37841:A 23.1571 +7 *2945:25 *5694:DIODE 9.3 +*END + +*D_NET *2946 0.0183804 +*CONN +*I *5691:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37839:A I *D sky130_fd_sc_hd__buf_6 +*I *40090:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5691:DIODE 0 +2 *37839:A 0.000189305 +3 *40090:X 0.000673524 +4 *2946:13 0.00851669 +5 *2946:12 0.0090009 +6 *2946:12 *3197:38 0 +7 *2946:12 *3651:26 0 +8 *2946:12 *3820:61 0 +9 *2946:12 *4719:8 0 +10 *2946:13 *3942:70 0 +11 *2946:13 *4309:24 0 +12 *1436:25 *2946:13 0 +13 *2273:51 *2946:13 0 +14 *2286:54 *2946:13 0 +15 *2286:63 *2946:13 0 +16 *2420:40 *2946:13 0 +17 *2846:17 *37839:A 0 +18 *2846:17 *2946:13 0 +*RES +1 *40090:X *2946:12 33.6571 +2 *2946:12 *2946:13 173.857 +3 *2946:13 *37839:A 22.3357 +4 *2946:13 *5691:DIODE 9.3 +*END + +*D_NET *2947 0.0206643 +*CONN +*I *5688:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37837:A I *D sky130_fd_sc_hd__buf_6 +*I *40091:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5688:DIODE 0.000281153 +2 *37837:A 0.00030888 +3 *40091:X 0.00123901 +4 *2947:91 0.00100817 +5 *2947:87 0.00244927 +6 *2947:70 0.0040193 +7 *2947:59 0.00246225 +8 *2947:47 0.00157626 +9 *2947:24 0.00325167 +10 *2947:19 0.00248939 +11 *2947:14 0.00157891 +12 *5688:DIODE *8958:DIODE 0 +13 *5688:DIODE *3786:59 0 +14 *5688:DIODE *4100:11 0 +15 *37837:A *3186:46 0 +16 *37837:A *3689:15 0 +17 *37837:A *5117:22 0 +18 *2947:14 *3670:37 0 +19 *2947:14 *3772:48 0 +20 *2947:19 *3772:48 0 +21 *2947:24 *3736:47 0 +22 *2947:24 *3791:54 0 +23 *2947:24 *4332:76 0 +24 *2947:24 *4746:27 0 +25 *2947:47 *3177:57 0 +26 *2947:47 *3648:11 0 +27 *2947:47 *3670:29 0 +28 *2947:47 *3670:31 0 +29 *2947:47 *3736:46 0 +30 *2947:47 *3781:24 0 +31 *2947:47 *3795:65 0 +32 *2947:47 *4746:15 0 +33 *2947:59 *3085:33 0 +34 *2947:59 *3670:24 0 +35 *2947:59 *4746:15 0 +36 *2947:70 *2948:19 0 +37 *2947:70 *3197:56 0 +38 *2947:70 *3670:24 0 +39 *2947:70 *4746:15 0 +40 *2947:87 *40297:A 0 +41 *2947:87 *3177:67 0 +42 *2947:87 *3787:48 0 +43 *2947:87 *4310:59 0 +44 *2947:87 *4324:20 0 +45 *2947:91 *3180:8 0 +46 *2947:91 *3186:46 0 +47 *2947:91 *3689:15 0 +48 *38019:A *2947:59 0 +49 *40642:A *5688:DIODE 0 +50 *40730:A *2947:70 0 +51 *1408:73 *2947:47 0 +52 *1559:18 *2947:70 0 +53 *1820:39 *2947:70 0 +54 *2289:30 *2947:91 0 +55 *2297:14 *2947:87 0 +56 *2304:51 *2947:24 0 +57 *2307:34 *2947:70 0 +58 *2338:18 *37837:A 0 +59 *2341:14 *5688:DIODE 0 +60 *2344:7 *5688:DIODE 0 +61 *2345:19 *37837:A 0 +62 *2437:40 *2947:87 0 +63 *2749:93 *2947:70 0 +*RES +1 *40091:X *2947:14 48.925 +2 *2947:14 *2947:19 11.625 +3 *2947:19 *2947:24 49.5 +4 *2947:24 *2947:47 45.7857 +5 *2947:47 *2947:59 19.1607 +6 *2947:59 *2947:70 49.5975 +7 *2947:70 *2947:87 45.6489 +8 *2947:87 *2947:91 9.00802 +9 *2947:91 *37837:A 21.1275 +10 *2947:91 *5688:DIODE 23.4868 +*END + +*D_NET *2948 0.020161 +*CONN +*I *37833:A I *D sky130_fd_sc_hd__buf_6 +*I *5682:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40092:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37833:A 0 +2 *5682:DIODE 0.000582502 +3 *40092:X 0.0017098 +4 *2948:37 0.00207102 +5 *2948:25 0.0053153 +6 *2948:24 0.0039125 +7 *2948:19 0.00247291 +8 *2948:18 0.0023872 +9 *2948:16 0.0017098 +10 *5682:DIODE *3185:8 0 +11 *2948:16 *3648:11 0 +12 *2948:16 *3730:23 0 +13 *2948:16 *3812:65 0 +14 *2948:16 *3823:66 0 +15 *2948:16 *4098:58 0 +16 *2948:16 *4310:59 0 +17 *2948:16 *4705:14 0 +18 *2948:19 *3085:55 0 +19 *2948:19 *3177:57 0 +20 *2948:19 *3672:17 0 +21 *2948:19 *4746:15 0 +22 *2948:25 *3181:50 0 +23 *2948:25 *3191:59 0 +24 *2948:25 *3201:43 0 +25 *2948:25 *4310:40 0 +26 *2948:25 *4338:41 0 +27 *2948:25 *5117:14 0 +28 *2948:37 *3085:93 0 +29 *2948:37 *4346:95 0 +30 *5973:DIODE *2948:16 0 +31 *5981:DIODE *2948:16 0 +32 *37824:A *2948:37 0 +33 *40709:A *2948:16 0 +34 *40743:A *2948:25 0 +35 *41367:A *5682:DIODE 0 +36 *41367:A *2948:37 0 +37 *1203:22 *2948:19 0 +38 *1237:45 *2948:16 0 +39 *1820:39 *2948:19 0 +40 *2221:75 *5682:DIODE 0 +41 *2275:26 *5682:DIODE 0 +42 *2275:26 *2948:37 0 +43 *2281:15 *5682:DIODE 0 +44 *2282:23 *5682:DIODE 0 +45 *2284:15 *2948:37 0 +46 *2297:14 *2948:25 0 +47 *2307:34 *2948:19 0 +48 *2319:24 *2948:37 0 +49 *2320:19 *2948:25 0 +50 *2327:20 *2948:37 0 +51 *2327:38 *2948:37 0 +52 *2334:20 *2948:25 0 +53 *2336:14 *2948:25 0 +54 *2346:54 *2948:37 0 +55 *2732:15 *2948:37 0 +56 *2947:70 *2948:19 0 +*RES +1 *40092:X *2948:16 49.7286 +2 *2948:16 *2948:18 4.5 +3 *2948:18 *2948:19 49.8214 +4 *2948:19 *2948:24 10.9464 +5 *2948:24 *2948:25 79.8036 +6 *2948:25 *2948:37 49.7143 +7 *2948:37 *5682:DIODE 31.3179 +8 *2948:37 *37833:A 9.3 +*END + +*D_NET *2949 0.00880815 +*CONN +*I *37492:B I *D sky130_fd_sc_hd__and2_1 +*I *5302:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38474:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *37492:B 0.000203639 +2 *5302:DIODE 0 +3 *38474:X 0.000139215 +4 *2949:20 0.000316112 +5 *2949:17 0.00173306 +6 *2949:9 0.00394875 +7 *2949:8 0.00246737 +8 *37492:B *3917:15 0 +9 *37492:B *3922:49 0 +10 *2949:9 *3115:9 0 +11 *2949:9 *4115:9 0 +12 *2949:17 *3093:15 0 +13 *2949:17 *3749:31 0 +14 *2949:17 *3900:25 0 +15 *2949:17 *4582:22 0 +16 *2949:20 *3249:38 0 +17 *2949:20 *4482:22 0 +18 *6624:DIODE *2949:17 0 +19 *423:7 *2949:17 0 +20 *538:8 *2949:8 0 +21 *551:5 *2949:17 0 +22 *794:5 *2949:8 0 +23 *1691:9 *37492:B 0 +24 *2353:10 *2949:17 0 +*RES +1 *38474:X *2949:8 21.4607 +2 *2949:8 *2949:9 48.5893 +3 *2949:9 *2949:17 48.8036 +4 *2949:17 *2949:20 7.05357 +5 *2949:20 *5302:DIODE 9.3 +6 *2949:20 *37492:B 13.55 +*END + +*D_NET *2950 0.0189282 +*CONN +*I *5673:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37823:A I *D sky130_fd_sc_hd__buf_6 +*I *40093:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5673:DIODE 0 +2 *37823:A 0.000204204 +3 *40093:X 0.00137126 +4 *2950:59 0.000522924 +5 *2950:55 0.00315555 +6 *2950:54 0.00310513 +7 *2950:37 0.00338363 +8 *2950:35 0.0044648 +9 *2950:11 0.00272072 +10 *2950:11 *3080:83 0 +11 *2950:11 *3083:60 0 +12 *2950:11 *3687:19 0 +13 *2950:11 *3790:18 0 +14 *2950:11 *4100:13 0 +15 *2950:11 *4362:18 0 +16 *2950:11 *4377:32 0 +17 *2950:35 *39712:A 0 +18 *2950:35 *2961:36 0 +19 *2950:35 *5130:21 0 +20 *2950:35 *5139:16 0 +21 *2950:35 *5141:12 0 +22 *2950:54 *5116:47 0 +23 *2950:54 *5117:33 0 +24 *2950:55 *5116:54 0 +25 *37607:A *2950:55 0 +26 *319:5 *37823:A 0 +27 *1508:28 *37823:A 0 +28 *1511:10 *2950:35 0 +29 *1522:12 *2950:35 0 +30 *1522:16 *2950:35 0 +31 *1943:28 *37823:A 0 +32 *1943:28 *2950:59 0 +33 *2217:84 *2950:11 0 +34 *2225:43 *2950:35 0 +35 *2242:43 *2950:35 0 +36 *2259:43 *2950:54 0 +37 *2266:37 *2950:55 0 +38 *2279:28 *2950:55 0 +39 *2279:30 *2950:37 0 +40 *2279:36 *2950:37 0 +41 *2280:30 *2950:54 0 +42 *2315:33 *2950:35 0 +43 *2699:18 *2950:55 0 +44 *2729:11 *2950:55 0 +45 *2729:11 *2950:59 0 +46 *2744:22 *37823:A 0 +47 *2863:10 *37823:A 0 +48 *2865:36 *2950:54 0 +*RES +1 *40093:X *2950:11 47.175 +2 *2950:11 *2950:35 48.2321 +3 *2950:35 *2950:37 65.0179 +4 *2950:37 *2950:54 23.9643 +5 *2950:54 *2950:55 59.2679 +6 *2950:55 *2950:59 6.71429 +7 *2950:59 *37823:A 22.8179 +8 *2950:59 *5673:DIODE 9.3 +*END + +*D_NET *2951 0.0193194 +*CONN +*I *37771:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *5617:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40094:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37771:A 0.000186611 +2 *5617:DIODE 0 +3 *40094:X 0.0025165 +4 *2951:28 0.00714319 +5 *2951:21 0.00947308 +6 *37771:A *3556:62 0 +7 *2951:21 *3554:63 0 +8 *2951:21 *3855:48 0 +9 *2951:21 *4136:49 0 +10 *2951:21 *4180:60 0 +11 *2951:21 *4387:118 0 +12 *2951:28 *3267:40 0 +13 *2951:28 *3267:48 0 +14 *2951:28 *3556:58 0 +15 *2951:28 *3564:20 0 +16 *2951:28 *3869:20 0 +17 *2951:28 *4207:16 0 +18 *317:15 *37771:A 0 +19 *1356:11 *37771:A 0 +20 *1482:26 *2951:28 0 +21 *1520:16 *2951:21 0 +22 *1789:22 *37771:A 0 +23 *1789:22 *2951:28 0 +24 *2762:19 *2951:21 0 +25 *2762:19 *2951:28 0 +26 *2869:14 *2951:21 0 +27 *2887:20 *2951:21 0 +28 *2908:11 *37771:A 0 +*RES +1 *40094:X *2951:21 48.6351 +2 *2951:21 *2951:28 35.4143 +3 *2951:28 *5617:DIODE 13.8 +4 *2951:28 *37771:A 17.8357 +*END + +*D_NET *2952 0.0186151 +*CONN +*I *37769:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *5614:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40095:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37769:A 0.000210551 +2 *5614:DIODE 0 +3 *40095:X 0.000805662 +4 *2952:24 0.000282912 +5 *2952:21 0.00387647 +6 *2952:20 0.00390023 +7 *2952:11 0.00441489 +8 *2952:10 0.00512443 +9 *2952:10 *5325:DIODE 0 +10 *2952:10 *40669:A 0 +11 *2952:10 *3204:22 0 +12 *2952:10 *3593:25 0 +13 *2952:10 *3606:84 0 +14 *2952:10 *4167:19 0 +15 *2952:10 *4210:7 0 +16 *2952:10 *4892:14 0 +17 *2952:11 *37734:B 0 +18 *2952:11 *4402:31 0 +19 *2952:11 *4646:10 0 +20 *2952:11 *4646:11 0 +21 *2952:21 *4700:10 0 +22 *7389:DIODE *37769:A 0 +23 *37537:A *2952:21 0 +24 *37915:A *2952:11 0 +25 *37915:A *2952:21 0 +26 *39427:B *37769:A 0 +27 *39441:A *2952:21 0 +28 *39441:B *2952:21 0 +29 *39442:B *2952:10 0 +30 *39929:A *2952:10 0 +31 *313:39 *2952:20 0 +32 *354:17 *2952:10 0 +33 *1149:9 *2952:21 0 +34 *1153:15 *2952:21 0 +35 *1168:49 *2952:10 0 +36 *1169:11 *2952:11 0 +37 *1343:11 *2952:11 0 +38 *1345:11 *2952:21 0 +39 *1355:25 *2952:21 0 +40 *1356:23 *2952:11 0 +41 *1471:9 *2952:11 0 +42 *1471:9 *2952:21 0 +43 *1482:13 *2952:11 0 +44 *1482:15 *2952:11 0 +45 *1651:15 *2952:11 0 +46 *1759:8 *37769:A 0 +47 *1759:8 *2952:24 0 +48 *1773:8 *2952:21 0 +49 *2764:8 *2952:10 0 +50 *2911:17 *2952:11 0 +*RES +1 *40095:X *2952:10 36.4786 +2 *2952:10 *2952:11 90.0714 +3 *2952:11 *2952:20 11.3214 +4 *2952:20 *2952:21 79.3929 +5 *2952:21 *2952:24 6.14286 +6 *2952:24 *5614:DIODE 13.8 +7 *2952:24 *37769:A 18.425 +*END + +*D_NET *2953 0.0182542 +*CONN +*I *5592:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37753:A I *D sky130_fd_sc_hd__buf_6 +*I *40096:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *5592:DIODE 0 +2 *37753:A 0.000143745 +3 *40096:X 0.000419829 +4 *2953:9 0.00870728 +5 *2953:8 0.00898336 +6 *2953:8 *3072:48 0 +7 *2953:8 *3735:26 0 +8 *2953:9 *3079:51 0 +9 *2953:9 *4355:9 0 +10 *1009:104 *2953:9 0 +11 *1286:21 *37753:A 0 +12 *1286:21 *2953:9 0 +13 *1286:25 *37753:A 0 +14 *2293:22 *37753:A 0 +15 *2293:22 *2953:9 0 +*RES +1 *40096:X *2953:8 27.8357 +2 *2953:8 *2953:9 178.786 +3 *2953:9 *37753:A 12.3 +4 *2953:9 *5592:DIODE 9.3 +*END + +*D_NET *2954 0.0185998 +*CONN +*I *7960:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40096:A I *D sky130_fd_sc_hd__buf_4 +*I *40097:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7960:DIODE 0 +2 *40096:A 0.000159627 +3 *40097:X 0.00110808 +4 *2954:17 0.00819182 +5 *2954:16 0.00803219 +6 *2954:14 0.00110808 +7 *40096:A *3011:58 0 +8 *2954:14 *3277:52 0 +9 *2954:14 *4895:20 0 +10 *2954:17 *2998:29 0 +11 *2954:17 *3011:58 0 +12 *2954:17 *3047:24 0 +13 *1016:216 *2954:14 0 +14 *2473:35 *2954:14 0 +15 *2480:7 *2954:17 0 +16 *2818:39 *2954:14 0 +17 *2826:11 *2954:17 0 +*RES +1 *40097:X *2954:14 46.8536 +2 *2954:14 *2954:16 4.5 +3 *2954:16 *2954:17 167.696 +4 *2954:17 *40096:A 21.8714 +5 *2954:17 *7960:DIODE 9.3 +*END + +*D_NET *2955 0.0186761 +*CONN +*I *40097:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7961:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40098:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40097:A 0.000314844 +2 *7961:DIODE 0.000104386 +3 *40098:X 0.000473352 +4 *2955:9 0.00886469 +5 *2955:8 0.00891881 +6 *7961:DIODE *2974:29 0 +7 *7961:DIODE *3000:49 0 +8 *7961:DIODE *3000:51 0 +9 *40097:A *3000:49 0 +10 *40097:A *3036:57 0 +11 *40097:A *3545:42 0 +12 *2955:8 *3541:10 0 +13 *2955:9 *2974:29 0 +14 *369:31 *2955:8 0 +15 *370:32 *40097:A 0 +16 *1257:19 *2955:8 0 +17 *2392:13 *2955:8 0 +18 *2441:10 *2955:8 0 +19 *2441:29 *2955:9 0 +20 *2819:21 *2955:9 0 +*RES +1 *40098:X *2955:8 29.05 +2 *2955:8 *2955:9 176.321 +3 *2955:9 *7961:DIODE 11.4786 +4 *2955:9 *40097:A 29.1358 +*END + +*D_NET *2956 0.0184643 +*CONN +*I *37751:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *5589:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40099:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37751:A 0.000156152 +2 *5589:DIODE 0 +3 *40099:X 0 +4 *2956:17 0.00319277 +5 *2956:16 0.00446642 +6 *2956:10 0.00249112 +7 *2956:5 0.0046096 +8 *2956:4 0.00354828 +9 *2956:5 *2975:9 0 +10 *2956:5 *3029:57 0 +11 *2956:10 *3050:10 0 +12 *2956:10 *4023:18 0 +13 *2956:10 *4024:44 0 +14 *2956:10 *5003:10 0 +15 *2956:16 *3664:9 0 +16 *2956:16 *3946:35 0 +17 *2956:16 *4257:32 0 +18 *355:16 *37751:A 0 +19 *362:8 *2956:16 0 +20 *2720:11 *2956:17 0 +21 *2942:8 *2956:10 0 +22 *2942:9 *37751:A 0 +23 *2942:9 *2956:17 0 +*RES +1 *40099:X *2956:4 9.3 +2 *2956:4 *2956:5 74.0536 +3 *2956:5 *2956:10 33.1071 +4 *2956:10 *2956:16 39 +5 *2956:16 *2956:17 63.375 +6 *2956:17 *5589:DIODE 9.3 +7 *2956:17 *37751:A 12.6214 +*END + +*D_NET *2957 0.0185341 +*CONN +*I *7962:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40099:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40100:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7962:DIODE 0 +2 *40099:A 0.000183104 +3 *40100:X 0.00120535 +4 *2957:15 0.00752502 +5 *2957:13 0.0078786 +6 *2957:10 0.00174205 +7 *40099:A *2975:9 0 +8 *40099:A *3029:57 0 +9 *2957:10 *39641:A 0 +10 *2957:10 *3097:18 0 +11 *2957:10 *3428:49 0 +12 *2957:10 *4036:18 0 +13 *2957:13 *40765:A 0 +14 *2957:13 *3088:19 0 +15 *2957:13 *3512:35 0 +16 *2957:15 *2975:9 0 +17 *2957:15 *2980:34 0 +18 *2957:15 *3029:57 0 +19 *2957:15 *3088:19 0 +20 *2957:15 *3088:25 0 +21 *2957:15 *3117:45 0 +22 *2957:15 *3512:29 0 +23 *2957:15 *3512:35 0 +24 *265:7 *2957:10 0 +25 *1333:20 *2957:10 0 +26 *1451:50 *2957:10 0 +27 *1551:23 *2957:15 0 +28 *2446:8 *2957:10 0 +29 *2473:8 *2957:10 0 +30 *2824:49 *2957:15 0 +31 *2922:16 *2957:10 0 +*RES +1 *40100:X *2957:10 45.675 +2 *2957:10 *2957:13 11.2321 +3 *2957:13 *2957:15 153.321 +4 *2957:15 *40099:A 13.1214 +5 *2957:15 *7962:DIODE 9.3 +*END + +*D_NET *2958 0.0194376 +*CONN +*I *5586:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37749:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *40101:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5586:DIODE 0.000139215 +2 *37749:A 4.53482e-05 +3 *40101:X 0.00107496 +4 *2958:39 0.00204812 +5 *2958:35 0.00571686 +6 *2958:34 0.00541361 +7 *2958:28 0.00274243 +8 *2958:10 0.0022571 +9 *5586:DIODE *3584:24 0 +10 *37749:A *4989:11 0 +11 *2958:28 *3280:15 0 +12 *2958:28 *3652:40 0 +13 *2958:28 *3951:23 0 +14 *2958:28 *4241:81 0 +15 *2958:34 *3108:40 0 +16 *2958:34 *3278:10 0 +17 *2958:34 *3280:15 0 +18 *2958:39 *3979:32 0 +19 *2958:39 *4989:11 0 +20 *38265:A *2958:39 0 +21 *368:10 *2958:35 0 +22 *1015:110 *2958:35 0 +23 *1272:25 *2958:10 0 +24 *1328:53 *2958:10 0 +25 *1337:26 *2958:10 0 +26 *1539:16 *5586:DIODE 0 +27 *2365:39 *2958:35 0 +28 *2365:51 *2958:39 0 +29 *2465:14 *2958:34 0 +30 *2722:19 *2958:35 0 +31 *2726:27 *37749:A 0 +32 *2726:27 *2958:39 0 +33 *2924:19 *2958:34 0 +*RES +1 *40101:X *2958:10 42.1214 +2 *2958:10 *2958:28 35.3393 +3 *2958:28 *2958:34 42.2857 +4 *2958:34 *2958:35 80.4196 +5 *2958:35 *2958:39 38.9554 +6 *2958:39 *37749:A 10.2464 +7 *2958:39 *5586:DIODE 21.4607 +*END + +*D_NET *2959 0.0191201 +*CONN +*I *5584:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37747:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *40102:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5584:DIODE 0 +2 *37747:A 0.000144601 +3 *40102:X 0.00154826 +4 *2959:34 0.000144601 +5 *2959:32 0.0078672 +6 *2959:19 0.00941546 +7 *2959:19 *2987:35 0 +8 *2959:19 *3076:52 0 +9 *2959:19 *3112:37 0 +10 *2959:19 *4097:29 0 +11 *2959:32 *3054:70 0 +12 *2959:32 *3080:50 0 +13 *2959:32 *3181:28 0 +14 *2959:32 *3690:28 0 +15 *2959:32 *3692:34 0 +16 *2959:32 *3709:27 0 +17 *2959:32 *3709:38 0 +18 *2959:32 *3825:67 0 +19 *2959:32 *4070:63 0 +20 *1310:12 *2959:32 0 +21 *1310:22 *2959:32 0 +22 *1319:27 *2959:19 0 +23 *1320:29 *2959:19 0 +24 *1330:22 *2959:32 0 +25 *1338:14 *2959:32 0 +26 *1419:41 *2959:32 0 +27 *1543:24 *2959:32 0 +28 *2395:52 *2959:32 0 +29 *2440:49 *2959:19 0 +30 *2443:59 *2959:32 0 +31 *2816:19 *2959:32 0 +32 *2930:19 *2959:32 0 +33 *2930:40 *2959:32 0 +34 *2935:13 *2959:19 0 +35 *2939:31 *2959:32 0 +36 *2939:39 *37747:A 0 +37 *2939:46 *37747:A 0 +38 *2941:33 *2959:32 0 +39 *2944:42 *37747:A 0 +*RES +1 *40102:X *2959:19 49.2263 +2 *2959:19 *2959:32 46.718 +3 *2959:32 *2959:34 4.5 +4 *2959:34 *37747:A 12.3179 +5 *2959:34 *5584:DIODE 9.3 +*END + +*D_NET *2960 0.00657308 +*CONN +*I *40554:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38475:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40554:A 0.000472259 +2 *38475:X 0.00120659 +3 *2960:43 0.00207995 +4 *2960:20 0.00281428 +5 *2960:20 *2971:10 0 +6 *2960:20 *2982:29 0 +7 *2960:20 *3087:49 0 +8 *2960:20 *3106:51 0 +9 *2960:20 *4015:26 0 +10 *2960:20 *4382:17 0 +11 *2960:20 *4393:16 0 +12 *2960:20 *5072:17 0 +13 *2960:20 *5102:19 0 +14 *2960:20 *5179:115 0 +15 *2960:43 *3142:50 0 +16 *2960:43 *5191:27 0 +17 *38618:A *2960:20 0 +18 *409:61 *2960:20 0 +19 *537:43 *2960:20 0 +20 *539:8 *2960:20 0 +21 *795:41 *2960:43 0 +22 *1010:169 *2960:43 0 +23 *1855:27 *2960:43 0 +24 *2406:16 *2960:43 0 +25 *2849:23 *2960:43 0 +*RES +1 *38475:X *2960:20 48.7018 +2 *2960:20 *2960:43 48.9109 +3 *2960:43 *40554:A 24.4786 +*END + +*D_NET *2961 0.0228086 +*CONN +*I *37745:A I *D sky130_fd_sc_hd__buf_6 +*I *5581:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40103:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37745:A 0 +2 *5581:DIODE 0.000196947 +3 *40103:X 0.00122356 +4 *2961:53 0.00323562 +5 *2961:36 0.00998381 +6 *2961:13 0.0081687 +7 *5581:DIODE *3358:23 0 +8 *2961:13 *3804:79 0 +9 *2961:13 *3822:38 0 +10 *2961:13 *3825:52 0 +11 *2961:36 *3334:19 0 +12 *2961:36 *3789:65 0 +13 *2961:36 *3808:40 0 +14 *2961:36 *4114:28 0 +15 *2961:36 *4347:38 0 +16 *2961:36 *5128:19 0 +17 *2961:53 *3796:10 0 +18 *40687:A *2961:53 0 +19 *336:52 *2961:53 0 +20 *1029:84 *2961:36 0 +21 *1029:84 *2961:53 0 +22 *2248:29 *2961:53 0 +23 *2258:31 *2961:53 0 +24 *2266:49 *2961:53 0 +25 *2304:32 *2961:53 0 +26 *2305:14 *2961:53 0 +27 *2309:38 *2961:13 0 +28 *2318:18 *2961:53 0 +29 *2329:52 *2961:53 0 +30 *2331:29 *2961:53 0 +31 *2466:8 *2961:36 0 +32 *2861:25 *2961:36 0 +33 *2861:25 *2961:53 0 +34 *2932:12 *2961:36 0 +35 *2935:20 *2961:36 0 +36 *2936:37 *2961:36 0 +37 *2936:37 *2961:53 0 +38 *2950:35 *2961:36 0 +*RES +1 *40103:X *2961:13 49.8179 +2 *2961:13 *2961:36 46.7004 +3 *2961:36 *2961:53 45.9487 +4 *2961:53 *5581:DIODE 22.8357 +5 *2961:53 *37745:A 9.3 +*END + +*D_NET *2962 0.0253873 +*CONN +*I *5578:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37743:A I *D sky130_fd_sc_hd__buf_6 +*I *40104:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5578:DIODE 0 +2 *37743:A 0.000475125 +3 *40104:X 0.000272434 +4 *2962:87 0.00182281 +5 *2962:63 0.00323447 +6 *2962:56 0.00253961 +7 *2962:46 0.00257091 +8 *2962:38 0.00443337 +9 *2962:30 0.00412572 +10 *2962:19 0.00362543 +11 *2962:12 0.00228743 +12 *37743:A *3588:13 0 +13 *2962:19 *40763:A 0 +14 *2962:19 *3112:82 0 +15 *2962:19 *3177:46 0 +16 *2962:19 *3665:27 0 +17 *2962:19 *3723:61 0 +18 *2962:19 *3984:90 0 +19 *2962:19 *4746:27 0 +20 *2962:30 *3723:61 0 +21 *2962:30 *4332:58 0 +22 *2962:38 *3085:46 0 +23 *2962:38 *3358:72 0 +24 *2962:38 *4024:40 0 +25 *2962:46 *3687:19 0 +26 *2962:46 *3741:23 0 +27 *2962:46 *4332:33 0 +28 *2962:46 *5125:22 0 +29 *2962:56 *3354:12 0 +30 *2962:56 *3659:14 0 +31 *2962:56 *4332:25 0 +32 *2962:56 *4332:33 0 +33 *2962:56 *5116:16 0 +34 *2962:87 *3120:50 0 +35 *2962:87 *3588:13 0 +36 *2962:87 *3821:28 0 +37 *2962:87 *3956:24 0 +38 *2962:87 *4100:11 0 +39 *2962:87 *4370:32 0 +40 *39488:B *2962:30 0 +41 *39915:A *2962:56 0 +42 *40654:A *2962:87 0 +43 *1018:54 *2962:38 0 +44 *1312:12 *2962:87 0 +45 *1324:47 *2962:19 0 +46 *1408:58 *2962:19 0 +47 *1505:49 *2962:46 0 +48 *1821:16 *2962:30 0 +49 *2217:80 *2962:87 0 +50 *2222:73 *37743:A 0 +51 *2222:73 *2962:87 0 +52 *2242:65 *2962:38 0 +53 *2256:27 *2962:38 0 +54 *2265:59 *2962:30 0 +55 *2265:59 *2962:38 0 +56 *2277:37 *2962:30 0 +57 *2277:37 *2962:38 0 +58 *2303:30 *2962:63 0 +59 *2307:20 *2962:63 0 +60 *2312:15 *37743:A 0 +61 *2315:21 *37743:A 0 +62 *2421:63 *2962:12 0 +63 *2719:6 *2962:38 0 +64 *2726:18 *2962:38 0 +65 *2841:41 *2962:19 0 +*RES +1 *40104:X *2962:12 24.55 +2 *2962:12 *2962:19 42.1786 +3 *2962:19 *2962:30 38.7589 +4 *2962:30 *2962:38 46.6368 +5 *2962:38 *2962:46 49.2589 +6 *2962:46 *2962:56 27.7054 +7 *2962:56 *2962:63 47.1627 +8 *2962:63 *2962:87 39.9697 +9 *2962:87 *37743:A 37.8536 +10 *2962:87 *5578:DIODE 9.3 +*END + +*D_NET *2963 0.0193755 +*CONN +*I *5536:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37703:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *40105:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5536:DIODE 0.000101392 +2 *37703:A 0 +3 *40105:X 0.00120553 +4 *2963:39 0.000356864 +5 *2963:27 0.00746262 +6 *2963:25 0.00812534 +7 *2963:17 0.00212372 +8 *5536:DIODE *3012:51 0 +9 *2963:17 *5588:DIODE 0 +10 *2963:17 *2973:23 0 +11 *2963:17 *2990:13 0 +12 *2963:17 *3284:37 0 +13 *2963:17 *3288:81 0 +14 *2963:17 *3773:57 0 +15 *2963:17 *3779:82 0 +16 *2963:25 *3019:11 0 +17 *2963:25 *3288:81 0 +18 *2963:27 *5487:DIODE 0 +19 *2963:27 *3009:58 0 +20 *2963:27 *3009:64 0 +21 *2963:27 *3012:37 0 +22 *2963:27 *3012:44 0 +23 *2963:27 *3019:11 0 +24 *2963:27 *3019:28 0 +25 *2963:27 *3044:21 0 +26 *2963:39 *37625:A 0 +27 *2963:39 *3012:51 0 +28 *7465:DIODE *2963:27 0 +29 *38103:A *2963:27 0 +30 *39495:B *2963:27 0 +31 *39517:A *2963:27 0 +32 *362:20 *2963:27 0 +33 *367:15 *2963:39 0 +34 *1466:57 *2963:39 0 +35 *1588:6 *2963:39 0 +36 *1711:14 *5536:DIODE 0 +37 *1711:14 *2963:27 0 +38 *1711:21 *2963:27 0 +39 *1721:5 *2963:27 0 +40 *2800:53 *2963:25 0 +41 *2800:64 *2963:27 0 +*RES +1 *40105:X *2963:17 49.1689 +2 *2963:17 *2963:25 28.4286 +3 *2963:25 *2963:27 150.446 +4 *2963:27 *2963:39 17.9085 +5 *2963:39 *37703:A 9.3 +6 *2963:39 *5536:DIODE 11.4786 +*END + +*D_NET *2964 0.0184122 +*CONN +*I *5531:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37699:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *40106:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5531:DIODE 0.000143745 +2 *37699:A 0 +3 *40106:X 0 +4 *2964:7 0.00016428 +5 *2964:5 0.00906236 +6 *2964:4 0.00904183 +7 *2964:5 *5484:DIODE 0 +8 *2964:5 *37641:A 0 +9 *2964:5 *39322:A 0 +10 *2964:5 *39538:B 0 +11 *2964:5 *40286:A 0 +12 *2964:5 *41405:A 0 +13 *2964:5 *2967:25 0 +14 *2964:5 *3212:26 0 +15 *2964:5 *4545:22 0 +16 *2964:5 *4547:54 0 +17 *2964:5 *5178:56 0 +18 *2964:5 *5209:30 0 +19 *2964:5 *5209:55 0 +20 *2964:5 *5209:69 0 +21 *6874:DIODE *2964:5 0 +22 *6884:DIODE *2964:5 0 +23 *6909:DIODE *2964:5 0 +24 *6915:DIODE *2964:5 0 +25 *6928:DIODE *2964:5 0 +26 *6930:DIODE *2964:5 0 +27 *37417:A *2964:5 0 +28 *37427:A *2964:5 0 +29 *37453:A *2964:5 0 +30 *37468:A *2964:5 0 +31 *39400:A *2964:5 0 +32 *39409:B *5531:DIODE 0 +33 *39538:A *2964:5 0 +34 *39574:A *2964:5 0 +35 *1040:12 *2964:5 0 +36 *1701:29 *2964:5 0 +37 *1720:17 *2964:5 0 +38 *1720:34 *2964:5 0 +39 *1867:17 *2964:5 0 +40 *1875:11 *2964:5 0 +*RES +1 *40106:X *2964:4 9.3 +2 *2964:4 *2964:5 188.643 +3 *2964:5 *2964:7 0.428571 +4 *2964:7 *37699:A 9.3 +5 *2964:7 *5531:DIODE 12.3 +*END + +*D_NET *2965 0.0191604 +*CONN +*I *37687:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *5515:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40107:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37687:A 0 +2 *5515:DIODE 0.00016428 +3 *40107:X 0.00107133 +4 *2965:37 0.00178484 +5 *2965:30 0.0035822 +6 *2965:15 0.00672406 +7 *2965:13 0.00583374 +8 *5515:DIODE *3026:9 0 +9 *5515:DIODE *4765:9 0 +10 *2965:13 *3022:19 0 +11 *2965:13 *3164:44 0 +12 *2965:13 *3199:63 0 +13 *2965:13 *3724:78 0 +14 *2965:13 *4572:44 0 +15 *2965:15 *3022:19 0 +16 *2965:15 *3022:30 0 +17 *2965:15 *3026:5 0 +18 *2965:15 *3099:12 0 +19 *2965:15 *3391:64 0 +20 *2965:15 *3421:52 0 +21 *2965:15 *4572:18 0 +22 *2965:30 *3026:5 0 +23 *2965:37 *41410:A 0 +24 *2965:37 *3026:9 0 +25 *2965:37 *4765:9 0 +26 *37429:A *2965:37 0 +27 *1606:9 *5515:DIODE 0 +28 *1709:13 *2965:15 0 +29 *1850:36 *2965:15 0 +*RES +1 *40107:X *2965:13 41.0143 +2 *2965:13 *2965:15 99.5179 +3 *2965:15 *2965:30 45.7143 +4 *2965:30 *2965:37 38.4464 +5 *2965:37 *5515:DIODE 12.7286 +6 *2965:37 *37687:A 9.3 +*END + +*D_NET *2966 0.0191886 +*CONN +*I *5510:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37683:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *40108:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5510:DIODE 0.000104386 +2 *37683:A 0 +3 *40108:X 1.21715e-05 +4 *2966:14 0.00958211 +5 *2966:8 0.00948989 +6 *2966:14 *2976:14 0 +7 *2966:14 *2994:20 0 +8 *2966:14 *3089:38 0 +9 *2966:14 *4547:54 0 +10 *2966:14 *4573:19 0 +11 *2966:14 *4577:16 0 +12 *2966:14 *4774:25 0 +13 *2966:14 *5209:110 0 +14 *1720:34 *2966:14 0 +*RES +1 *40108:X *2966:8 17.4868 +2 *2966:8 *2966:14 43.9986 +3 *2966:14 *37683:A 9.3 +4 *2966:14 *5510:DIODE 11.4786 +*END + +*D_NET *2967 0.0193547 +*CONN +*I *5507:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37681:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *40109:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5507:DIODE 2.56688e-05 +2 *37681:A 0.000165544 +3 *40109:X 0.00171767 +4 *2967:38 0.00150961 +5 *2967:33 0.00244468 +6 *2967:25 0.00618688 +7 *2967:23 0.0053238 +8 *2967:15 0.00198087 +9 *2967:15 *5554:DIODE 0 +10 *2967:15 *7297:DIODE 0 +11 *2967:15 *7970:DIODE 0 +12 *2967:15 *7977:DIODE 0 +13 *2967:15 *8993:DIODE 0 +14 *2967:15 *8995:DIODE 0 +15 *2967:15 *41411:A 0 +16 *2967:15 *3264:17 0 +17 *2967:15 *3391:32 0 +18 *2967:15 *3721:43 0 +19 *2967:15 *4539:68 0 +20 *2967:15 *4555:19 0 +21 *2967:15 *4780:35 0 +22 *2967:15 *5080:28 0 +23 *2967:23 *5554:DIODE 0 +24 *2967:23 *4780:15 0 +25 *2967:23 *5080:28 0 +26 *2967:25 *4545:22 0 +27 *2967:25 *4545:23 0 +28 *2967:25 *4780:15 0 +29 *2967:25 *5178:33 0 +30 *2967:25 *5178:56 0 +31 *2967:33 *4544:14 0 +32 *2967:33 *4551:34 0 +33 *2967:33 *4578:12 0 +34 *2967:33 *4776:9 0 +35 *2967:33 *5095:22 0 +36 *2967:33 *5178:15 0 +37 *2967:33 *5209:47 0 +38 *2967:38 *7294:DIODE 0 +39 *2967:38 *4776:9 0 +40 *2967:38 *5095:29 0 +41 *2967:38 *5178:15 0 +42 *2967:38 *5209:33 0 +43 *2967:38 *5209:47 0 +44 *6929:DIODE *37681:A 0 +45 *6929:DIODE *2967:38 0 +46 *6930:DIODE *37681:A 0 +47 *7544:DIODE *2967:38 0 +48 *37427:A *2967:25 0 +49 *37470:A *2967:38 0 +50 *39504:B *2967:25 0 +51 *1259:19 *2967:23 0 +52 *1259:19 *2967:25 0 +53 *1753:11 *37681:A 0 +54 *1753:11 *2967:38 0 +55 *2964:5 *2967:25 0 +*RES +1 *40109:X *2967:15 49.8357 +2 *2967:15 *2967:23 10.3214 +3 *2967:23 *2967:25 105.679 +4 *2967:25 *2967:33 32.75 +5 *2967:33 *2967:38 32.1429 +6 *2967:38 *37681:A 17.6214 +7 *2967:38 *5507:DIODE 14.3357 +*END + +*D_NET *2968 0.0317968 +*CONN +*I *40109:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7970:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40110:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40109:A 0 +2 *7970:DIODE 0.000411727 +3 *40110:X 0.00375117 +4 *2968:53 0.00190355 +5 *2968:34 0.0117355 +6 *2968:21 0.0139949 +7 *7970:DIODE *7989:DIODE 0 +8 *7970:DIODE *4539:68 0 +9 *7970:DIODE *4555:19 0 +10 *7970:DIODE *4780:63 0 +11 *2968:21 *2995:19 0 +12 *2968:21 *3076:26 0 +13 *2968:21 *3108:40 0 +14 *2968:21 *3218:60 0 +15 *2968:21 *3411:17 0 +16 *2968:21 *3492:14 0 +17 *2968:21 *3503:16 0 +18 *2968:21 *3777:65 0 +19 *2968:34 *2977:62 0 +20 *2968:34 *2987:78 0 +21 *2968:34 *2995:19 0 +22 *2968:34 *3024:49 0 +23 *2968:34 *3024:61 0 +24 *2968:34 *3036:72 0 +25 *2968:34 *3473:22 0 +26 *2968:34 *3724:53 0 +27 *2968:34 *3970:34 0 +28 *2968:53 *3288:24 0 +29 *2968:53 *3398:40 0 +30 *2968:53 *3490:25 0 +31 *2968:53 *4244:29 0 +32 *2968:53 *4322:93 0 +33 *2968:53 *4534:82 0 +34 *2968:53 *4545:74 0 +35 *2968:53 *4590:14 0 +36 *2968:53 *5183:24 0 +37 *6934:DIODE *2968:53 0 +38 *370:36 *2968:34 0 +39 *1208:41 *2968:34 0 +40 *1239:34 *2968:21 0 +41 *1319:27 *2968:21 0 +42 *1319:27 *2968:34 0 +43 *1325:17 *2968:34 0 +44 *1325:44 *2968:21 0 +45 *1325:44 *2968:34 0 +46 *1449:55 *2968:34 0 +47 *1457:42 *2968:53 0 +48 *1840:20 *2968:53 0 +49 *2413:25 *2968:34 0 +50 *2417:43 *2968:34 0 +51 *2440:49 *2968:34 0 +52 *2442:31 *2968:34 0 +53 *2823:19 *2968:34 0 +54 *2967:15 *7970:DIODE 0 +*RES +1 *40110:X *2968:21 49.2543 +2 *2968:21 *2968:34 49.2461 +3 *2968:34 *2968:53 48.9614 +4 *2968:53 *7970:DIODE 36.1571 +5 *2968:53 *40109:A 9.3 +*END + +*D_NET *2969 0.0181624 +*CONN +*I *37679:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *5505:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40111:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *37679:A 0.00014075 +2 *5505:DIODE 0 +3 *40111:X 0 +4 *2969:5 0.00908119 +5 *2969:4 0.00894044 +6 *2969:5 *5450:DIODE 0 +7 *2969:5 *3370:23 0 +8 *2969:5 *3395:85 0 +9 *2969:5 *3724:95 0 +10 *2969:5 *4773:30 0 +11 *2969:5 *5183:9 0 +12 *2969:5 *5187:9 0 +13 *39398:A *2969:5 0 +14 *39398:B *2969:5 0 +15 *39505:A *2969:5 0 +16 *39505:B *2969:5 0 +17 *39597:A *2969:5 0 +18 *1602:7 *37679:A 0 +19 *1602:7 *2969:5 0 +20 *1602:9 *2969:5 0 +21 *1707:11 *37679:A 0 +22 *1707:11 *2969:5 0 +23 *1709:13 *2969:5 0 +24 *1730:7 *2969:5 0 +25 *1730:15 *2969:5 0 +26 *1744:28 *2969:5 0 +27 *1845:9 *2969:5 0 +28 *1845:30 *2969:5 0 +*RES +1 *40111:X *2969:4 9.3 +2 *2969:4 *2969:5 186.589 +3 *2969:5 *5505:DIODE 9.3 +4 *2969:5 *37679:A 12.3 +*END + +*D_NET *2970 0.0284431 +*CONN +*I *40111:A I *D sky130_fd_sc_hd__buf_6 +*I *7971:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40112:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *40111:A 0 +2 *7971:DIODE 0.000169665 +3 *40112:X 0.00351204 +4 *2970:58 0.000491807 +5 *2970:56 0.00218547 +6 *2970:45 0.0102177 +7 *2970:29 0.0118664 +8 *7971:DIODE *4773:49 0 +9 *2970:29 *8309:DIODE 0 +10 *2970:29 *2972:84 0 +11 *2970:29 *2980:22 0 +12 *2970:29 *3024:34 0 +13 *2970:29 *3179:64 0 +14 *2970:29 *3194:93 0 +15 *2970:29 *3218:60 0 +16 *2970:29 *3264:105 0 +17 *2970:29 *3417:47 0 +18 *2970:29 *3514:20 0 +19 *2970:45 *2977:76 0 +20 *2970:45 *2995:42 0 +21 *2970:45 *3295:74 0 +22 *2970:45 *3473:36 0 +23 *2970:45 *3474:38 0 +24 *2970:45 *3479:98 0 +25 *2970:45 *3732:49 0 +26 *2970:45 *3990:45 0 +27 *2970:45 *3996:20 0 +28 *2970:56 *2979:10 0 +29 *2970:56 *3024:68 0 +30 *2970:56 *3402:166 0 +31 *2970:56 *3478:98 0 +32 *2970:56 *3495:19 0 +33 *2970:56 *3953:17 0 +34 *2970:56 *4903:6 0 +35 *2970:58 *3024:78 0 +36 *2970:58 *3491:30 0 +37 *39597:A *7971:DIODE 0 +38 *281:51 *2970:45 0 +39 *1010:119 *2970:29 0 +40 *1016:235 *2970:58 0 +41 *1570:26 *2970:56 0 +42 *1733:11 *2970:45 0 +43 *1752:16 *2970:58 0 +44 *2366:8 *2970:56 0 +45 *2398:19 *2970:58 0 +46 *2398:22 *2970:56 0 +47 *2411:18 *2970:56 0 +48 *2442:31 *2970:29 0 +49 *2442:31 *2970:45 0 +50 *2823:26 *2970:45 0 +51 *2839:40 *2970:45 0 +*RES +1 *40112:X *2970:29 48.1937 +2 *2970:29 *2970:45 42.1718 +3 *2970:45 *2970:56 42.8125 +4 *2970:56 *2970:58 7.41071 +5 *2970:58 *7971:DIODE 17.425 +6 *2970:58 *40111:A 13.8 +*END + +*D_NET *2971 0.0116492 +*CONN +*I *8285:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40552:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38476:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8285:DIODE 0.000240645 +2 *40552:A 8.40653e-05 +3 *38476:X 0.000344202 +4 *2971:48 0.000811453 +5 *2971:45 0.0012473 +6 *2971:20 0.00291153 +7 *2971:16 0.00390838 +8 *2971:10 0.00210161 +9 *8285:DIODE *40308:A 0 +10 *40552:A *3188:27 0 +11 *40552:A *3212:50 0 +12 *40552:A *3475:17 0 +13 *2971:10 *3106:51 0 +14 *2971:10 *5072:17 0 +15 *2971:16 *4348:43 0 +16 *2971:16 *5103:25 0 +17 *2971:16 *5171:107 0 +18 *2971:20 *5177:17 0 +19 *2971:45 *4550:11 0 +20 *2971:45 *4574:17 0 +21 *2971:45 *4592:50 0 +22 *2971:45 *5103:25 0 +23 *2971:45 *5177:17 0 +24 *2971:48 *3077:20 0 +25 *2971:48 *5084:93 0 +26 mprj_dat_i_core[1] *2971:45 0 +27 *6907:DIODE *2971:48 0 +28 *39399:A *2971:48 0 +29 *39529:A *2971:48 0 +30 *1248:43 *8285:DIODE 0 +31 *1248:51 *8285:DIODE 0 +32 *1731:22 *2971:45 0 +33 *1833:19 *2971:20 0 +34 *2893:39 *2971:16 0 +35 *2960:20 *2971:10 0 +*RES +1 *38476:X *2971:10 25.9607 +2 *2971:10 *2971:16 45.7857 +3 *2971:16 *2971:20 49.5 +4 *2971:20 *2971:45 44.7321 +5 *2971:45 *2971:48 15.5536 +6 *2971:48 *40552:A 11.0857 +7 *2971:48 *8285:DIODE 14.3536 +*END + +*D_NET *2972 0.0324275 +*CONN +*I *7972:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40112:A I *D sky130_fd_sc_hd__buf_4 +*I *40113:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7972:DIODE 0 +2 *40112:A 0.000178258 +3 *40113:X 0.000993229 +4 *2972:118 0.000974293 +5 *2972:84 0.0026963 +6 *2972:64 0.00359365 +7 *2972:51 0.00933577 +8 *2972:50 0.00875538 +9 *2972:41 0.00318844 +10 *2972:23 0.00271216 +11 *40112:A *3167:22 0 +12 *40112:A *3179:64 0 +13 *2972:23 *3178:43 0 +14 *2972:23 *3298:24 0 +15 *2972:23 *3441:41 0 +16 *2972:23 *4288:94 0 +17 *2972:41 *3112:59 0 +18 *2972:41 *3733:78 0 +19 *2972:41 *3979:18 0 +20 *2972:50 *2981:39 0 +21 *2972:50 *4323:30 0 +22 *2972:51 *3005:33 0 +23 *2972:51 *3005:52 0 +24 *2972:51 *3005:60 0 +25 *2972:51 *3036:46 0 +26 *2972:51 *3183:25 0 +27 *2972:51 *3283:45 0 +28 *2972:64 *40717:A 0 +29 *2972:64 *2986:22 0 +30 *2972:64 *2997:8 0 +31 *2972:64 *3014:55 0 +32 *2972:64 *3014:58 0 +33 *2972:64 *3042:48 0 +34 *2972:64 *3485:41 0 +35 *2972:64 *3662:49 0 +36 *2972:84 *8309:DIODE 0 +37 *2972:84 *8642:DIODE 0 +38 *2972:84 *40121:A 0 +39 *2972:84 *2987:130 0 +40 *2972:84 *3073:17 0 +41 *2972:84 *3167:22 0 +42 *2972:84 *3298:79 0 +43 *2972:84 *4014:40 0 +44 *2972:118 *3070:32 0 +45 *2972:118 *3179:43 0 +46 *2972:118 *3194:63 0 +47 *2972:118 *3198:18 0 +48 *2972:118 *3198:24 0 +49 *2972:118 *3422:67 0 +50 *2972:118 *3460:73 0 +51 *2972:118 *3793:42 0 +52 *2972:118 *4591:34 0 +53 *2972:118 *4591:39 0 +54 *2972:118 *5188:32 0 +55 *39569:A *2972:118 0 +56 *39596:A *2972:118 0 +57 *1273:62 *2972:64 0 +58 *1338:17 *2972:51 0 +59 *1435:44 *2972:23 0 +60 *2397:33 *2972:23 0 +61 *2413:38 *2972:64 0 +62 *2413:69 *2972:51 0 +63 *2434:61 *2972:23 0 +64 *2436:13 *2972:51 0 +65 *2436:15 *2972:51 0 +66 *2831:46 *2972:51 0 +67 *2834:24 *2972:51 0 +68 *2834:24 *2972:64 0 +69 *2923:69 *2972:50 0 +70 *2939:31 *2972:50 0 +71 *2944:11 *2972:51 0 +72 *2970:29 *2972:84 0 +*RES +1 *40113:X *2972:23 45.6929 +2 *2972:23 *2972:41 48.2143 +3 *2972:41 *2972:50 45.125 +4 *2972:50 *2972:51 152.089 +5 *2972:51 *2972:64 49.8036 +6 *2972:64 *2972:84 44.0893 +7 *2972:84 *40112:A 17.7286 +8 *2972:84 *2972:118 43.0893 +9 *2972:118 *7972:DIODE 9.3 +*END + +*D_NET *2973 0.0197817 +*CONN +*I *37677:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *5502:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40114:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *37677:A 0.000121071 +2 *5502:DIODE 0 +3 *40114:X 0.00314333 +4 *2973:37 0.00538445 +5 *2973:36 0.00662644 +6 *2973:23 0.00450639 +7 *37677:A *3476:59 0 +8 *37677:A *4405:37 0 +9 *2973:23 *40150:A 0 +10 *2973:23 *3012:14 0 +11 *2973:23 *3013:82 0 +12 *2973:23 *3284:37 0 +13 *2973:23 *3718:60 0 +14 *2973:23 *3764:62 0 +15 *2973:23 *3940:26 0 +16 *2973:23 *4406:28 0 +17 *2973:23 *4600:69 0 +18 *2973:23 *4624:14 0 +19 *2973:23 *5019:14 0 +20 *2973:36 *3480:38 0 +21 *2973:36 *3779:94 0 +22 *2973:36 *4628:6 0 +23 *2973:37 *38056:A_N 0 +24 *2973:37 *3286:132 0 +25 *2973:37 *3476:59 0 +26 *2973:37 *4405:19 0 +27 *2973:37 *4405:23 0 +28 *2973:37 *4405:35 0 +29 *2973:37 *4405:37 0 +30 *2973:37 *4640:7 0 +31 *2973:37 *4921:7 0 +32 *38115:A *2973:36 0 +33 *38117:A *2973:37 0 +34 *1016:232 *2973:23 0 +35 *1260:14 *2973:36 0 +36 *1456:46 *2973:23 0 +37 *1456:46 *2973:36 0 +38 *1467:8 *2973:36 0 +39 *1585:6 *2973:36 0 +40 *1864:68 *2973:23 0 +41 *2376:15 *2973:23 0 +42 *2376:15 *2973:36 0 +43 *2803:42 *2973:23 0 +44 *2803:67 *2973:37 0 +45 *2833:30 *2973:23 0 +46 *2833:51 *2973:36 0 +47 *2963:17 *2973:23 0 +*RES +1 *40114:X *2973:23 48.9654 +2 *2973:23 *2973:36 38.8355 +3 *2973:36 *2973:37 109.786 +4 *2973:37 *5502:DIODE 9.3 +5 *2973:37 *37677:A 11.8893 +*END + +*D_NET *2974 0.0225267 +*CONN +*I *40114:A I *D sky130_fd_sc_hd__buf_6 +*I *7974:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40115:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *40114:A 0.000331698 +2 *7974:DIODE 0 +3 *40115:X 0.000798287 +4 *2974:34 0.00111235 +5 *2974:29 0.00620147 +6 *2974:28 0.00601704 +7 *2974:19 0.00268673 +8 *2974:15 0.00333566 +9 *2974:12 0.00204344 +10 *40114:A *37870:B 0 +11 *40114:A *3117:12 0 +12 *40114:A *3470:67 0 +13 *40114:A *3718:41 0 +14 *2974:12 *2975:14 0 +15 *2974:12 *3094:31 0 +16 *2974:15 *3023:37 0 +17 *2974:19 *3000:49 0 +18 *2974:28 *3275:85 0 +19 *2974:28 *4895:20 0 +20 *2974:29 *3000:51 0 +21 *2974:34 *3117:12 0 +22 *2974:34 *4912:8 0 +23 *7961:DIODE *2974:29 0 +24 *286:17 *2974:34 0 +25 *364:11 *40114:A 0 +26 *1272:24 *2974:19 0 +27 *1272:25 *2974:19 0 +28 *1328:39 *2974:15 0 +29 *1822:17 *2974:19 0 +30 *2378:28 *2974:19 0 +31 *2385:26 *2974:19 0 +32 *2419:42 *2974:15 0 +33 *2441:29 *2974:29 0 +34 *2819:21 *2974:29 0 +35 *2821:36 *2974:19 0 +36 *2831:63 *2974:28 0 +37 *2833:30 *40114:A 0 +38 *2836:43 *2974:34 0 +39 *2955:9 *2974:29 0 +*RES +1 *40115:X *2974:12 36.4964 +2 *2974:12 *2974:15 26.0179 +3 *2974:15 *2974:19 48.1607 +4 *2974:19 *2974:28 18.5379 +5 *2974:28 *2974:29 113.071 +6 *2974:29 *2974:34 26.7321 +7 *2974:34 *7974:DIODE 9.3 +8 *2974:34 *40114:A 25.5857 +*END + +*D_NET *2975 0.0254586 +*CONN +*I *7975:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40115:A I *D sky130_fd_sc_hd__buf_4 +*I *40116:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7975:DIODE 0.000610933 +2 *40115:A 2.56688e-05 +3 *40116:X 0.0014221 +4 *2975:14 0.00203222 +5 *2975:9 0.0106706 +6 *2975:8 0.00927499 +7 *2975:6 0.0014221 +8 *7975:DIODE *3285:139 0 +9 *7975:DIODE *3739:20 0 +10 *7975:DIODE *3774:25 0 +11 *7975:DIODE *3774:33 0 +12 *7975:DIODE *4330:11 0 +13 *40115:A *4330:11 0 +14 *2975:6 *3252:28 0 +15 *2975:6 *3741:79 0 +16 *2975:9 *2998:11 0 +17 *2975:9 *3001:29 0 +18 *2975:9 *3029:57 0 +19 *2975:9 *3485:35 0 +20 *2975:9 *3669:30 0 +21 *2975:9 *4309:24 0 +22 *2975:14 *3094:31 0 +23 *2975:14 *3097:44 0 +24 *2975:14 *3097:51 0 +25 *2975:14 *3661:25 0 +26 *2975:14 *3662:52 0 +27 *39630:A *7975:DIODE 0 +28 *40099:A *2975:9 0 +29 *1207:74 *2975:14 0 +30 *1414:26 *7975:DIODE 0 +31 *1436:25 *2975:9 0 +32 *1823:18 *7975:DIODE 0 +33 *2824:49 *2975:9 0 +34 *2837:49 *2975:9 0 +35 *2919:24 *2975:14 0 +36 *2924:11 *2975:9 0 +37 *2956:5 *2975:9 0 +38 *2957:15 *2975:9 0 +39 *2974:12 *2975:14 0 +*RES +1 *40116:X *2975:6 46.1036 +2 *2975:6 *2975:8 4.5 +3 *2975:8 *2975:9 193.571 +4 *2975:9 *2975:14 40.6964 +5 *2975:14 *40115:A 9.83571 +6 *2975:14 *7975:DIODE 31.3893 +*END + +*D_NET *2976 0.0190558 +*CONN +*I *5499:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37675:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *40117:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *5499:DIODE 0.000104386 +2 *37675:A 0 +3 *40117:X 1.21715e-05 +4 *2976:14 0.00951573 +5 *2976:8 0.00942352 +6 *5499:DIODE *4776:9 0 +7 *2976:8 *3392:50 0 +8 *2976:14 *3017:55 0 +9 *2976:14 *3089:14 0 +10 *2976:14 *3357:30 0 +11 *2976:14 *3536:33 0 +12 *2976:14 *4585:13 0 +13 *2976:14 *4587:8 0 +14 *2976:14 *5080:33 0 +15 *2976:14 *5173:14 0 +16 *2976:14 *5209:69 0 +17 *7489:DIODE *2976:14 0 +18 *39400:A *2976:14 0 +19 *267:15 *2976:14 0 +20 *1712:27 *2976:14 0 +21 *2966:14 *2976:14 0 +*RES +1 *40117:X *2976:8 17.4868 +2 *2976:8 *2976:14 44.0139 +3 *2976:14 *37675:A 9.3 +4 *2976:14 *5499:DIODE 11.4786 +*END + +*D_NET *2977 0.0311614 +*CONN +*I *7977:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40117:A I *D sky130_fd_sc_hd__buf_2 +*I *40118:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7977:DIODE 0.000104386 +2 *40117:A 3.22476e-05 +3 *40118:X 0.00293924 +4 *2977:90 0.000667304 +5 *2977:89 0.00222587 +6 *2977:76 0.00802707 +7 *2977:62 0.0102789 +8 *2977:31 0.00688632 +9 *7977:DIODE *4780:35 0 +10 *40117:A *3392:50 0 +11 *40117:A *4591:10 0 +12 *2977:31 *7978:DIODE 0 +13 *2977:31 *8415:DIODE 0 +14 *2977:31 *2987:78 0 +15 *2977:31 *3230:39 0 +16 *2977:31 *3487:20 0 +17 *2977:31 *3652:22 0 +18 *2977:31 *3653:56 0 +19 *2977:31 *3991:133 0 +20 *2977:31 *4077:19 0 +21 *2977:31 *4224:27 0 +22 *2977:62 *2991:30 0 +23 *2977:62 *3024:49 0 +24 *2977:62 *3036:57 0 +25 *2977:62 *3040:21 0 +26 *2977:76 *38036:A_N 0 +27 *2977:76 *2995:42 0 +28 *2977:76 *3010:38 0 +29 *2977:76 *3218:60 0 +30 *2977:76 *3474:18 0 +31 *2977:76 *4069:41 0 +32 *2977:89 *3403:39 0 +33 *2977:89 *3471:37 0 +34 *2977:89 *3474:18 0 +35 *2977:89 *3696:136 0 +36 *2977:89 *3724:62 0 +37 *2977:90 *3184:31 0 +38 *2977:90 *3392:50 0 +39 *2977:90 *4591:10 0 +40 *2977:90 *5176:20 0 +41 *39533:A *2977:31 0 +42 *40563:A *2977:31 0 +43 *358:31 *2977:62 0 +44 *370:19 *2977:62 0 +45 *1011:231 *2977:31 0 +46 *1208:41 *2977:62 0 +47 *1325:17 *2977:62 0 +48 *1325:44 *2977:62 0 +49 *1445:53 *2977:31 0 +50 *1449:59 *2977:89 0 +51 *1553:23 *2977:76 0 +52 *2391:59 *2977:62 0 +53 *2395:52 *2977:62 0 +54 *2396:39 *2977:76 0 +55 *2413:25 *2977:62 0 +56 *2417:43 *2977:62 0 +57 *2443:36 *2977:62 0 +58 *2816:39 *2977:62 0 +59 *2820:38 *2977:76 0 +60 *2823:19 *2977:76 0 +61 *2823:26 *2977:76 0 +62 *2824:63 *2977:62 0 +63 *2967:15 *7977:DIODE 0 +64 *2968:34 *2977:62 0 +65 *2970:45 *2977:76 0 +*RES +1 *40118:X *2977:31 47.6595 +2 *2977:31 *2977:62 47.9905 +3 *2977:62 *2977:76 49.7332 +4 *2977:76 *2977:89 39.0915 +5 *2977:89 *2977:90 12.1161 +6 *2977:90 *40117:A 14.5321 +7 *2977:90 *7977:DIODE 15.9786 +*END + +*D_NET *2978 0.0248545 +*CONN +*I *7978:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40118:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40119:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7978:DIODE 0.00242068 +2 *40118:A 0 +3 *40119:X 0.000286237 +4 *2978:15 0.0029054 +5 *2978:9 0.00972035 +6 *2978:8 0.00952186 +7 *7978:DIODE *3018:46 0 +8 *7978:DIODE *3033:16 0 +9 *7978:DIODE *3041:55 0 +10 *7978:DIODE *3043:75 0 +11 *7978:DIODE *3087:90 0 +12 *7978:DIODE *3230:39 0 +13 *7978:DIODE *3235:96 0 +14 *7978:DIODE *3262:86 0 +15 *7978:DIODE *3266:113 0 +16 *7978:DIODE *4550:91 0 +17 *2978:8 *3500:20 0 +18 *2978:9 *3141:30 0 +19 *2978:9 *4756:49 0 +20 *2978:9 *5165:35 0 +21 *2978:9 *5191:46 0 +22 *2978:15 *3235:96 0 +23 *6600:DIODE *7978:DIODE 0 +24 *37440:A *2978:15 0 +25 *40563:A *7978:DIODE 0 +26 *402:35 *7978:DIODE 0 +27 *405:8 *2978:15 0 +28 *530:31 *2978:15 0 +29 *638:12 *2978:8 0 +30 *788:17 *2978:9 0 +31 *894:8 *2978:8 0 +32 *1027:80 *7978:DIODE 0 +33 *2371:21 *2978:9 0 +34 *2389:21 *7978:DIODE 0 +35 *2399:9 *2978:9 0 +36 *2407:11 *2978:9 0 +37 *2407:13 *2978:9 0 +38 *2408:37 *2978:9 0 +39 *2410:25 *2978:9 0 +40 *2424:31 *2978:8 0 +41 *2805:27 *2978:9 0 +42 *2977:31 *7978:DIODE 0 +*RES +1 *40119:X *2978:8 24.8 +2 *2978:8 *2978:9 192.75 +3 *2978:9 *2978:15 19.8929 +4 *2978:15 *40118:A 9.3 +5 *2978:15 *7978:DIODE 45.1192 +*END + +*D_NET *2979 0.0188599 +*CONN +*I *37673:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *5496:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40120:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *37673:A 0.000180815 +2 *5496:DIODE 0 +3 *40120:X 0.000124732 +4 *2979:15 0.00277764 +5 *2979:13 0.00261736 +6 *2979:11 0.00652757 +7 *2979:10 0.00663177 +8 *37673:A *4583:18 0 +9 *37673:A *4767:8 0 +10 *2979:10 *3767:51 0 +11 *2979:10 *4219:105 0 +12 *2979:11 *3484:37 0 +13 *2979:11 *4043:25 0 +14 *2979:11 *4779:17 0 +15 *2979:15 *37738:A 0 +16 *2979:15 *37738:B 0 +17 *2979:15 *4043:25 0 +18 *2979:15 *4043:27 0 +19 *2979:15 *4767:8 0 +20 *2979:15 *4770:10 0 +21 *7378:DIODE *2979:11 0 +22 *37424:A *2979:15 0 +23 *1268:7 *2979:15 0 +24 *1740:8 *37673:A 0 +25 *2411:18 *2979:10 0 +26 *2411:18 *2979:11 0 +27 *2804:31 *2979:11 0 +28 *2970:56 *2979:10 0 +*RES +1 *40120:X *2979:10 21.0857 +2 *2979:10 *2979:11 135.866 +3 *2979:11 *2979:13 0.428571 +4 *2979:13 *2979:15 54.1339 +5 *2979:15 *5496:DIODE 9.3 +6 *2979:15 *37673:A 22.3179 +*END + +*D_NET *2980 0.0294649 +*CONN +*I *40120:A I *D sky130_fd_sc_hd__buf_6 +*I *7979:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40121:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *40120:A 0.00016428 +2 *7979:DIODE 0 +3 *40121:X 0.00255886 +4 *2980:49 0.000508178 +5 *2980:44 0.00245704 +6 *2980:41 0.0101144 +7 *2980:34 0.00955227 +8 *2980:22 0.00410989 +9 *40120:A *3767:51 0 +10 *40120:A *4219:105 0 +11 *2980:22 *3502:54 0 +12 *2980:22 *3512:22 0 +13 *2980:22 *3514:20 0 +14 *2980:22 *3696:80 0 +15 *2980:22 *4044:25 0 +16 *2980:22 *4232:40 0 +17 *2980:34 *2989:19 0 +18 *2980:34 *3029:68 0 +19 *2980:34 *3414:6 0 +20 *2980:41 *3028:29 0 +21 *2980:41 *3031:43 0 +22 *2980:41 *3429:39 0 +23 *2980:41 *3506:37 0 +24 *2980:41 *3524:25 0 +25 *2980:44 *6055:DIODE 0 +26 *2980:44 *8481:DIODE 0 +27 *2980:44 *38052:A_N 0 +28 *2980:44 *3000:57 0 +29 *2980:44 *3024:68 0 +30 *2980:44 *3406:130 0 +31 *2980:44 *3739:60 0 +32 *2980:44 *4624:14 0 +33 *2980:49 *3024:68 0 +34 *2980:49 *3767:51 0 +35 *2980:49 *3936:79 0 +36 *2980:49 *4219:105 0 +37 *38363:A *2980:49 0 +38 *380:5 *2980:34 0 +39 *1010:119 *2980:22 0 +40 *1018:62 *2980:41 0 +41 *1214:43 *2980:49 0 +42 *1425:12 *2980:44 0 +43 *2444:21 *2980:41 0 +44 *2458:10 *2980:34 0 +45 *2468:6 *2980:44 0 +46 *2473:34 *2980:41 0 +47 *2480:7 *2980:34 0 +48 *2824:55 *2980:34 0 +49 *2829:28 *2980:41 0 +50 *2829:40 *2980:41 0 +51 *2905:51 *2980:41 0 +52 *2957:15 *2980:34 0 +53 *2970:29 *2980:22 0 +*RES +1 *40121:X *2980:22 44.2264 +2 *2980:22 *2980:34 49.9011 +3 *2980:34 *2980:41 32.4121 +4 *2980:41 *2980:44 48.0357 +5 *2980:44 *2980:49 12.2946 +6 *2980:49 *7979:DIODE 9.3 +7 *2980:49 *40120:A 12.8536 +*END + +*D_NET *2981 0.0328753 +*CONN +*I *7980:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40121:A I *D sky130_fd_sc_hd__buf_4 +*I *40122:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7980:DIODE 0 +2 *40121:A 0.000206011 +3 *40122:X 0.00151995 +4 *2981:128 0.00125012 +5 *2981:92 0.00397132 +6 *2981:79 0.00920463 +7 *2981:62 0.00827082 +8 *2981:53 0.00291174 +9 *2981:39 0.00267557 +10 *2981:18 0.00286516 +11 *40121:A *3024:18 0 +12 *40121:A *3502:54 0 +13 *40121:A *3696:80 0 +14 *2981:18 *8923:DIODE 0 +15 *2981:18 *37612:B 0 +16 *2981:18 *3298:24 0 +17 *2981:18 *4269:61 0 +18 *2981:18 *4279:39 0 +19 *2981:39 *2987:33 0 +20 *2981:39 *3021:14 0 +21 *2981:39 *3681:51 0 +22 *2981:39 *3733:72 0 +23 *2981:39 *4098:59 0 +24 *2981:39 *4323:30 0 +25 *2981:53 *3197:38 0 +26 *2981:53 *3662:29 0 +27 *2981:53 *4055:39 0 +28 *2981:62 *8464:DIODE 0 +29 *2981:62 *40772:A 0 +30 *2981:62 *3183:42 0 +31 *2981:62 *3670:50 0 +32 *2981:62 *3752:16 0 +33 *2981:62 *4717:6 0 +34 *2981:79 *2992:82 0 +35 *2981:79 *3075:45 0 +36 *2981:79 *3076:26 0 +37 *2981:79 *3076:52 0 +38 *2981:79 *3411:17 0 +39 *2981:79 *3648:58 0 +40 *2981:79 *3655:62 0 +41 *2981:79 *3740:46 0 +42 *2981:79 *4050:44 0 +43 *2981:79 *4051:24 0 +44 *2981:79 *4091:26 0 +45 *2981:79 *4097:17 0 +46 *2981:79 *4103:47 0 +47 *2981:92 *8735:DIODE 0 +48 *2981:92 *2991:30 0 +49 *2981:92 *2992:82 0 +50 *2981:92 *3024:18 0 +51 *2981:92 *3070:32 0 +52 *2981:92 *3298:59 0 +53 *2981:92 *3959:54 0 +54 *2981:92 *4000:35 0 +55 *2981:92 *4030:18 0 +56 *2981:92 *4031:50 0 +57 *2981:128 *8301:DIODE 0 +58 *2981:128 *40204:A 0 +59 *2981:128 *3073:17 0 +60 *2981:128 *3298:79 0 +61 *2981:128 *3412:53 0 +62 *2981:128 *3502:57 0 +63 *2981:128 *3510:33 0 +64 *2981:128 *3514:20 0 +65 *2981:128 *3958:19 0 +66 *1232:38 *2981:79 0 +67 *1271:36 *2981:62 0 +68 *1310:12 *2981:62 0 +69 *1322:12 *2981:39 0 +70 *1330:34 *2981:53 0 +71 *1446:45 *2981:79 0 +72 *1539:16 *2981:53 0 +73 *2336:47 *2981:39 0 +74 *2421:51 *2981:39 0 +75 *2434:29 *2981:53 0 +76 *2434:37 *2981:53 0 +77 *2682:45 *2981:79 0 +78 *2717:19 *2981:53 0 +79 *2816:39 *2981:79 0 +80 *2822:46 *2981:62 0 +81 *2822:97 *2981:79 0 +82 *2841:61 *2981:62 0 +83 *2923:69 *2981:39 0 +84 *2939:31 *2981:39 0 +85 *2972:50 *2981:39 0 +86 *2972:84 *40121:A 0 +*RES +1 *40122:X *2981:18 48.6125 +2 *2981:18 *2981:39 47.8482 +3 *2981:39 *2981:53 37.4643 +4 *2981:53 *2981:62 46.6868 +5 *2981:62 *2981:79 49.774 +6 *2981:79 *2981:92 17.7481 +7 *2981:92 *40121:A 18.4786 +8 *2981:92 *2981:128 45.9588 +9 *2981:128 *7980:DIODE 9.3 +*END + +*D_NET *2982 0.0143475 +*CONN +*I *40551:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8284:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38477:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40551:A 0.00016428 +2 *8284:DIODE 0 +3 *38477:X 0.00113589 +4 *2982:62 0.00401427 +5 *2982:51 0.0058736 +6 *2982:29 0.0031595 +7 *40551:A *3389:28 0 +8 *2982:29 *3203:30 0 +9 *2982:29 *3235:42 0 +10 *2982:29 *3422:67 0 +11 *2982:29 *4393:16 0 +12 *2982:29 *4415:32 0 +13 *2982:51 *8166:DIODE 0 +14 *2982:51 *3163:19 0 +15 *2982:51 *3179:43 0 +16 *2982:51 *3184:56 0 +17 *2982:51 *3199:81 0 +18 *2982:51 *3496:19 0 +19 *2982:51 *3496:21 0 +20 *2982:51 *4411:21 0 +21 *2982:51 *4415:46 0 +22 *2982:51 *5173:36 0 +23 *2982:51 *5193:26 0 +24 *2982:62 *3118:6 0 +25 *2982:62 *3179:23 0 +26 *2982:62 *3264:34 0 +27 *2982:62 *3403:39 0 +28 *2982:62 *3471:37 0 +29 *2982:62 *3724:62 0 +30 *2982:62 *4590:28 0 +31 *2982:62 *5173:36 0 +32 *6754:DIODE *2982:51 0 +33 *7517:DIODE *2982:29 0 +34 *413:32 *2982:29 0 +35 *1261:24 *2982:51 0 +36 *1840:72 *2982:29 0 +37 *2373:47 *2982:62 0 +38 *2403:52 *2982:51 0 +39 *2417:19 *2982:29 0 +40 *2960:20 *2982:29 0 +*RES +1 *38477:X *2982:29 48.9429 +2 *2982:29 *2982:51 46.9355 +3 *2982:51 *2982:62 27.2255 +4 *2982:62 *8284:DIODE 9.3 +5 *2982:62 *40551:A 12.7286 +*END + +*D_NET *2983 0.0206388 +*CONN +*I *5493:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37671:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *40123:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5493:DIODE 0.000128268 +2 *37671:A 4.53482e-05 +3 *40123:X 0.00115185 +4 *2983:26 0.00916754 +5 *2983:16 0.0101458 +6 *5493:DIODE *39322:A 0 +7 *5493:DIODE *4577:16 0 +8 *37671:A *5087:15 0 +9 *2983:16 *39048:A 0 +10 *2983:16 *3004:24 0 +11 *2983:16 *4536:9 0 +12 *2983:16 *4562:26 0 +13 *2983:16 *5072:38 0 +14 *2983:16 *5075:13 0 +15 *2983:16 *5102:19 0 +16 *2983:16 *5171:77 0 +17 *2983:26 *39322:A 0 +18 *2983:26 *3266:20 0 +19 *2983:26 *4413:33 0 +20 *2983:26 *4592:16 0 +21 *2983:26 *5163:22 0 +22 *2983:26 *5184:26 0 +23 mprj_ack_i_core *2983:16 0 +24 mprj_dat_i_core[21] *2983:26 0 +25 *6885:DIODE *2983:26 0 +26 *800:11 *2983:16 0 +27 *915:8 *2983:26 0 +28 *1045:10 *2983:26 0 +29 *1598:21 *2983:26 0 +30 *1728:17 *2983:26 0 +31 *1834:23 *2983:26 0 +32 *1857:28 *2983:16 0 +33 *1869:15 *37671:A 0 +34 *2714:24 *2983:26 0 +35 *2849:23 *2983:26 0 +*RES +1 *40123:X *2983:16 47.4161 +2 *2983:16 *2983:26 45.2622 +3 *2983:26 *37671:A 14.7464 +4 *2983:26 *5493:DIODE 16.6929 +*END + +*D_NET *2984 0.0237535 +*CONN +*I *40123:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7982:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40124:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40123:A 6.72285e-05 +2 *7982:DIODE 0.00102511 +3 *40124:X 0.0013371 +4 *2984:58 0.00591489 +5 *2984:36 0.0077694 +6 *2984:20 0.00462474 +7 *2984:11 0.00301499 +8 *7982:DIODE *3004:24 0 +9 *7982:DIODE *3135:26 0 +10 *7982:DIODE *3205:68 0 +11 *7982:DIODE *3421:11 0 +12 *7982:DIODE *4758:49 0 +13 *2984:11 *3250:49 0 +14 *2984:11 *3291:11 0 +15 *2984:20 *3018:21 0 +16 *2984:20 *3141:30 0 +17 *2984:20 *3291:21 0 +18 *2984:20 *3500:44 0 +19 *2984:20 *3500:55 0 +20 *2984:20 *4754:12 0 +21 *2984:36 *3034:20 0 +22 *2984:36 *3043:52 0 +23 *2984:36 *3125:22 0 +24 *2984:36 *3135:39 0 +25 *2984:36 *3250:49 0 +26 *2984:36 *3412:30 0 +27 *2984:36 *5184:29 0 +28 *2984:36 *5196:39 0 +29 *2984:58 *3135:26 0 +30 *2984:58 *3266:46 0 +31 *2984:58 *3413:60 0 +32 *2984:58 *5165:61 0 +33 *2984:58 *5191:27 0 +34 *2984:58 *5196:17 0 +35 *2984:58 *5196:39 0 +36 la_data_in_mprj[107] *2984:11 0 +37 la_data_in_mprj[116] *2984:36 0 +38 *7508:DIODE *2984:58 0 +39 *38513:A *2984:36 0 +40 *40556:A *2984:58 0 +41 *403:11 *2984:36 0 +42 *523:8 *2984:11 0 +43 *525:17 *2984:36 0 +44 *528:31 *2984:36 0 +45 *540:55 *2984:58 0 +46 *779:17 *2984:11 0 +47 *791:50 *2984:58 0 +48 *1010:169 *2984:58 0 +49 *1220:53 *2984:11 0 +50 *1230:21 *2984:11 0 +51 *1230:27 *2984:11 0 +52 *1230:27 *2984:20 0 +53 *1234:10 *2984:11 0 +54 *1828:47 *2984:58 0 +55 *1860:28 *7982:DIODE 0 +56 *1862:46 *2984:58 0 +57 *1883:13 *2984:11 0 +58 *1884:24 *2984:20 0 +59 *1884:24 *2984:36 0 +60 *2373:86 *7982:DIODE 0 +61 *2406:16 *2984:58 0 +62 *2771:23 *2984:11 0 +63 *2793:23 *2984:36 0 +64 *2905:28 *2984:36 0 +65 *2916:29 *2984:58 0 +*RES +1 *40124:X *2984:11 46.9786 +2 *2984:11 *2984:20 48.6607 +3 *2984:20 *2984:36 47.8964 +4 *2984:36 *2984:58 41.472 +5 *2984:58 *7982:DIODE 34.1157 +6 *2984:58 *40123:A 15.4786 +*END + +*D_NET *2985 0.0193867 +*CONN +*I *5491:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37669:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *40125:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *5491:DIODE 0.000104386 +2 *37669:A 0 +3 *40125:X 9.23974e-05 +4 *2985:14 0.00960096 +5 *2985:8 0.00958897 +6 *2985:14 *38136:B 0 +7 *2985:14 *38386:A 0 +8 *2985:14 *39651:A 0 +9 *2985:14 *2988:24 0 +10 *2985:14 *3394:73 0 +11 *2985:14 *3394:108 0 +12 *2985:14 *3395:117 0 +13 *2985:14 *3398:94 0 +14 *2985:14 *3408:24 0 +15 *2985:14 *3734:40 0 +16 *2985:14 *3953:94 0 +17 *2985:14 *4003:42 0 +18 *2985:14 *4743:13 0 +19 *39514:A *2985:14 0 +20 *367:15 *2985:14 0 +21 *1019:42 *2985:8 0 +22 *1460:14 *2985:8 0 +23 *1464:11 *2985:14 0 +24 *1466:50 *2985:14 0 +25 *2809:24 *2985:14 0 +*RES +1 *40125:X *2985:8 19.3082 +2 *2985:8 *2985:14 42.769 +3 *2985:14 *37669:A 9.3 +4 *2985:14 *5491:DIODE 11.4786 +*END + +*D_NET *2986 0.0253979 +*CONN +*I *40125:A I *D sky130_fd_sc_hd__buf_4 +*I *7983:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40126:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40125:A 0 +2 *7983:DIODE 0.000229437 +3 *40126:X 0.00085583 +4 *2986:34 0.0021289 +5 *2986:23 0.0103086 +6 *2986:22 0.00971423 +7 *2986:13 0.00216097 +8 *7983:DIODE *3394:61 0 +9 *7983:DIODE *4003:42 0 +10 *2986:13 *8649:DIODE 0 +11 *2986:13 *3001:67 0 +12 *2986:13 *3696:80 0 +13 *2986:13 *3774:33 0 +14 *2986:13 *4073:10 0 +15 *2986:22 *3001:58 0 +16 *2986:22 *3802:21 0 +17 *2986:22 *4040:17 0 +18 *2986:34 *3117:20 0 +19 *2986:34 *3506:41 0 +20 *374:20 *2986:23 0 +21 *1019:23 *2986:34 0 +22 *1211:113 *2986:22 0 +23 *1414:16 *2986:13 0 +24 *1460:14 *7983:DIODE 0 +25 *1751:8 *2986:34 0 +26 *2834:25 *2986:23 0 +27 *2972:64 *2986:22 0 +*RES +1 *40126:X *2986:13 41.8536 +2 *2986:13 *2986:22 42.9464 +3 *2986:22 *2986:23 175.5 +4 *2986:23 *2986:34 47.8393 +5 *2986:34 *7983:DIODE 18.7464 +6 *2986:34 *40125:A 13.8 +*END + +*D_NET *2987 0.0285553 +*CONN +*I *40126:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7984:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40127:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40126:A 0 +2 *7984:DIODE 0.000875816 +3 *40127:X 0.000525853 +4 *2987:130 0.00127408 +5 *2987:124 0.00186967 +6 *2987:101 0.00262949 +7 *2987:78 0.00314434 +8 *2987:35 0.00789756 +9 *2987:33 0.00646768 +10 *2987:30 0.00195067 +11 *2987:16 0.00192015 +12 *7984:DIODE *8638:DIODE 0 +13 *7984:DIODE *3110:7 0 +14 *7984:DIODE *3936:14 0 +15 *7984:DIODE *3937:67 0 +16 *7984:DIODE *4028:45 0 +17 *7984:DIODE *4244:10 0 +18 *2987:16 *8764:DIODE 0 +19 *2987:16 *3177:26 0 +20 *2987:16 *3263:52 0 +21 *2987:16 *3702:125 0 +22 *2987:16 *4085:40 0 +23 *2987:30 *2998:10 0 +24 *2987:30 *3112:59 0 +25 *2987:30 *3323:57 0 +26 *2987:30 *3766:48 0 +27 *2987:30 *3825:75 0 +28 *2987:30 *4362:78 0 +29 *2987:33 *3681:23 0 +30 *2987:33 *4098:59 0 +31 *2987:35 *37742:B 0 +32 *2987:35 *3112:37 0 +33 *2987:35 *3647:34 0 +34 *2987:35 *3647:62 0 +35 *2987:35 *3650:43 0 +36 *2987:35 *4048:25 0 +37 *2987:35 *4048:43 0 +38 *2987:35 *4055:52 0 +39 *2987:35 *4098:59 0 +40 *2987:35 *4171:80 0 +41 *2987:78 *3647:62 0 +42 *2987:78 *3994:17 0 +43 *2987:78 *4048:43 0 +44 *2987:78 *4077:19 0 +45 *2987:78 *4224:27 0 +46 *2987:101 *5692:DIODE 0 +47 *2987:101 *41331:A 0 +48 *2987:101 *3070:50 0 +49 *2987:101 *3688:37 0 +50 *2987:101 *4033:44 0 +51 *2987:101 *4034:95 0 +52 *2987:101 *4084:31 0 +53 *2987:101 *4084:35 0 +54 *2987:124 *3503:37 0 +55 *2987:124 *3750:98 0 +56 *2987:124 *3937:61 0 +57 *2987:124 *3951:39 0 +58 *2987:124 *3987:43 0 +59 *2987:124 *4005:32 0 +60 *2987:124 *4054:22 0 +61 *2987:124 *4220:53 0 +62 *2987:130 *4005:39 0 +63 *2987:130 *4011:62 0 +64 *2987:130 *4094:40 0 +65 *7572:DIODE *2987:78 0 +66 *38039:A *2987:78 0 +67 *1011:231 *2987:78 0 +68 *1207:43 *2987:101 0 +69 *1232:29 *2987:33 0 +70 *1232:29 *2987:35 0 +71 *1270:16 *2987:35 0 +72 *1272:39 *2987:78 0 +73 *1319:27 *2987:78 0 +74 *1320:29 *2987:35 0 +75 *1325:44 *2987:78 0 +76 *1413:15 *2987:16 0 +77 *1418:38 *2987:78 0 +78 *1445:53 *2987:78 0 +79 *2369:20 *2987:101 0 +80 *2417:71 *2987:78 0 +81 *2417:75 *2987:35 0 +82 *2422:89 *2987:101 0 +83 *2959:19 *2987:35 0 +84 *2968:34 *2987:78 0 +85 *2972:84 *2987:130 0 +86 *2977:31 *2987:78 0 +87 *2981:39 *2987:33 0 +*RES +1 *40127:X *2987:16 34.0679 +2 *2987:16 *2987:30 44.6786 +3 *2987:30 *2987:33 11.6429 +4 *2987:33 *2987:35 123.339 +5 *2987:35 *2987:78 47.2802 +6 *2987:78 *2987:101 42.625 +7 *2987:101 *2987:124 46.6916 +8 *2987:124 *2987:130 9.28571 +9 *2987:130 *7984:DIODE 41.7643 +10 *2987:130 *40126:A 13.8 +*END + +*D_NET *2988 0.0191431 +*CONN +*I *5489:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37667:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *40128:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *5489:DIODE 0.000104386 +2 *37667:A 0 +3 *40128:X 0.0019838 +4 *2988:24 0.00758773 +5 *2988:18 0.00946715 +6 *5489:DIODE *3039:52 0 +7 *5489:DIODE *3944:29 0 +8 *2988:18 *7985:DIODE 0 +9 *2988:18 *3402:157 0 +10 *2988:18 *3429:46 0 +11 *2988:18 *3996:20 0 +12 *2988:18 *4228:22 0 +13 *2988:24 *6523:DIODE 0 +14 *2988:24 *3039:46 0 +15 *2988:24 *3095:27 0 +16 *2988:24 *3399:16 0 +17 *2988:24 *3402:157 0 +18 *2988:24 *3402:166 0 +19 *2988:24 *3734:40 0 +20 *2988:24 *3953:94 0 +21 *2988:24 *3966:14 0 +22 *2988:24 *4003:42 0 +23 *2988:24 *4223:103 0 +24 *2988:24 *4228:49 0 +25 *1589:22 *2988:24 0 +26 *2806:71 *2988:18 0 +27 *2806:71 *2988:24 0 +28 *2808:34 *2988:24 0 +29 *2985:14 *2988:24 0 +*RES +1 *40128:X *2988:18 31.5902 +2 *2988:18 *2988:24 35.2228 +3 *2988:24 *37667:A 9.3 +4 *2988:24 *5489:DIODE 11.4786 +*END + +*D_NET *2989 0.0255779 +*CONN +*I *40128:A I *D sky130_fd_sc_hd__buf_4 +*I *7985:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40129:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40128:A 0 +2 *7985:DIODE 0.00018379 +3 *40129:X 0.00111318 +4 *2989:36 0.0023693 +5 *2989:27 0.00431687 +6 *2989:24 0.00217692 +7 *2989:19 0.00605376 +8 *2989:18 0.00712956 +9 *2989:12 0.00223454 +10 *7985:DIODE *3118:6 0 +11 *7985:DIODE *3981:39 0 +12 *2989:12 *3278:10 0 +13 *2989:12 *3650:75 0 +14 *2989:12 *3669:51 0 +15 *2989:12 *4099:99 0 +16 *2989:18 *3001:29 0 +17 *2989:18 *3485:35 0 +18 *2989:18 *4074:47 0 +19 *2989:19 *39671:A 0 +20 *2989:19 *2998:29 0 +21 *2989:19 *3001:49 0 +22 *2989:19 *3109:37 0 +23 *2989:19 *3109:39 0 +24 *2989:19 *3413:133 0 +25 *2989:19 *3514:27 0 +26 *2989:19 *3715:35 0 +27 *2989:27 *3109:37 0 +28 *2989:36 *3118:6 0 +29 *2989:36 *3470:59 0 +30 *2989:36 *3696:121 0 +31 *2989:36 *3761:28 0 +32 *2989:36 *4087:78 0 +33 *2989:36 *4611:6 0 +34 *375:23 *2989:36 0 +35 *375:41 *2989:36 0 +36 *1424:36 *2989:36 0 +37 *1826:40 *2989:36 0 +38 *2414:55 *7985:DIODE 0 +39 *2469:9 *2989:27 0 +40 *2480:7 *2989:19 0 +41 *2800:17 *2989:36 0 +42 *2818:76 *2989:36 0 +43 *2829:40 *2989:19 0 +44 *2926:57 *2989:12 0 +45 *2980:34 *2989:19 0 +46 *2988:18 *7985:DIODE 0 +*RES +1 *40129:X *2989:12 43.3893 +2 *2989:12 *2989:18 32.5357 +3 *2989:18 *2989:19 125.393 +4 *2989:19 *2989:24 10.0357 +5 *2989:24 *2989:27 48.9821 +6 *2989:27 *2989:36 49.8214 +7 *2989:36 *7985:DIODE 17.8179 +8 *2989:36 *40128:A 13.8 +*END + +*D_NET *2990 0.0201784 +*CONN +*I *5487:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37665:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *40130:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *5487:DIODE 0.000104386 +2 *37665:A 0 +3 *40130:X 0.0011228 +4 *2990:48 0.00201745 +5 *2990:42 0.00329468 +6 *2990:33 0.0035147 +7 *2990:21 0.00426465 +8 *2990:13 0.00343427 +9 *2990:9 0.00242549 +10 *2990:9 *38046:C 0 +11 *2990:9 *3013:72 0 +12 *2990:9 *3764:45 0 +13 *2990:13 *5588:DIODE 0 +14 *2990:13 *2996:15 0 +15 *2990:13 *3012:14 0 +16 *2990:13 *3020:59 0 +17 *2990:13 *3288:81 0 +18 *2990:13 *3779:94 0 +19 *2990:21 *2996:15 0 +20 *2990:21 *3484:58 0 +21 *2990:21 *3779:94 0 +22 *2990:21 *3779:95 0 +23 *2990:33 *37684:A 0 +24 *2990:33 *2996:15 0 +25 *2990:33 *3779:95 0 +26 *2990:42 *3476:45 0 +27 *2990:42 *4627:6 0 +28 *2990:42 *4636:8 0 +29 *2990:48 *4922:7 0 +30 *38071:A *2990:42 0 +31 *38119:A *2990:48 0 +32 *38127:A *2990:33 0 +33 *38367:A *2990:33 0 +34 *39495:B *5487:DIODE 0 +35 *39577:A *2990:42 0 +36 *39620:A *2990:42 0 +37 *267:15 *2990:42 0 +38 *269:19 *2990:48 0 +39 *374:25 *2990:42 0 +40 *1246:16 *2990:33 0 +41 *1335:16 *2990:48 0 +42 *1466:57 *2990:48 0 +43 *1590:42 *2990:48 0 +44 *1591:24 *2990:48 0 +45 *2423:10 *2990:42 0 +46 *2802:61 *2990:48 0 +47 *2803:46 *2990:13 0 +48 *2803:61 *2990:21 0 +49 *2803:61 *2990:33 0 +50 *2830:71 *2990:33 0 +51 *2830:71 *2990:42 0 +52 *2833:63 *2990:33 0 +53 *2833:63 *2990:42 0 +54 *2963:17 *2990:13 0 +55 *2963:27 *5487:DIODE 0 +*RES +1 *40130:X *2990:9 32.7643 +2 *2990:9 *2990:13 27.25 +3 *2990:13 *2990:21 44.6429 +4 *2990:21 *2990:33 49.2679 +5 *2990:33 *2990:42 42.6607 +6 *2990:42 *2990:48 49.5 +7 *2990:48 *37665:A 9.3 +8 *2990:48 *5487:DIODE 11.4786 +*END + +*D_NET *2991 0.0250076 +*CONN +*I *40130:A I *D sky130_fd_sc_hd__buf_4 +*I *7987:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40131:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40130:A 0.000139154 +2 *7987:DIODE 0 +3 *40131:X 0.00161149 +4 *2991:50 0.00194744 +5 *2991:47 0.00756066 +6 *2991:46 0.00596726 +7 *2991:33 0.00319247 +8 *2991:32 0.00297758 +9 *2991:30 0.00161149 +10 *40130:A *3013:72 0 +11 *2991:30 *37840:B 0 +12 *2991:30 *3001:38 0 +13 *2991:30 *3097:44 0 +14 *2991:30 *3097:51 0 +15 *2991:30 *3662:49 0 +16 *2991:30 *4351:33 0 +17 *2991:33 *3050:42 0 +18 *2991:33 *3280:15 0 +19 *2991:33 *3280:43 0 +20 *2991:33 *5016:11 0 +21 *2991:46 *4021:22 0 +22 *2991:47 *3047:63 0 +23 *2991:47 *3117:45 0 +24 *2991:47 *4725:11 0 +25 *2991:50 *3007:34 0 +26 *2991:50 *3400:93 0 +27 *2991:50 *3428:58 0 +28 *2991:50 *4725:10 0 +29 *1420:10 *2991:50 0 +30 *1425:15 *2991:47 0 +31 *1445:53 *2991:30 0 +32 *1545:20 *2991:47 0 +33 *2380:34 *2991:33 0 +34 *2380:43 *2991:33 0 +35 *2682:45 *2991:30 0 +36 *2818:38 *2991:30 0 +37 *2837:49 *2991:30 0 +38 *2842:15 *2991:46 0 +39 *2842:15 *2991:47 0 +40 *2842:21 *2991:33 0 +41 *2842:21 *2991:46 0 +42 *2842:23 *2991:33 0 +43 *2977:62 *2991:30 0 +44 *2981:92 *2991:30 0 +*RES +1 *40131:X *2991:30 49.6087 +2 *2991:30 *2991:32 4.5 +3 *2991:32 *2991:33 62.1429 +4 *2991:33 *2991:46 13.8214 +5 *2991:46 *2991:47 120.054 +6 *2991:47 *2991:50 45.6071 +7 *2991:50 *7987:DIODE 13.8 +8 *2991:50 *40130:A 16.8357 +*END + +*D_NET *2992 0.022446 +*CONN +*I *7988:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40131:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40132:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7988:DIODE 0.000124066 +2 *40131:A 0.000343241 +3 *40132:X 0.00148189 +4 *2992:82 0.00250226 +5 *2992:70 0.00380812 +6 *2992:57 0.0046653 +7 *2992:44 0.00381347 +8 *2992:32 0.00257357 +9 *2992:22 0.00313413 +10 *7988:DIODE *3684:75 0 +11 *7988:DIODE *4033:56 0 +12 *40131:A *5729:DIODE 0 +13 *40131:A *37864:B 0 +14 *2992:22 *6021:DIODE 0 +15 *2992:22 *8483:DIODE 0 +16 *2992:22 *3667:19 0 +17 *2992:22 *3741:79 0 +18 *2992:22 *3819:71 0 +19 *2992:22 *4332:79 0 +20 *2992:22 *4365:100 0 +21 *2992:32 *6410:DIODE 0 +22 *2992:32 *8949:DIODE 0 +23 *2992:32 *3680:92 0 +24 *2992:32 *3756:14 0 +25 *2992:32 *3822:86 0 +26 *2992:32 *4229:32 0 +27 *2992:44 *6401:DIODE 0 +28 *2992:44 *37744:A 0 +29 *2992:44 *3942:107 0 +30 *2992:44 *3942:125 0 +31 *2992:57 *3388:60 0 +32 *2992:57 *3532:30 0 +33 *2992:57 *3756:23 0 +34 *2992:57 *3769:53 0 +35 *2992:57 *3820:89 0 +36 *2992:57 *3822:111 0 +37 *2992:57 *4052:53 0 +38 *2992:57 *4248:69 0 +39 *2992:57 *4248:109 0 +40 *2992:70 *8685:DIODE 0 +41 *2992:70 *8711:DIODE 0 +42 *2992:70 *3684:13 0 +43 *2992:70 *3684:34 0 +44 *2992:70 *3803:82 0 +45 *2992:70 *4051:24 0 +46 *2992:70 *4241:49 0 +47 *2992:82 *2995:42 0 +48 *2992:82 *3218:60 0 +49 *2992:82 *3411:17 0 +50 *2992:82 *3411:42 0 +51 *2992:82 *3652:22 0 +52 *2992:82 *3667:93 0 +53 *2992:82 *3684:53 0 +54 *2992:82 *3996:20 0 +55 *2992:82 *4091:26 0 +56 *38027:A *2992:32 0 +57 *1210:38 *2992:32 0 +58 *1210:54 *2992:32 0 +59 *1210:54 *2992:44 0 +60 *1317:19 *2992:32 0 +61 *1324:16 *2992:32 0 +62 *1331:31 *2992:57 0 +63 *2370:81 *2992:82 0 +64 *2384:46 *2992:82 0 +65 *2434:47 *2992:22 0 +66 *2440:49 *2992:82 0 +67 *2822:97 *2992:70 0 +68 *2822:123 *7988:DIODE 0 +69 *2839:20 *2992:82 0 +70 *2926:57 *2992:70 0 +71 *2935:13 *2992:70 0 +72 *2981:79 *2992:82 0 +73 *2981:92 *2992:82 0 +*RES +1 *40132:X *2992:22 49.925 +2 *2992:22 *2992:32 48.25 +3 *2992:32 *2992:44 32.875 +4 *2992:44 *2992:57 48.0262 +5 *2992:57 *2992:70 49.8207 +6 *2992:70 *2992:82 23.0949 +7 *2992:82 *40131:A 22.4429 +8 *2992:82 *7988:DIODE 16.3893 +*END + +*D_NET *2993 0.0118456 +*CONN +*I *40550:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8283:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38478:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40550:A 0.00016665 +2 *8283:DIODE 0 +3 *38478:X 0.00100561 +4 *2993:71 0.00137308 +5 *2993:70 0.00203333 +6 *2993:64 0.00168397 +7 *2993:44 0.00271722 +8 *2993:17 0.00286576 +9 *40550:A *3199:63 0 +10 *2993:17 *3221:36 0 +11 *2993:17 *4555:65 0 +12 *2993:17 *4774:57 0 +13 *2993:17 *5169:57 0 +14 *2993:44 *3023:58 0 +15 *2993:44 *3348:112 0 +16 *2993:44 *3477:53 0 +17 *2993:44 *3478:17 0 +18 *2993:44 *3478:48 0 +19 *2993:44 *4015:55 0 +20 *2993:44 *4254:105 0 +21 *2993:44 *4270:45 0 +22 *2993:64 *38112:B 0 +23 *2993:64 *3164:55 0 +24 *2993:64 *3184:45 0 +25 *2993:64 *3235:22 0 +26 *2993:64 *3264:66 0 +27 *2993:64 *3402:78 0 +28 *2993:64 *4427:70 0 +29 *2993:70 *3194:17 0 +30 *2993:71 *3199:63 0 +31 *411:68 *2993:17 0 +32 *1002:53 *2993:44 0 +33 *1008:109 *2993:44 0 +34 *1862:22 *2993:17 0 +*RES +1 *38478:X *2993:17 47.6419 +2 *2993:17 *2993:44 49.008 +3 *2993:44 *2993:64 41.7768 +4 *2993:64 *2993:70 26.4643 +5 *2993:70 *2993:71 25.1786 +6 *2993:71 *8283:DIODE 9.3 +7 *2993:71 *40550:A 21.925 +*END + +*D_NET *2994 0.0192718 +*CONN +*I *5484:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37663:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *40133:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *5484:DIODE 0.000104386 +2 *37663:A 0 +3 *40133:X 0.000312878 +4 *2994:20 0.00932303 +5 *2994:14 0.00953152 +6 *5484:DIODE *5209:30 0 +7 *2994:14 *3403:16 0 +8 *2994:14 *4534:82 0 +9 *2994:14 *4539:68 0 +10 *2994:14 *4539:69 0 +11 *2994:14 *5175:22 0 +12 *2994:20 *3089:38 0 +13 *2994:20 *3209:17 0 +14 *2994:20 *4539:48 0 +15 *2994:20 *4547:54 0 +16 *2994:20 *4573:19 0 +17 *2994:20 *4577:16 0 +18 *2994:20 *4579:17 0 +19 *2994:20 *4585:13 0 +20 *2994:20 *4774:25 0 +21 *2994:20 *5190:31 0 +22 *2994:20 *5209:30 0 +23 *2994:20 *5209:105 0 +24 *2994:20 *5209:110 0 +25 *6944:DIODE *2994:14 0 +26 *6946:DIODE *2994:14 0 +27 *1701:29 *2994:20 0 +28 *1720:34 *2994:20 0 +29 *1750:18 *2994:20 0 +30 *1860:57 *2994:20 0 +31 *1870:18 *2994:20 0 +32 *2713:28 *2994:20 0 +33 *2713:49 *2994:20 0 +34 *2964:5 *5484:DIODE 0 +35 *2966:14 *2994:20 0 +*RES +1 *40133:X *2994:14 24.0046 +2 *2994:14 *2994:20 43.0617 +3 *2994:20 *37663:A 9.3 +4 *2994:20 *5484:DIODE 11.4786 +*END + +*D_NET *2995 0.0311398 +*CONN +*I *7989:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40133:A I *D sky130_fd_sc_hd__buf_2 +*I *40134:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7989:DIODE 0.000419257 +2 *40133:A 7.12677e-05 +3 *40134:X 0.00585619 +4 *2995:54 0.00162606 +5 *2995:42 0.00922318 +6 *2995:19 0.0139438 +7 *7989:DIODE *3403:16 0 +8 *7989:DIODE *4534:82 0 +9 *7989:DIODE *4539:68 0 +10 *40133:A *4539:69 0 +11 *2995:19 *3174:16 0 +12 *2995:19 *3218:60 0 +13 *2995:19 *3235:111 0 +14 *2995:19 *3492:14 0 +15 *2995:19 *3942:151 0 +16 *2995:42 *40722:A 0 +17 *2995:42 *3005:76 0 +18 *2995:42 *3072:23 0 +19 *2995:42 *3218:60 0 +20 *2995:42 *3280:57 0 +21 *2995:42 *3411:42 0 +22 *2995:42 *3473:22 0 +23 *2995:42 *4048:74 0 +24 *2995:54 *4555:19 0 +25 *2995:54 *4600:28 0 +26 *2995:54 *4755:23 0 +27 *2995:54 *5179:29 0 +28 *6946:DIODE *2995:54 0 +29 *7970:DIODE *7989:DIODE 0 +30 *40134:A *2995:19 0 +31 *784:31 *2995:19 0 +32 *1451:24 *2995:42 0 +33 *2440:31 *2995:42 0 +34 *2440:49 *2995:19 0 +35 *2442:31 *2995:19 0 +36 *2442:31 *2995:42 0 +37 *2823:19 *2995:42 0 +38 *2968:21 *2995:19 0 +39 *2968:34 *2995:19 0 +40 *2970:45 *2995:42 0 +41 *2977:76 *2995:42 0 +42 *2992:82 *2995:42 0 +*RES +1 *40134:X *2995:19 47.5209 +2 *2995:19 *2995:42 49.9943 +3 *2995:42 *2995:54 12.6711 +4 *2995:54 *40133:A 15.3714 +5 *2995:54 *7989:DIODE 22.55 +*END + +*D_NET *2996 0.0189205 +*CONN +*I *5482:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37661:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *40135:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *5482:DIODE 0.000101392 +2 *37661:A 3.50807e-05 +3 *40135:X 0.000393374 +4 *2996:31 0.00101819 +5 *2996:29 0.00240752 +6 *2996:15 0.00804866 +7 *2996:13 0.00691624 +8 *2996:13 *6043:DIODE 0 +9 *2996:13 *3020:59 0 +10 *2996:15 *5517:DIODE 0 +11 *2996:15 *3012:14 0 +12 *2996:15 *3012:20 0 +13 *2996:15 *3020:59 0 +14 *2996:15 *3476:45 0 +15 *2996:15 *3764:62 0 +16 *2996:15 *4625:7 0 +17 *2996:15 *4910:7 0 +18 *2996:29 *3476:45 0 +19 *2996:29 *4636:7 0 +20 *7356:DIODE *2996:15 0 +21 *38129:A *2996:29 0 +22 *38383:A *2996:15 0 +23 *39515:A *2996:31 0 +24 *39515:B *37661:A 0 +25 *39515:B *2996:31 0 +26 *39620:A *2996:29 0 +27 *1590:42 *2996:15 0 +28 *1590:45 *2996:15 0 +29 *1601:12 *5482:DIODE 0 +30 *1601:12 *2996:29 0 +31 *1601:12 *2996:31 0 +32 *1601:33 *2996:15 0 +33 *1847:5 *2996:29 0 +34 *1847:5 *2996:31 0 +35 *2803:61 *2996:15 0 +36 *2833:63 *2996:15 0 +37 *2990:13 *2996:15 0 +38 *2990:21 *2996:15 0 +39 *2990:33 *2996:15 0 +*RES +1 *40135:X *2996:13 17.8179 +2 *2996:13 *2996:15 136.071 +3 *2996:15 *2996:29 32.2768 +4 *2996:29 *2996:31 18.4018 +5 *2996:31 *37661:A 10.0321 +6 *2996:31 *5482:DIODE 11.4786 +*END + +*D_NET *2997 0.0241022 +*CONN +*I *40135:A I *D sky130_fd_sc_hd__buf_4 +*I *7991:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40136:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40135:A 2.56688e-05 +2 *7991:DIODE 0.000323375 +3 *40136:X 0.00131553 +4 *2997:30 0.00181234 +5 *2997:21 0.00487382 +6 *2997:20 0.00389902 +7 *2997:9 0.00551273 +8 *2997:8 0.00633976 +9 *7991:DIODE *4318:79 0 +10 *40135:A *6043:DIODE 0 +11 *40135:A *3764:45 0 +12 *2997:8 *2998:40 0 +13 *2997:8 *3005:66 0 +14 *2997:9 *3418:27 0 +15 *2997:9 *3719:43 0 +16 *2997:20 *3028:29 0 +17 *2997:20 *3418:38 0 +18 *2997:20 *3429:39 0 +19 *2997:20 *3967:40 0 +20 *2997:21 *3418:39 0 +21 *2997:30 *3718:60 0 +22 *2997:30 *4896:16 0 +23 *2997:30 *4901:17 0 +24 *2997:30 *4901:18 0 +25 *2997:30 *5019:23 0 +26 *374:20 *2997:9 0 +27 *374:20 *2997:21 0 +28 *1422:30 *2997:30 0 +29 *1864:72 *7991:DIODE 0 +30 *2403:16 *2997:9 0 +31 *2417:52 *2997:9 0 +32 *2473:21 *2997:20 0 +33 *2473:21 *2997:21 0 +34 *2800:48 *7991:DIODE 0 +35 *2834:25 *2997:9 0 +36 *2972:64 *2997:8 0 +*RES +1 *40136:X *2997:8 48.175 +2 *2997:8 *2997:9 104.857 +3 *2997:9 *2997:20 18.3096 +4 *2997:20 *2997:21 71.1786 +5 *2997:21 *2997:30 46.6786 +6 *2997:30 *7991:DIODE 21.0143 +7 *2997:30 *40135:A 14.3357 +*END + +*D_NET *2998 0.0283079 +*CONN +*I *7992:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40136:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40137:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7992:DIODE 0.000459471 +2 *40136:A 4.53482e-05 +3 *40137:X 0.000163425 +4 *2998:40 0.00223481 +5 *2998:37 0.00274258 +6 *2998:29 0.00597629 +7 *2998:27 0.00633803 +8 *2998:11 0.0040764 +9 *2998:10 0.00440512 +10 *2998:7 0.00186647 +11 *7992:DIODE *8932:DIODE 0 +12 *7992:DIODE *4220:53 0 +13 *7992:DIODE *4334:16 0 +14 *2998:7 *3305:127 0 +15 *2998:7 *3811:33 0 +16 *2998:10 *3080:50 0 +17 *2998:10 *3252:25 0 +18 *2998:10 *3252:28 0 +19 *2998:11 *3014:28 0 +20 *2998:11 *4309:24 0 +21 *2998:27 *3011:43 0 +22 *2998:27 *4074:25 0 +23 *2998:27 *4074:31 0 +24 *2998:27 *4074:33 0 +25 *2998:29 *3011:58 0 +26 *2998:29 *3047:24 0 +27 *2998:29 *4074:33 0 +28 *2998:37 *3001:38 0 +29 *2998:37 *4245:40 0 +30 *2998:40 *3001:49 0 +31 *2998:40 *3001:58 0 +32 *1273:62 *2998:40 0 +33 *1413:35 *2998:10 0 +34 *1446:45 *2998:10 0 +35 *2480:7 *2998:29 0 +36 *2826:11 *2998:29 0 +37 *2842:23 *2998:37 0 +38 *2943:17 *2998:37 0 +39 *2945:10 *2998:10 0 +40 *2954:17 *2998:29 0 +41 *2975:9 *2998:11 0 +42 *2987:30 *2998:10 0 +43 *2989:19 *2998:29 0 +44 *2997:8 *2998:40 0 +*RES +1 *40137:X *2998:7 17.2107 +2 *2998:7 *2998:10 43.1786 +3 *2998:10 *2998:11 56.3929 +4 *2998:11 *2998:27 38.0714 +5 *2998:27 *2998:29 103.625 +6 *2998:29 *2998:37 35.0357 +7 *2998:37 *2998:40 43.7857 +8 *2998:40 *40136:A 10.2464 +9 *2998:40 *7992:DIODE 19.0143 +*END + +*D_NET *2999 0.0197988 +*CONN +*I *5479:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37659:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *40138:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *5479:DIODE 0.000104386 +2 *37659:A 0 +3 *40138:X 0.00202025 +4 *2999:63 0.00185869 +5 *2999:55 0.00567649 +6 *2999:54 0.00602047 +7 *2999:37 0.00411853 +8 *5479:DIODE *3699:17 0 +9 *2999:37 *38124:C 0 +10 *2999:37 *3039:15 0 +11 *2999:37 *3394:61 0 +12 *2999:37 *3395:85 0 +13 *2999:37 *3481:68 0 +14 *2999:37 *3999:56 0 +15 *2999:37 *4013:98 0 +16 *2999:37 *4018:109 0 +17 *2999:37 *4223:62 0 +18 *2999:37 *4240:28 0 +19 *2999:54 *3395:106 0 +20 *2999:54 *3397:35 0 +21 *2999:54 *3491:48 0 +22 *2999:54 *3966:14 0 +23 *2999:54 *4579:22 0 +24 *2999:55 *3394:108 0 +25 *2999:55 *3398:75 0 +26 *2999:55 *3398:94 0 +27 *2999:55 *3699:17 0 +28 *2999:55 *3957:29 0 +29 *2999:55 *3957:50 0 +30 *2999:63 *38380:B 0 +31 *2999:63 *3699:17 0 +32 *2999:63 *3957:51 0 +33 *6103:DIODE *2999:37 0 +34 *372:39 *2999:37 0 +35 *1015:125 *2999:54 0 +36 *1252:12 *2999:37 0 +37 *1252:12 *2999:54 0 +38 *1589:10 *2999:55 0 +39 *1599:24 *2999:55 0 +40 *1718:29 *2999:63 0 +41 *2806:92 *2999:37 0 +*RES +1 *40138:X *2999:37 47.7758 +2 *2999:37 *2999:54 46.3076 +3 *2999:54 *2999:55 81.8571 +4 *2999:55 *2999:63 45.6964 +5 *2999:63 *37659:A 9.3 +6 *2999:63 *5479:DIODE 11.4786 +*END + +*D_NET *3000 0.0251758 +*CONN +*I *40138:A I *D sky130_fd_sc_hd__buf_4 +*I *7993:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40139:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40138:A 0.000172679 +2 *7993:DIODE 0 +3 *40139:X 0.00134339 +4 *3000:57 0.00183994 +5 *3000:51 0.00755589 +6 *3000:49 0.00729651 +7 *3000:37 0.00351596 +8 *3000:32 0.00345148 +9 *40138:A *3394:61 0 +10 *40138:A *3481:68 0 +11 *3000:32 *7994:DIODE 0 +12 *3000:32 *8649:DIODE 0 +13 *3000:32 *40393:A 0 +14 *3000:32 *41006:A 0 +15 *3000:32 *3432:28 0 +16 *3000:32 *3979:52 0 +17 *3000:37 *3005:76 0 +18 *3000:37 *3109:48 0 +19 *3000:37 *3275:65 0 +20 *3000:37 *4224:27 0 +21 *3000:49 *3218:36 0 +22 *3000:49 *3543:38 0 +23 *3000:57 *38052:A_N 0 +24 *3000:57 *3394:61 0 +25 *3000:57 *4624:14 0 +26 *7961:DIODE *3000:49 0 +27 *7961:DIODE *3000:51 0 +28 *40097:A *3000:49 0 +29 *370:24 *3000:37 0 +30 *1001:43 *3000:37 0 +31 *1272:18 *3000:49 0 +32 *2382:31 *3000:37 0 +33 *2384:28 *3000:32 0 +34 *2385:11 *3000:51 0 +35 *2385:26 *3000:49 0 +36 *2422:66 *3000:32 0 +37 *2806:92 *40138:A 0 +38 *2819:21 *3000:51 0 +39 *2821:36 *3000:49 0 +40 *2821:37 *3000:49 0 +41 *2831:55 *3000:37 0 +42 *2974:19 *3000:49 0 +43 *2974:29 *3000:51 0 +44 *2980:44 *3000:57 0 +*RES +1 *40139:X *3000:32 44.6929 +2 *3000:32 *3000:37 49.7143 +3 *3000:37 *3000:49 38.7321 +4 *3000:49 *3000:51 122.929 +5 *3000:51 *3000:57 46.7143 +6 *3000:57 *7993:DIODE 9.3 +7 *3000:57 *40138:A 22.05 +*END + +*D_NET *3001 0.0300751 +*CONN +*I *7994:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40139:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40140:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7994:DIODE 0.000878565 +2 *40139:A 0 +3 *40140:X 0.000540005 +4 *3001:67 0.0012216 +5 *3001:58 0.00202717 +6 *3001:49 0.00269563 +7 *3001:38 0.00263223 +8 *3001:29 0.00672369 +9 *3001:28 0.00522878 +10 *3001:25 0.00227687 +11 *3001:22 0.00373082 +12 *3001:18 0.00211979 +13 *7994:DIODE *8649:DIODE 0 +14 *7994:DIODE *3028:25 0 +15 *7994:DIODE *3348:75 0 +16 *7994:DIODE *4073:10 0 +17 *3001:18 *3811:33 0 +18 *3001:22 *3005:26 0 +19 *3001:25 *3014:31 0 +20 *3001:28 *3387:24 0 +21 *3001:28 *3720:60 0 +22 *3001:29 *3072:48 0 +23 *3001:29 *3073:68 0 +24 *3001:29 *3485:35 0 +25 *3001:29 *3669:33 0 +26 *3001:38 *3007:24 0 +27 *3001:38 *3159:31 0 +28 *3001:38 *3278:19 0 +29 *3001:38 *3278:21 0 +30 *3001:38 *3521:26 0 +31 *3001:38 *3652:40 0 +32 *3001:38 *3951:23 0 +33 *3001:38 *4031:50 0 +34 *3001:38 *4241:110 0 +35 *3001:49 *3014:55 0 +36 *3001:58 *3090:25 0 +37 *3001:58 *3098:41 0 +38 *3001:67 *8649:DIODE 0 +39 *3001:67 *3937:61 0 +40 *3001:67 *4073:10 0 +41 *1273:62 *3001:58 0 +42 *2398:60 *7994:DIODE 0 +43 *2434:47 *3001:22 0 +44 *2480:7 *3001:49 0 +45 *2715:9 *3001:25 0 +46 *2719:9 *3001:25 0 +47 *2824:32 *3001:29 0 +48 *2834:25 *3001:49 0 +49 *2837:30 *3001:25 0 +50 *2975:9 *3001:29 0 +51 *2986:13 *3001:67 0 +52 *2986:22 *3001:58 0 +53 *2989:18 *3001:29 0 +54 *2989:19 *3001:49 0 +55 *2991:30 *3001:38 0 +56 *2998:37 *3001:38 0 +57 *2998:40 *3001:49 0 +58 *2998:40 *3001:58 0 +59 *3000:32 *7994:DIODE 0 +*RES +1 *40140:X *3001:18 35.1661 +2 *3001:18 *3001:22 40.4464 +3 *3001:22 *3001:25 49.3929 +4 *3001:25 *3001:28 7.35714 +5 *3001:28 *3001:29 106.5 +6 *3001:29 *3001:38 48.3036 +7 *3001:38 *3001:49 39.4643 +8 *3001:49 *3001:58 47.1607 +9 *3001:58 *3001:67 21.0893 +10 *3001:67 *40139:A 9.3 +11 *3001:67 *7994:DIODE 37.7643 +*END + +*D_NET *3002 0.0187599 +*CONN +*I *37657:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *5477:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40141:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *37657:A 0.000200644 +2 *5477:DIODE 0 +3 *40141:X 0 +4 *3002:15 0.00114413 +5 *3002:9 0.00510868 +6 *3002:7 0.00418572 +7 *3002:5 0.00407064 +8 *3002:4 0.00405011 +9 *37657:A *4426:9 0 +10 *3002:5 *3101:16 0 +11 *3002:5 *3370:17 0 +12 *3002:5 *3392:65 0 +13 *3002:5 *4426:9 0 +14 *3002:5 *4773:42 0 +15 *3002:9 *4426:9 0 +16 *3002:15 *4426:9 0 +17 *1832:14 *3002:15 0 +18 *1832:17 *3002:9 0 +19 *1832:17 *3002:15 0 +20 *1832:19 *3002:5 0 +21 *1832:19 *3002:9 0 +*RES +1 *40141:X *3002:4 9.3 +2 *3002:4 *3002:5 84.5268 +3 *3002:5 *3002:7 0.428571 +4 *3002:7 *3002:9 86.9911 +5 *3002:9 *3002:15 28.75 +6 *3002:15 *5477:DIODE 9.3 +7 *3002:15 *37657:A 13.55 +*END + +*D_NET *3003 0.0298543 +*CONN +*I *40141:A I *D sky130_fd_sc_hd__buf_6 +*I *7995:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40142:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *40141:A 4.23535e-05 +2 *7995:DIODE 8.4707e-05 +3 *40142:X 0.00279489 +4 *3003:38 0.000472036 +5 *3003:30 0.00276957 +6 *3003:29 0.00242459 +7 *3003:27 0.00923563 +8 *3003:26 0.00923563 +9 *3003:24 0.00279489 +10 *7995:DIODE *3164:29 0 +11 *7995:DIODE *3392:65 0 +12 *40141:A *3164:29 0 +13 *40141:A *3392:65 0 +14 *3003:24 *40142:A 0 +15 *3003:24 *3005:76 0 +16 *3003:24 *3036:57 0 +17 *3003:24 *3114:15 0 +18 *3003:24 *3264:105 0 +19 *3003:24 *3348:57 0 +20 *3003:24 *3584:37 0 +21 *3003:24 *3678:56 0 +22 *3003:24 *4251:41 0 +23 *3003:30 *3028:48 0 +24 *3003:30 *3391:54 0 +25 *3003:30 *3582:30 0 +26 *3003:30 *4609:6 0 +27 *3003:30 *4900:16 0 +28 *3003:30 *4900:18 0 +29 *3003:38 *3357:68 0 +30 *1014:107 *3003:30 0 +31 *1014:119 *3003:38 0 +32 *2365:24 *3003:24 0 +33 *2430:40 *3003:24 0 +34 *2682:45 *3003:24 0 +35 *2814:37 *3003:27 0 +36 *2815:83 *3003:30 0 +37 *2817:47 *3003:27 0 +38 *2818:73 *3003:27 0 +39 *2828:30 *3003:27 0 +40 *2828:33 *3003:27 0 +41 *2828:35 *3003:27 0 +42 *2834:35 *3003:27 0 +43 *2836:31 *3003:24 0 +*RES +1 *40142:X *3003:24 46.0804 +2 *3003:24 *3003:26 4.5 +3 *3003:26 *3003:27 192.75 +4 *3003:27 *3003:29 4.5 +5 *3003:29 *3003:30 55.0714 +6 *3003:30 *3003:38 21.25 +7 *3003:38 *7995:DIODE 11.0679 +8 *3003:38 *40141:A 10.2464 +*END + +*D_NET *3004 0.00348517 +*CONN +*I *40549:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38479:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40549:A 0.000541183 +2 *38479:X 0.0012014 +3 *3004:24 0.00174258 +4 *40549:A *4412:17 0 +5 *40549:A *4438:19 0 +6 *40549:A *4561:27 0 +7 *40549:A *4784:45 0 +8 *40549:A *5100:31 0 +9 *3004:24 *3266:46 0 +10 *3004:24 *4413:18 0 +11 *3004:24 *4550:35 0 +12 *3004:24 *4550:63 0 +13 *3004:24 *4755:41 0 +14 *3004:24 *5075:12 0 +15 *3004:24 *5102:19 0 +16 *7982:DIODE *3004:24 0 +17 *37451:A *3004:24 0 +18 *38622:A *3004:24 0 +19 *417:13 *3004:24 0 +20 *800:11 *3004:24 0 +21 *2713:169 *40549:A 0 +22 *2983:16 *3004:24 0 +*RES +1 *38479:X *3004:24 48.8179 +2 *3004:24 *40549:A 25.1571 +*END + +*D_NET *3005 0.0333829 +*CONN +*I *7996:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40142:A I *D sky130_fd_sc_hd__buf_4 +*I *40143:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7996:DIODE 0.000587993 +2 *40142:A 0.000331646 +3 *40143:X 0.00135612 +4 *3005:76 0.00400174 +5 *3005:66 0.00495974 +6 *3005:60 0.00270381 +7 *3005:52 0.00291115 +8 *3005:44 0.00268217 +9 *3005:33 0.00388963 +10 *3005:32 0.00437369 +11 *3005:26 0.00265515 +12 *3005:22 0.00293002 +13 *7996:DIODE *40607:A 0 +14 *7996:DIODE *3070:32 0 +15 *7996:DIODE *3281:24 0 +16 *7996:DIODE *3422:67 0 +17 *7996:DIODE *4238:98 0 +18 *7996:DIODE *4603:43 0 +19 *7996:DIODE *5173:36 0 +20 *40142:A *3198:18 0 +21 *40142:A *3264:105 0 +22 *40142:A *4238:98 0 +23 *40142:A *4251:41 0 +24 *40142:A *5193:26 0 +25 *3005:22 *3148:20 0 +26 *3005:22 *3202:24 0 +27 *3005:22 *3697:59 0 +28 *3005:22 *3726:51 0 +29 *3005:22 *4286:65 0 +30 *3005:22 *4288:103 0 +31 *3005:44 *3768:14 0 +32 *3005:52 *3283:45 0 +33 *3005:66 *3021:19 0 +34 *3005:66 *3283:57 0 +35 *3005:76 *8662:DIODE 0 +36 *3005:76 *3029:68 0 +37 *3005:76 *3218:60 0 +38 *3005:76 *3979:52 0 +39 *7997:DIODE *3005:22 0 +40 *39608:A *40142:A 0 +41 *1218:48 *3005:22 0 +42 *1273:62 *3005:66 0 +43 *1338:14 *3005:44 0 +44 *2365:24 *3005:76 0 +45 *2368:40 *7996:DIODE 0 +46 *2411:93 *40142:A 0 +47 *2413:69 *3005:32 0 +48 *2413:69 *3005:33 0 +49 *2417:52 *3005:66 0 +50 *2434:28 *3005:60 0 +51 *2436:13 *3005:52 0 +52 *2436:15 *3005:33 0 +53 *2436:27 *3005:32 0 +54 *2478:18 *3005:66 0 +55 *2826:11 *3005:44 0 +56 *2831:55 *3005:76 0 +57 *2834:24 *3005:60 0 +58 *2834:25 *3005:66 0 +59 *2935:13 *3005:44 0 +60 *2972:51 *3005:33 0 +61 *2972:51 *3005:52 0 +62 *2972:51 *3005:60 0 +63 *2995:42 *3005:76 0 +64 *2997:8 *3005:66 0 +65 *3000:37 *3005:76 0 +66 *3001:22 *3005:26 0 +67 *3003:24 *40142:A 0 +68 *3003:24 *3005:76 0 +*RES +1 *40143:X *3005:22 44.7732 +2 *3005:22 *3005:26 40.2946 +3 *3005:26 *3005:32 31.625 +4 *3005:32 *3005:33 68.7143 +5 *3005:33 *3005:44 25.3377 +6 *3005:44 *3005:52 48.3214 +7 *3005:52 *3005:60 30.875 +8 *3005:60 *3005:66 48.5179 +9 *3005:66 *3005:76 39.3758 +10 *3005:76 *40142:A 24.5495 +11 *3005:76 *7996:DIODE 25.1038 +*END + +*D_NET *3006 0.0193287 +*CONN +*I *5475:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37655:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *40144:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *5475:DIODE 0.000101392 +2 *37655:A 3.22476e-05 +3 *40144:X 0.00448792 +4 *3006:29 0.00246265 +5 *3006:23 0.00355384 +6 *3006:20 0.00271377 +7 *3006:14 0.00597686 +8 *5475:DIODE *3391:100 0 +9 *3006:14 *3408:18 0 +10 *3006:14 *3408:24 0 +11 *3006:14 *4223:62 0 +12 *3006:14 *4322:103 0 +13 *3006:20 *3476:45 0 +14 *3006:20 *3962:15 0 +15 *3006:20 *4744:16 0 +16 *3006:20 *4779:10 0 +17 *3006:23 *38366:B 0 +18 *3006:23 *3986:13 0 +19 *3006:29 *6514:DIODE 0 +20 *3006:29 *38366:B 0 +21 *3006:29 *3391:86 0 +22 *3006:29 *3391:92 0 +23 *3006:29 *3391:100 0 +24 *5276:DIODE *3006:20 0 +25 *6106:DIODE *3006:20 0 +26 *37713:A *3006:23 0 +27 *38113:A *3006:23 0 +28 *38357:A *3006:14 0 +29 *269:19 *37655:A 0 +30 *1570:26 *3006:14 0 +31 *1582:13 *3006:23 0 +32 *1586:17 *3006:14 0 +33 *1831:17 *3006:23 0 +34 *1831:17 *3006:29 0 +35 *1831:28 *3006:14 0 +36 *1839:23 *3006:20 0 +37 *2800:48 *3006:14 0 +38 *2809:24 *3006:14 0 +*RES +1 *40144:X *3006:14 42.095 +2 *3006:14 *3006:20 40.2321 +3 *3006:20 *3006:23 25.5 +4 *3006:23 *3006:29 48.7321 +5 *3006:29 *37655:A 19.0321 +6 *3006:29 *5475:DIODE 11.4786 +*END + +*D_NET *3007 0.0268124 +*CONN +*I *7998:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40144:A I *D sky130_fd_sc_hd__buf_4 +*I *40145:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *7998:DIODE 4.53482e-05 +2 *40144:A 9.72556e-05 +3 *40145:X 0.00154117 +4 *3007:34 0.00235033 +5 *3007:33 0.00220773 +6 *3007:31 0.00788224 +7 *3007:29 0.00802534 +8 *3007:24 0.00163245 +9 *3007:12 0.00303052 +10 *3007:12 *3485:40 0 +11 *3007:12 *4084:35 0 +12 *3007:24 *3159:31 0 +13 *3007:24 *3278:21 0 +14 *3007:31 *3047:63 0 +15 *3007:31 *3050:42 0 +16 *3007:31 *3278:21 0 +17 *3007:31 *5016:11 0 +18 *3007:34 *3400:98 0 +19 *38309:A *3007:31 0 +20 *38327:A *3007:31 0 +21 *374:5 *3007:12 0 +22 *1420:10 *3007:34 0 +23 *1553:47 *3007:31 0 +24 *1554:6 *3007:34 0 +25 *1562:33 *3007:31 0 +26 *1846:24 *3007:34 0 +27 *1864:51 *3007:31 0 +28 *1864:57 *3007:31 0 +29 *1864:59 *3007:31 0 +30 *2365:32 *3007:29 0 +31 *2365:32 *3007:31 0 +32 *2380:43 *3007:31 0 +33 *2388:48 *40144:A 0 +34 *2479:39 *3007:24 0 +35 *2479:39 *3007:29 0 +36 *2815:70 *40144:A 0 +37 *2842:23 *3007:24 0 +38 *2842:29 *3007:12 0 +39 *2943:17 *3007:24 0 +40 *2991:50 *3007:34 0 +41 *3001:38 *3007:24 0 +*RES +1 *40145:X *3007:12 48.8179 +2 *3007:12 *3007:24 49.4464 +3 *3007:24 *3007:29 7.51786 +4 *3007:29 *3007:31 164.411 +5 *3007:31 *3007:33 4.5 +6 *3007:33 *3007:34 50.2143 +7 *3007:34 *40144:A 15.8893 +8 *3007:34 *7998:DIODE 14.7464 +*END + +*D_NET *3008 0.0232518 +*CONN +*I *7999:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40145:A I *D sky130_fd_sc_hd__buf_4 +*I *40146:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7999:DIODE 0 +2 *40145:A 0 +3 *40146:X 0.000742697 +4 *3008:89 0.000795314 +5 *3008:54 0.00190992 +6 *3008:33 0.0100879 +7 *3008:20 0.00971596 +8 *3008:20 *4008:48 0 +9 *3008:20 *4008:72 0 +10 *3008:20 *4074:24 0 +11 *3008:20 *4078:7 0 +12 *3008:20 *4078:26 0 +13 *3008:20 *4230:53 0 +14 *3008:33 *3025:46 0 +15 *3008:33 *3090:43 0 +16 *3008:33 *3110:24 0 +17 *3008:33 *3308:15 0 +18 *3008:33 *3433:30 0 +19 *3008:33 *3499:27 0 +20 *3008:33 *3626:29 0 +21 *3008:33 *3626:45 0 +22 *3008:33 *3776:17 0 +23 *3008:33 *4340:40 0 +24 *3008:54 *3081:38 0 +25 *3008:54 *3298:59 0 +26 *3008:54 *3750:98 0 +27 *3008:54 *3765:22 0 +28 *3008:54 *3994:17 0 +29 *3008:54 *4033:44 0 +30 *3008:54 *4084:31 0 +31 *3008:54 *4084:35 0 +32 *3008:54 *4224:27 0 +33 *3008:54 *4351:33 0 +34 *3008:89 *37864:B 0 +35 *3008:89 *3780:61 0 +36 *3008:89 *3802:20 0 +37 *3008:89 *3810:9 0 +38 *40146:A *3008:20 0 +39 *1226:80 *3008:20 0 +40 *1233:18 *3008:20 0 +41 *1287:25 *3008:20 0 +42 *1445:53 *3008:89 0 +43 *2367:24 *3008:20 0 +44 *2367:44 *3008:20 0 +45 *2832:50 *3008:33 0 +46 *2835:31 *3008:33 0 +*RES +1 *40146:X *3008:20 42.8439 +2 *3008:20 *3008:33 45.9096 +3 *3008:33 *3008:54 41.6776 +4 *3008:54 *40145:A 13.8 +5 *3008:54 *3008:89 49.3929 +6 *3008:89 *7999:DIODE 9.3 +*END + +*D_NET *3009 0.0211529 +*CONN +*I *5473:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37653:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *40147:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *5473:DIODE 0.000101392 +2 *37653:A 0 +3 *40147:X 0.00113189 +4 *3009:71 0.00174818 +5 *3009:64 0.0034009 +6 *3009:58 0.00311087 +7 *3009:52 0.00300295 +8 *3009:42 0.00288715 +9 *3009:30 0.00293932 +10 *3009:22 0.00283026 +11 *5473:DIODE *3539:11 0 +12 *5473:DIODE *3930:13 0 +13 *3009:22 *3013:64 0 +14 *3009:22 *3297:81 0 +15 *3009:22 *3773:55 0 +16 *3009:22 *3779:31 0 +17 *3009:22 *3978:77 0 +18 *3009:22 *4010:96 0 +19 *3009:22 *4010:120 0 +20 *3009:22 *4601:37 0 +21 *3009:30 *5463:DIODE 0 +22 *3009:30 *3470:67 0 +23 *3009:30 *4330:62 0 +24 *3009:42 *5517:DIODE 0 +25 *3009:42 *3286:114 0 +26 *3009:42 *3489:26 0 +27 *3009:42 *3773:57 0 +28 *3009:42 *3779:82 0 +29 *3009:42 *4908:12 0 +30 *3009:52 *41390:A 0 +31 *3009:52 *3286:114 0 +32 *3009:52 *3480:28 0 +33 *3009:52 *3779:82 0 +34 *3009:52 *4405:9 0 +35 *3009:64 *3044:21 0 +36 *3009:71 *3539:11 0 +37 *3009:71 *3930:13 0 +38 *3009:71 *3940:26 0 +39 *3009:71 *3962:19 0 +40 *7484:DIODE *3009:64 0 +41 *39588:A *3009:71 0 +42 *379:27 *3009:64 0 +43 *383:17 *3009:52 0 +44 *1017:89 *3009:64 0 +45 *1017:93 *3009:64 0 +46 *1024:137 *3009:71 0 +47 *1221:14 *3009:30 0 +48 *1587:8 *3009:71 0 +49 *1716:13 *3009:71 0 +50 *1844:8 *3009:64 0 +51 *1863:48 *3009:52 0 +52 *2388:10 *3009:64 0 +53 *2800:64 *3009:58 0 +54 *2811:59 *3009:22 0 +55 *2811:67 *3009:42 0 +56 *2811:69 *3009:52 0 +57 *2830:44 *3009:52 0 +58 *2830:56 *3009:52 0 +59 *2833:30 *3009:30 0 +60 *2963:27 *3009:58 0 +61 *2963:27 *3009:64 0 +*RES +1 *40147:X *3009:22 47.0143 +2 *3009:22 *3009:30 49.0536 +3 *3009:30 *3009:42 44.0357 +4 *3009:42 *3009:52 43.8214 +5 *3009:52 *3009:58 37.375 +6 *3009:58 *3009:64 46.0357 +7 *3009:64 *3009:71 43.625 +8 *3009:71 *37653:A 9.3 +9 *3009:71 *5473:DIODE 11.4786 +*END + +*D_NET *3010 0.0266469 +*CONN +*I *40147:A I *D sky130_fd_sc_hd__buf_4 +*I *8001:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40148:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40147:A 0.000124921 +2 *8001:DIODE 0 +3 *40148:X 0.00185373 +4 *3010:40 0.000124921 +5 *3010:38 0.00214404 +6 *3010:37 0.00214404 +7 *3010:35 0.00246891 +8 *3010:34 0.00255462 +9 *3010:29 0.00526738 +10 *3010:28 0.00664615 +11 *3010:20 0.00331821 +12 *40147:A *3297:81 0 +13 *40147:A *4010:96 0 +14 *3010:20 *8875:DIODE 0 +15 *3010:20 *3075:73 0 +16 *3010:20 *3490:14 0 +17 *3010:20 *3708:8 0 +18 *3010:20 *3941:19 0 +19 *3010:20 *3987:19 0 +20 *3010:20 *3991:108 0 +21 *3010:20 *4266:18 0 +22 *3010:28 *3108:37 0 +23 *3010:28 *3277:33 0 +24 *3010:29 *3050:19 0 +25 *3010:29 *3278:21 0 +26 *3010:29 *3278:31 0 +27 *3010:29 *4102:71 0 +28 *3010:29 *5027:21 0 +29 *3010:34 *3299:20 0 +30 *3010:34 *3424:42 0 +31 *3010:35 *39670:A 0 +32 *3010:35 *3117:36 0 +33 *3010:35 *3118:20 0 +34 *3010:35 *4728:9 0 +35 *3010:38 *38036:A_N 0 +36 *3010:38 *40765:A 0 +37 *3010:38 *3013:54 0 +38 *3010:38 *3118:6 0 +39 *3010:38 *3400:66 0 +40 *3010:38 *3707:8 0 +41 *3010:38 *3712:28 0 +42 *7882:DIODE *3010:20 0 +43 *265:7 *3010:38 0 +44 *386:16 *3010:35 0 +45 *901:17 *3010:20 0 +46 *1449:59 *3010:38 0 +47 *2382:18 *3010:38 0 +48 *2387:10 *3010:38 0 +49 *2446:8 *3010:38 0 +50 *2473:8 *3010:38 0 +51 *2832:50 *3010:20 0 +52 *2977:76 *3010:38 0 +*RES +1 *40148:X *3010:20 49.8664 +2 *3010:20 *3010:28 44.3929 +3 *3010:28 *3010:29 108.143 +4 *3010:29 *3010:34 10.9464 +5 *3010:34 *3010:35 51.4643 +6 *3010:35 *3010:37 4.5 +7 *3010:37 *3010:38 48.6964 +8 *3010:38 *3010:40 4.5 +9 *3010:40 *8001:DIODE 9.3 +10 *3010:40 *40147:A 11.9071 +*END + +*D_NET *3011 0.0268433 +*CONN +*I *8002:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40148:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40149:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8002:DIODE 0.000218952 +2 *40148:A 0.000732716 +3 *40149:X 0.0013938 +4 *3011:58 0.00463711 +5 *3011:43 0.00589873 +6 *3011:36 0.00318759 +7 *3011:25 0.00471938 +8 *3011:24 0.00420317 +9 *3011:16 0.00185189 +10 *8002:DIODE *3647:63 0 +11 *8002:DIODE *3669:51 0 +12 *40148:A *8669:DIODE 0 +13 *40148:A *8875:DIODE 0 +14 *40148:A *3107:104 0 +15 *40148:A *4070:95 0 +16 *40148:A *4084:11 0 +17 *3011:16 *3747:72 0 +18 *3011:16 *3942:28 0 +19 *3011:16 *4082:24 0 +20 *3011:16 *4125:58 0 +21 *3011:16 *4134:69 0 +22 *3011:24 *4355:8 0 +23 *3011:25 *3690:19 0 +24 *3011:36 *3029:46 0 +25 *3011:36 *3752:16 0 +26 *3011:43 *4074:25 0 +27 *3011:58 *3490:14 0 +28 *3011:58 *3713:39 0 +29 *8432:DIODE *3011:16 0 +30 *38289:A *3011:58 0 +31 *40096:A *3011:58 0 +32 *1018:54 *3011:58 0 +33 *1029:84 *3011:36 0 +34 *1208:19 *40148:A 0 +35 *1229:51 *3011:16 0 +36 *1316:12 *3011:16 0 +37 *1339:46 *3011:43 0 +38 *2305:47 *3011:16 0 +39 *2365:71 *3011:36 0 +40 *2384:53 *8002:DIODE 0 +41 *2715:8 *3011:36 0 +42 *2829:28 *3011:58 0 +43 *2871:21 *3011:58 0 +44 *2933:18 *3011:36 0 +45 *2933:18 *3011:43 0 +46 *2933:19 *3011:25 0 +47 *2935:20 *3011:36 0 +48 *2939:46 *3011:24 0 +49 *2940:14 *3011:24 0 +50 *2954:17 *3011:58 0 +51 *2998:27 *3011:43 0 +52 *2998:29 *3011:58 0 +*RES +1 *40149:X *3011:16 45.5321 +2 *3011:16 *3011:24 23.7857 +3 *3011:24 *3011:25 78.1607 +4 *3011:25 *3011:36 24.4072 +5 *3011:36 *3011:43 46.2857 +6 *3011:43 *3011:58 47.638 +7 *3011:58 *40148:A 38.0904 +8 *3011:58 *8002:DIODE 23.4467 +*END + +*D_NET *3012 0.0198011 +*CONN +*I *5471:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37651:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *40150:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *5471:DIODE 0.000101392 +2 *37651:A 2.0535e-05 +3 *40150:X 0.00133731 +4 *3012:51 0.000895413 +5 *3012:50 0.00241282 +6 *3012:44 0.00327287 +7 *3012:37 0.00258564 +8 *3012:31 0.0024463 +9 *3012:20 0.00344284 +10 *3012:14 0.00328595 +11 *5471:DIODE *3019:49 0 +12 *3012:14 *3940:26 0 +13 *3012:14 *4906:8 0 +14 *3012:20 *3495:36 0 +15 *3012:31 *3044:21 0 +16 *3012:31 *3491:48 0 +17 *3012:31 *3539:11 0 +18 *3012:37 *3019:28 0 +19 *3012:37 *3476:45 0 +20 *3012:50 *3539:21 0 +21 *3012:51 *3019:49 0 +22 *5536:DIODE *3012:51 0 +23 *38127:A *3012:37 0 +24 *38129:A *3012:44 0 +25 *1018:73 *3012:20 0 +26 *1456:46 *3012:14 0 +27 *1463:30 *3012:44 0 +28 *1588:6 *3012:50 0 +29 *1615:11 *3012:51 0 +30 *1711:7 *5471:DIODE 0 +31 *1711:7 *3012:51 0 +32 *1711:14 *3012:51 0 +33 *1721:5 *3012:44 0 +34 *1735:13 *3012:37 0 +35 *1748:8 *3012:44 0 +36 *2800:78 *3012:37 0 +37 *2963:27 *3012:37 0 +38 *2963:27 *3012:44 0 +39 *2963:39 *3012:51 0 +40 *2973:23 *3012:14 0 +41 *2990:13 *3012:14 0 +42 *2996:15 *3012:14 0 +43 *2996:15 *3012:20 0 +*RES +1 *40150:X *3012:14 47.0803 +2 *3012:14 *3012:20 49.875 +3 *3012:20 *3012:31 49.2679 +4 *3012:31 *3012:37 24.5536 +5 *3012:37 *3012:44 43.3036 +6 *3012:44 *3012:50 43.3214 +7 *3012:50 *3012:51 16.1429 +8 *3012:51 *37651:A 9.72857 +9 *3012:51 *5471:DIODE 11.4786 +*END + +*D_NET *3013 0.0208253 +*CONN +*I *8003:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40150:A I *D sky130_fd_sc_hd__buf_6 +*I *40151:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8003:DIODE 0 +2 *40150:A 0.000137388 +3 *40151:X 0.00148515 +4 *3013:82 0.000941348 +5 *3013:72 0.0027521 +6 *3013:64 0.00284493 +7 *3013:54 0.00283829 +8 *3013:47 0.00277051 +9 *3013:19 0.00319974 +10 *3013:11 0.00385588 +11 *40150:A *3020:59 0 +12 *40150:A *3284:37 0 +13 *40150:A *3764:45 0 +14 *3013:11 *6465:DIODE 0 +15 *3013:11 *8009:DIODE 0 +16 *3013:11 *38030:A_N 0 +17 *3013:11 *3020:10 0 +18 *3013:11 *3021:33 0 +19 *3013:11 *3107:91 0 +20 *3013:11 *3313:73 0 +21 *3013:11 *3388:55 0 +22 *3013:11 *4220:53 0 +23 *3013:11 *4235:41 0 +24 *3013:11 *4364:19 0 +25 *3013:19 *38030:A_N 0 +26 *3013:19 *38292:A 0 +27 *3013:19 *3308:69 0 +28 *3013:19 *3388:36 0 +29 *3013:19 *3420:55 0 +30 *3013:19 *4010:27 0 +31 *3013:19 *4327:29 0 +32 *3013:19 *4343:23 0 +33 *3013:19 *4343:32 0 +34 *3013:47 *38050:A_N 0 +35 *3013:47 *38050:C 0 +36 *3013:47 *4327:29 0 +37 *3013:47 *4334:27 0 +38 *3013:47 *4343:32 0 +39 *3013:47 *4343:53 0 +40 *3013:54 *3301:114 0 +41 *3013:54 *4343:53 0 +42 *3013:54 *4343:65 0 +43 *3013:64 *6441:DIODE 0 +44 *3013:64 *3773:33 0 +45 *3013:64 *3779:31 0 +46 *3013:64 *4330:46 0 +47 *3013:72 *38044:B 0 +48 *3013:72 *38048:B 0 +49 *3013:72 *3117:12 0 +50 *3013:72 *3297:100 0 +51 *3013:72 *3406:112 0 +52 *3013:72 *3764:45 0 +53 *3013:72 *4010:139 0 +54 *3013:72 *4912:8 0 +55 *3013:82 *3470:67 0 +56 *3013:82 *3470:82 0 +57 *3013:82 *3737:18 0 +58 *38313:A *3013:72 0 +59 *38339:A *3013:54 0 +60 *40130:A *3013:72 0 +61 *1250:35 *3013:54 0 +62 *1334:14 *3013:72 0 +63 *1414:16 *3013:11 0 +64 *1424:15 *3013:47 0 +65 *1424:15 *3013:54 0 +66 *1449:59 *3013:54 0 +67 *1456:36 *3013:72 0 +68 *1549:25 *3013:47 0 +69 *1581:38 *3013:64 0 +70 *2411:30 *40150:A 0 +71 *2411:30 *3013:82 0 +72 *2422:66 *3013:11 0 +73 *2811:35 *3013:54 0 +74 *2830:33 *3013:72 0 +75 *2973:23 *40150:A 0 +76 *2973:23 *3013:82 0 +77 *2990:9 *3013:72 0 +78 *3009:22 *3013:64 0 +79 *3010:38 *3013:54 0 +*RES +1 *40151:X *3013:11 40.3893 +2 *3013:11 *3013:19 49.5714 +3 *3013:19 *3013:47 27.2143 +4 *3013:47 *3013:54 49.8571 +5 *3013:54 *3013:64 28.0357 +6 *3013:64 *3013:72 45.6786 +7 *3013:72 *3013:82 26.1786 +8 *3013:82 *40150:A 16.8 +9 *3013:82 *8003:DIODE 13.8 +*END + +*D_NET *3014 0.0309076 +*CONN +*I *40151:A I *D sky130_fd_sc_hd__buf_4 +*I *8004:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40152:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40151:A 6.50276e-05 +2 *8004:DIODE 2.56688e-05 +3 *40152:X 0.000988734 +4 *3014:78 0.0012759 +5 *3014:58 0.00164541 +6 *3014:55 0.00240187 +7 *3014:41 0.0045149 +8 *3014:33 0.00730847 +9 *3014:31 0.00596756 +10 *3014:28 0.00251948 +11 *3014:22 0.00231155 +12 *3014:19 0.00188306 +13 *40151:A *6465:DIODE 0 +14 *40151:A *4364:19 0 +15 *3014:19 *37612:B 0 +16 *3014:19 *3298:40 0 +17 *3014:19 *4067:39 0 +18 *3014:33 *3387:24 0 +19 *3014:33 *4102:24 0 +20 *3014:41 *3081:38 0 +21 *3014:41 *3097:44 0 +22 *3014:41 *3273:20 0 +23 *3014:41 *3299:19 0 +24 *3014:41 *3584:37 0 +25 *3014:55 *3024:34 0 +26 *3014:55 *3666:22 0 +27 *3014:55 *4313:14 0 +28 *3014:55 *4720:24 0 +29 *3014:58 *3658:98 0 +30 *3014:58 *4343:15 0 +31 *3014:78 *8922:DIODE 0 +32 *3014:78 *3963:19 0 +33 *3014:78 *4322:22 0 +34 *8005:DIODE *3014:19 0 +35 *373:16 *3014:41 0 +36 *1273:62 *3014:55 0 +37 *1329:30 *3014:22 0 +38 *1436:33 *3014:33 0 +39 *1545:53 *3014:41 0 +40 *2336:47 *3014:22 0 +41 *2380:55 *3014:55 0 +42 *2422:66 *3014:78 0 +43 *2432:41 *3014:22 0 +44 *2715:9 *3014:33 0 +45 *2717:8 *3014:22 0 +46 *2719:9 *3014:31 0 +47 *2720:8 *3014:28 0 +48 *2918:16 *3014:28 0 +49 *2924:19 *3014:33 0 +50 *2943:17 *3014:33 0 +51 *2972:64 *3014:55 0 +52 *2972:64 *3014:58 0 +53 *2998:11 *3014:28 0 +54 *3001:25 *3014:31 0 +55 *3001:49 *3014:55 0 +*RES +1 *40152:X *3014:19 32.8574 +2 *3014:19 *3014:22 24.8125 +3 *3014:22 *3014:28 38.7857 +4 *3014:28 *3014:31 23.0357 +5 *3014:31 *3014:33 101.571 +6 *3014:33 *3014:41 29.3313 +7 *3014:41 *3014:55 49.1864 +8 *3014:55 *3014:58 10.9725 +9 *3014:58 *3014:78 49.7679 +10 *3014:78 *8004:DIODE 9.83571 +11 *3014:58 *40151:A 15.1571 +*END + +*D_NET *3015 0.0197941 +*CONN +*I *6134:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38102:C I *D sky130_fd_sc_hd__and3b_1 +*I *38480:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6134:DIODE 0 +2 *38102:C 0.000183595 +3 *38480:X 0.00156378 +4 *3015:79 0.00184282 +5 *3015:58 0.00357244 +6 *3015:44 0.00291311 +7 *3015:38 0.0023847 +8 *3015:25 0.00357733 +9 *3015:12 0.0037563 +10 *38102:C *3348:112 0 +11 *38102:C *4219:19 0 +12 *3015:12 *8203:DIODE 0 +13 *3015:12 *8213:DIODE 0 +14 *3015:12 *41400:A 0 +15 *3015:12 *3134:16 0 +16 *3015:12 *3220:8 0 +17 *3015:12 *3265:18 0 +18 *3015:12 *3296:62 0 +19 *3015:12 *3743:16 0 +20 *3015:12 *5097:13 0 +21 *3015:12 *5189:66 0 +22 *3015:25 *3119:22 0 +23 *3015:25 *3289:29 0 +24 *3015:25 *3306:29 0 +25 *3015:25 *3675:67 0 +26 *3015:25 *4268:24 0 +27 *3015:25 *4270:17 0 +28 *3015:38 *3178:20 0 +29 *3015:38 *3188:87 0 +30 *3015:38 *3225:17 0 +31 *3015:38 *3285:60 0 +32 *3015:38 *3430:80 0 +33 *3015:38 *3434:34 0 +34 *3015:44 *3092:9 0 +35 *3015:44 *3092:11 0 +36 *3015:44 *3286:11 0 +37 *3015:44 *5193:51 0 +38 *3015:58 *8301:DIODE 0 +39 *3015:58 *40303:A 0 +40 *3015:58 *3288:10 0 +41 *3015:58 *3423:21 0 +42 *3015:58 *3502:29 0 +43 *3015:58 *3535:19 0 +44 *3015:79 *38126:A_N 0 +45 *3015:79 *3412:53 0 +46 *3015:79 *3412:71 0 +47 *3015:79 *3413:103 0 +48 *3015:79 *3417:80 0 +49 *3015:79 *3423:37 0 +50 *3015:79 *3501:18 0 +51 *3015:79 *4270:45 0 +52 *3015:79 *4596:71 0 +53 *6127:DIODE *3015:79 0 +54 *37410:A *3015:12 0 +55 *529:8 *3015:12 0 +56 *1230:39 *3015:12 0 +57 *1462:15 *3015:79 0 +*RES +1 *38480:X *3015:12 48.5321 +2 *3015:12 *3015:25 49.4346 +3 *3015:25 *3015:38 43.7054 +4 *3015:38 *3015:44 30.3214 +5 *3015:44 *3015:58 45.75 +6 *3015:58 *3015:79 48.5893 +7 *3015:79 *38102:C 22.5321 +8 *3015:79 *6134:DIODE 9.3 +*END + +*D_NET *3016 0.013472 +*CONN +*I *5555:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37720:B I *D sky130_fd_sc_hd__and2_1 +*I *38481:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *5555:DIODE 4.23535e-05 +2 *37720:B 0.000312624 +3 *38481:X 0.000834086 +4 *3016:52 0.00273196 +5 *3016:30 0.0039737 +6 *3016:16 0.00316994 +7 *3016:10 0.00240732 +8 *3016:10 *39048:A 0 +9 *3016:10 *40234:A 0 +10 *3016:10 *5102:19 0 +11 *3016:16 *5103:25 0 +12 *3016:16 *5177:17 0 +13 *3016:30 *3392:35 0 +14 *3016:30 *4574:17 0 +15 *3016:30 *5102:39 0 +16 *3016:30 *5102:51 0 +17 *3016:30 *5103:25 0 +18 *3016:52 *4527:13 0 +19 *3016:52 *4535:15 0 +20 *3016:52 *4563:28 0 +21 *3016:52 *5075:51 0 +22 *3016:52 *5107:43 0 +23 *3016:52 *5185:14 0 +24 mprj_dat_i_core[2] *3016:30 0 +25 mprj_dat_i_core[8] *3016:52 0 +26 *39542:A *3016:52 0 +27 *368:106 *3016:52 0 +28 *928:15 *3016:52 0 +29 *1259:19 *37720:B 0 +30 *1726:20 *3016:30 0 +31 *1726:25 *3016:30 0 +32 *1728:28 *3016:52 0 +*RES +1 *38481:X *3016:10 36.3089 +2 *3016:10 *3016:16 41.8929 +3 *3016:16 *3016:30 47.1161 +4 *3016:30 *3016:52 45.1581 +5 *3016:52 *37720:B 16.6884 +6 *3016:52 *5555:DIODE 10.2464 +*END + +*D_NET *3017 0.0218285 +*CONN +*I *5469:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37649:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *40153:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *5469:DIODE 0.000161282 +2 *37649:A 0.000105729 +3 *40153:X 0.00144254 +4 *3017:61 0.0017681 +5 *3017:55 0.00783581 +6 *3017:31 0.00770363 +7 *3017:11 0.00281145 +8 *5469:DIODE *39538:B 0 +9 *5469:DIODE *3695:23 0 +10 *5469:DIODE *4566:17 0 +11 *37649:A *3212:26 0 +12 *37649:A *4545:48 0 +13 *37649:A *5209:129 0 +14 *3017:11 *3142:74 0 +15 *3017:11 *3400:21 0 +16 *3017:11 *5172:94 0 +17 *3017:31 *3142:74 0 +18 *3017:31 *4524:13 0 +19 *3017:31 *5177:17 0 +20 *3017:55 *40249:A 0 +21 *3017:55 *41426:A 0 +22 *3017:55 *3130:20 0 +23 *3017:55 *3209:17 0 +24 *3017:55 *3357:30 0 +25 *3017:55 *4532:38 0 +26 *3017:55 *4553:37 0 +27 *3017:55 *4755:41 0 +28 *3017:55 *4774:25 0 +29 *3017:55 *5171:77 0 +30 *3017:55 *5173:14 0 +31 *3017:55 *5195:27 0 +32 *3017:61 *4755:23 0 +33 *6756:DIODE *3017:55 0 +34 *7969:DIODE *3017:61 0 +35 *802:27 *3017:55 0 +36 *1712:27 *3017:61 0 +37 *1833:19 *3017:31 0 +38 *1855:22 *3017:31 0 +39 *1855:27 *3017:31 0 +40 *1860:57 *3017:55 0 +41 *2927:41 *3017:11 0 +42 *2927:41 *3017:31 0 +43 *2927:66 *3017:31 0 +44 *2938:44 *3017:11 0 +45 *2976:14 *3017:55 0 +*RES +1 *40153:X *3017:11 49.2286 +2 *3017:11 *3017:31 47.0714 +3 *3017:31 *3017:55 48.1145 +4 *3017:55 *3017:61 14.3932 +5 *3017:61 *37649:A 16.2018 +6 *3017:61 *5469:DIODE 17.5054 +*END + +*D_NET *3018 0.025476 +*CONN +*I *8006:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40153:A I *D sky130_fd_sc_hd__buf_2 +*I *40154:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8006:DIODE 0 +2 *40153:A 4.53482e-05 +3 *40154:X 0.000561499 +4 *3018:95 0.00202962 +5 *3018:72 0.00420168 +6 *3018:46 0.00379812 +7 *3018:21 0.00797481 +8 *3018:20 0.0068649 +9 *40153:A *40502:A 0 +10 *3018:20 *39020:A 0 +11 *3018:20 *4890:10 0 +12 *3018:21 *39145:A 0 +13 *3018:21 *3291:21 0 +14 *3018:21 *3500:44 0 +15 *3018:21 *3500:55 0 +16 *3018:21 *4752:24 0 +17 *3018:21 *5191:31 0 +18 *3018:21 *5191:38 0 +19 *3018:21 *5193:83 0 +20 *3018:46 *3033:16 0 +21 *3018:46 *3230:39 0 +22 *3018:46 *5191:31 0 +23 *3018:72 *3033:16 0 +24 *3018:72 *3402:26 0 +25 *3018:72 *3412:30 0 +26 *3018:72 *3413:60 0 +27 *3018:72 *5186:65 0 +28 *3018:72 *5194:22 0 +29 *3018:72 *5196:39 0 +30 *3018:95 *8149:DIODE 0 +31 *3018:95 *40269:A 0 +32 *3018:95 *3077:91 0 +33 *3018:95 *3266:62 0 +34 *3018:95 *5186:65 0 +35 *6750:DIODE *3018:95 0 +36 *7978:DIODE *3018:46 0 +37 *37440:A *3018:46 0 +38 *40555:A *3018:95 0 +39 *390:10 *3018:20 0 +40 *402:35 *3018:46 0 +41 *407:36 *3018:72 0 +42 *411:36 *3018:72 0 +43 *518:9 *3018:20 0 +44 *532:35 *3018:46 0 +45 *787:22 *3018:46 0 +46 *1000:78 *3018:95 0 +47 *1010:169 *3018:95 0 +48 *1011:273 *3018:72 0 +49 *1027:120 *3018:95 0 +50 *1223:24 *3018:20 0 +51 *1230:21 *3018:21 0 +52 *1230:27 *3018:21 0 +53 *1884:24 *3018:21 0 +54 *2382:110 *3018:46 0 +55 *2415:13 *3018:21 0 +56 *2727:18 *3018:20 0 +57 *2838:9 *3018:21 0 +58 *2838:9 *3018:46 0 +59 *2984:20 *3018:21 0 +*RES +1 *40154:X *3018:20 39.8357 +2 *3018:20 *3018:21 131.554 +3 *3018:21 *3018:46 48.6798 +4 *3018:46 *3018:72 30.9864 +5 *3018:72 *40153:A 14.7464 +6 *3018:72 *3018:95 45.6234 +7 *3018:95 *8006:DIODE 13.8 +*END + +*D_NET *3019 0.0196096 +*CONN +*I *5467:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37647:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *40155:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *5467:DIODE 0.000101392 +2 *37647:A 3.50807e-05 +3 *40155:X 8.74878e-05 +4 *3019:49 0.000746166 +5 *3019:43 0.00286063 +6 *3019:42 0.00368491 +7 *3019:36 0.00211533 +8 *3019:28 0.00234799 +9 *3019:11 0.00460486 +10 *3019:9 0.00302571 +11 *3019:9 *6451:DIODE 0 +12 *3019:11 *6451:DIODE 0 +13 *3019:11 *3044:19 0 +14 *3019:11 *3044:21 0 +15 *3019:11 *3288:81 0 +16 *3019:11 *4906:7 0 +17 *3019:28 *4744:24 0 +18 *3019:36 *3044:21 0 +19 *3019:36 *4627:6 0 +20 *3019:42 *3539:11 0 +21 *3019:42 *3539:20 0 +22 *3019:42 *3930:13 0 +23 *3019:43 *38392:B 0 +24 *3019:43 *3539:21 0 +25 *3019:43 *3930:13 0 +26 *3019:49 *4583:18 0 +27 *5471:DIODE *3019:49 0 +28 *6143:DIODE *3019:11 0 +29 *38107:A *3019:11 0 +30 *374:25 *3019:36 0 +31 *1017:89 *3019:28 0 +32 *1463:30 *3019:42 0 +33 *1578:11 *3019:11 0 +34 *1595:8 *3019:49 0 +35 *1711:7 *3019:49 0 +36 *1740:8 *3019:49 0 +37 *1751:12 *3019:28 0 +38 *2800:53 *3019:9 0 +39 *2963:25 *3019:11 0 +40 *2963:27 *3019:11 0 +41 *2963:27 *3019:28 0 +42 *3012:37 *3019:28 0 +43 *3012:51 *3019:49 0 +*RES +1 *40155:X *3019:9 11.2643 +2 *3019:9 *3019:11 61.3214 +3 *3019:11 *3019:28 48.625 +4 *3019:28 *3019:36 27.8036 +5 *3019:36 *3019:42 39.0179 +6 *3019:42 *3019:43 46.9464 +7 *3019:43 *3019:49 21.8571 +8 *3019:49 *37647:A 10.0321 +9 *3019:49 *5467:DIODE 11.4786 +*END + +*D_NET *3020 0.0205733 +*CONN +*I *40155:A I *D sky130_fd_sc_hd__buf_4 +*I *8008:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40156:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *40155:A 0 +2 *8008:DIODE 0.000230133 +3 *40156:X 0.00018377 +4 *3020:59 0.00113833 +5 *3020:37 0.00750524 +6 *3020:11 0.00896456 +7 *3020:10 0.00255129 +8 *8008:DIODE *3930:13 0 +9 *8008:DIODE *4318:97 0 +10 *3020:10 *8009:DIODE 0 +11 *3020:10 *3388:55 0 +12 *3020:10 *4364:19 0 +13 *3020:11 *5534:DIODE 0 +14 *3020:11 *3098:19 0 +15 *3020:11 *3487:59 0 +16 *3020:11 *3773:15 0 +17 *3020:11 *4220:53 0 +18 *3020:11 *4330:28 0 +19 *3020:11 *4334:20 0 +20 *3020:37 *3102:52 0 +21 *3020:37 *3469:49 0 +22 *3020:37 *4313:24 0 +23 *3020:37 *4600:57 0 +24 *3020:37 *4600:69 0 +25 *3020:59 *6043:DIODE 0 +26 *3020:59 *6451:DIODE 0 +27 *3020:59 *3044:16 0 +28 *3020:59 *3288:69 0 +29 *3020:59 *3764:45 0 +30 *40150:A *3020:59 0 +31 *1244:24 *3020:37 0 +32 *1244:30 *3020:37 0 +33 *1569:12 *3020:37 0 +34 *1571:37 *3020:37 0 +35 *2376:15 *3020:37 0 +36 *2422:48 *3020:11 0 +37 *2422:48 *3020:37 0 +38 *2800:53 *3020:59 0 +39 *2802:37 *3020:59 0 +40 *2803:42 *3020:37 0 +41 *2806:92 *3020:59 0 +42 *2826:43 *3020:37 0 +43 *2990:13 *3020:59 0 +44 *2996:13 *3020:59 0 +45 *2996:15 *3020:59 0 +46 *3013:11 *3020:10 0 +*RES +1 *40156:X *3020:10 22.3179 +2 *3020:10 *3020:11 49.4107 +3 *3020:11 *3020:37 47.9148 +4 *3020:37 *3020:59 36.5082 +5 *3020:59 *8008:DIODE 23.3357 +6 *3020:59 *40155:A 9.3 +*END + +*D_NET *3021 0.024874 +*CONN +*I *8009:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40156:A I *D sky130_fd_sc_hd__buf_4 +*I *40157:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8009:DIODE 0.000400735 +2 *40156:A 0 +3 *40157:X 0.00139678 +4 *3021:33 0.00201723 +5 *3021:19 0.00431707 +6 *3021:17 0.0027211 +7 *3021:15 0.00632243 +8 *3021:14 0.00769868 +9 *8009:DIODE *3388:55 0 +10 *8009:DIODE *3425:8 0 +11 *8009:DIODE *4220:53 0 +12 *8009:DIODE *4364:19 0 +13 *3021:14 *3546:97 0 +14 *3021:14 *3733:78 0 +15 *3021:15 *3283:37 0 +16 *3021:19 *3678:45 0 +17 *3021:33 *3696:80 0 +18 *3021:33 *4235:41 0 +19 *3021:33 *4364:19 0 +20 *1211:113 *3021:33 0 +21 *1337:34 *3021:19 0 +22 *1411:40 *3021:14 0 +23 *1539:16 *3021:14 0 +24 *2384:28 *8009:DIODE 0 +25 *2412:16 *3021:14 0 +26 *2413:25 *8009:DIODE 0 +27 *2413:45 *3021:15 0 +28 *2417:52 *3021:19 0 +29 *2426:40 *3021:33 0 +30 *2429:14 *3021:19 0 +31 *2478:18 *3021:19 0 +32 *2814:36 *3021:15 0 +33 *2814:36 *3021:19 0 +34 *2834:25 *3021:19 0 +35 *2836:31 *3021:33 0 +36 *2840:68 *3021:19 0 +37 *2981:39 *3021:14 0 +38 *3005:66 *3021:19 0 +39 *3013:11 *8009:DIODE 0 +40 *3013:11 *3021:33 0 +41 *3020:10 *8009:DIODE 0 +*RES +1 *40157:X *3021:14 49.9786 +2 *3021:14 *3021:15 131.554 +3 *3021:15 *3021:17 0.428571 +4 *3021:17 *3021:19 56.3929 +5 *3021:19 *3021:33 45.7321 +6 *3021:33 *40156:A 9.3 +7 *3021:33 *8009:DIODE 27.4429 +*END + +*D_NET *3022 0.0190167 +*CONN +*I *5461:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37643:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *40158:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *5461:DIODE 0.000101392 +2 *37643:A 3.2228e-05 +3 *40158:X 0.00109007 +4 *3022:31 0.00240274 +5 *3022:30 0.00240594 +6 *3022:19 0.00319312 +7 *3022:18 0.00309794 +8 *3022:13 0.00282243 +9 *3022:11 0.00387085 +10 *3022:11 *3168:61 0 +11 *3022:11 *3389:36 0 +12 *3022:11 *3416:57 0 +13 *3022:13 *3168:49 0 +14 *3022:13 *3286:49 0 +15 *3022:13 *3389:36 0 +16 *3022:13 *3484:14 0 +17 *3022:13 *4573:44 0 +18 *3022:13 *5167:30 0 +19 *3022:18 *3168:48 0 +20 *3022:18 *3416:88 0 +21 *3022:19 *8014:DIODE 0 +22 *3022:19 *40162:A 0 +23 *3022:19 *3286:49 0 +24 *3022:19 *3403:45 0 +25 *3022:19 *4572:44 0 +26 *3022:19 *4573:38 0 +27 *3022:19 *4578:25 0 +28 *3022:30 *3026:5 0 +29 *3022:30 *3391:54 0 +30 *3022:30 *4578:23 0 +31 *3022:30 *4578:25 0 +32 *3022:31 *3026:5 0 +33 *3022:31 *4572:18 0 +34 *3022:31 *4578:23 0 +35 *39639:A *3022:31 0 +36 *1455:16 *3022:11 0 +37 *1455:22 *3022:11 0 +38 *1455:22 *3022:13 0 +39 *1457:42 *3022:13 0 +40 *1727:9 *5461:DIODE 0 +41 *1727:9 *3022:31 0 +42 *2965:13 *3022:19 0 +43 *2965:15 *3022:19 0 +44 *2965:15 *3022:30 0 +*RES +1 *40158:X *3022:11 32.175 +2 *3022:11 *3022:13 58.0357 +3 *3022:13 *3022:18 9.94643 +4 *3022:18 *3022:19 63.7857 +5 *3022:19 *3022:30 12.0536 +6 *3022:30 *3022:31 47.3571 +7 *3022:31 *37643:A 19.0321 +8 *3022:31 *5461:DIODE 11.4786 +*END + +*D_NET *3023 0.0299932 +*CONN +*I *40158:A I *D sky130_fd_sc_hd__buf_4 +*I *8011:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40159:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40158:A 0.000124066 +2 *8011:DIODE 0 +3 *40159:X 0.00403895 +4 *3023:58 0.00100379 +5 *3023:40 0.00274323 +6 *3023:37 0.00771727 +7 *3023:36 0.006014 +8 *3023:25 0.00223658 +9 *3023:21 0.0061153 +10 *40158:A *3168:63 0 +11 *40158:A *3478:17 0 +12 *3023:21 *3119:22 0 +13 *3023:21 *3420:20 0 +14 *3023:21 *3524:14 0 +15 *3023:21 *3678:32 0 +16 *3023:36 *40759:A 0 +17 *3023:37 *40759:A 0 +18 *3023:40 *3280:64 0 +19 *3023:40 *3432:67 0 +20 *3023:40 *3479:30 0 +21 *3023:40 *3543:38 0 +22 *3023:58 *8845:DIODE 0 +23 *3023:58 *41223:A 0 +24 *3023:58 *3406:49 0 +25 *3023:58 *3477:53 0 +26 *3023:58 *3793:93 0 +27 *3023:58 *3954:34 0 +28 *3023:58 *4015:55 0 +29 *3023:58 *4270:66 0 +30 *3023:58 *4274:26 0 +31 *40101:A *3023:37 0 +32 *1025:160 *3023:40 0 +33 *1029:84 *3023:21 0 +34 *1211:63 *3023:25 0 +35 *1211:63 *3023:36 0 +36 *1211:68 *3023:37 0 +37 *1273:65 *3023:37 0 +38 *1328:53 *3023:37 0 +39 *1328:59 *3023:37 0 +40 *1328:72 *3023:36 0 +41 *1328:72 *3023:37 0 +42 *1328:81 *3023:25 0 +43 *1337:50 *3023:25 0 +44 *1339:36 *3023:25 0 +45 *2395:64 *3023:21 0 +46 *2419:42 *3023:37 0 +47 *2443:67 *3023:21 0 +48 *2816:64 *3023:40 0 +49 *2821:36 *3023:37 0 +50 *2974:15 *3023:37 0 +51 *2993:44 *3023:58 0 +*RES +1 *40159:X *3023:21 44.5354 +2 *3023:21 *3023:25 48.3482 +3 *3023:25 *3023:36 21.4464 +4 *3023:36 *3023:37 122.107 +5 *3023:37 *3023:40 46.8214 +6 *3023:40 *3023:58 32.8571 +7 *3023:58 *8011:DIODE 9.3 +8 *3023:58 *40158:A 11.8893 +*END + +*D_NET *3024 0.0318543 +*CONN +*I *5459:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37641:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *40160:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5459:DIODE 4.53482e-05 +2 *37641:A 0.000190872 +3 *40160:X 0.00141745 +4 *3024:78 0.00110068 +5 *3024:68 0.00282757 +6 *3024:61 0.0066412 +7 *3024:49 0.0092875 +8 *3024:34 0.00676784 +9 *3024:18 0.00357587 +10 *5459:DIODE *3536:7 0 +11 *5459:DIODE *4545:48 0 +12 *3024:18 *3150:18 0 +13 *3024:18 *3203:60 0 +14 *3024:18 *3211:42 0 +15 *3024:18 *3251:67 0 +16 *3024:18 *3266:92 0 +17 *3024:18 *4044:25 0 +18 *3024:18 *5193:48 0 +19 *3024:34 *3218:60 0 +20 *3024:34 *3658:98 0 +21 *3024:34 *3932:21 0 +22 *3024:49 *3036:57 0 +23 *3024:49 *3275:65 0 +24 *3024:49 *3275:85 0 +25 *3024:49 *3768:47 0 +26 *3024:49 *4229:69 0 +27 *3024:61 *3036:72 0 +28 *3024:61 *3278:47 0 +29 *3024:61 *3400:84 0 +30 *3024:61 *3582:30 0 +31 *3024:61 *4033:77 0 +32 *3024:61 *5025:14 0 +33 *3024:78 *7290:DIODE 0 +34 *3024:78 *3397:17 0 +35 *3024:78 *4043:17 0 +36 *3024:78 *4573:28 0 +37 *3024:78 *4773:42 0 +38 *6909:DIODE *37641:A 0 +39 *6910:DIODE *3024:78 0 +40 *40121:A *3024:18 0 +41 *370:32 *3024:49 0 +42 *370:36 *3024:49 0 +43 *370:36 *3024:61 0 +44 *380:22 *3024:49 0 +45 *380:26 *3024:49 0 +46 *790:51 *3024:18 0 +47 *1016:235 *3024:78 0 +48 *1214:43 *3024:68 0 +49 *1320:11 *3024:49 0 +50 *1425:12 *3024:68 0 +51 *1449:47 *3024:49 0 +52 *1449:55 *3024:61 0 +53 *1451:62 *3024:61 0 +54 *1548:10 *3024:61 0 +55 *1752:16 *3024:78 0 +56 *1844:23 *3024:61 0 +57 *1864:72 *3024:68 0 +58 *2366:28 *3024:61 0 +59 *2391:59 *3024:49 0 +60 *2398:19 *3024:78 0 +61 *2398:22 *3024:68 0 +62 *2442:31 *3024:34 0 +63 *2682:61 *3024:34 0 +64 *2837:67 *3024:34 0 +65 *2839:52 *3024:61 0 +66 *2964:5 *37641:A 0 +67 *2968:34 *3024:49 0 +68 *2968:34 *3024:61 0 +69 *2970:29 *3024:34 0 +70 *2970:56 *3024:68 0 +71 *2970:58 *3024:78 0 +72 *2977:62 *3024:49 0 +73 *2980:44 *3024:68 0 +74 *2980:49 *3024:68 0 +75 *2981:92 *3024:18 0 +76 *3014:55 *3024:34 0 +*RES +1 *40160:X *3024:18 46.3714 +2 *3024:18 *3024:34 48.0233 +3 *3024:34 *3024:49 48.8884 +4 *3024:49 *3024:61 29.8532 +5 *3024:61 *3024:68 49.1518 +6 *3024:68 *3024:78 33.0536 +7 *3024:78 *37641:A 18.0143 +8 *3024:78 *5459:DIODE 14.7464 +*END + +*D_NET *3025 0.031168 +*CONN +*I *8013:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40160:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40161:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8013:DIODE 0.00173839 +2 *40160:A 0.000191151 +3 *40161:X 0.00384652 +4 *3025:84 0.00209685 +5 *3025:80 0.00177737 +6 *3025:68 0.00295568 +7 *3025:46 0.00803055 +8 *3025:21 0.0105314 +9 *8013:DIODE *3230:27 0 +10 *8013:DIODE *3240:46 0 +11 *8013:DIODE *3257:23 0 +12 *8013:DIODE *4360:25 0 +13 *8013:DIODE *4555:80 0 +14 *8013:DIODE *4590:93 0 +15 *3025:21 *39024:A 0 +16 *3025:21 *3032:36 0 +17 *3025:21 *3032:65 0 +18 *3025:21 *3041:11 0 +19 *3025:21 *3125:46 0 +20 *3025:21 *3276:26 0 +21 *3025:21 *3287:66 0 +22 *3025:21 *3500:32 0 +23 *3025:21 *3788:72 0 +24 *3025:21 *4182:20 0 +25 *3025:21 *4507:38 0 +26 *3025:21 *5196:39 0 +27 *3025:46 *3163:40 0 +28 *3025:46 *3163:47 0 +29 *3025:46 *3218:68 0 +30 *3025:46 *3433:30 0 +31 *3025:46 *3520:45 0 +32 *3025:46 *3987:43 0 +33 *3025:46 *3997:79 0 +34 *3025:46 *4081:39 0 +35 *3025:46 *4088:27 0 +36 *3025:68 *3070:32 0 +37 *3025:68 *3070:50 0 +38 *3025:68 *3163:40 0 +39 *3025:68 *3904:49 0 +40 *3025:68 *3932:11 0 +41 *3025:68 *3972:34 0 +42 *3025:68 *4000:35 0 +43 *3025:68 *4005:32 0 +44 *3025:68 *4054:22 0 +45 *3025:68 *4066:45 0 +46 *3025:68 *4094:40 0 +47 *3025:68 *4215:74 0 +48 *3025:68 *4245:51 0 +49 *3025:68 *4407:30 0 +50 *3025:80 *3073:17 0 +51 *3025:80 *3110:7 0 +52 *3025:80 *3194:107 0 +53 *3025:80 *3409:37 0 +54 *3025:80 *3433:37 0 +55 *3025:80 *3434:45 0 +56 *3025:80 *3507:60 0 +57 *3025:80 *3696:62 0 +58 *3025:80 *4028:41 0 +59 *3025:80 *4238:98 0 +60 *3025:84 *3041:55 0 +61 *3025:84 *4550:91 0 +62 *408:52 *40160:A 0 +63 *411:68 *8013:DIODE 0 +64 *535:29 *3025:80 0 +65 *539:48 *8013:DIODE 0 +66 *776:5 *3025:21 0 +67 *790:51 *40160:A 0 +68 *1028:94 *8013:DIODE 0 +69 *2367:8 *3025:80 0 +70 *2373:145 *8013:DIODE 0 +71 *2374:76 *3025:46 0 +72 *2378:94 *3025:80 0 +73 *2400:56 *3025:46 0 +74 *2682:21 *3025:21 0 +75 *2832:50 *3025:46 0 +76 *3008:33 *3025:46 0 +*RES +1 *40161:X *3025:21 47.2427 +2 *3025:21 *3025:46 49.8998 +3 *3025:46 *3025:68 47.9464 +4 *3025:68 *3025:80 49.2679 +5 *3025:80 *3025:84 8.16964 +6 *3025:84 *40160:A 18.0589 +7 *3025:84 *8013:DIODE 40.8442 +*END + +*D_NET *3026 0.0187228 +*CONN +*I *37639:A I *D sky130_fd_sc_hd__dlymetal6s2s_1 +*I *5457:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40162:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *37639:A 0.000161285 +2 *5457:DIODE 0 +3 *40162:X 0 +4 *3026:9 0.00439835 +5 *3026:7 0.0042576 +6 *3026:5 0.00496306 +7 *3026:4 0.00494252 +8 *37639:A *4765:9 0 +9 *3026:5 *3491:48 0 +10 *3026:5 *4578:23 0 +11 *3026:5 *4578:25 0 +12 *3026:5 *4765:9 0 +13 *3026:9 *4765:9 0 +14 *5515:DIODE *3026:9 0 +15 *37429:A *3026:5 0 +16 *37429:A *3026:9 0 +17 *39402:A *3026:9 0 +18 *39602:A *3026:5 0 +19 *39639:A *3026:5 0 +20 *1606:9 *3026:9 0 +21 *1727:9 *3026:5 0 +22 *2965:15 *3026:5 0 +23 *2965:30 *3026:5 0 +24 *2965:37 *3026:9 0 +25 *3022:30 *3026:5 0 +26 *3022:31 *3026:5 0 +*RES +1 *40162:X *3026:4 9.3 +2 *3026:4 *3026:5 103.214 +3 *3026:5 *3026:7 0.428571 +4 *3026:7 *3026:9 88.4286 +5 *3026:9 *5457:DIODE 9.3 +6 *3026:9 *37639:A 12.7286 +*END + +*D_NET *3027 0.00545746 +*CONN +*I *40548:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38482:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40548:A 0 +2 *38482:X 0.000850863 +3 *3027:43 0.00187787 +4 *3027:20 0.00272873 +5 *3027:20 *3077:51 0 +6 *3027:20 *3188:31 0 +7 *3027:20 *4412:17 0 +8 *3027:20 *5167:67 0 +9 *3027:43 *8158:DIODE 0 +10 *3027:43 *37698:A 0 +11 *3027:43 *41419:A 0 +12 *3027:43 *3075:14 0 +13 *3027:43 *3077:20 0 +14 *3027:43 *3077:51 0 +15 *3027:43 *3188:27 0 +16 *3027:43 *3188:31 0 +17 *3027:43 *3262:33 0 +18 *3027:43 *3721:43 0 +19 *3027:43 *4529:67 0 +20 *3027:43 *4554:53 0 +21 *3027:43 *4602:18 0 +22 *3027:43 *4602:40 0 +23 *3027:43 *4780:63 0 +24 *3027:43 *5034:78 0 +25 *3027:43 *5187:47 0 +26 *5543:DIODE *3027:43 0 +27 *6758:DIODE *3027:20 0 +28 *6761:DIODE *3027:43 0 +29 *39553:A *3027:43 0 +30 *1248:24 *3027:43 0 +31 *2849:48 *3027:43 0 +*RES +1 *38482:X *3027:20 45.9786 +2 *3027:20 *3027:43 49.125 +3 *3027:43 *40548:A 9.3 +*END + +*D_NET *3028 0.0286972 +*CONN +*I *40162:A I *D sky130_fd_sc_hd__buf_4 +*I *8014:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40163:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *40162:A 6.20329e-05 +2 *8014:DIODE 6.50276e-05 +3 *40163:X 0.00446964 +4 *3028:48 0.000345125 +5 *3028:44 0.00260896 +6 *3028:29 0.00953384 +7 *3028:25 0.0116126 +8 *8014:DIODE *4578:25 0 +9 *40162:A *4578:25 0 +10 *3028:25 *8307:DIODE 0 +11 *3028:25 *40163:A 0 +12 *3028:25 *3042:70 0 +13 *3028:25 *3155:59 0 +14 *3028:25 *3167:22 0 +15 *3028:25 *3310:59 0 +16 *3028:25 *3400:52 0 +17 *3028:25 *3420:49 0 +18 *3028:25 *3506:24 0 +19 *3028:25 *3958:19 0 +20 *3028:25 *4232:40 0 +21 *3028:25 *4241:110 0 +22 *3028:29 *3031:66 0 +23 *3028:29 *3042:70 0 +24 *3028:29 *3109:24 0 +25 *3028:29 *3290:69 0 +26 *3028:29 *3299:75 0 +27 *3028:29 *3418:38 0 +28 *3028:29 *3429:39 0 +29 *3028:44 *3542:56 0 +30 *3028:44 *3656:13 0 +31 *3028:44 *3957:13 0 +32 *3028:44 *4223:62 0 +33 *3028:44 *4566:34 0 +34 *3028:48 *3397:31 0 +35 *7994:DIODE *3028:25 0 +36 *287:17 *3028:44 0 +37 *380:22 *3028:25 0 +38 *380:26 *3028:29 0 +39 *1014:119 *3028:48 0 +40 *1274:8 *3028:44 0 +41 *1565:35 *3028:29 0 +42 *1573:14 *3028:44 0 +43 *2398:60 *3028:25 0 +44 *2403:27 *3028:29 0 +45 *2417:43 *3028:25 0 +46 *2426:40 *3028:25 0 +47 *2443:36 *3028:25 0 +48 *2682:61 *3028:25 0 +49 *2806:92 *3028:44 0 +50 *2806:108 *3028:44 0 +51 *2812:27 *3028:25 0 +52 *2812:27 *3028:29 0 +53 *2818:73 *3028:29 0 +54 *2821:23 *3028:25 0 +55 *2821:45 *3028:44 0 +56 *2980:41 *3028:29 0 +57 *2997:20 *3028:29 0 +58 *3003:30 *3028:48 0 +59 *3022:19 *8014:DIODE 0 +60 *3022:19 *40162:A 0 +*RES +1 *40163:X *3028:25 48.4374 +2 *3028:25 *3028:29 29.2929 +3 *3028:29 *3028:44 46.4893 +4 *3028:44 *3028:48 9.48214 +5 *3028:48 *8014:DIODE 10.6571 +6 *3028:48 *40162:A 10.6571 +*END + +*D_NET *3029 0.0321886 +*CONN +*I *8015:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40163:A I *D sky130_fd_sc_hd__buf_4 +*I *40164:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *8015:DIODE 0.000552131 +2 *40163:A 0.00049638 +3 *40164:X 0.00111374 +4 *3029:68 0.00377698 +5 *3029:57 0.00895465 +6 *3029:55 0.00830372 +7 *3029:46 0.00497742 +8 *3029:24 0.00401362 +9 *8015:DIODE *3416:26 0 +10 *8015:DIODE *3422:67 0 +11 *8015:DIODE *4044:25 0 +12 *40163:A *8307:DIODE 0 +13 *40163:A *4019:45 0 +14 *40163:A *4044:25 0 +15 *40163:A *4232:40 0 +16 *3029:24 *4008:99 0 +17 *3029:24 *4078:26 0 +18 *3029:24 *4279:55 0 +19 *3029:46 *3073:74 0 +20 *3029:46 *3726:51 0 +21 *3029:46 *3811:33 0 +22 *3029:46 *3942:80 0 +23 *3029:46 *4320:23 0 +24 *3029:46 *4340:26 0 +25 *3029:46 *4408:108 0 +26 *3029:46 *4717:6 0 +27 *3029:55 *3074:45 0 +28 *3029:55 *3669:30 0 +29 *3029:57 *3669:30 0 +30 *3029:57 *3979:41 0 +31 *3029:68 *3506:24 0 +32 *3029:68 *4229:51 0 +33 *8010:DIODE *3029:24 0 +34 *39567:A *40163:A 0 +35 *40099:A *3029:57 0 +36 *1029:84 *3029:46 0 +37 *1444:32 *3029:24 0 +38 *2365:24 *3029:68 0 +39 *2368:40 *3029:68 0 +40 *2413:25 *3029:68 0 +41 *2417:100 *3029:46 0 +42 *2824:35 *3029:57 0 +43 *2837:16 *3029:24 0 +44 *2837:30 *3029:46 0 +45 *2871:39 *3029:68 0 +46 *2920:19 *3029:55 0 +47 *2935:20 *3029:46 0 +48 *2956:5 *3029:57 0 +49 *2957:15 *3029:57 0 +50 *2975:9 *3029:57 0 +51 *2980:34 *3029:68 0 +52 *3005:76 *3029:68 0 +53 *3011:36 *3029:46 0 +54 *3028:25 *40163:A 0 +*RES +1 *40164:X *3029:24 44.2464 +2 *3029:24 *3029:46 46.4838 +3 *3029:46 *3029:55 34.5508 +4 *3029:55 *3029:57 129.911 +5 *3029:57 *3029:68 27.5144 +6 *3029:68 *40163:A 25.0214 +7 *3029:68 *8015:DIODE 28.9818 +*END + +*D_NET *3030 0.0203214 +*CONN +*I *5454:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37637:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *40165:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *5454:DIODE 0.000147947 +2 *37637:A 0 +3 *40165:X 0.001328 +4 *3030:42 0.00204127 +5 *3030:36 0.00356534 +6 *3030:23 0.00679144 +7 *3030:21 0.00644742 +8 *3030:21 *40165:A 0 +9 *3030:21 *40481:A 0 +10 *3030:21 *3142:40 0 +11 *3030:21 *3142:46 0 +12 *3030:21 *3205:47 0 +13 *3030:21 *3392:18 0 +14 *3030:21 *4416:20 0 +15 *3030:21 *4524:10 0 +16 *3030:21 *4550:35 0 +17 *3030:21 *4553:20 0 +18 *3030:21 *4562:26 0 +19 *3030:21 *5075:13 0 +20 *3030:21 *5100:45 0 +21 *3030:21 *5102:19 0 +22 *3030:21 *5102:30 0 +23 *3030:23 *7298:DIODE 0 +24 *3030:23 *7299:DIODE 0 +25 *3030:23 *39310:A 0 +26 *3030:23 *3392:35 0 +27 *3030:23 *3392:50 0 +28 *3030:23 *4531:11 0 +29 *3030:23 *4592:28 0 +30 *3030:23 *4784:11 0 +31 *3030:23 *4784:21 0 +32 *3030:23 *5075:13 0 +33 *3030:23 *5084:93 0 +34 *3030:23 *5084:107 0 +35 *3030:23 *5102:51 0 +36 *3030:23 *5103:35 0 +37 *3030:23 *5165:75 0 +38 *3030:36 *4535:15 0 +39 *3030:36 *4547:31 0 +40 *3030:36 *4567:21 0 +41 *3030:36 *5078:29 0 +42 *3030:42 *4543:20 0 +43 *3030:42 *4569:11 0 +44 *3030:42 *5180:11 0 +45 mprj_dat_i_core[10] *3030:23 0 +46 mprj_dat_i_core[11] *3030:23 0 +47 mprj_dat_i_core[13] *3030:23 0 +48 mprj_dat_i_core[15] *3030:36 0 +49 mprj_dat_i_core[18] *3030:36 0 +50 mprj_dat_i_core[1] *3030:23 0 +51 mprj_dat_i_core[2] *3030:23 0 +52 mprj_dat_i_core[6] *3030:23 0 +53 mprj_dat_i_core[7] *3030:23 0 +54 *6904:DIODE *3030:23 0 +55 *6909:DIODE *3030:23 0 +56 *6919:DIODE *3030:23 0 +57 *38804:A *3030:23 0 +58 *38808:A *3030:23 0 +59 *39536:A *3030:36 0 +60 *368:106 *3030:23 0 +61 *909:11 *3030:36 0 +62 *911:5 *3030:36 0 +63 *931:8 *3030:23 0 +64 *933:8 *3030:23 0 +65 *1032:14 *3030:23 0 +66 *1034:12 *3030:23 0 +67 *1043:26 *3030:23 0 +68 *1046:8 *3030:42 0 +69 *1728:17 *3030:42 0 +70 *1858:17 *3030:23 0 +71 *1866:22 *3030:23 0 +72 *1868:11 *3030:36 0 +73 *1873:9 *3030:42 0 +74 *2373:47 *3030:23 0 +*RES +1 *40165:X *3030:21 46.5679 +2 *3030:21 *3030:23 106.5 +3 *3030:23 *3030:36 48.9464 +4 *3030:36 *3030:42 49.0893 +5 *3030:42 *37637:A 13.8 +6 *3030:42 *5454:DIODE 17.1036 +*END + +*D_NET *3031 0.036288 +*CONN +*I *8017:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40165:A I *D sky130_fd_sc_hd__buf_2 +*I *40166:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8017:DIODE 0.00102541 +2 *40165:A 0.000184128 +3 *40166:X 0.00588165 +4 *3031:66 0.00540224 +5 *3031:43 0.0110528 +6 *3031:17 0.0127417 +7 *8017:DIODE *3033:16 0 +8 *8017:DIODE *3395:23 0 +9 *8017:DIODE *4784:45 0 +10 *8017:DIODE *5171:77 0 +11 *8017:DIODE *5195:21 0 +12 *40165:A *4550:35 0 +13 *40165:A *5075:12 0 +14 *40165:A *5102:19 0 +15 *3031:17 *3032:65 0 +16 *3031:17 *3074:45 0 +17 *3031:17 *3310:40 0 +18 *3031:17 *3433:20 0 +19 *3031:17 *3524:25 0 +20 *3031:17 *4981:39 0 +21 *3031:43 *3280:57 0 +22 *3031:43 *3298:59 0 +23 *3031:43 *3420:40 0 +24 *3031:43 *3429:22 0 +25 *3031:43 *3429:39 0 +26 *3031:43 *3506:37 0 +27 *3031:43 *3524:25 0 +28 *3031:43 *4031:50 0 +29 *3031:66 *3038:26 0 +30 *3031:66 *3218:24 0 +31 *3031:66 *3221:16 0 +32 *3031:66 *3235:22 0 +33 *3031:66 *3240:25 0 +34 *3031:66 *4057:24 0 +35 *3031:66 *5169:18 0 +36 *3031:66 *5189:22 0 +37 *1002:53 *3031:66 0 +38 *1008:100 *3031:43 0 +39 *1016:211 *3031:43 0 +40 *1026:101 *3031:17 0 +41 *1565:35 *3031:66 0 +42 *1572:21 *3031:66 0 +43 *1860:57 *8017:DIODE 0 +44 *2391:30 *3031:66 0 +45 *2402:55 *3031:17 0 +46 *2480:7 *3031:43 0 +47 *2812:20 *3031:17 0 +48 *2812:20 *3031:43 0 +49 *2812:27 *3031:66 0 +50 *2818:38 *3031:43 0 +51 *2837:49 *3031:43 0 +52 *2837:91 *3031:43 0 +53 *2905:51 *3031:43 0 +54 *2920:11 *3031:17 0 +55 *2935:13 *3031:17 0 +56 *2935:20 *3031:17 0 +57 *2980:41 *3031:43 0 +58 *3028:29 *3031:66 0 +59 *3030:21 *40165:A 0 +*RES +1 *40166:X *3031:17 47.5009 +2 *3031:17 *3031:43 46.1227 +3 *3031:43 *3031:66 45.8872 +4 *3031:66 *40165:A 17.8625 +5 *3031:66 *8017:DIODE 25.6881 +*END + +*D_NET *3032 0.0224709 +*CONN +*I *8018:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40166:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40167:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8018:DIODE 0 +2 *40166:A 1.21519e-05 +3 *40167:X 0.00244446 +4 *3032:65 0.00131711 +5 *3032:39 0.00209828 +6 *3032:36 0.00746175 +7 *3032:20 0.00913719 +8 *3032:20 *3508:18 0 +9 *3032:20 *3523:32 0 +10 *3032:20 *3960:18 0 +11 *3032:20 *3973:49 0 +12 *3032:20 *4507:27 0 +13 *3032:20 *4513:31 0 +14 *3032:20 *4878:61 0 +15 *3032:36 *7122:DIODE 0 +16 *3032:36 *3034:11 0 +17 *3032:36 *3041:11 0 +18 *3032:36 *3105:42 0 +19 *3032:36 *3105:62 0 +20 *3032:36 *3276:26 0 +21 *3032:36 *3508:18 0 +22 *3032:36 *3788:40 0 +23 *3032:36 *3973:49 0 +24 *3032:36 *4502:38 0 +25 *3032:36 *4507:27 0 +26 *3032:36 *4507:38 0 +27 *3032:36 *4981:23 0 +28 *3032:39 *3041:11 0 +29 *3032:39 *3276:26 0 +30 *3032:39 *4981:23 0 +31 *3032:65 *3041:11 0 +32 *3032:65 *3276:26 0 +33 *3032:65 *3435:52 0 +34 *6574:DIODE *3032:20 0 +35 *6595:DIODE *3032:65 0 +36 *6735:DIODE *3032:65 0 +37 *629:7 *3032:20 0 +38 *2406:16 *3032:36 0 +39 *2516:30 *3032:36 0 +40 *3025:21 *3032:36 0 +41 *3025:21 *3032:65 0 +42 *3031:17 *3032:65 0 +*RES +1 *40167:X *3032:20 42.9536 +2 *3032:20 *3032:36 48.8139 +3 *3032:36 *3032:39 6.19553 +4 *3032:39 *40166:A 17.4868 +5 *3032:39 *3032:65 40.7793 +6 *3032:65 *8018:DIODE 9.3 +*END + +*D_NET *3033 0.0205089 +*CONN +*I *37635:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *5452:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40168:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *37635:A 9.71136e-05 +2 *5452:DIODE 0.000377036 +3 *40168:X 0.00721568 +4 *3033:29 0.00303875 +5 *3033:16 0.00978028 +6 *5452:DIODE *4529:32 0 +7 *37635:A *5107:43 0 +8 *3033:16 *3043:52 0 +9 *3033:16 *3135:26 0 +10 *3033:16 *3412:30 0 +11 *3033:16 *3413:60 0 +12 *3033:16 *4416:32 0 +13 *3033:16 *4532:38 0 +14 *3033:16 *5106:14 0 +15 *3033:16 *5168:49 0 +16 *3033:16 *5186:65 0 +17 *3033:16 *5186:85 0 +18 *3033:16 *5194:22 0 +19 *3033:16 *5195:21 0 +20 *3033:16 *5195:27 0 +21 *3033:16 *5196:17 0 +22 *3033:16 *5196:39 0 +23 *3033:29 *3135:26 0 +24 *3033:29 *3395:49 0 +25 *3033:29 *4532:19 0 +26 *3033:29 *4532:38 0 +27 *3033:29 *4553:20 0 +28 *3033:29 *4558:18 0 +29 *3033:29 *4594:23 0 +30 *3033:29 *5084:93 0 +31 *3033:29 *5107:43 0 +32 *3033:29 *5168:18 0 +33 *3033:29 *5177:16 0 +34 *7978:DIODE *3033:16 0 +35 *8017:DIODE *3033:16 0 +36 *402:35 *3033:16 0 +37 *2714:33 *3033:29 0 +38 *2782:39 *3033:16 0 +39 *2905:28 *3033:16 0 +40 *3018:46 *3033:16 0 +41 *3018:72 *3033:16 0 +*RES +1 *40168:X *3033:16 49.1374 +2 *3033:16 *3033:29 27.5905 +3 *3033:29 *5452:DIODE 26.675 +4 *3033:29 *37635:A 11.3893 +*END + +*D_NET *3034 0.0271436 +*CONN +*I *8019:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40168:A I *D sky130_fd_sc_hd__buf_4 +*I *40169:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8019:DIODE 0 +2 *40168:A 0 +3 *40169:X 0.00734664 +4 *3034:73 0.00113812 +5 *3034:54 0.00251116 +6 *3034:43 0.00274138 +7 *3034:20 0.00371399 +8 *3034:11 0.00969229 +9 *3034:11 *39141:A 0 +10 *3034:11 *3043:26 0 +11 *3034:11 *3043:52 0 +12 *3034:11 *3276:26 0 +13 *3034:11 *3287:66 0 +14 *3034:11 *3420:20 0 +15 *3034:11 *3508:18 0 +16 *3034:11 *3744:70 0 +17 *3034:11 *3973:49 0 +18 *3034:11 *4060:7 0 +19 *3034:11 *4502:38 0 +20 *3034:11 *5196:39 0 +21 *3034:20 *3043:52 0 +22 *3034:20 *3291:37 0 +23 *3034:20 *5196:39 0 +24 *3034:43 *3087:90 0 +25 *3034:43 *3145:58 0 +26 *3034:43 *4315:15 0 +27 *3034:43 *5105:39 0 +28 *3034:43 *5191:31 0 +29 *3034:54 *5172:94 0 +30 *3034:73 *3106:69 0 +31 *3034:73 *3145:24 0 +32 *3034:73 *5072:17 0 +33 *3034:73 *5102:18 0 +34 la_data_in_mprj[119] *3034:73 0 +35 *7540:DIODE *3034:20 0 +36 *403:33 *3034:54 0 +37 *405:35 *3034:43 0 +38 *406:49 *3034:54 0 +39 *409:29 *3034:73 0 +40 *532:35 *3034:43 0 +41 *533:10 *3034:43 0 +42 *533:40 *3034:43 0 +43 *537:22 *3034:73 0 +44 *537:43 *3034:73 0 +45 *785:43 *3034:20 0 +46 *787:22 *3034:43 0 +47 *787:22 *3034:54 0 +48 *790:29 *3034:54 0 +49 *2382:87 *3034:73 0 +50 *2393:62 *3034:11 0 +51 *2793:23 *3034:20 0 +52 *2882:16 *3034:54 0 +53 *2893:17 *3034:73 0 +54 *2938:24 *3034:73 0 +55 *2984:36 *3034:20 0 +56 *3032:36 *3034:11 0 +*RES +1 *40169:X *3034:11 49.8652 +2 *3034:11 *3034:20 16.639 +3 *3034:20 *40168:A 9.3 +4 *3034:20 *3034:43 47.6607 +5 *3034:43 *3034:54 47.1429 +6 *3034:54 *3034:73 42.4286 +7 *3034:73 *8019:DIODE 9.3 +*END + +*D_NET *3035 0.0191068 +*CONN +*I *5450:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37633:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *40170:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *5450:DIODE 0.000143745 +2 *37633:A 0 +3 *40170:X 1.21519e-05 +4 *3035:14 0.00954124 +5 *3035:8 0.00940964 +6 *3035:14 *3109:24 0 +7 *3035:14 *3357:61 0 +8 *3035:14 *3357:68 0 +9 *3035:14 *3395:85 0 +10 *3035:14 *3405:71 0 +11 *3035:14 *3407:52 0 +12 *3035:14 *3419:28 0 +13 *3035:14 *3471:37 0 +14 *3035:14 *4764:25 0 +15 *3035:14 *4773:14 0 +16 *3035:14 *5183:23 0 +17 *1602:9 *5450:DIODE 0 +18 *1624:18 *3035:14 0 +19 *1836:16 *3035:14 0 +20 *2969:5 *5450:DIODE 0 +*RES +1 *40170:X *3035:8 17.4868 +2 *3035:8 *3035:14 42.1772 +3 *3035:14 *37633:A 9.3 +4 *3035:14 *5450:DIODE 12.3 +*END + +*D_NET *3036 0.0302139 +*CONN +*I *40170:A I *D sky130_fd_sc_hd__buf_6 +*I *8021:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40171:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *40170:A 0.000101392 +2 *8021:DIODE 2.56688e-05 +3 *40171:X 0.00176647 +4 *3036:76 0.00149444 +5 *3036:72 0.00480006 +6 *3036:57 0.00880725 +7 *3036:46 0.00702604 +8 *3036:33 0.00303882 +9 *3036:20 0.00315381 +10 *8021:DIODE *5187:39 0 +11 *40170:A *5187:39 0 +12 *3036:20 *4045:53 0 +13 *3036:33 *8732:DIODE 0 +14 *3036:33 *8936:DIODE 0 +15 *3036:33 *3098:43 0 +16 *3036:33 *4040:15 0 +17 *3036:46 *3042:20 0 +18 *3036:46 *3485:41 0 +19 *3036:57 *3075:45 0 +20 *3036:57 *3183:24 0 +21 *3036:57 *3275:65 0 +22 *3036:57 *3275:85 0 +23 *3036:57 *4229:69 0 +24 *3036:72 *3290:42 0 +25 *3036:72 *3428:40 0 +26 *3036:72 *3779:50 0 +27 *3036:76 *3994:28 0 +28 *3036:76 *4216:65 0 +29 *3036:76 *5176:20 0 +30 *3036:76 *5183:23 0 +31 *39533:A *3036:20 0 +32 *40097:A *3036:57 0 +33 *40107:A *8021:DIODE 0 +34 *40107:A *40170:A 0 +35 *370:24 *3036:57 0 +36 *370:32 *3036:57 0 +37 *370:36 *3036:57 0 +38 *370:36 *3036:72 0 +39 *380:22 *3036:57 0 +40 *901:17 *3036:20 0 +41 *1011:231 *3036:20 0 +42 *1449:24 *3036:46 0 +43 *1844:39 *3036:72 0 +44 *1844:39 *3036:76 0 +45 *2366:40 *3036:72 0 +46 *2375:27 *3036:76 0 +47 *2387:35 *3036:57 0 +48 *2391:30 *3036:57 0 +49 *2391:59 *3036:57 0 +50 *2395:24 *3036:57 0 +51 *2429:14 *3036:46 0 +52 *2443:36 *3036:57 0 +53 *2831:46 *3036:46 0 +54 *2836:31 *3036:57 0 +55 *2921:11 *3036:57 0 +56 *2929:51 *3036:33 0 +57 *2968:34 *3036:72 0 +58 *2972:51 *3036:46 0 +59 *2977:62 *3036:57 0 +60 *3003:24 *3036:57 0 +61 *3024:49 *3036:57 0 +62 *3024:61 *3036:72 0 +*RES +1 *40171:X *3036:20 47.303 +2 *3036:20 *3036:33 43.4286 +3 *3036:33 *3036:46 49.5 +4 *3036:46 *3036:57 48.1914 +5 *3036:57 *3036:72 43.5927 +6 *3036:72 *3036:76 35.5893 +7 *3036:76 *8021:DIODE 9.83571 +8 *3036:76 *40170:A 11.4786 +*END + +*D_NET *3037 0.0245091 +*CONN +*I *8022:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40171:A I *D sky130_fd_sc_hd__buf_4 +*I *40172:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8022:DIODE 0 +2 *40171:A 0.000185876 +3 *40172:X 0.00334477 +4 *3037:66 0.000955748 +5 *3037:48 0.00227971 +6 *3037:20 0.00795404 +7 *3037:15 0.00978897 +8 *40171:A *3129:14 0 +9 *40171:A *3188:87 0 +10 *40171:A *4238:41 0 +11 *3037:15 *3178:43 0 +12 *3037:15 *3202:24 0 +13 *3037:15 *3210:48 0 +14 *3037:15 *3220:21 0 +15 *3037:15 *3306:20 0 +16 *3037:15 *3436:64 0 +17 *3037:15 *3493:11 0 +18 *3037:15 *3653:47 0 +19 *3037:15 *3697:46 0 +20 *3037:15 *4001:74 0 +21 *3037:15 *4121:27 0 +22 *3037:15 *4288:94 0 +23 *3037:15 *4518:73 0 +24 *3037:15 *4886:21 0 +25 *3037:20 *41276:A 0 +26 *3037:20 *3129:14 0 +27 *3037:20 *3188:87 0 +28 *3037:20 *3292:13 0 +29 *3037:20 *3441:55 0 +30 *3037:20 *3443:88 0 +31 *3037:20 *3493:11 0 +32 *3037:20 *3675:67 0 +33 *3037:20 *3758:18 0 +34 *3037:20 *4012:117 0 +35 *3037:20 *4149:23 0 +36 *3037:20 *4149:31 0 +37 *3037:20 *4279:55 0 +38 *3037:20 *5084:22 0 +39 *3037:20 *5188:44 0 +40 *3037:48 *40512:A 0 +41 *3037:48 *3221:36 0 +42 *3037:48 *3276:83 0 +43 *3037:48 *3515:34 0 +44 *3037:48 *4590:105 0 +45 *3037:66 *40212:A 0 +46 *3037:66 *3081:16 0 +47 *3037:66 *3113:100 0 +48 *3037:66 *3130:35 0 +49 *3037:66 *3793:20 0 +50 *3037:66 *4149:94 0 +51 *3037:66 *4524:99 0 +52 *7494:DIODE *3037:66 0 +53 *404:58 *3037:66 0 +54 *1445:37 *3037:20 0 +55 *2377:14 *3037:66 0 +56 *2426:65 *3037:48 0 +*RES +1 *40172:X *3037:15 30.4373 +2 *3037:15 *3037:20 32.4931 +3 *3037:20 *40171:A 18.0232 +4 *3037:20 *3037:48 46.2446 +5 *3037:48 *3037:66 43.7679 +6 *3037:66 *8022:DIODE 9.3 +*END + +*D_NET *3038 0.00578609 +*CONN +*I *37724:B I *D sky130_fd_sc_hd__and2_2 +*I *38483:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *37724:B 0.000501825 +2 *38483:X 0.000786218 +3 *3038:42 0.00210683 +4 *3038:26 0.00239122 +5 *37724:B *37724:A 0 +6 *37724:B *40203:A 0 +7 *37724:B *40210:A 0 +8 *37724:B *3079:16 0 +9 *37724:B *4586:63 0 +10 *37724:B *4590:47 0 +11 *3038:26 *3375:23 0 +12 *3038:26 *3571:48 0 +13 *3038:26 *4449:30 0 +14 *3038:26 *4780:86 0 +15 *3038:42 *5508:DIODE 0 +16 *3038:42 *3155:15 0 +17 *3038:42 *3221:36 0 +18 *3038:42 *5179:91 0 +19 *39547:A *3038:42 0 +20 *415:28 *3038:42 0 +21 *545:47 *3038:42 0 +22 *1261:24 *37724:B 0 +23 *1856:16 *3038:26 0 +24 *2400:11 *3038:42 0 +25 *3031:66 *3038:26 0 +*RES +1 *38483:X *3038:26 36.8179 +2 *3038:26 *3038:42 48.3064 +3 *3038:42 *37724:B 24.3357 +*END + +*D_NET *3039 0.0196054 +*CONN +*I *5448:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37631:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *40173:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *5448:DIODE 0.000101392 +2 *37631:A 2.0535e-05 +3 *40173:X 0.00134716 +4 *3039:53 0.00317822 +5 *3039:52 0.00467964 +6 *3039:46 0.00283527 +7 *3039:33 0.0032156 +8 *3039:25 0.00244202 +9 *3039:15 0.00178553 +10 *3039:15 *6488:DIODE 0 +11 *3039:15 *8287:DIODE 0 +12 *3039:15 *40557:A 0 +13 *3039:15 *3394:63 0 +14 *3039:15 *3481:79 0 +15 *3039:15 *3986:13 0 +16 *3039:25 *38130:B 0 +17 *3039:25 *40754:A 0 +18 *3039:25 *3699:7 0 +19 *3039:33 *6199:DIODE 0 +20 *3039:33 *38136:C 0 +21 *3039:33 *3391:74 0 +22 *3039:33 *3394:63 0 +23 *3039:33 *3394:73 0 +24 *3039:33 *3476:23 0 +25 *3039:33 *3476:31 0 +26 *3039:33 *3699:7 0 +27 *3039:46 *3394:73 0 +28 *3039:46 *3476:31 0 +29 *3039:46 *3966:14 0 +30 *3039:52 *3399:31 0 +31 *3039:52 *3944:29 0 +32 *3039:52 *3944:35 0 +33 *3039:53 *38378:A 0 +34 *3039:53 *3391:92 0 +35 *3039:53 *3391:100 0 +36 *3039:53 *3399:39 0 +37 *5489:DIODE *3039:52 0 +38 *6103:DIODE *3039:15 0 +39 *6124:DIODE *3039:52 0 +40 *38073:A *3039:46 0 +41 *39499:A *3039:53 0 +42 *39499:B *37631:A 0 +43 *39499:B *3039:53 0 +44 *1021:19 *3039:46 0 +45 *1023:101 *3039:52 0 +46 *1586:17 *3039:15 0 +47 *1586:17 *3039:25 0 +48 *1716:10 *3039:53 0 +49 *1831:10 *3039:53 0 +50 *1851:14 *3039:52 0 +51 *2811:81 *3039:52 0 +52 *2821:49 *3039:15 0 +53 *2988:24 *3039:46 0 +54 *2999:37 *3039:15 0 +*RES +1 *40173:X *3039:15 46.6125 +2 *3039:15 *3039:25 18.2232 +3 *3039:25 *3039:33 41.9732 +4 *3039:33 *3039:46 35.8855 +5 *3039:46 *3039:52 43 +6 *3039:52 *3039:53 63.7857 +7 *3039:53 *37631:A 9.72857 +8 *3039:53 *5448:DIODE 11.4786 +*END + +*D_NET *3040 0.0350445 +*CONN +*I *5445:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37629:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *40174:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5445:DIODE 0 +2 *37629:A 0.000339348 +3 *40174:X 0.00652767 +4 *3040:34 0.00200111 +5 *3040:26 0.0039114 +6 *3040:25 0.00899347 +7 *3040:21 0.0132715 +8 *3040:21 *3230:39 0 +9 *3040:21 *3285:60 0 +10 *3040:21 *3290:21 0 +11 *3040:21 *3521:26 0 +12 *3040:21 *3528:37 0 +13 *3040:21 *3696:27 0 +14 *3040:25 *3094:24 0 +15 *3040:25 *3280:43 0 +16 *3040:25 *3414:14 0 +17 *3040:25 *3492:17 0 +18 *3040:25 *5013:13 0 +19 *3040:26 *3094:8 0 +20 *3040:26 *3582:30 0 +21 *3040:26 *4343:71 0 +22 *3040:34 *4219:76 0 +23 *261:13 *3040:26 0 +24 *261:15 *3040:26 0 +25 *261:15 *3040:34 0 +26 *266:7 *3040:26 0 +27 *266:19 *3040:25 0 +28 *1007:186 *3040:25 0 +29 *1019:20 *3040:25 0 +30 *1428:19 *3040:21 0 +31 *2380:34 *3040:25 0 +32 *2400:39 *3040:21 0 +33 *2400:39 *3040:25 0 +34 *2402:30 *3040:21 0 +35 *2469:21 *3040:25 0 +36 *2810:16 *3040:26 0 +37 *2824:49 *3040:21 0 +38 *2824:49 *3040:25 0 +39 *2977:62 *3040:21 0 +*RES +1 *40174:X *3040:21 49.7918 +2 *3040:21 *3040:25 27.8516 +3 *3040:25 *3040:26 51.125 +4 *3040:26 *3040:34 37.9018 +5 *3040:34 *37629:A 21.5143 +6 *3040:34 *5445:DIODE 13.8 +*END + +*D_NET *3041 0.0242752 +*CONN +*I *8025:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40174:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40175:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8025:DIODE 0 +2 *40174:A 0 +3 *40175:X 0.00759552 +4 *3041:55 0.00251658 +5 *3041:25 0.0045421 +6 *3041:11 0.00962104 +7 *3041:11 *3125:46 0 +8 *3041:11 *3134:45 0 +9 *3041:11 *3145:74 0 +10 *3041:11 *3248:24 0 +11 *3041:11 *3276:26 0 +12 *3041:11 *3276:53 0 +13 *3041:11 *3500:32 0 +14 *3041:11 *3788:72 0 +15 *3041:11 *4204:50 0 +16 *3041:11 *4507:27 0 +17 *3041:11 *4507:38 0 +18 *3041:11 *4981:23 0 +19 *3041:11 *5196:39 0 +20 *3041:25 *3125:46 0 +21 *3041:25 *3235:96 0 +22 *3041:25 *3407:13 0 +23 *3041:25 *3413:14 0 +24 *3041:25 *5194:29 0 +25 *3041:25 *5196:39 0 +26 *3041:55 *3087:90 0 +27 *3041:55 *3130:35 0 +28 *3041:55 *3230:27 0 +29 *3041:55 *3230:39 0 +30 *3041:55 *3235:96 0 +31 *3041:55 *3240:46 0 +32 *3041:55 *3266:113 0 +33 *3041:55 *3412:30 0 +34 *3041:55 *3417:32 0 +35 *3041:55 *4550:91 0 +36 *6600:DIODE *3041:25 0 +37 *6600:DIODE *3041:55 0 +38 *6744:DIODE *3041:55 0 +39 *7540:DIODE *3041:11 0 +40 *7978:DIODE *3041:55 0 +41 *402:35 *3041:25 0 +42 *405:35 *3041:25 0 +43 *530:31 *3041:25 0 +44 *539:29 *3041:55 0 +45 *792:57 *3041:55 0 +46 *2379:30 *3041:55 0 +47 *2538:19 *3041:11 0 +48 *2682:21 *3041:11 0 +49 *2683:27 *3041:11 0 +50 *2712:83 *3041:55 0 +51 *2712:96 *3041:55 0 +52 *3025:21 *3041:11 0 +53 *3025:84 *3041:55 0 +54 *3032:36 *3041:11 0 +55 *3032:39 *3041:11 0 +56 *3032:65 *3041:11 0 +*RES +1 *40175:X *3041:11 44.9646 +2 *3041:11 *3041:25 32.7124 +3 *3041:25 *40174:A 9.3 +4 *3041:25 *3041:55 49.3064 +5 *3041:55 *8025:DIODE 9.3 +*END + +*D_NET *3042 0.0317212 +*CONN +*I *5442:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37627:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *40176:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5442:DIODE 0.00014075 +2 *37627:A 0 +3 *40176:X 0.00415075 +4 *3042:77 0.000730786 +5 *3042:70 0.00840062 +6 *3042:48 0.0109791 +7 *3042:20 0.00731922 +8 *5442:DIODE *3184:22 0 +9 *5442:DIODE *4545:74 0 +10 *3042:20 *3257:23 0 +11 *3042:20 *3266:134 0 +12 *3042:48 *3075:45 0 +13 *3042:48 *3275:65 0 +14 *3042:48 *3662:49 0 +15 *3042:70 *3288:24 0 +16 *3042:70 *3290:69 0 +17 *3042:70 *3485:59 0 +18 *3042:70 *3512:50 0 +19 *3042:70 *3718:33 0 +20 *3042:70 *3990:52 0 +21 *3042:77 *3184:22 0 +22 *3042:77 *3395:60 0 +23 *3042:77 *4545:74 0 +24 *3042:77 *5187:32 0 +25 *380:22 *3042:70 0 +26 *1333:20 *3042:70 0 +27 *2366:40 *3042:70 0 +28 *2391:59 *3042:20 0 +29 *2391:59 *3042:48 0 +30 *2398:34 *3042:70 0 +31 *2682:45 *3042:20 0 +32 *2807:26 *3042:70 0 +33 *2814:37 *3042:48 0 +34 *2816:39 *3042:48 0 +35 *2831:55 *3042:48 0 +36 *2831:63 *3042:48 0 +37 *2831:63 *3042:70 0 +38 *2834:24 *3042:48 0 +39 *2839:52 *3042:70 0 +40 *2905:28 *3042:20 0 +41 *2972:64 *3042:48 0 +42 *3028:25 *3042:70 0 +43 *3028:29 *3042:70 0 +44 *3036:46 *3042:20 0 +*RES +1 *40176:X *3042:20 45.78 +2 *3042:20 *3042:48 49.0896 +3 *3042:48 *3042:70 49.2482 +4 *3042:70 *3042:77 17.8125 +5 *3042:77 *37627:A 9.3 +6 *3042:77 *5442:DIODE 12.3 +*END + +*D_NET *3043 0.0245831 +*CONN +*I *40176:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8026:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40177:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40176:A 0.000107516 +2 *8026:DIODE 0 +3 *40177:X 0.00592612 +4 *3043:75 0.00231525 +5 *3043:52 0.00636543 +6 *3043:26 0.00986879 +7 *40176:A *40373:A 0 +8 *3043:26 *3086:51 0 +9 *3043:26 *3105:81 0 +10 *3043:26 *3145:90 0 +11 *3043:26 *3154:35 0 +12 *3043:26 *3174:40 0 +13 *3043:26 *3744:70 0 +14 *3043:26 *3973:49 0 +15 *3043:26 *4517:43 0 +16 *3043:26 *4981:23 0 +17 *3043:52 *3087:98 0 +18 *3043:52 *3126:29 0 +19 *3043:52 *3469:14 0 +20 *3043:52 *3744:70 0 +21 *3043:75 *8062:DIODE 0 +22 *3043:75 *8714:DIODE 0 +23 *3043:75 *40265:A 0 +24 *3043:75 *3113:100 0 +25 *3043:75 *3208:27 0 +26 *3043:75 *3211:42 0 +27 *3043:75 *3230:27 0 +28 *3043:75 *3230:39 0 +29 *3043:75 *3266:113 0 +30 *3043:75 *3697:99 0 +31 *3043:75 *3783:76 0 +32 *3043:75 *4590:105 0 +33 *6649:DIODE *40176:A 0 +34 *7554:DIODE *3043:26 0 +35 *7978:DIODE *3043:75 0 +36 *37443:A *3043:52 0 +37 *39582:A *3043:52 0 +38 *402:35 *3043:52 0 +39 *407:36 *3043:75 0 +40 *788:57 *3043:75 0 +41 *789:49 *3043:75 0 +42 *2381:73 *3043:26 0 +43 *2389:21 *3043:75 0 +44 *2712:162 *3043:52 0 +45 *2793:23 *3043:52 0 +46 *2794:13 *3043:52 0 +47 *2871:21 *3043:52 0 +48 *2984:36 *3043:52 0 +49 *3033:16 *3043:52 0 +50 *3034:11 *3043:26 0 +51 *3034:11 *3043:52 0 +52 *3034:20 *3043:52 0 +*RES +1 *40177:X *3043:26 45.6581 +2 *3043:26 *3043:52 35.7346 +3 *3043:52 *3043:75 42.7156 +4 *3043:75 *8026:DIODE 9.3 +5 *3043:52 *40176:A 16.1482 +*END + +*D_NET *3044 0.0197018 +*CONN +*I *37625:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *5439:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40178:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *37625:A 0.000181569 +2 *5439:DIODE 0 +3 *40178:X 0.0010942 +4 *3044:35 0.00166351 +5 *3044:34 0.00319284 +6 *3044:21 0.00525618 +7 *3044:19 0.00538232 +8 *3044:16 0.00293123 +9 *3044:16 *3288:69 0 +10 *3044:16 *3406:130 0 +11 *3044:16 *3978:120 0 +12 *3044:16 *4065:57 0 +13 *3044:16 *4901:17 0 +14 *3044:16 *4912:7 0 +15 *3044:19 *6451:DIODE 0 +16 *3044:21 *5512:DIODE 0 +17 *3044:21 *37684:B 0 +18 *3044:21 *4744:16 0 +19 *6143:DIODE *3044:19 0 +20 *6151:DIODE *3044:21 0 +21 *6182:DIODE *3044:21 0 +22 *38089:A *3044:21 0 +23 *38103:A *3044:21 0 +24 *38107:A *3044:19 0 +25 *39517:A *3044:21 0 +26 *276:17 *3044:34 0 +27 *367:15 *37625:A 0 +28 *1578:11 *3044:19 0 +29 *1588:6 *37625:A 0 +30 *1711:21 *3044:21 0 +31 *1711:21 *3044:34 0 +32 *1748:8 *3044:34 0 +33 *1842:9 *37625:A 0 +34 *1842:9 *3044:35 0 +35 *2800:53 *3044:16 0 +36 *2815:101 *3044:21 0 +37 *2963:27 *3044:21 0 +38 *2963:39 *37625:A 0 +39 *3009:64 *3044:21 0 +40 *3012:31 *3044:21 0 +41 *3019:11 *3044:19 0 +42 *3019:11 *3044:21 0 +43 *3019:36 *3044:21 0 +44 *3020:59 *3044:16 0 +*RES +1 *40178:X *3044:16 41.3893 +2 *3044:16 *3044:19 38.3393 +3 *3044:19 *3044:21 74.0536 +4 *3044:21 *3044:34 45 +5 *3044:34 *3044:35 30.9286 +6 *3044:35 *5439:DIODE 9.3 +7 *3044:35 *37625:A 22.4071 +*END + +*D_NET *3045 0.0174328 +*CONN +*I *40178:A I *D sky130_fd_sc_hd__buf_4 +*I *8027:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40179:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *40178:A 0.000124066 +2 *8027:DIODE 0 +3 *40179:X 0.00409096 +4 *3045:52 0.000229677 +5 *3045:47 0.00450138 +6 *3045:21 0.00848673 +7 *40178:A *3406:130 0 +8 *40178:A *4065:57 0 +9 *3045:21 *8662:DIODE 0 +10 *3045:21 *3308:39 0 +11 *3045:21 *3313:59 0 +12 *3045:21 *3388:36 0 +13 *3045:21 *3743:32 0 +14 *3045:21 *3776:50 0 +15 *3045:21 *4010:69 0 +16 *3045:21 *4360:25 0 +17 *3045:21 *4406:28 0 +18 *3045:47 *38050:A_N 0 +19 *3045:47 *38050:B 0 +20 *3045:47 *38050:C 0 +21 *3045:47 *3299:75 0 +22 *3045:47 *3700:65 0 +23 *3045:47 *3700:82 0 +24 *3045:47 *3776:71 0 +25 *3045:47 *3978:67 0 +26 *3045:47 *4010:139 0 +27 *3045:47 *4318:38 0 +28 *3045:47 *4343:53 0 +29 *3045:47 *4406:28 0 +30 *3045:52 *3290:69 0 +31 *371:15 *3045:52 0 +32 *1250:85 *3045:47 0 +33 *1549:25 *3045:47 0 +34 *1561:31 *3045:21 0 +35 *1561:45 *3045:21 0 +36 *1564:35 *3045:21 0 +37 *2375:27 *3045:21 0 +38 *2375:27 *3045:47 0 +39 *2422:26 *3045:47 0 +40 *2422:66 *3045:21 0 +41 *2803:42 *3045:47 0 +42 *2811:20 *3045:47 0 +43 *2871:54 *3045:47 0 +*RES +1 *40179:X *3045:21 45.6304 +2 *3045:21 *3045:47 46.6968 +3 *3045:47 *3045:52 10.3118 +4 *3045:52 *8027:DIODE 9.3 +5 *3045:52 *40178:A 11.8893 +*END + +*D_NET *3046 0.0204886 +*CONN +*I *37623:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *5436:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40180:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *37623:A 0.000183104 +2 *5436:DIODE 0 +3 *40180:X 0.00229572 +4 *3046:32 0.00143664 +5 *3046:26 0.00776549 +6 *3046:13 0.00880766 +7 *3046:13 *3292:84 0 +8 *3046:13 *3474:18 0 +9 *3046:13 *3482:32 0 +10 *3046:13 *3731:12 0 +11 *3046:13 *4036:11 0 +12 *3046:13 *4077:19 0 +13 *3046:26 *37716:B 0 +14 *3046:26 *3292:94 0 +15 *3046:26 *3432:97 0 +16 *3046:26 *3474:18 0 +17 *3046:26 *3482:36 0 +18 *3046:26 *3731:12 0 +19 *3046:26 *3754:11 0 +20 *3046:26 *3990:45 0 +21 *3046:26 *4601:70 0 +22 *3046:26 *4612:14 0 +23 *3046:32 *3480:39 0 +24 *3046:32 *3737:33 0 +25 *5464:DIODE *3046:32 0 +26 *264:11 *3046:26 0 +27 *280:11 *3046:32 0 +28 *1243:20 *3046:26 0 +29 *1336:16 *3046:13 0 +30 *1423:21 *3046:26 0 +31 *1426:6 *3046:26 0 +32 *1460:30 *3046:26 0 +33 *1461:25 *37623:A 0 +34 *1461:25 *3046:32 0 +35 *1710:11 *3046:32 0 +36 *2802:49 *37623:A 0 +*RES +1 *40180:X *3046:13 33.6207 +2 *3046:13 *3046:26 48.1609 +3 *3046:26 *3046:32 35.3929 +4 *3046:32 *5436:DIODE 9.3 +5 *3046:32 *37623:A 13.1214 +*END + +*D_NET *3047 0.0256581 +*CONN +*I *40180:A I *D sky130_fd_sc_hd__buf_2 +*I *8029:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40181:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *40180:A 0.000104386 +2 *8029:DIODE 0 +3 *40181:X 0.00118676 +4 *3047:68 0.00174074 +5 *3047:63 0.00306818 +6 *3047:43 0.00653478 +7 *3047:42 0.00528948 +8 *3047:33 0.00171528 +9 *3047:24 0.00318024 +10 *3047:10 0.00283825 +11 *40180:A *3479:73 0 +12 *40180:A *4087:49 0 +13 *3047:10 *37878:A 0 +14 *3047:10 *3770:10 0 +15 *3047:10 *3770:12 0 +16 *3047:10 *3811:58 0 +17 *3047:24 *39656:A 0 +18 *3047:24 *3079:51 0 +19 *3047:24 *3295:20 0 +20 *3047:24 *3704:10 0 +21 *3047:24 *4007:12 0 +22 *3047:24 *4102:34 0 +23 *3047:33 *3050:11 0 +24 *3047:33 *3951:11 0 +25 *3047:42 *4031:37 0 +26 *3047:42 *4102:34 0 +27 *3047:63 *3299:20 0 +28 *3047:63 *4102:72 0 +29 *3047:63 *5027:24 0 +30 *3047:68 *3492:20 0 +31 *8030:DIODE *3047:10 0 +32 *38293:A *3047:24 0 +33 *39982:A *3047:10 0 +34 *357:43 *3047:63 0 +35 *1009:104 *3047:33 0 +36 *1009:118 *3047:43 0 +37 *1009:120 *3047:43 0 +38 *1436:34 *3047:10 0 +39 *1545:20 *3047:63 0 +40 *1863:32 *3047:68 0 +41 *2469:21 *3047:68 0 +42 *2828:10 *3047:68 0 +43 *2829:40 *3047:68 0 +44 *2954:17 *3047:24 0 +45 *2991:47 *3047:63 0 +46 *2998:29 *3047:24 0 +47 *3007:31 *3047:63 0 +*RES +1 *40181:X *3047:10 45.175 +2 *3047:10 *3047:24 48.9821 +3 *3047:24 *3047:33 45.6607 +4 *3047:33 *3047:42 13.375 +5 *3047:42 *3047:43 106.5 +6 *3047:43 *3047:63 48.8036 +7 *3047:63 *3047:68 46.1607 +8 *3047:68 *8029:DIODE 9.3 +9 *3047:68 *40180:A 11.4786 +*END + +*D_NET *3048 0.0191688 +*CONN +*I *5433:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37621:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *40182:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5433:DIODE 0.000104386 +2 *37621:A 0 +3 *40182:X 0.00366479 +4 *3048:20 0.00591962 +5 *3048:13 0.00948002 +6 *5433:DIODE *3474:39 0 +7 *3048:13 *3470:59 0 +8 *3048:13 *3473:22 0 +9 *3048:13 *3482:32 0 +10 *3048:13 *3657:31 0 +11 *3048:13 *3732:49 0 +12 *3048:13 *3765:34 0 +13 *3048:13 *3780:83 0 +14 *3048:13 *3996:20 0 +15 *3048:13 *4224:51 0 +16 *3048:20 *3470:59 0 +17 *3048:20 *3474:18 0 +18 *3048:20 *3482:32 0 +19 *3048:20 *3482:36 0 +20 *3048:20 *3996:20 0 +21 *3048:20 *4036:18 0 +22 *3048:20 *4224:51 0 +23 *1031:86 *3048:13 0 +24 *1332:19 *3048:20 0 +25 *1733:11 *3048:20 0 +26 *1849:15 *5433:DIODE 0 +27 *2801:49 *3048:20 0 +*RES +1 *40182:X *3048:13 41.5503 +2 *3048:13 *3048:20 29.2052 +3 *3048:20 *37621:A 9.3 +4 *3048:20 *5433:DIODE 11.4786 +*END + +*D_NET *3049 0.00405646 +*CONN +*I *37494:B I *D sky130_fd_sc_hd__and2_1 +*I *38484:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *37494:B 0.000955704 +2 *38484:X 0.00107253 +3 *3049:11 0.00202823 +4 *37494:B *37494:A 0 +5 *37494:B *3900:33 0 +6 *37494:B *3924:21 0 +7 *37494:B *3928:9 0 +8 *37494:B *4451:75 0 +9 *3049:11 *39030:A 0 +10 *3049:11 *4571:32 0 +11 *3049:11 *4785:17 0 +12 la_data_in_mprj[12] *3049:11 0 +13 *6610:DIODE *3049:11 0 +14 *399:11 *37494:B 0 +15 *527:5 *37494:B 0 +16 *538:8 *3049:11 0 +17 *1628:9 *37494:B 0 +18 *2827:8 *37494:B 0 +19 *2827:13 *3049:11 0 +20 *2904:10 *37494:B 0 +*RES +1 *38484:X *3049:11 36.2464 +2 *3049:11 *37494:B 35.0679 +*END + +*D_NET *3050 0.0245344 +*CONN +*I *5424:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37615:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *40183:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5424:DIODE 8.17123e-05 +2 *37615:A 2.56688e-05 +3 *40183:X 0.00133052 +4 *3050:50 0.00142273 +5 *3050:47 0.00303345 +6 *3050:42 0.00285591 +7 *3050:19 0.00431069 +8 *3050:17 0.00330507 +9 *3050:11 0.00348518 +10 *3050:10 0.0046835 +11 *5424:DIODE *3755:13 0 +12 *37615:A *3755:13 0 +13 *3050:10 *5726:DIODE 0 +14 *3050:10 *6004:DIODE 0 +15 *3050:10 *6415:DIODE 0 +16 *3050:10 *8749:DIODE 0 +17 *3050:10 *38024:A_N 0 +18 *3050:10 *41046:A 0 +19 *3050:10 *3310:40 0 +20 *3050:10 *3387:14 0 +21 *3050:10 *3650:59 0 +22 *3050:10 *4023:10 0 +23 *3050:10 *4024:44 0 +24 *3050:10 *4091:26 0 +25 *3050:10 *4102:16 0 +26 *3050:10 *4267:87 0 +27 *3050:11 *3499:48 0 +28 *3050:11 *3951:11 0 +29 *3050:11 *3951:23 0 +30 *3050:11 *4613:15 0 +31 *3050:17 *3278:19 0 +32 *3050:17 *3278:21 0 +33 *3050:17 *3951:23 0 +34 *3050:19 *3278:21 0 +35 *3050:19 *4102:45 0 +36 *3050:42 *4102:62 0 +37 *3050:47 *3512:29 0 +38 *3050:50 *3424:42 0 +39 *3050:50 *3499:79 0 +40 *40086:A *3050:11 0 +41 *1336:17 *5424:DIODE 0 +42 *1562:33 *3050:50 0 +43 *1824:11 *37615:A 0 +44 *2395:52 *3050:10 0 +45 *2400:39 *3050:42 0 +46 *2417:90 *3050:11 0 +47 *2937:14 *3050:10 0 +48 *2943:23 *3050:11 0 +49 *2956:10 *3050:10 0 +50 *2991:33 *3050:42 0 +51 *3007:31 *3050:42 0 +52 *3010:29 *3050:19 0 +53 *3047:33 *3050:11 0 +*RES +1 *40183:X *3050:10 48.4071 +2 *3050:10 *3050:11 69.9464 +3 *3050:11 *3050:17 3.03571 +4 *3050:17 *3050:19 66.25 +5 *3050:19 *3050:42 47.1607 +6 *3050:42 *3050:47 44.8571 +7 *3050:47 *3050:50 34.375 +8 *3050:50 *37615:A 9.83571 +9 *3050:50 *5424:DIODE 11.0679 +*END + +*D_NET *3051 0.0191702 +*CONN +*I *5355:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37545:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *40184:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5355:DIODE 0 +2 *37545:A 0.000156274 +3 *40184:X 0.0002714 +4 *3051:37 0.0042329 +5 *3051:36 0.00533474 +6 *3051:23 0.00335012 +7 *3051:20 0.00382267 +8 *3051:14 0.00200207 +9 *37545:A *4402:27 0 +10 *3051:14 *37592:A 0 +11 *3051:14 *3580:122 0 +12 *3051:20 *3573:20 0 +13 *3051:23 *3169:9 0 +14 *3051:23 *3169:27 0 +15 *3051:36 *3353:27 0 +16 *3051:37 *3169:29 0 +17 *3051:37 *3169:53 0 +18 *3051:37 *4402:27 0 +19 *37549:A *3051:36 0 +20 *40027:A *3051:23 0 +21 *295:13 *3051:20 0 +22 *322:27 *3051:36 0 +23 *1189:20 *3051:14 0 +24 *1403:29 *3051:14 0 +25 *1500:34 *3051:36 0 +26 *1526:9 *3051:23 0 +27 *1526:9 *3051:37 0 +28 *1673:11 *3051:36 0 +29 *1677:19 *3051:23 0 +30 *1798:11 *3051:36 0 +31 *1798:40 *3051:36 0 +32 *2764:21 *37545:A 0 +33 *2764:21 *3051:37 0 +34 *2855:28 *3051:23 0 +35 *2855:41 *3051:20 0 +36 *2855:41 *3051:23 0 +37 *2855:51 *3051:20 0 +*RES +1 *40184:X *3051:14 24.4786 +2 *3051:14 *3051:20 45.1786 +3 *3051:20 *3051:23 48.1607 +4 *3051:23 *3051:36 40.2321 +5 *3051:36 *3051:37 85.1429 +6 *3051:37 *37545:A 21.6214 +7 *3051:37 *5355:DIODE 9.3 +*END + +*D_NET *3052 0.0199523 +*CONN +*I *5341:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37535:A I *D sky130_fd_sc_hd__clkbuf_1 +*I *40185:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5341:DIODE 0 +2 *37535:A 0.000144601 +3 *40185:X 0.00210269 +4 *3052:27 0.0017243 +5 *3052:21 0.00376732 +6 *3052:15 0.00614917 +7 *3052:14 0.00606424 +8 *37535:A *4786:9 0 +9 *3052:14 *3215:22 0 +10 *3052:14 *3851:17 0 +11 *3052:14 *3878:43 0 +12 *3052:14 *3903:26 0 +13 *3052:14 *3913:48 0 +14 *3052:14 *4432:12 0 +15 *3052:14 *4827:30 0 +16 *3052:15 *3889:21 0 +17 *3052:15 *3929:27 0 +18 *3052:15 *4421:29 0 +19 *3052:21 *39557:A 0 +20 *3052:21 *4786:9 0 +21 *3052:27 *4786:9 0 +22 *295:24 *3052:14 0 +23 *296:55 *3052:14 0 +24 *376:78 *3052:14 0 +25 *1778:15 *37535:A 0 +26 *1778:15 *3052:15 0 +27 *1778:15 *3052:21 0 +28 *1778:15 *3052:27 0 +29 *2354:5 *3052:15 0 +30 *2355:9 *3052:15 0 +31 *2355:9 *3052:21 0 +32 *2362:9 *3052:21 0 +*RES +1 *40185:X *3052:14 39.5797 +2 *3052:14 *3052:15 82.6786 +3 *3052:15 *3052:21 45.75 +4 *3052:21 *3052:27 33.125 +5 *3052:27 *37535:A 12.3179 +6 *3052:27 *5341:DIODE 9.3 +*END + +*D_NET *3053 0.0214098 +*CONN +*I *38022:C I *D sky130_fd_sc_hd__and3b_1 +*I *6003:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40186:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38022:C 0 +2 *6003:DIODE 0.000418502 +3 *40186:X 0.0012012 +4 *3053:71 0.00163688 +5 *3053:62 0.00450838 +6 *3053:35 0.00591336 +7 *3053:34 0.0045768 +8 *3053:14 0.00315465 +9 *6003:DIODE *38022:B 0 +10 *6003:DIODE *3316:115 0 +11 *6003:DIODE *3667:44 0 +12 *6003:DIODE *3752:14 0 +13 *6003:DIODE *3774:13 0 +14 *6003:DIODE *4335:13 0 +15 *3053:14 *3319:22 0 +16 *3053:14 *3518:54 0 +17 *3053:14 *3529:13 0 +18 *3053:14 *3782:69 0 +19 *3053:34 *3128:19 0 +20 *3053:34 *3148:61 0 +21 *3053:34 *3154:76 0 +22 *3053:34 *3158:16 0 +23 *3053:34 *3511:66 0 +24 *3053:34 *4500:13 0 +25 *3053:35 *3148:39 0 +26 *3053:35 *3436:41 0 +27 *3053:35 *3977:119 0 +28 *3053:62 *41262:A 0 +29 *3053:62 *3532:24 0 +30 *3053:62 *3675:67 0 +31 *3053:62 *3722:13 0 +32 *3053:62 *3972:24 0 +33 *3053:62 *4001:74 0 +34 *3053:62 *4017:117 0 +35 *3053:62 *4112:119 0 +36 *3053:62 *4121:50 0 +37 *3053:62 *4261:51 0 +38 *3053:62 *4518:73 0 +39 *3053:71 *3197:16 0 +40 *3053:71 *3441:55 0 +41 *3053:71 *4241:14 0 +42 *3053:71 *4335:13 0 +43 *37672:B *3053:14 0 +44 *1211:26 *6003:DIODE 0 +45 *1410:18 *6003:DIODE 0 +46 *2472:21 *3053:34 0 +47 *2472:33 *3053:34 0 +*RES +1 *40186:X *3053:14 48.9339 +2 *3053:14 *3053:34 47.9228 +3 *3053:34 *3053:35 54.75 +4 *3053:35 *3053:62 48.2272 +5 *3053:62 *3053:71 40.6786 +6 *3053:71 *6003:DIODE 36.3 +7 *3053:71 *38022:C 9.3 +*END + +*D_NET *3054 0.0228938 +*CONN +*I *5996:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38018:C I *D sky130_fd_sc_hd__and3b_1 +*I *40187:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5996:DIODE 0 +2 *38018:C 0.000218683 +3 *40187:X 0.0017425 +4 *3054:78 0.000516757 +5 *3054:70 0.00241882 +6 *3054:51 0.00505597 +7 *3054:49 0.00295576 +8 *3054:47 0.00305715 +9 *3054:46 0.00411117 +10 *3054:24 0.00281705 +11 *38018:C *4352:58 0 +12 *3054:24 *40187:A 0 +13 *3054:24 *40315:A 0 +14 *3054:24 *3123:31 0 +15 *3054:24 *3153:39 0 +16 *3054:24 *3947:27 0 +17 *3054:24 *3961:30 0 +18 *3054:24 *4064:40 0 +19 *3054:24 *4072:51 0 +20 *3054:46 *3186:32 0 +21 *3054:46 *3386:42 0 +22 *3054:46 *3757:11 0 +23 *3054:46 *3786:59 0 +24 *3054:46 *3824:21 0 +25 *3054:46 *4377:32 0 +26 *3054:46 *4709:10 0 +27 *3054:47 *3124:31 0 +28 *3054:47 *4100:13 0 +29 *3054:51 *3124:31 0 +30 *3054:51 *3822:27 0 +31 *3054:70 *3647:16 0 +32 *3054:70 *3692:34 0 +33 *3054:70 *3822:76 0 +34 *3054:78 *3354:30 0 +35 *3054:78 *3678:19 0 +36 *3054:78 *4352:58 0 +37 *8443:DIODE *3054:46 0 +38 *8485:DIODE *3054:46 0 +39 *1410:40 *38018:C 0 +40 *1411:55 *3054:51 0 +41 *1413:35 *3054:70 0 +42 *1979:77 *3054:24 0 +43 *2217:94 *3054:46 0 +44 *2413:98 *3054:78 0 +45 *2421:51 *3054:70 0 +46 *2930:19 *3054:70 0 +47 *2959:32 *3054:70 0 +*RES +1 *40187:X *3054:24 47.4601 +2 *3054:24 *3054:46 40.5341 +3 *3054:46 *3054:47 63.375 +4 *3054:47 *3054:49 0.428571 +5 *3054:49 *3054:51 61.3214 +6 *3054:51 *3054:70 49.8256 +7 *3054:70 *3054:78 7.21429 +8 *3054:78 *38018:C 18.7821 +9 *3054:78 *5996:DIODE 13.8 +*END + +*D_NET *3055 0.0211802 +*CONN +*I *5992:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38016:C I *D sky130_fd_sc_hd__and3b_1 +*I *40188:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5992:DIODE 0.00016844 +2 *38016:C 2.56688e-05 +3 *40188:X 0.00140826 +4 *3055:104 0.0019879 +5 *3055:89 0.00276164 +6 *3055:71 0.0027568 +7 *3055:55 0.00282656 +8 *3055:35 0.00346416 +9 *3055:33 0.00339953 +10 *3055:9 0.00238124 +11 *5992:DIODE *3811:14 0 +12 *5992:DIODE *3942:61 0 +13 *38016:C *3747:72 0 +14 *3055:9 *3216:25 0 +15 *3055:9 *3800:20 0 +16 *3055:9 *4491:17 0 +17 *3055:9 *4858:25 0 +18 *3055:33 *40520:A 0 +19 *3055:33 *3216:17 0 +20 *3055:33 *3216:23 0 +21 *3055:33 *3216:25 0 +22 *3055:33 *3305:34 0 +23 *3055:33 *3307:21 0 +24 *3055:33 *3518:23 0 +25 *3055:33 *3816:53 0 +26 *3055:33 *4858:15 0 +27 *3055:35 *40418:A 0 +28 *3055:35 *3216:15 0 +29 *3055:35 *3216:17 0 +30 *3055:35 *3311:5 0 +31 *3055:35 *3321:9 0 +32 *3055:35 *3321:29 0 +33 *3055:35 *3816:61 0 +34 *3055:35 *5159:56 0 +35 *3055:55 *3305:35 0 +36 *3055:55 *3311:5 0 +37 *3055:55 *3321:39 0 +38 *3055:55 *3442:66 0 +39 *3055:55 *4505:22 0 +40 *3055:71 *5444:DIODE 0 +41 *3055:71 *3154:76 0 +42 *3055:71 *3305:35 0 +43 *3055:71 *3305:55 0 +44 *3055:71 *3444:33 0 +45 *3055:71 *3529:13 0 +46 *3055:71 *3927:66 0 +47 *3055:71 *4503:16 0 +48 *3055:89 *3140:18 0 +49 *3055:89 *3259:17 0 +50 *3055:89 *3511:73 0 +51 *3055:89 *4060:19 0 +52 *3055:89 *4881:73 0 +53 *3055:104 *5990:DIODE 0 +54 *3055:104 *3191:10 0 +55 *3055:104 *3323:30 0 +56 *3055:104 *3530:97 0 +57 *3055:104 *3726:28 0 +58 *3055:104 *3744:36 0 +59 *3055:104 *3947:27 0 +60 *3055:104 *4041:29 0 +61 *3055:104 *4107:62 0 +62 *3055:104 *4513:109 0 +63 *5244:DIODE *3055:33 0 +64 *6855:DIODE *3055:71 0 +65 *37381:A *3055:35 0 +66 *40598:A *3055:55 0 +67 *41043:A *3055:33 0 +68 *1215:15 *3055:35 0 +69 *1215:15 *3055:55 0 +70 *1222:14 *5992:DIODE 0 +71 *1222:14 *3055:104 0 +72 *1224:42 *5992:DIODE 0 +73 *1229:51 *3055:104 0 +74 *2008:67 *3055:104 0 +75 *2393:82 *3055:71 0 +76 *2418:51 *3055:33 0 +77 *2418:51 *3055:35 0 +78 *2418:53 *3055:33 0 +79 *2424:62 *3055:35 0 +80 *2427:21 *3055:9 0 +81 *2505:32 *3055:55 0 +82 *2505:32 *3055:71 0 +83 *2505:37 *3055:71 0 +84 *2627:10 *3055:104 0 +*RES +1 *40188:X *3055:9 48.3179 +2 *3055:9 *3055:33 38.6071 +3 *3055:33 *3055:35 50.6429 +4 *3055:35 *3055:55 49.1607 +5 *3055:55 *3055:71 46.7143 +6 *3055:71 *3055:89 43.7143 +7 *3055:89 *3055:104 42.0373 +8 *3055:104 *38016:C 14.3357 +9 *3055:104 *5992:DIODE 17.6036 +*END + +*D_NET *3056 0.0196461 +*CONN +*I *38008:C I *D sky130_fd_sc_hd__and3b_1 +*I *5980:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40189:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38008:C 0.000325816 +2 *5980:DIODE 0 +3 *40189:X 0.00768222 +4 *3056:20 0.00214081 +5 *3056:15 0.00949721 +6 *38008:C *38008:A_N 0 +7 *38008:C *3632:14 0 +8 *38008:C *3635:40 0 +9 *38008:C *3845:77 0 +10 *3056:15 *3846:16 0 +11 *3056:15 *3851:17 0 +12 *3056:15 *3921:11 0 +13 *3056:20 *3058:22 0 +14 *3056:20 *3268:29 0 +15 *3056:20 *3364:13 0 +16 *3056:20 *3895:76 0 +17 *3056:20 *3910:10 0 +18 *3056:20 *4461:56 0 +19 *3056:20 *4461:64 0 +20 *41198:A *3056:20 0 +21 *295:23 *3056:20 0 +22 *1801:11 *3056:20 0 +23 *1806:35 *3056:15 0 +24 *1807:17 *3056:15 0 +25 *1811:25 *3056:15 0 +26 *1811:30 *3056:15 0 +27 *2009:31 *3056:20 0 +28 *2027:40 *3056:15 0 +29 *2066:23 *3056:20 0 +30 *2067:26 *3056:15 0 +*RES +1 *40189:X *3056:15 49.2089 +2 *3056:15 *3056:20 41.2232 +3 *3056:20 *5980:DIODE 13.8 +4 *3056:20 *38008:C 20.8893 +*END + +*D_NET *3057 0.0206079 +*CONN +*I *38006:C I *D sky130_fd_sc_hd__and3b_1 +*I *5976:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40190:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38006:C 0.000330163 +2 *5976:DIODE 0.000202783 +3 *40190:X 0.000366522 +4 *3057:12 0.00249262 +5 *3057:9 0.00940448 +6 *3057:8 0.00781132 +7 *5976:DIODE *3895:49 0 +8 *38006:C *3895:49 0 +9 *38006:C *4140:15 0 +10 *38006:C *4140:40 0 +11 *3057:9 *3062:9 0 +12 *3057:9 *3346:9 0 +13 *3057:9 *4476:13 0 +14 *3057:9 *4477:14 0 +15 *3057:12 *3461:16 0 +16 *3057:12 *3923:14 0 +17 *3057:12 *4456:14 0 +18 *3057:12 *4470:43 0 +19 *3057:12 *4959:32 0 +20 *3057:12 *5048:75 0 +21 *3057:12 *5048:82 0 +22 *3057:12 *5200:25 0 +23 la_data_in_mprj[45] *3057:12 0 +24 *6801:DIODE *3057:12 0 +25 *6821:DIODE *3057:8 0 +26 *6999:DIODE *3057:8 0 +27 *38006:B *38006:C 0 +28 *600:9 *3057:8 0 +29 *838:13 *3057:12 0 +30 *856:12 *3057:8 0 +31 *1393:64 *5976:DIODE 0 +32 *1402:20 *38006:C 0 +33 *2018:35 *38006:C 0 +34 *2018:35 *3057:12 0 +35 *2049:14 *3057:9 0 +36 *2071:11 *3057:8 0 +37 *2071:14 *3057:9 0 +*RES +1 *40190:X *3057:8 26.6214 +2 *3057:8 *3057:9 155.375 +3 *3057:9 *3057:12 48.9464 +4 *3057:12 *5976:DIODE 18.0321 +5 *3057:12 *38006:C 30.7107 +*END + +*D_NET *3058 0.0190717 +*CONN +*I *38004:C I *D sky130_fd_sc_hd__and3b_1 +*I *5972:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40191:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38004:C 0.000413878 +2 *5972:DIODE 2.56688e-05 +3 *40191:X 0 +4 *3058:28 0.00162919 +5 *3058:22 0.00330455 +6 *3058:5 0.00790664 +7 *3058:4 0.00579173 +8 *38004:C *40291:A 0 +9 *38004:C *3332:62 0 +10 *38004:C *3645:52 0 +11 *38004:C *3841:9 0 +12 *38004:C *3868:25 0 +13 *38004:C *4136:41 0 +14 *38004:C *4387:75 0 +15 *3058:5 *40538:A 0 +16 *3058:5 *3338:5 0 +17 *3058:5 *3338:9 0 +18 *3058:5 *3451:9 0 +19 *3058:5 *3453:17 0 +20 *3058:5 *3457:7 0 +21 *3058:5 *3908:40 0 +22 *3058:5 *3908:41 0 +23 *3058:5 *4835:25 0 +24 *3058:5 *4839:13 0 +25 *3058:22 *3379:17 0 +26 *3058:22 *3456:19 0 +27 *3058:22 *3878:30 0 +28 *3058:22 *3913:15 0 +29 *3058:22 *3923:17 0 +30 *3058:22 *4447:17 0 +31 *3058:28 *8104:DIODE 0 +32 *3058:28 *8838:DIODE 0 +33 *3058:28 *41216:A 0 +34 *3058:28 *3065:17 0 +35 *3058:28 *3170:28 0 +36 *3058:28 *3642:78 0 +37 *3058:28 *3876:93 0 +38 *3058:28 *3918:24 0 +39 *3058:28 *4068:43 0 +40 *3058:28 *4395:53 0 +41 *3058:28 *4457:61 0 +42 *5223:DIODE *3058:5 0 +43 *40961:A *3058:28 0 +44 *295:23 *3058:28 0 +45 *1787:22 *3058:22 0 +46 *1802:26 *3058:28 0 +47 *1894:89 *5972:DIODE 0 +48 *1898:36 *3058:28 0 +49 *2033:47 *3058:5 0 +50 *2035:40 *3058:5 0 +51 *2082:12 *3058:5 0 +52 *2142:29 *3058:28 0 +53 *3056:20 *3058:22 0 +*RES +1 *40191:X *3058:4 9.3 +2 *3058:4 *3058:5 120.875 +3 *3058:5 *3058:22 44.6464 +4 *3058:22 *3058:28 27.0446 +5 *3058:28 *5972:DIODE 14.3357 +6 *3058:28 *38004:C 22.9964 +*END + +*D_NET *3059 0.0190191 +*CONN +*I *5968:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38002:C I *D sky130_fd_sc_hd__and3b_1 +*I *40192:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5968:DIODE 8.55626e-05 +2 *38002:C 0.000217633 +3 *40192:X 0.000311965 +4 *3059:32 0.00115261 +5 *3059:21 0.00339255 +6 *3059:19 0.00256367 +7 *3059:17 0.00413802 +8 *3059:16 0.00548129 +9 *3059:10 0.00167576 +10 *5968:DIODE *4133:76 0 +11 *5968:DIODE *4394:45 0 +12 *38002:C *38002:A_N 0 +13 *38002:C *4383:41 0 +14 *38002:C *4398:43 0 +15 *3059:10 *3901:11 0 +16 *3059:10 *3915:15 0 +17 *3059:16 *3231:5 0 +18 *3059:16 *3857:58 0 +19 *3059:16 *3921:41 0 +20 *3059:17 *3137:41 0 +21 *3059:17 *3231:20 0 +22 *3059:17 *3344:17 0 +23 *3059:17 *3873:41 0 +24 *3059:17 *3873:53 0 +25 *3059:17 *4760:28 0 +26 *3059:17 *5152:15 0 +27 *3059:21 *3887:14 0 +28 *3059:21 *4141:21 0 +29 *3059:21 *5152:15 0 +30 *3059:32 *3282:6 0 +31 *3059:32 *3457:36 0 +32 *3059:32 *3567:25 0 +33 *3059:32 *4440:44 0 +34 *37360:A *3059:21 0 +35 *1381:48 *38002:C 0 +36 *1800:37 *3059:21 0 +37 *1803:8 *3059:10 0 +38 *2066:8 *3059:17 0 +39 *2066:33 *3059:17 0 +40 *2148:18 *3059:16 0 +41 *2150:42 *3059:10 0 +*RES +1 *40192:X *3059:10 25.3357 +2 *3059:10 *3059:16 37.5714 +3 *3059:16 *3059:17 85.9643 +4 *3059:17 *3059:19 0.428571 +5 *3059:19 *3059:21 53.1071 +6 *3059:21 *3059:32 24.1607 +7 *3059:32 *38002:C 18.9518 +8 *3059:32 *5968:DIODE 15.5857 +*END + +*D_NET *3060 0.0126862 +*CONN +*I *5305:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37496:B I *D sky130_fd_sc_hd__and2_1 +*I *38485:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *5305:DIODE 9.89845e-05 +2 *37496:B 0.000108237 +3 *38485:X 0.000336018 +4 *3060:15 0.00468043 +5 *3060:14 0.00579985 +6 *3060:10 0.00166265 +7 *37496:B *37496:A 0 +8 *37496:B *4523:13 0 +9 *3060:10 *39041:A 0 +10 *3060:10 *39051:A 0 +11 *3060:10 *4439:42 0 +12 *3060:10 *4560:12 0 +13 *3060:10 *4789:32 0 +14 *3060:14 *39051:A 0 +15 *3060:14 *3382:31 0 +16 *3060:14 *4420:28 0 +17 *3060:14 *4560:12 0 +18 *3060:14 *4593:20 0 +19 *3060:14 *4786:15 0 +20 *3060:14 *4791:22 0 +21 *3060:15 *37496:A 0 +22 *3060:15 *3149:41 0 +23 *3060:15 *3885:38 0 +24 *3060:15 *3896:27 0 +25 *3060:15 *3896:33 0 +26 *3060:15 *3896:35 0 +27 *3060:15 *4523:13 0 +28 *3060:15 *4811:16 0 +29 *37343:A *3060:14 0 +30 *38485:A *3060:10 0 +31 *39444:A *3060:15 0 +32 *548:8 *3060:10 0 +33 *1152:11 *3060:15 0 +34 *1648:11 *3060:15 0 +35 *1780:29 *3060:14 0 +*RES +1 *38485:X *3060:10 21.1304 +2 *3060:10 *3060:14 34.6518 +3 *3060:14 *3060:15 93.3571 +4 *3060:15 *37496:B 11.6214 +5 *3060:15 *5305:DIODE 20.55 +*END + +*D_NET *3061 0.0202488 +*CONN +*I *37998:C I *D sky130_fd_sc_hd__and3b_1 +*I *5960:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40193:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37998:C 0 +2 *5960:DIODE 0.000202783 +3 *40193:X 0.00661693 +4 *3061:38 0.00350744 +5 *3061:19 0.00992159 +6 *5960:DIODE *3841:23 0 +7 *5960:DIODE *4387:79 0 +8 *3061:19 *3064:17 0 +9 *3061:19 *3339:32 0 +10 *3061:19 *3349:20 0 +11 *3061:19 *3368:15 0 +12 *3061:19 *3379:17 0 +13 *3061:19 *3451:20 0 +14 *3061:19 *3456:19 0 +15 *3061:19 *3908:40 0 +16 *3061:19 *4447:17 0 +17 *3061:19 *4452:33 0 +18 *3061:19 *4461:42 0 +19 *3061:19 *4993:10 0 +20 *3061:19 *4993:17 0 +21 *3061:38 *3343:13 0 +22 *3061:38 *3568:34 0 +23 *3061:38 *3848:11 0 +24 *3061:38 *3858:25 0 +25 *3061:38 *3879:22 0 +26 *3061:38 *4140:63 0 +27 *3061:38 *4144:12 0 +28 *3061:38 *4189:40 0 +29 *5931:DIODE *3061:38 0 +30 *295:24 *3061:38 0 +31 *1675:32 *3061:38 0 +32 *1801:22 *3061:38 0 +33 *1802:26 *3061:38 0 +34 *1811:30 *3061:19 0 +35 *1922:47 *3061:38 0 +36 *2013:9 *3061:19 0 +*RES +1 *40193:X *3061:19 46.1402 +2 *3061:19 *3061:38 46.2194 +3 *3061:38 *5960:DIODE 13.5321 +4 *3061:38 *37998:C 9.3 +*END + +*D_NET *3062 0.0201507 +*CONN +*I *5948:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37992:C I *D sky130_fd_sc_hd__and3b_1 +*I *40194:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5948:DIODE 0.000136561 +2 *37992:C 6.88212e-05 +3 *40194:X 0.000366424 +4 *3062:24 0.00180879 +5 *3062:14 0.00262942 +6 *3062:9 0.00790012 +7 *3062:8 0.00724052 +8 *5948:DIODE *3645:68 0 +9 *5948:DIODE *3868:44 0 +10 *5948:DIODE *4380:68 0 +11 *37992:C *4380:68 0 +12 *37992:C *4387:75 0 +13 *3062:8 *4470:10 0 +14 *3062:8 *4993:10 0 +15 *3062:8 *5048:54 0 +16 *3062:9 *3346:9 0 +17 *3062:9 *5201:11 0 +18 *3062:14 *3361:18 0 +19 *3062:14 *4450:8 0 +20 *3062:14 *4848:15 0 +21 *3062:14 *4993:17 0 +22 *3062:24 *4189:40 0 +23 *3062:24 *4387:75 0 +24 *3062:24 *4454:39 0 +25 *3062:24 *4848:15 0 +26 *3062:24 *4993:17 0 +27 la_data_in_mprj[54] *3062:8 0 +28 *6652:DIODE *3062:14 0 +29 *6795:DIODE *3062:14 0 +30 *6992:DIODE *3062:8 0 +31 *8974:DIODE *37992:C 0 +32 *301:44 *3062:9 0 +33 *465:10 *3062:8 0 +34 *576:7 *3062:14 0 +35 *832:5 *3062:14 0 +36 *1901:77 *3062:24 0 +37 *1985:9 *3062:8 0 +38 *2013:9 *3062:8 0 +39 *2071:14 *3062:9 0 +40 *3057:9 *3062:9 0 +*RES +1 *40194:X *3062:8 26.6214 +2 *3062:8 *3062:9 143.464 +3 *3062:9 *3062:14 27.8304 +4 *3062:14 *3062:24 36.6696 +5 *3062:24 *37992:C 15.5679 +6 *3062:24 *5948:DIODE 16.9964 +*END + +*D_NET *3063 0.019208 +*CONN +*I *5944:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37990:C I *D sky130_fd_sc_hd__and3b_1 +*I *40195:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5944:DIODE 4.92646e-05 +2 *37990:C 0.000138036 +3 *40195:X 0.00520071 +4 *3063:22 0.00440332 +5 *3063:14 0.00941672 +6 *5944:DIODE *4153:83 0 +7 *37990:C *5942:DIODE 0 +8 *3063:14 *3065:17 0 +9 *3063:14 *3065:30 0 +10 *3063:14 *3268:29 0 +11 *3063:14 *3350:41 0 +12 *3063:14 *3463:21 0 +13 *3063:14 *3873:53 0 +14 *3063:14 *4197:36 0 +15 *3063:14 *4429:67 0 +16 *3063:22 *5336:DIODE 0 +17 *3063:22 *5337:DIODE 0 +18 *3063:22 *37530:A 0 +19 *3063:22 *37930:B 0 +20 *3063:22 *37930:C 0 +21 *3063:22 *3067:24 0 +22 *3063:22 *3344:17 0 +23 *3063:22 *3344:28 0 +24 *3063:22 *3369:21 0 +25 *3063:22 *3462:28 0 +26 *3063:22 *3576:60 0 +27 *3063:22 *3859:44 0 +28 *3063:22 *3867:14 0 +29 *3063:22 *3896:14 0 +30 *3063:22 *4123:32 0 +31 *3063:22 *4188:17 0 +32 *3063:22 *4431:34 0 +33 *3063:22 *4432:24 0 +34 *3063:22 *4442:20 0 +35 *3063:22 *4443:11 0 +36 *3063:22 *4443:18 0 +37 *3063:22 *4726:19 0 +38 *3063:22 *4737:18 0 +39 *1364:16 *3063:22 0 +40 *2066:23 *3063:14 0 +*RES +1 *40195:X *3063:14 43.2619 +2 *3063:14 *3063:22 35.5186 +3 *3063:22 *37990:C 17.1214 +4 *3063:22 *5944:DIODE 14.8714 +*END + +*D_NET *3064 0.018878 +*CONN +*I *37988:C I *D sky130_fd_sc_hd__and3b_1 +*I *5940:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40196:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37988:C 0.000164443 +2 *5940:DIODE 2.56688e-05 +3 *40196:X 0.00736414 +4 *3064:25 0.00207484 +5 *3064:17 0.00924887 +6 *5940:DIODE *4152:91 0 +7 *3064:17 *40534:A 0 +8 *3064:17 *3066:11 0 +9 *3064:17 *3349:20 0 +10 *3064:17 *3349:36 0 +11 *3064:17 *3352:15 0 +12 *3064:17 *3368:15 0 +13 *3064:17 *3450:26 0 +14 *3064:17 *3456:19 0 +15 *3064:17 *3538:13 0 +16 *3064:17 *4447:17 0 +17 *3064:17 *4470:43 0 +18 *3064:17 *4822:33 0 +19 *3064:17 *5015:11 0 +20 *3064:17 *5015:31 0 +21 *3064:25 *5373:DIODE 0 +22 *3064:25 *3066:11 0 +23 *3064:25 *3067:15 0 +24 *3064:25 *3231:20 0 +25 *3064:25 *3361:36 0 +26 *3064:25 *3453:29 0 +27 *3064:25 *3456:19 0 +28 *3064:25 *3461:50 0 +29 *3064:25 *3889:15 0 +30 *3064:25 *4152:91 0 +31 *3064:25 *4209:27 0 +32 *3064:25 *4391:27 0 +33 *3064:25 *4904:52 0 +34 *6989:DIODE *3064:17 0 +35 *38852:A *3064:17 0 +36 *387:45 *3064:25 0 +37 *1492:27 *3064:25 0 +38 *2055:14 *3064:17 0 +39 *3061:19 *3064:17 0 +*RES +1 *40196:X *3064:17 49.431 +2 *3064:17 *3064:25 40.1085 +3 *3064:25 *5940:DIODE 9.83571 +4 *3064:25 *37988:C 22.0679 +*END + +*D_NET *3065 0.0191385 +*CONN +*I *5936:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37986:C I *D sky130_fd_sc_hd__and3b_1 +*I *40197:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5936:DIODE 0 +2 *37986:C 0.000388302 +3 *40197:X 0.00340996 +4 *3065:30 0.00615927 +5 *3065:17 0.00918093 +6 *37986:C *3855:63 0 +7 *3065:17 *3268:29 0 +8 *3065:17 *3344:17 0 +9 *3065:17 *3873:53 0 +10 *3065:17 *3910:11 0 +11 *3065:17 *3915:15 0 +12 *3065:17 *4148:15 0 +13 *3065:17 *4200:32 0 +14 *3065:17 *4209:19 0 +15 *3065:17 *4209:27 0 +16 *3065:30 *3066:18 0 +17 *3065:30 *3344:17 0 +18 *3065:30 *3350:41 0 +19 *3065:30 *3463:21 0 +20 *3065:30 *3464:18 0 +21 *3065:30 *3867:18 0 +22 *3065:30 *4151:37 0 +23 *334:15 *37986:C 0 +24 *1801:22 *3065:17 0 +25 *2142:29 *3065:17 0 +26 *3058:28 *3065:17 0 +27 *3063:14 *3065:17 0 +28 *3063:14 *3065:30 0 +*RES +1 *40197:X *3065:17 45.9807 +2 *3065:17 *3065:30 36.9754 +3 *3065:30 *37986:C 26.7107 +4 *3065:30 *5936:DIODE 9.3 +*END + +*D_NET *3066 0.0184026 +*CONN +*I *37968:C I *D sky130_fd_sc_hd__and3b_1 +*I *5904:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40198:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37968:C 0.000247892 +2 *5904:DIODE 0 +3 *40198:X 0.00713885 +4 *3066:18 0.00206246 +5 *3066:11 0.00895342 +6 *37968:C *37968:A_N 0 +7 *37968:C *3576:60 0 +8 *37968:C *4383:85 0 +9 *3066:11 *3067:15 0 +10 *3066:11 *3226:21 0 +11 *3066:11 *3458:11 0 +12 *3066:11 *3538:13 0 +13 *3066:11 *4429:67 0 +14 *3066:11 *4822:33 0 +15 *3066:11 *4826:15 0 +16 *3066:18 *41168:A 0 +17 *3066:18 *3464:18 0 +18 *3066:18 *4183:57 0 +19 *3066:18 *4208:33 0 +20 *39467:A *37968:C 0 +21 *1372:24 *37968:C 0 +22 *1372:24 *3066:18 0 +23 *1383:15 *37968:C 0 +24 *1509:20 *37968:C 0 +25 *2358:22 *3066:11 0 +26 *3064:17 *3066:11 0 +27 *3064:25 *3066:11 0 +28 *3065:30 *3066:18 0 +*RES +1 *40198:X *3066:11 46.7076 +2 *3066:11 *3066:18 16.4391 +3 *3066:18 *5904:DIODE 13.8 +4 *3066:18 *37968:C 19.3357 +*END + +*D_NET *3067 0.0197997 +*CONN +*I *37964:C I *D sky130_fd_sc_hd__and3b_1 +*I *5896:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40199:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37964:C 0 +2 *5896:DIODE 0.000340539 +3 *40199:X 0.00615424 +4 *3067:24 0.00374561 +5 *3067:15 0.00955931 +6 *5896:DIODE *4205:25 0 +7 *5896:DIODE *4205:40 0 +8 *3067:15 *3349:8 0 +9 *3067:15 *3367:21 0 +10 *3067:15 *3379:14 0 +11 *3067:15 *3454:48 0 +12 *3067:15 *3456:19 0 +13 *3067:15 *3462:17 0 +14 *3067:15 *3872:24 0 +15 *3067:15 *4429:67 0 +16 *3067:15 *4817:43 0 +17 *3067:15 *4828:11 0 +18 *3067:24 *3367:40 0 +19 *3067:24 *4188:17 0 +20 *3067:24 *4432:12 0 +21 *3067:24 *4737:18 0 +22 *3067:24 *4826:24 0 +23 *1164:17 *3067:24 0 +24 *1495:15 *5896:DIODE 0 +25 *1794:18 *3067:24 0 +26 *2358:22 *3067:15 0 +27 *3063:22 *3067:24 0 +28 *3064:25 *3067:15 0 +29 *3066:11 *3067:15 0 +*RES +1 *40199:X *3067:15 46.227 +2 *3067:15 *3067:24 34.6452 +3 *3067:24 *5896:DIODE 16.4071 +4 *3067:24 *37964:C 9.3 +*END + +*D_NET *3068 0.0182673 +*CONN +*I *5859:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37940:C I *D sky130_fd_sc_hd__and3b_2 +*I *40200:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5859:DIODE 0 +2 *37940:C 0.000218696 +3 *40200:X 0.000834483 +4 *3068:34 0.00074386 +5 *3068:25 0.0075567 +6 *3068:24 0.00755532 +7 *3068:19 0.00135827 +8 *37940:C *3149:44 0 +9 *37940:C *3381:40 0 +10 *37940:C *4177:19 0 +11 *37940:C *4187:49 0 +12 *37940:C *4213:23 0 +13 *3068:19 *8620:DIODE 0 +14 *3068:19 *3249:34 0 +15 *3068:19 *3749:37 0 +16 *3068:19 *3903:36 0 +17 *3068:19 *3917:15 0 +18 *3068:19 *3924:15 0 +19 *3068:19 *3928:8 0 +20 *3068:24 *3376:20 0 +21 *3068:24 *3376:26 0 +22 *3068:24 *3911:18 0 +23 *3068:24 *4821:22 0 +24 *3068:24 *5202:9 0 +25 *3068:25 *3127:25 0 +26 *3068:25 *3127:32 0 +27 *3068:25 *3376:27 0 +28 *3068:25 *3874:18 0 +29 *3068:25 *3874:25 0 +30 *3068:25 *3920:9 0 +31 *3068:25 *3920:18 0 +32 *3068:34 *3149:44 0 +33 *3068:34 *3381:40 0 +34 *3068:34 *4475:8 0 +35 *3068:34 *4475:12 0 +36 *365:17 *3068:24 0 +37 *1140:15 *3068:19 0 +38 *1354:21 *37940:C 0 +39 *1369:6 *37940:C 0 +40 *1783:17 *3068:25 0 +*RES +1 *40200:X *3068:19 49.7286 +2 *3068:19 *3068:24 16.3929 +3 *3068:24 *3068:25 146.75 +4 *3068:25 *3068:34 21.0179 +5 *3068:34 *37940:C 23.1482 +6 *3068:34 *5859:DIODE 9.3 +*END + +*D_NET *3069 0.0193537 +*CONN +*I *5851:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37936:C I *D sky130_fd_sc_hd__and3b_1 +*I *40201:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5851:DIODE 8.18859e-05 +2 *37936:C 4.92646e-05 +3 *40201:X 0 +4 *3069:34 0.00198409 +5 *3069:29 0.0027862 +6 *3069:15 0.00280243 +7 *3069:9 0.00456974 +8 *3069:7 0.00489033 +9 *3069:4 0.00218976 +10 *5851:DIODE *3631:61 0 +11 *37936:C *3593:54 0 +12 *37936:C *3617:25 0 +13 *3069:7 *3466:19 0 +14 *3069:7 *3466:29 0 +15 *3069:7 *5202:9 0 +16 *3069:9 *3096:10 0 +17 *3069:9 *3171:42 0 +18 *3069:9 *3871:17 0 +19 *3069:9 *4797:31 0 +20 *3069:9 *4797:50 0 +21 *3069:15 *3749:17 0 +22 *3069:29 *37474:A 0 +23 *3069:29 *3749:9 0 +24 *3069:29 *3922:72 0 +25 *3069:29 *4487:8 0 +26 *3069:29 *4487:9 0 +27 *3069:34 *37936:A_N 0 +28 *3069:34 *37940:A_N 0 +29 *3069:34 *3376:30 0 +30 *3069:34 *3749:9 0 +31 *3069:34 *4181:46 0 +32 *3069:34 *4187:46 0 +33 *3069:34 *4190:56 0 +34 *3069:34 *4249:53 0 +35 *3069:34 *4805:8 0 +36 *3069:34 *5059:11 0 +37 *37485:A *3069:7 0 +38 *39424:A *3069:9 0 +39 *39424:B *3069:9 0 +40 *310:30 *3069:15 0 +41 *332:47 *3069:34 0 +42 *343:72 *3069:15 0 +43 *1355:25 *5851:DIODE 0 +44 *1367:8 *5851:DIODE 0 +45 *1628:27 *3069:9 0 +46 *1680:9 *3069:29 0 +47 *1778:14 *3069:34 0 +48 *2449:10 *3069:34 0 +*RES +1 *40201:X *3069:4 9.3 +2 *3069:4 *3069:7 45.7321 +3 *3069:7 *3069:9 56.3929 +4 *3069:9 *3069:15 48.1429 +5 *3069:15 *3069:29 42.1607 +6 *3069:29 *3069:34 42.0714 +7 *3069:34 *37936:C 14.8714 +8 *3069:34 *5851:DIODE 15.675 +*END + +*D_NET *3070 0.0219458 +*CONN +*I *37744:B I *D sky130_fd_sc_hd__and2_1 +*I *5580:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40202:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37744:B 0 +2 *5580:DIODE 0.00061283 +3 *40202:X 0.0024111 +4 *3070:117 0.000983355 +5 *3070:108 0.00133137 +6 *3070:84 0.00223271 +7 *3070:69 0.00395426 +8 *3070:68 0.00386013 +9 *3070:50 0.00266333 +10 *3070:32 0.00389669 +11 *5580:DIODE *38270:A 0 +12 *5580:DIODE *40209:A 0 +13 *5580:DIODE *3317:183 0 +14 *5580:DIODE *4171:50 0 +15 *3070:32 *3163:19 0 +16 *3070:32 *3281:24 0 +17 *3070:32 *3348:57 0 +18 *3070:32 *3422:67 0 +19 *3070:32 *3460:73 0 +20 *3070:32 *3682:46 0 +21 *3070:32 *3959:54 0 +22 *3070:32 *5173:66 0 +23 *3070:32 *5193:26 0 +24 *3070:50 *3428:24 0 +25 *3070:50 *3904:49 0 +26 *3070:50 *4000:23 0 +27 *3070:50 *4220:23 0 +28 *3070:50 *4256:47 0 +29 *3070:50 *4323:93 0 +30 *3070:68 *8946:DIODE 0 +31 *3070:68 *41335:A 0 +32 *3070:68 *3073:37 0 +33 *3070:68 *3110:24 0 +34 *3070:68 *3285:78 0 +35 *3070:68 *3732:17 0 +36 *3070:68 *3756:25 0 +37 *3070:68 *3756:37 0 +38 *3070:68 *3941:28 0 +39 *3070:68 *4081:39 0 +40 *3070:68 *4344:25 0 +41 *3070:69 *3110:25 0 +42 *3070:69 *4335:13 0 +43 *3070:84 *3098:52 0 +44 *3070:84 *3811:45 0 +45 *3070:84 *4008:103 0 +46 *3070:84 *4052:37 0 +47 *3070:84 *4059:53 0 +48 *3070:84 *4364:19 0 +49 *3070:108 *3110:25 0 +50 *3070:108 *3274:79 0 +51 *3070:108 *3279:95 0 +52 *3070:108 *3658:65 0 +53 *3070:108 *4335:13 0 +54 *3070:117 *37744:A 0 +55 *3070:117 *3733:85 0 +56 *3070:117 *3942:125 0 +57 *7996:DIODE *3070:32 0 +58 *39596:A *3070:32 0 +59 *1027:80 *3070:50 0 +60 *1207:43 *3070:68 0 +61 *1207:74 *3070:50 0 +62 *1210:69 *5580:DIODE 0 +63 *1319:16 *3070:68 0 +64 *1864:36 *3070:32 0 +65 *2369:20 *3070:50 0 +66 *2928:21 *3070:108 0 +67 *2972:118 *3070:32 0 +68 *2981:92 *3070:32 0 +69 *2987:101 *3070:50 0 +70 *3025:68 *3070:32 0 +71 *3025:68 *3070:50 0 +*RES +1 *40202:X *3070:32 39.8504 +2 *3070:32 *3070:50 47.1518 +3 *3070:50 *3070:68 34.7679 +4 *3070:68 *3070:69 55.9821 +5 *3070:69 *3070:84 49.4464 +6 *3070:84 *3070:108 47.9018 +7 *3070:108 *3070:117 12.7768 +8 *3070:117 *5580:DIODE 31.9607 +9 *3070:117 *37744:B 9.3 +*END + +*D_NET *3071 0.00359795 +*CONN +*I *37498:B I *D sky130_fd_sc_hd__and2_1 +*I *38486:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *37498:B 0.000869405 +2 *38486:X 0.000929568 +3 *3071:10 0.00179897 +4 *37498:B *3082:17 0 +5 *37498:B *3467:21 0 +6 *37498:B *4420:28 0 +7 *3071:10 *4604:30 0 +8 *3071:10 *4637:42 0 +9 *3071:10 *4637:47 0 +10 *3071:10 *4891:49 0 +11 *3071:10 *5161:30 0 +12 *295:24 *37498:B 0 +13 *1819:11 *3071:10 0 +*RES +1 *38486:X *3071:10 38.9607 +2 *3071:10 *37498:B 41.3494 +*END + +*D_NET *3072 0.0267564 +*CONN +*I *5577:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37742:B I *D sky130_fd_sc_hd__and2_1 +*I *40203:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5577:DIODE 0 +2 *37742:B 0.000894883 +3 *40203:X 0.00239821 +4 *3072:50 0.000894883 +5 *3072:48 0.00156528 +6 *3072:39 0.00751445 +7 *3072:38 0.00691667 +8 *3072:26 0.00257064 +9 *3072:23 0.00400135 +10 *37742:B *8473:DIODE 0 +11 *37742:B *8479:DIODE 0 +12 *37742:B *3647:34 0 +13 *37742:B *3729:14 0 +14 *37742:B *3736:97 0 +15 *37742:B *4099:60 0 +16 *37742:B *4171:80 0 +17 *3072:23 *40317:A 0 +18 *3072:23 *3159:21 0 +19 *3072:23 *3275:85 0 +20 *3072:23 *4404:25 0 +21 *3072:26 *3094:24 0 +22 *3072:26 *3159:24 0 +23 *3072:26 *5013:13 0 +24 *3072:38 *3424:25 0 +25 *3072:39 *3424:25 0 +26 *3072:39 *4245:35 0 +27 *3072:48 *3735:26 0 +28 *363:7 *3072:48 0 +29 *1001:37 *3072:26 0 +30 *1443:27 *37742:B 0 +31 *2366:61 *3072:23 0 +32 *2385:41 *3072:23 0 +33 *2440:31 *3072:23 0 +34 *2443:59 *3072:48 0 +35 *2722:16 *3072:48 0 +36 *2824:63 *3072:26 0 +37 *2953:8 *3072:48 0 +38 *2987:35 *37742:B 0 +39 *2995:42 *3072:23 0 +40 *3001:29 *3072:48 0 +*RES +1 *40203:X *3072:23 39.8711 +2 *3072:23 *3072:26 40.9018 +3 *3072:26 *3072:38 29.8393 +4 *3072:38 *3072:39 124.161 +5 *3072:39 *3072:48 48.8214 +6 *3072:48 *3072:50 4.5 +7 *3072:50 *37742:B 38.2821 +8 *3072:50 *5577:DIODE 9.3 +*END + +*D_NET *3073 0.0248203 +*CONN +*I *5687:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37836:B I *D sky130_fd_sc_hd__and2_1 +*I *40204:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5687:DIODE 0 +2 *37836:B 0.00017797 +3 *40204:X 0.000890245 +4 *3073:74 0.00375581 +5 *3073:68 0.00523378 +6 *3073:53 0.00378581 +7 *3073:49 0.00305326 +8 *3073:37 0.00261131 +9 *3073:29 0.00305488 +10 *3073:17 0.0022572 +11 *37836:B *3824:39 0 +12 *3073:17 *8642:DIODE 0 +13 *3073:17 *3110:7 0 +14 *3073:17 *3184:84 0 +15 *3073:17 *3507:60 0 +16 *3073:17 *3510:33 0 +17 *3073:17 *4278:37 0 +18 *3073:29 *37840:B 0 +19 *3073:29 *3163:40 0 +20 *3073:29 *3301:42 0 +21 *3073:29 *3756:37 0 +22 *3073:29 *3941:34 0 +23 *3073:29 *4087:26 0 +24 *3073:29 *4256:77 0 +25 *3073:29 *4327:15 0 +26 *3073:29 *5182:81 0 +27 *3073:37 *37840:B 0 +28 *3073:37 *41104:A 0 +29 *3073:37 *3275:39 0 +30 *3073:37 *3732:17 0 +31 *3073:37 *3732:35 0 +32 *3073:37 *3756:37 0 +33 *3073:37 *4087:26 0 +34 *3073:49 *3075:73 0 +35 *3073:53 *4323:43 0 +36 *3073:68 *3519:10 0 +37 *3073:68 *3713:39 0 +38 *3073:68 *3768:14 0 +39 *3073:74 *3687:55 0 +40 *3073:74 *4613:10 0 +41 *3073:74 *4717:6 0 +42 *39630:A *3073:29 0 +43 *39630:A *3073:37 0 +44 *1012:10 *3073:74 0 +45 *1018:54 *3073:68 0 +46 *1022:16 *3073:74 0 +47 *1027:74 *3073:37 0 +48 *1211:36 *37836:B 0 +49 *1211:68 *3073:49 0 +50 *1319:16 *3073:37 0 +51 *1328:53 *3073:37 0 +52 *1337:26 *3073:37 0 +53 *1337:26 *3073:49 0 +54 *1541:10 *3073:74 0 +55 *1822:25 *3073:49 0 +56 *2380:60 *3073:29 0 +57 *2402:55 *3073:74 0 +58 *2417:90 *3073:74 0 +59 *2419:45 *3073:49 0 +60 *2419:45 *3073:53 0 +61 *2419:49 *3073:53 0 +62 *2421:30 *3073:53 0 +63 *2442:38 *3073:37 0 +64 *2722:16 *3073:68 0 +65 *2816:39 *3073:49 0 +66 *2831:39 *3073:49 0 +67 *2837:30 *3073:74 0 +68 *2972:84 *3073:17 0 +69 *2981:128 *3073:17 0 +70 *3001:29 *3073:68 0 +71 *3025:80 *3073:17 0 +72 *3029:46 *3073:74 0 +73 *3070:68 *3073:37 0 +*RES +1 *40204:X *3073:17 41.7464 +2 *3073:17 *3073:29 43.875 +3 *3073:29 *3073:37 45.6786 +4 *3073:37 *3073:49 28.5714 +5 *3073:49 *3073:53 48.9821 +6 *3073:53 *3073:68 43.5391 +7 *3073:68 *3073:74 48.6586 +8 *3073:74 *37836:B 13.4071 +9 *3073:74 *5687:DIODE 9.3 +*END + +*D_NET *3074 0.0257408 +*CONN +*I *5684:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37834:B I *D sky130_fd_sc_hd__and2_1 +*I *40205:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5684:DIODE 0 +2 *37834:B 0.000201642 +3 *40205:X 0.0051596 +4 *3074:56 0.00114186 +5 *3074:45 0.00750914 +6 *3074:18 0.0117285 +7 *37834:B *3820:11 0 +8 *37834:B *4345:111 0 +9 *37834:B *4367:61 0 +10 *3074:18 *3257:35 0 +11 *3074:18 *3295:20 0 +12 *3074:18 *3308:15 0 +13 *3074:18 *3310:40 0 +14 *3074:18 *3420:20 0 +15 *3074:18 *3420:40 0 +16 *3074:18 *3441:55 0 +17 *3074:18 *3678:32 0 +18 *3074:18 *4217:18 0 +19 *3074:45 *3662:28 0 +20 *3074:45 *3674:68 0 +21 *3074:45 *3822:58 0 +22 *3074:45 *4067:39 0 +23 *3074:45 *4314:24 0 +24 *3074:45 *4747:10 0 +25 *3074:56 *3323:30 0 +26 *3074:56 *4328:24 0 +27 *3074:56 *4347:38 0 +28 *3074:56 *4352:19 0 +29 *1692:21 *3074:45 0 +30 *2381:35 *3074:18 0 +31 *2429:34 *3074:45 0 +32 *2443:59 *3074:18 0 +33 *2466:8 *3074:45 0 +34 *2820:22 *3074:18 0 +35 *2920:11 *3074:45 0 +36 *2920:19 *3074:45 0 +37 *2925:37 *3074:45 0 +38 *2932:12 *3074:45 0 +39 *2935:20 *3074:18 0 +40 *2935:20 *3074:45 0 +41 *3029:55 *3074:45 0 +42 *3031:17 *3074:45 0 +*RES +1 *40205:X *3074:18 49.9383 +2 *3074:18 *3074:45 46.7375 +3 *3074:45 *3074:56 19.5287 +4 *3074:56 *37834:B 22.5679 +5 *3074:56 *5684:DIODE 9.3 +*END + +*D_NET *3075 0.0292762 +*CONN +*I *8038:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40205:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40206:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8038:DIODE 0 +2 *40205:A 0.0013789 +3 *40206:X 0.00119794 +4 *3075:77 0.00145384 +5 *3075:73 0.0039836 +6 *3075:45 0.0119863 +7 *3075:14 0.0092756 +8 *40205:A *40353:A 0 +9 *40205:A *3135:59 0 +10 *40205:A *3237:22 0 +11 *40205:A *3265:18 0 +12 *40205:A *3302:59 0 +13 *40205:A *3783:41 0 +14 *3075:14 *5542:DIODE 0 +15 *3075:45 *3275:85 0 +16 *3075:45 *3678:45 0 +17 *3075:45 *4044:49 0 +18 *3075:45 *4270:78 0 +19 *3075:73 *3076:26 0 +20 *3075:73 *3112:24 0 +21 *3075:73 *3183:24 0 +22 *3075:73 *3306:47 0 +23 *3075:73 *4266:18 0 +24 *3075:73 *4276:37 0 +25 *3075:73 *4323:77 0 +26 *3075:77 *40353:A 0 +27 *3075:77 *3188:111 0 +28 *3075:77 *3302:59 0 +29 *3075:77 *4238:41 0 +30 *5543:DIODE *3075:14 0 +31 *39534:A *3075:14 0 +32 *380:22 *3075:45 0 +33 *901:17 *3075:73 0 +34 *1012:34 *3075:45 0 +35 *1331:13 *3075:73 0 +36 *1337:26 *3075:73 0 +37 *2387:35 *3075:45 0 +38 *2391:59 *3075:45 0 +39 *2391:59 *3075:73 0 +40 *2395:24 *3075:45 0 +41 *2425:13 *40205:A 0 +42 *2442:16 *3075:45 0 +43 *2443:36 *3075:45 0 +44 *2682:45 *3075:45 0 +45 *2816:39 *3075:45 0 +46 *2831:39 *3075:73 0 +47 *2831:63 *3075:45 0 +48 *2981:79 *3075:45 0 +49 *3010:20 *3075:73 0 +50 *3027:43 *3075:14 0 +51 *3036:57 *3075:45 0 +52 *3042:48 *3075:45 0 +53 *3073:49 *3075:73 0 +*RES +1 *40206:X *3075:14 49.3625 +2 *3075:14 *3075:45 48.1472 +3 *3075:45 *3075:73 48.9176 +4 *3075:73 *3075:77 6.22321 +5 *3075:77 *40205:A 47.6929 +6 *3075:77 *8038:DIODE 9.3 +*END + +*D_NET *3076 0.0230379 +*CONN +*I *5681:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37832:B I *D sky130_fd_sc_hd__and2_1 +*I *40207:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5681:DIODE 0 +2 *37832:B 0.000305339 +3 *40207:X 0.0034083 +4 *3076:70 0.00130389 +5 *3076:52 0.00780533 +6 *3076:26 0.0102151 +7 *37832:B *3083:21 0 +8 *37832:B *3824:23 0 +9 *37832:B *4022:94 0 +10 *3076:26 *3218:60 0 +11 *3076:26 *3306:47 0 +12 *3076:26 *3411:17 0 +13 *3076:26 *3490:14 0 +14 *3076:26 *3625:16 0 +15 *3076:26 *4051:24 0 +16 *3076:52 *3218:68 0 +17 *3076:52 *3584:21 0 +18 *3076:52 *3647:34 0 +19 *3076:52 *3655:62 0 +20 *3076:52 *3668:34 0 +21 *3076:52 *3691:37 0 +22 *3076:52 *3691:49 0 +23 *3076:52 *3751:28 0 +24 *3076:52 *3790:64 0 +25 *3076:52 *4050:44 0 +26 *3076:52 *4097:17 0 +27 *3076:52 *4362:68 0 +28 *3076:70 *3083:30 0 +29 *3076:70 *3769:51 0 +30 *3076:70 *3775:49 0 +31 *3076:70 *3790:49 0 +32 *3076:70 *4103:47 0 +33 *3076:70 *4109:48 0 +34 *1232:38 *3076:26 0 +35 *1237:53 *37832:B 0 +36 *1319:27 *3076:52 0 +37 *1325:44 *3076:52 0 +38 *1331:31 *3076:52 0 +39 *1408:58 *3076:70 0 +40 *1446:45 *3076:52 0 +41 *1446:52 *3076:26 0 +42 *1693:20 *3076:52 0 +43 *2367:24 *3076:52 0 +44 *2374:76 *3076:52 0 +45 *2440:49 *3076:52 0 +46 *2840:34 *3076:70 0 +47 *2959:19 *3076:52 0 +48 *2968:21 *3076:26 0 +49 *2981:79 *3076:26 0 +50 *2981:79 *3076:52 0 +51 *3075:73 *3076:26 0 +*RES +1 *40207:X *3076:26 48.3193 +2 *3076:26 *3076:52 49.5117 +3 *3076:52 *3076:70 36.6224 +4 *3076:70 *37832:B 24.8804 +5 *3076:70 *5681:DIODE 9.3 +*END + +*D_NET *3077 0.0228608 +*CONN +*I *40207:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8040:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40208:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40207:A 0.00113905 +2 *8040:DIODE 0 +3 *40208:X 0.00127018 +4 *3077:120 0.00296505 +5 *3077:108 0.00325635 +6 *3077:91 0.00408663 +7 *3077:70 0.00393983 +8 *3077:51 0.00310853 +9 *3077:20 0.00309517 +10 *40207:A *3129:15 0 +11 *40207:A *3203:73 0 +12 *40207:A *3225:22 0 +13 *40207:A *3409:21 0 +14 *40207:A *3409:26 0 +15 *40207:A *3410:14 0 +16 *40207:A *4019:37 0 +17 *3077:20 *3212:27 0 +18 *3077:20 *3212:50 0 +19 *3077:20 *3254:33 0 +20 *3077:20 *3391:14 0 +21 *3077:20 *4755:23 0 +22 *3077:20 *5179:29 0 +23 *3077:51 *3375:23 0 +24 *3077:51 *4529:92 0 +25 *3077:51 *4602:40 0 +26 *3077:51 *5166:53 0 +27 *3077:51 *5167:58 0 +28 *3077:51 *5169:18 0 +29 *3077:51 *5172:30 0 +30 *3077:70 *40486:A 0 +31 *3077:70 *3262:49 0 +32 *3077:70 *3478:17 0 +33 *3077:70 *4602:40 0 +34 *3077:70 *5167:67 0 +35 *3077:91 *40269:A 0 +36 *3077:91 *3209:17 0 +37 *3077:91 *3230:27 0 +38 *3077:108 *3145:46 0 +39 *3077:108 *3266:92 0 +40 *3077:108 *3904:26 0 +41 *3077:108 *5103:18 0 +42 *3077:108 *5105:66 0 +43 *3077:120 *3150:32 0 +44 *3077:120 *3409:26 0 +45 *3077:120 *4019:37 0 +46 *3077:120 *5084:23 0 +47 *6758:DIODE *3077:51 0 +48 *6907:DIODE *3077:20 0 +49 *7597:DIODE *3077:91 0 +50 *7622:DIODE *3077:51 0 +51 *37413:A *3077:108 0 +52 *37444:A *3077:108 0 +53 *37445:A *3077:108 0 +54 *40555:A *3077:70 0 +55 *40555:A *3077:91 0 +56 *406:49 *3077:91 0 +57 *409:29 *3077:108 0 +58 *411:8 *3077:108 0 +59 *540:55 *3077:91 0 +60 *544:49 *3077:70 0 +61 *793:49 *3077:91 0 +62 *795:41 *3077:91 0 +63 *1000:78 *3077:108 0 +64 *1001:100 *3077:91 0 +65 *1012:52 *3077:51 0 +66 *1862:69 *3077:70 0 +67 *1862:103 *3077:20 0 +68 *2373:145 *3077:108 0 +69 *2406:16 *3077:91 0 +70 *2782:39 *3077:91 0 +71 *2849:23 *3077:91 0 +72 *2927:33 *3077:108 0 +73 *2971:48 *3077:20 0 +74 *3018:95 *3077:91 0 +75 *3027:20 *3077:51 0 +76 *3027:43 *3077:20 0 +77 *3027:43 *3077:51 0 +*RES +1 *40208:X *3077:20 49.6976 +2 *3077:20 *3077:51 49.4595 +3 *3077:51 *3077:70 49.875 +4 *3077:70 *3077:91 44.3625 +5 *3077:91 *3077:108 40.3304 +6 *3077:108 *8040:DIODE 13.8 +7 *3077:108 *3077:120 43.7857 +8 *3077:120 *40207:A 42.8536 +*END + +*D_NET *3078 0.0209468 +*CONN +*I *5679:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37830:B I *D sky130_fd_sc_hd__and2_1 +*I *40209:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *5679:DIODE 0 +2 *37830:B 0.000202783 +3 *40209:X 0.00138801 +4 *3078:31 0.00132536 +5 *3078:21 0.00760309 +6 *3078:20 0.00776 +7 *3078:14 0.0026675 +8 *37830:B *3181:46 0 +9 *37830:B *3191:54 0 +10 *37830:B *4336:9 0 +11 *3078:14 *40772:A 0 +12 *3078:14 *3323:69 0 +13 *3078:14 *3690:28 0 +14 *3078:14 *3740:46 0 +15 *3078:14 *4021:8 0 +16 *3078:14 *4099:49 0 +17 *3078:20 *3114:50 0 +18 *3078:20 *3669:30 0 +19 *3078:21 *3387:25 0 +20 *3078:31 *3120:24 0 +21 *3078:31 *3161:34 0 +22 *3078:31 *3181:46 0 +23 *3078:31 *3674:44 0 +24 *3078:31 *3786:74 0 +25 *3078:31 *3790:28 0 +26 *3078:31 *4377:72 0 +27 *5678:DIODE *37830:B 0 +28 *1211:36 *3078:14 0 +29 *1287:38 *3078:21 0 +30 *1436:25 *3078:20 0 +31 *2300:20 *3078:21 0 +32 *2723:16 *3078:20 0 +33 *2824:32 *3078:14 0 +*RES +1 *40209:X *3078:14 49.6214 +2 *3078:14 *3078:20 35.9107 +3 *3078:20 *3078:21 135.25 +4 *3078:21 *3078:31 34.4286 +5 *3078:31 *37830:B 13.5321 +6 *3078:31 *5679:DIODE 9.3 +*END + +*D_NET *3079 0.0275833 +*CONN +*I *8041:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40209:A I *D sky130_fd_sc_hd__buf_2 +*I *40210:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8041:DIODE 0.00012706 +2 *40209:A 0.000245928 +3 *40210:X 0.000561281 +4 *3079:54 0.00164561 +5 *3079:51 0.00613793 +6 *3079:49 0.00729297 +7 *3079:33 0.00490374 +8 *3079:25 0.00429178 +9 *3079:16 0.00237698 +10 *40209:A *5579:DIODE 0 +11 *3079:16 *3264:93 0 +12 *3079:16 *4591:11 0 +13 *3079:16 *4591:34 0 +14 *3079:25 *3528:54 0 +15 *3079:25 *3666:45 0 +16 *3079:25 *4048:74 0 +17 *3079:25 *4235:73 0 +18 *3079:33 *3506:24 0 +19 *3079:33 *3528:54 0 +20 *3079:33 *3543:35 0 +21 *3079:33 *4229:51 0 +22 *3079:49 *39653:A 0 +23 *3079:49 *3114:15 0 +24 *3079:49 *3512:22 0 +25 *3079:49 *4031:37 0 +26 *3079:49 *4031:50 0 +27 *3079:49 *4613:21 0 +28 *3079:51 *39656:A 0 +29 *3079:51 *3278:19 0 +30 *3079:51 *4102:34 0 +31 *3079:51 *4355:9 0 +32 *3079:51 *4613:21 0 +33 *3079:51 *4747:15 0 +34 *3079:54 *3719:20 0 +35 *3079:54 *4021:8 0 +36 *3079:54 *4124:40 0 +37 *5580:DIODE *40209:A 0 +38 *37724:B *3079:16 0 +39 *380:5 *3079:33 0 +40 *1009:104 *3079:51 0 +41 *1009:118 *3079:49 0 +42 *1009:118 *3079:51 0 +43 *1018:62 *3079:33 0 +44 *1019:20 *3079:49 0 +45 *1545:27 *3079:33 0 +46 *2378:28 *3079:33 0 +47 *2385:26 *3079:33 0 +48 *2822:46 *8041:DIODE 0 +49 *2953:9 *3079:51 0 +50 *3047:24 *3079:51 0 +*RES +1 *40210:X *3079:16 35.05 +2 *3079:16 *3079:25 45.7143 +3 *3079:25 *3079:33 45.2701 +4 *3079:33 *3079:49 48.83 +5 *3079:49 *3079:51 101.571 +6 *3079:51 *3079:54 33.4643 +7 *3079:54 *40209:A 19.3893 +8 *3079:54 *8041:DIODE 16.3893 +*END + +*D_NET *3080 0.0213556 +*CONN +*I *5677:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37828:B I *D sky130_fd_sc_hd__and2_1 +*I *40211:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *5677:DIODE 9.13356e-06 +2 *37828:B 0.000217888 +3 *40211:X 0.000888898 +4 *3080:95 0.0013794 +5 *3080:83 0.0029945 +6 *3080:76 0.00351046 +7 *3080:61 0.00421298 +8 *3080:60 0.00347468 +9 *3080:50 0.00235442 +10 *3080:30 0.00231327 +11 *37828:B *3120:50 0 +12 *37828:B *3786:59 0 +13 *3080:30 *3740:17 0 +14 *3080:30 *3811:33 0 +15 *3080:30 *4085:40 0 +16 *3080:50 *3659:39 0 +17 *3080:50 *3709:27 0 +18 *3080:50 *3741:79 0 +19 *3080:50 *3825:67 0 +20 *3080:60 *3181:28 0 +21 *3080:60 *3796:29 0 +22 *3080:60 *3825:67 0 +23 *3080:61 *3710:23 0 +24 *3080:61 *3825:45 0 +25 *3080:61 *3825:52 0 +26 *3080:76 *3531:36 0 +27 *3080:76 *3710:23 0 +28 *3080:76 *3808:40 0 +29 *3080:76 *3825:42 0 +30 *3080:83 *3687:19 0 +31 *3080:83 *3687:21 0 +32 *3080:83 *3825:5 0 +33 *3080:83 *5127:30 0 +34 *3080:95 *3120:50 0 +35 *3080:95 *3687:19 0 +36 *3080:95 *3786:59 0 +37 *3080:95 *3790:18 0 +38 *3080:95 *4377:32 0 +39 *40076:A *3080:60 0 +40 *1329:36 *3080:60 0 +41 *1330:35 *3080:60 0 +42 *1415:20 *3080:30 0 +43 *1557:44 *3080:76 0 +44 *2217:94 *3080:95 0 +45 *2318:37 *3080:50 0 +46 *2367:24 *3080:50 0 +47 *2412:31 *3080:50 0 +48 *2420:34 *3080:61 0 +49 *2846:22 *3080:76 0 +50 *2930:19 *3080:50 0 +51 *2930:40 *3080:50 0 +52 *2936:17 *3080:83 0 +53 *2939:35 *3080:60 0 +54 *2941:47 *3080:83 0 +55 *2944:36 *3080:61 0 +56 *2944:43 *3080:76 0 +57 *2950:11 *3080:83 0 +58 *2959:32 *3080:50 0 +59 *2998:10 *3080:50 0 +*RES +1 *40211:X *3080:30 43.3 +2 *3080:30 *3080:50 37.5436 +3 *3080:50 *3080:60 28.9643 +4 *3080:60 *3080:61 53.1071 +5 *3080:61 *3080:76 37.9984 +6 *3080:76 *3080:83 47.5536 +7 *3080:83 *3080:95 40.2357 +8 *3080:95 *37828:B 19.2525 +9 *3080:95 *5677:DIODE 17.4868 +*END + +*D_NET *3081 0.0297081 +*CONN +*I *40211:A I *D sky130_fd_sc_hd__buf_2 +*I *8043:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40212:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40211:A 0 +2 *8043:DIODE 0.000131021 +3 *40212:X 0.00223706 +4 *3081:68 0.00211791 +5 *3081:63 0.00981543 +6 *3081:38 0.0104991 +7 *3081:16 0.00490759 +8 *8043:DIODE *4119:89 0 +9 *3081:16 *8123:DIODE 0 +10 *3081:16 *40254:A 0 +11 *3081:16 *3119:22 0 +12 *3081:16 *3313:46 0 +13 *3081:16 *3429:22 0 +14 *3081:16 *3750:98 0 +15 *3081:16 *5034:16 0 +16 *3081:38 *3298:59 0 +17 *3081:38 *3429:22 0 +18 *3081:38 *3499:67 0 +19 *3081:38 *3521:26 0 +20 *3081:38 *3946:48 0 +21 *3081:38 *4031:37 0 +22 *3081:38 *4613:21 0 +23 *3081:38 *5106:14 0 +24 *3081:63 *3543:21 0 +25 *3081:63 *3543:35 0 +26 *3081:63 *3717:31 0 +27 *3081:63 *3951:23 0 +28 *3081:63 *4031:21 0 +29 *3081:63 *4314:28 0 +30 *3081:68 *3181:18 0 +31 *3081:68 *3181:28 0 +32 *3081:68 *3674:86 0 +33 *3081:68 *3825:75 0 +34 *3081:68 *4055:39 0 +35 *3081:68 *4713:14 0 +36 *39578:A *3081:16 0 +37 *1000:33 *3081:38 0 +38 *1000:33 *3081:63 0 +39 *1012:10 *3081:38 0 +40 *1012:34 *3081:38 0 +41 *1022:16 *3081:63 0 +42 *1027:64 *3081:63 0 +43 *2918:20 *3081:63 0 +44 *3008:54 *3081:38 0 +45 *3014:41 *3081:38 0 +46 *3037:66 *3081:16 0 +*RES +1 *40212:X *3081:16 45.6107 +2 *3081:16 *3081:38 49.3181 +3 *3081:38 *3081:63 47.0297 +4 *3081:63 *3081:68 45.2589 +5 *3081:68 *8043:DIODE 16.6929 +6 *3081:68 *40211:A 13.8 +*END + +*D_NET *3082 0.0158633 +*CONN +*I *37500:B I *D sky130_fd_sc_hd__and2_1 +*I *5308:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38487:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37500:B 0.000136463 +2 *5308:DIODE 6.50276e-05 +3 *38487:X 0.000846686 +4 *3082:30 0.00149258 +5 *3082:19 0.00532836 +6 *3082:17 0.00559237 +7 *3082:10 0.00240179 +8 *5308:DIODE *3563:56 0 +9 *37500:B *3589:38 0 +10 *37500:B *4420:83 0 +11 *3082:10 *40514:A 0 +12 *3082:10 *3175:16 0 +13 *3082:10 *3380:51 0 +14 *3082:10 *3381:19 0 +15 *3082:10 *4417:12 0 +16 *3082:17 *4791:22 0 +17 *3082:17 *4791:25 0 +18 *3082:17 *4811:27 0 +19 *3082:19 *4807:12 0 +20 *3082:30 *37890:C 0 +21 *3082:30 *38164:A 0 +22 *3082:30 *38164:B 0 +23 *3082:30 *3382:8 0 +24 *3082:30 *4127:23 0 +25 *3082:30 *4418:39 0 +26 *3082:30 *4420:83 0 +27 *3082:30 *4937:8 0 +28 *6623:DIODE *3082:10 0 +29 *37338:A *3082:19 0 +30 *37498:B *3082:17 0 +31 *37505:A *3082:10 0 +32 *38410:A *3082:30 0 +33 *39428:A *3082:19 0 +34 *39428:B *3082:19 0 +35 *39465:B *3082:19 0 +36 *332:47 *3082:19 0 +37 *1138:11 *3082:19 0 +38 *1481:8 *3082:30 0 +39 *1632:13 *3082:17 0 +40 *1632:13 *3082:19 0 +41 *2449:13 *3082:19 0 +42 *2694:19 *3082:19 0 +43 *2789:16 *3082:30 0 +*RES +1 *38487:X *3082:10 37.4786 +2 *3082:10 *3082:17 32.5179 +3 *3082:17 *3082:19 84.3214 +4 *3082:19 *3082:30 33.875 +5 *3082:30 *5308:DIODE 15.1571 +6 *3082:30 *37500:B 16.9607 +*END + +*D_NET *3083 0.021871 +*CONN +*I *5675:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37826:B I *D sky130_fd_sc_hd__and2_1 +*I *40213:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *5675:DIODE 0.000286119 +2 *37826:B 8.4707e-05 +3 *40213:X 0.000932276 +4 *3083:67 0.00208465 +5 *3083:60 0.00383201 +6 *3083:45 0.00485961 +7 *3083:44 0.0041144 +8 *3083:30 0.00305898 +9 *3083:21 0.00261828 +10 *5675:DIODE *3668:20 0 +11 *3083:21 *3730:67 0 +12 *3083:21 *4092:19 0 +13 *3083:21 *4095:40 0 +14 *3083:30 *4369:47 0 +15 *3083:30 *4377:105 0 +16 *3083:44 *3790:42 0 +17 *3083:44 *4098:59 0 +18 *3083:44 *4362:54 0 +19 *3083:45 *3786:74 0 +20 *3083:45 *3790:42 0 +21 *3083:45 *4055:30 0 +22 *3083:45 *4055:31 0 +23 *3083:45 *4362:23 0 +24 *3083:45 *4362:30 0 +25 *3083:45 *4362:40 0 +26 *3083:45 *5125:22 0 +27 *3083:60 *3723:24 0 +28 *3083:60 *3808:19 0 +29 *3083:60 *4100:13 0 +30 *3083:67 *3651:14 0 +31 *3083:67 *3775:30 0 +32 *3083:67 *4363:53 0 +33 *3083:67 *4986:7 0 +34 *37826:A *37826:B 0 +35 *37832:B *3083:21 0 +36 *37865:A *3083:45 0 +37 *38259:A *3083:67 0 +38 *40635:A *37826:B 0 +39 *40635:A *3083:67 0 +40 *40711:A *3083:67 0 +41 *40724:A *3083:67 0 +42 *40737:A *37826:B 0 +43 *40737:A *3083:67 0 +44 *40837:A *5675:DIODE 0 +45 *1231:37 *3083:21 0 +46 *1310:22 *3083:60 0 +47 *1446:29 *3083:21 0 +48 *1693:37 *3083:45 0 +49 *2059:53 *3083:60 0 +50 *2156:26 *3083:67 0 +51 *2272:69 *5675:DIODE 0 +52 *2273:51 *3083:44 0 +53 *2274:47 *3083:60 0 +54 *2304:51 *3083:60 0 +55 *2335:16 *5675:DIODE 0 +56 *2412:31 *3083:44 0 +57 *2412:44 *3083:60 0 +58 *2732:67 *3083:67 0 +59 *2752:10 *3083:60 0 +60 *2939:59 *3083:60 0 +61 *2950:11 *3083:60 0 +62 *3076:70 *3083:30 0 +*RES +1 *40213:X *3083:21 48.175 +2 *3083:21 *3083:30 49.6429 +3 *3083:30 *3083:44 36.3906 +4 *3083:44 *3083:45 57.2143 +5 *3083:45 *3083:60 48.7197 +6 *3083:60 *3083:67 40.3929 +7 *3083:67 *37826:B 11.0679 +8 *3083:67 *5675:DIODE 24.8 +*END + +*D_NET *3084 0.0208419 +*CONN +*I *8045:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40213:A I *D sky130_fd_sc_hd__buf_2 +*I *40214:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8045:DIODE 4.23535e-05 +2 *40213:A 6.50276e-05 +3 *40214:X 0.000484817 +4 *3084:62 0.00133508 +5 *3084:34 0.00441091 +6 *3084:11 0.00860104 +7 *3084:10 0.00590264 +8 *8045:DIODE *4085:22 0 +9 *3084:11 *3129:21 0 +10 *3084:11 *3187:13 0 +11 *3084:11 *3194:135 0 +12 *3084:11 *3289:29 0 +13 *3084:11 *4019:37 0 +14 *3084:34 *3279:81 0 +15 *3084:34 *3306:20 0 +16 *3084:34 *3319:76 0 +17 *3084:34 *3436:64 0 +18 *3084:34 *3532:24 0 +19 *3084:34 *3675:53 0 +20 *3084:34 *3959:23 0 +21 *3084:34 *3965:96 0 +22 *3084:34 *3991:68 0 +23 *3084:34 *3995:25 0 +24 *3084:34 *4008:99 0 +25 *3084:34 *4012:105 0 +26 *3084:34 *4121:50 0 +27 *3084:34 *4255:20 0 +28 *3084:34 *4261:51 0 +29 *3084:34 *4276:20 0 +30 *3084:34 *4295:58 0 +31 *3084:62 *8710:DIODE 0 +32 *3084:62 *40752:A 0 +33 *3084:62 *40981:A 0 +34 *3084:62 *41092:A 0 +35 *3084:62 *41105:A 0 +36 *3084:62 *3675:53 0 +37 *3084:62 *4017:97 0 +38 *3084:62 *4037:24 0 +39 *3084:62 *4039:131 0 +40 *3084:62 *4078:7 0 +41 *3084:62 *4088:18 0 +42 *3084:62 *4236:79 0 +43 *7614:DIODE *3084:34 0 +44 *39586:A *3084:10 0 +45 *1218:36 *3084:62 0 +46 *1233:18 *3084:62 0 +47 *1432:41 *3084:62 0 +48 *1432:52 *3084:62 0 +49 *1445:22 *3084:34 0 +50 *2432:54 *3084:62 0 +*RES +1 *40214:X *3084:10 29.1929 +2 *3084:10 *3084:11 113.071 +3 *3084:11 *3084:34 44.2424 +4 *3084:34 *3084:62 45.3929 +5 *3084:62 *40213:A 10.6571 +6 *3084:62 *8045:DIODE 10.2464 +*END + +*D_NET *3085 0.0223696 +*CONN +*I *5674:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37824:B I *D sky130_fd_sc_hd__and2_1 +*I *40215:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *5674:DIODE 0.000302051 +2 *37824:B 0.000203571 +3 *40215:X 0.000889468 +4 *3085:95 0.000505622 +5 *3085:93 0.00162369 +6 *3085:78 0.00296376 +7 *3085:61 0.00371294 +8 *3085:55 0.00432006 +9 *3085:46 0.00320929 +10 *3085:33 0.00250591 +11 *3085:21 0.00213328 +12 *5674:DIODE *3787:22 0 +13 *5674:DIODE *4317:31 0 +14 *37824:B *3612:28 0 +15 *37824:B *3786:22 0 +16 *37824:B *3806:15 0 +17 *3085:21 *3322:131 0 +18 *3085:21 *3438:91 0 +19 *3085:21 *3801:60 0 +20 *3085:21 *3815:39 0 +21 *3085:21 *3826:41 0 +22 *3085:21 *4128:50 0 +23 *3085:33 *3670:24 0 +24 *3085:33 *3714:23 0 +25 *3085:33 *3723:42 0 +26 *3085:33 *3786:82 0 +27 *3085:33 *3799:38 0 +28 *3085:33 *4324:43 0 +29 *3085:46 *3687:21 0 +30 *3085:46 *4100:13 0 +31 *3085:46 *4336:9 0 +32 *3085:55 *3177:57 0 +33 *3085:55 *3177:67 0 +34 *3085:61 *40297:A 0 +35 *3085:61 *40301:A 0 +36 *3085:61 *3177:67 0 +37 *3085:61 *3181:57 0 +38 *3085:78 *3103:22 0 +39 *3085:78 *3180:14 0 +40 *3085:78 *4310:40 0 +41 *3085:93 *3120:50 0 +42 *3085:93 *4311:22 0 +43 *3085:93 *4378:50 0 +44 *5678:DIODE *3085:46 0 +45 *37824:A *37824:B 0 +46 *39997:A *37824:B 0 +47 *40664:A *3085:78 0 +48 *1203:22 *3085:55 0 +49 *1203:22 *3085:61 0 +50 *1311:18 *37824:B 0 +51 *1505:34 *3085:33 0 +52 *2226:33 *5674:DIODE 0 +53 *2246:40 *3085:78 0 +54 *2256:27 *3085:46 0 +55 *2261:19 *3085:93 0 +56 *2267:57 *5674:DIODE 0 +57 *2293:13 *3085:93 0 +58 *2302:37 *3085:78 0 +59 *2303:24 *3085:78 0 +60 *2303:30 *3085:61 0 +61 *2304:32 *3085:78 0 +62 *2307:18 *3085:61 0 +63 *2319:24 *3085:78 0 +64 *2319:24 *3085:93 0 +65 *2325:27 *3085:61 0 +66 *2327:20 *3085:93 0 +67 *2345:19 *3085:61 0 +68 *2346:52 *3085:78 0 +69 *2437:20 *3085:46 0 +70 *2726:18 *3085:46 0 +71 *2845:19 *5674:DIODE 0 +72 *2865:39 *5674:DIODE 0 +73 *2947:59 *3085:33 0 +74 *2948:19 *3085:55 0 +75 *2948:37 *3085:93 0 +76 *2962:38 *3085:46 0 +*RES +1 *40215:X *3085:21 42.4964 +2 *3085:21 *3085:33 45.3571 +3 *3085:33 *3085:46 45.6964 +4 *3085:46 *3085:55 45.4464 +5 *3085:55 *3085:61 49.5536 +6 *3085:61 *3085:78 46.4952 +7 *3085:78 *3085:93 47.3453 +8 *3085:93 *3085:95 4.5 +9 *3085:95 *37824:B 18.1571 +10 *3085:95 *5674:DIODE 20.6393 +*END + +*D_NET *3086 0.0212612 +*CONN +*I *40215:A I *D sky130_fd_sc_hd__buf_2 +*I *8047:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40216:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40215:A 0.000156047 +2 *8047:DIODE 2.56688e-05 +3 *40216:X 0.00170454 +4 *3086:56 0.00175743 +5 *3086:51 0.00874433 +6 *3086:28 0.00887317 +7 *8047:DIODE *3530:97 0 +8 *8047:DIODE *4119:46 0 +9 *40215:A *3105:123 0 +10 *3086:28 *8141:DIODE 0 +11 *3086:28 *40248:A 0 +12 *3086:28 *40334:A 0 +13 *3086:28 *40345:A 0 +14 *3086:28 *3234:27 0 +15 *3086:28 *3245:51 0 +16 *3086:28 *3257:35 0 +17 *3086:28 *3422:46 0 +18 *3086:28 *3520:45 0 +19 *3086:28 *4215:39 0 +20 *3086:51 *3154:35 0 +21 *3086:51 *3174:16 0 +22 *3086:51 *3174:40 0 +23 *3086:51 *3234:27 0 +24 *3086:51 *3244:32 0 +25 *3086:51 *3296:49 0 +26 *3086:51 *3314:28 0 +27 *3086:51 *3504:20 0 +28 *3086:51 *3744:63 0 +29 *3086:51 *3744:70 0 +30 *3086:51 *3792:41 0 +31 *3086:51 *3960:18 0 +32 *3086:51 *3973:49 0 +33 *3086:51 *4875:36 0 +34 *3086:56 *40997:A 0 +35 *3086:56 *3134:59 0 +36 *3086:56 *3210:70 0 +37 *3086:56 *3971:33 0 +38 *3086:56 *4060:32 0 +39 *3086:56 *4252:25 0 +40 *5269:DIODE *3086:28 0 +41 *38068:C *3086:56 0 +42 *39548:B *3086:28 0 +43 *1537:22 *3086:56 0 +44 *1880:22 *3086:28 0 +45 *2381:73 *3086:51 0 +46 *2435:52 *3086:51 0 +47 *2594:21 *3086:51 0 +48 *2712:190 *3086:28 0 +49 *3043:26 *3086:51 0 +*RES +1 *40216:X *3086:28 48.7731 +2 *3086:28 *3086:51 43.2603 +3 *3086:51 *3086:56 35.8482 +4 *3086:56 *8047:DIODE 14.3357 +5 *3086:56 *40215:A 17.4071 +*END + +*D_NET *3087 0.0202198 +*CONN +*I *40216:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8048:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40217:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40216:A 0.000762897 +2 *8048:DIODE 0 +3 *40217:X 0 +4 *3087:98 0.0027015 +5 *3087:90 0.00361207 +6 *3087:74 0.00276586 +7 *3087:49 0.00250425 +8 *3087:34 0.00239309 +9 *3087:5 0.00323067 +10 *3087:4 0.00224944 +11 *40216:A *8499:DIODE 0 +12 *40216:A *3257:35 0 +13 *40216:A *3300:65 0 +14 *40216:A *3309:61 0 +15 *40216:A *3435:76 0 +16 *40216:A *3499:27 0 +17 *40216:A *3777:65 0 +18 *3087:5 *40278:A 0 +19 *3087:5 *40335:A 0 +20 *3087:5 *3145:13 0 +21 *3087:5 *3155:15 0 +22 *3087:5 *3266:62 0 +23 *3087:5 *4460:27 0 +24 *3087:5 *4554:77 0 +25 *3087:34 *40252:A 0 +26 *3087:34 *40282:A 0 +27 *3087:34 *4393:16 0 +28 *3087:34 *4524:31 0 +29 *3087:34 *5072:32 0 +30 *3087:34 *5179:115 0 +31 *3087:49 *3571:11 0 +32 *3087:49 *4393:16 0 +33 *3087:49 *5072:17 0 +34 *3087:49 *5072:32 0 +35 *3087:49 *5172:84 0 +36 *3087:74 *3145:24 0 +37 *3087:74 *3266:113 0 +38 *3087:74 *3904:26 0 +39 *3087:74 *5072:17 0 +40 *3087:90 *40493:A 0 +41 *3087:90 *3145:54 0 +42 *3087:90 *3412:30 0 +43 *3087:90 *5105:39 0 +44 *3087:90 *5105:66 0 +45 *3087:90 *5186:85 0 +46 *3087:98 *3134:16 0 +47 *3087:98 *3230:39 0 +48 *3087:98 *3469:14 0 +49 *6603:DIODE *3087:74 0 +50 *7498:DIODE *3087:5 0 +51 *7978:DIODE *3087:90 0 +52 *402:35 *3087:98 0 +53 *409:29 *3087:74 0 +54 *532:35 *3087:90 0 +55 *533:10 *3087:90 0 +56 *533:40 *3087:74 0 +57 *533:40 *3087:90 0 +58 *540:55 *3087:34 0 +59 *784:31 *3087:98 0 +60 *788:34 *3087:90 0 +61 *792:36 *3087:74 0 +62 *1001:100 *3087:5 0 +63 *1008:129 *3087:34 0 +64 *1239:34 *40216:A 0 +65 *1879:21 *3087:5 0 +66 *2381:35 *40216:A 0 +67 *2712:162 *3087:98 0 +68 *2871:21 *3087:98 0 +69 *2893:17 *3087:74 0 +70 *2927:33 *3087:74 0 +71 *2960:20 *3087:49 0 +72 *3034:43 *3087:90 0 +73 *3041:55 *3087:90 0 +74 *3043:52 *3087:98 0 +*RES +1 *40217:X *3087:4 9.3 +2 *3087:4 *3087:5 46.9464 +3 *3087:5 *3087:34 48.6071 +4 *3087:34 *3087:49 47.6786 +5 *3087:49 *3087:74 49.7761 +6 *3087:74 *3087:90 47.962 +7 *3087:90 *3087:98 13.2398 +8 *3087:98 *8048:DIODE 13.8 +9 *3087:98 *40216:A 28.6845 +*END + +*D_NET *3088 0.0220818 +*CONN +*I *5753:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37880:B I *D sky130_fd_sc_hd__and2_1 +*I *40218:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5753:DIODE 0 +2 *37880:B 0.000163425 +3 *40218:X 0.000829192 +4 *3088:29 0.00152578 +5 *3088:25 0.00312826 +6 *3088:19 0.00721549 +7 *3088:18 0.00692001 +8 *3088:14 0.00229962 +9 *37880:B *37880:A 0 +10 *3088:14 *3950:75 0 +11 *3088:14 *3994:28 0 +12 *3088:14 *4018:95 0 +13 *3088:18 *3290:30 0 +14 *3088:18 *3290:42 0 +15 *3088:18 *3479:98 0 +16 *3088:18 *3652:46 0 +17 *3088:18 *3779:50 0 +18 *3088:19 *39666:A 0 +19 *3088:19 *40765:A 0 +20 *3088:19 *3117:45 0 +21 *3088:19 *3512:35 0 +22 *3088:19 *3711:7 0 +23 *3088:19 *4733:21 0 +24 *3088:25 *3420:49 0 +25 *3088:25 *3514:26 0 +26 *3088:29 *3094:31 0 +27 *1418:25 *37880:B 0 +28 *1418:25 *3088:29 0 +29 *1551:23 *3088:19 0 +30 *1568:32 *3088:14 0 +31 *1581:38 *3088:14 0 +32 *2803:17 *3088:14 0 +33 *2824:49 *3088:25 0 +34 *2839:23 *37880:B 0 +35 *2839:23 *3088:29 0 +36 *2924:11 *3088:19 0 +37 *2924:11 *3088:25 0 +38 *2957:13 *3088:19 0 +39 *2957:15 *3088:19 0 +40 *2957:15 *3088:25 0 +*RES +1 *40218:X *3088:14 32.9161 +2 *3088:14 *3088:18 37.8661 +3 *3088:18 *3088:19 113.688 +4 *3088:19 *3088:25 41.4018 +5 *3088:25 *3088:29 34.5536 +6 *3088:29 *37880:B 12.7107 +7 *3088:29 *5753:DIODE 9.3 +*END + +*D_NET *3089 0.0195398 +*CONN +*I *40218:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8049:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40219:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40218:A 8.4707e-05 +2 *8049:DIODE 0.000107931 +3 *40219:X 0.00526573 +4 *3089:58 0.00151226 +5 *3089:38 0.00431155 +6 *3089:14 0.00825766 +7 *8049:DIODE *4224:69 0 +8 *40218:A *4018:95 0 +9 *40218:A *4026:90 0 +10 *3089:14 *39329:A 0 +11 *3089:14 *40318:A 0 +12 *3089:14 *4548:57 0 +13 *3089:14 *4585:13 0 +14 *3089:14 *4755:23 0 +15 *3089:14 *4781:7 0 +16 *3089:14 *5080:33 0 +17 *3089:14 *5095:16 0 +18 *3089:14 *5209:69 0 +19 *3089:38 *7289:DIODE 0 +20 *3089:38 *3091:41 0 +21 *3089:38 *3179:23 0 +22 *3089:38 *3199:62 0 +23 *3089:38 *3251:12 0 +24 *3089:38 *3394:32 0 +25 *3089:38 *3471:37 0 +26 *3089:38 *3475:42 0 +27 *3089:38 *4539:48 0 +28 *3089:38 *4547:54 0 +29 *3089:38 *4783:42 0 +30 *3089:38 *5178:56 0 +31 *3089:58 *3099:15 0 +32 *3089:58 *3421:35 0 +33 *3089:58 *4411:121 0 +34 *5283:DIODE *3089:14 0 +35 *6872:DIODE *3089:38 0 +36 *6892:DIODE *3089:14 0 +37 *6910:DIODE *3089:38 0 +38 *7489:DIODE *3089:14 0 +39 *39541:A *3089:38 0 +40 *1019:42 *3089:38 0 +41 *1701:29 *3089:38 0 +42 *1848:28 *3089:38 0 +43 *2966:14 *3089:38 0 +44 *2976:14 *3089:14 0 +45 *2994:20 *3089:38 0 +*RES +1 *40219:X *3089:14 46.8501 +2 *3089:14 *3089:38 47.1603 +3 *3089:38 *3089:58 47.3214 +4 *3089:58 *8049:DIODE 16.2821 +5 *3089:58 *40218:A 15.5679 +*END + +*D_NET *3090 0.0194555 +*CONN +*I *5750:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37878:B I *D sky130_fd_sc_hd__and2_1 +*I *40220:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5750:DIODE 0.000241544 +2 *37878:B 0 +3 *40220:X 0.000979941 +4 *3090:44 0.000828255 +5 *3090:43 0.00189058 +6 *3090:25 0.00480979 +7 *3090:23 0.00363962 +8 *3090:17 0.00310977 +9 *3090:16 0.00395602 +10 *5750:DIODE *8751:DIODE 0 +11 *3090:16 *3411:121 0 +12 *3090:16 *3501:64 0 +13 *3090:16 *3666:60 0 +14 *3090:17 *3098:41 0 +15 *3090:17 *3773:15 0 +16 *3090:17 *4241:124 0 +17 *3090:17 *4334:20 0 +18 *3090:23 *3283:110 0 +19 *3090:23 *3409:66 0 +20 *3090:25 *8932:DIODE 0 +21 *3090:25 *3098:43 0 +22 *3090:25 *3110:16 0 +23 *3090:25 *3274:79 0 +24 *3090:25 *3274:91 0 +25 *3090:25 *3658:85 0 +26 *3090:25 *3740:81 0 +27 *3090:25 *4334:16 0 +28 *3090:43 *3098:52 0 +29 *3090:43 *3110:25 0 +30 *3090:43 *4319:25 0 +31 *3090:43 *4340:40 0 +32 *3090:43 *4364:19 0 +33 *3090:44 *4095:93 0 +34 *39982:A *5750:DIODE 0 +35 *39982:A *3090:44 0 +36 *1414:38 *3090:25 0 +37 *1436:34 *5750:DIODE 0 +38 *2392:34 *3090:16 0 +39 *2422:48 *3090:16 0 +40 *3001:58 *3090:25 0 +41 *3008:33 *3090:43 0 +*RES +1 *40220:X *3090:16 44.3673 +2 *3090:16 *3090:17 62.1429 +3 *3090:17 *3090:23 3.03571 +4 *3090:23 *3090:25 73.2321 +5 *3090:25 *3090:43 44.881 +6 *3090:43 *3090:44 13.3304 +7 *3090:44 *37878:B 13.8 +8 *3090:44 *5750:DIODE 19.2286 +*END + +*D_NET *3091 0.0194283 +*CONN +*I *8050:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40220:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40221:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8050:DIODE 0 +2 *40220:A 0.000163755 +3 *40221:X 0.00126613 +4 *3091:90 0.00223178 +5 *3091:72 0.00350562 +6 *3091:54 0.00272933 +7 *3091:41 0.00319047 +8 *3091:27 0.00348689 +9 *3091:12 0.00285429 +10 *40220:A *3499:79 0 +11 *3091:12 *7292:DIODE 0 +12 *3091:12 *3189:16 0 +13 *3091:12 *4544:17 0 +14 *3091:12 *4551:34 0 +15 *3091:12 *4776:9 0 +16 *3091:27 *8989:DIODE 0 +17 *3091:27 *3189:17 0 +18 *3091:27 *3695:23 0 +19 *3091:41 *40463:A 0 +20 *3091:41 *3189:17 0 +21 *3091:41 *3370:8 0 +22 *3091:41 *3486:5 0 +23 *3091:41 *3695:23 0 +24 *3091:41 *4449:51 0 +25 *3091:41 *4545:69 0 +26 *3091:54 *3184:31 0 +27 *3091:54 *3392:63 0 +28 *3091:54 *3475:28 0 +29 *3091:54 *3486:5 0 +30 *3091:54 *3695:18 0 +31 *3091:54 *3696:136 0 +32 *3091:54 *3724:62 0 +33 *3091:54 *4449:51 0 +34 *3091:72 *3286:49 0 +35 *3091:72 *3342:19 0 +36 *3091:72 *3389:28 0 +37 *3091:72 *3421:35 0 +38 *3091:72 *3484:14 0 +39 *3091:72 *3490:25 0 +40 *3091:72 *3491:11 0 +41 *3091:72 *3491:13 0 +42 *3091:90 *3108:27 0 +43 *3091:90 *3286:34 0 +44 *3091:90 *3412:79 0 +45 *3091:90 *3975:77 0 +46 *3091:90 *4044:49 0 +47 *3091:90 *5084:82 0 +48 *5560:DIODE *3091:27 0 +49 *7466:DIODE *3091:41 0 +50 *39414:B *3091:54 0 +51 *39496:B *3091:41 0 +52 *39504:B *3091:41 0 +53 *1259:31 *3091:27 0 +54 *1261:31 *3091:54 0 +55 *1261:41 *3091:54 0 +56 *1450:45 *3091:90 0 +57 *1836:16 *3091:54 0 +58 *1840:20 *3091:90 0 +59 *1840:52 *3091:90 0 +60 *3089:38 *3091:41 0 +*RES +1 *40221:X *3091:12 49.5143 +2 *3091:12 *3091:27 47.0893 +3 *3091:27 *3091:41 48.9286 +4 *3091:41 *3091:54 45.6071 +5 *3091:54 *3091:72 47.9189 +6 *3091:72 *3091:90 31.1091 +7 *3091:90 *40220:A 17.675 +8 *3091:90 *8050:DIODE 13.8 +*END + +*D_NET *3092 0.0193454 +*CONN +*I *5672:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37822:B I *D sky130_fd_sc_hd__and2_2 +*I *40222:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5672:DIODE 0.000418618 +2 *37822:B 6.50276e-05 +3 *40222:X 0.00110825 +4 *3092:17 0.00228224 +5 *3092:11 0.00808081 +6 *3092:9 0.00739047 +7 *5672:DIODE *4717:6 0 +8 *37822:B *3774:15 0 +9 *3092:9 *3237:64 0 +10 *3092:9 *3286:11 0 +11 *3092:9 *3496:19 0 +12 *3092:9 *5034:29 0 +13 *3092:11 *3253:15 0 +14 *3092:11 *3285:31 0 +15 *3092:11 *3430:80 0 +16 *3092:11 *3434:34 0 +17 *3092:11 *4149:32 0 +18 *3092:11 *5193:51 0 +19 *3092:11 *5193:53 0 +20 *3092:17 *3443:88 0 +21 *3092:17 *3766:61 0 +22 *3092:17 *3766:81 0 +23 *3092:17 *3774:15 0 +24 *3092:17 *3959:26 0 +25 *3092:17 *4171:10 0 +26 *3092:17 *4171:26 0 +27 *3092:17 *4255:20 0 +28 *3092:17 *4276:20 0 +29 *1211:26 *37822:B 0 +30 *1211:26 *3092:17 0 +31 *1310:12 *5672:DIODE 0 +32 *2349:8 *3092:17 0 +33 *3015:44 *3092:9 0 +34 *3015:44 *3092:11 0 +*RES +1 *40222:X *3092:9 32.4607 +2 *3092:9 *3092:11 131.143 +3 *3092:11 *3092:17 49.2321 +4 *3092:17 *37822:B 10.6571 +5 *3092:17 *5672:DIODE 27.7643 +*END + +*D_NET *3093 0.00287648 +*CONN +*I *37502:B I *D sky130_fd_sc_hd__and2_1 +*I *38488:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *37502:B 0 +2 *38488:X 0.00143824 +3 *3093:15 0.00143824 +4 *3093:15 *3249:50 0 +5 *3093:15 *3431:17 0 +6 *3093:15 *3887:25 0 +7 *3093:15 *4526:18 0 +8 *3093:15 *4582:22 0 +9 *6624:DIODE *3093:15 0 +10 *282:50 *3093:15 0 +11 *2353:10 *3093:15 0 +12 *2356:11 *3093:15 0 +13 *2949:17 *3093:15 0 +*RES +1 *38488:X *3093:15 49.8179 +2 *3093:15 *37502:B 9.3 +*END + +*D_NET *3094 0.0253654 +*CONN +*I *5747:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37876:B I *D sky130_fd_sc_hd__and2_1 +*I *40223:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5747:DIODE 0 +2 *37876:B 0.000291127 +3 *40223:X 2.56688e-05 +4 *3094:31 0.00209571 +5 *3094:27 0.00344396 +6 *3094:24 0.00214651 +7 *3094:11 0.00604303 +8 *3094:10 0.0055359 +9 *3094:8 0.00287889 +10 *3094:7 0.00290456 +11 *37876:B *3097:51 0 +12 *37876:B *3684:75 0 +13 *37876:B *3802:20 0 +14 *37876:B *3802:21 0 +15 *37876:B *4040:15 0 +16 *3094:7 *3478:75 0 +17 *3094:8 *3582:30 0 +18 *3094:8 *4343:71 0 +19 *3094:8 *4727:10 0 +20 *3094:8 *4739:21 0 +21 *3094:11 *3414:15 0 +22 *3094:11 *3652:41 0 +23 *3094:24 *3280:43 0 +24 *3094:24 *3414:14 0 +25 *3094:24 *5016:25 0 +26 *3094:27 *3424:25 0 +27 *3094:27 *5001:13 0 +28 *3094:31 *3097:44 0 +29 *3094:31 *3283:81 0 +30 *3094:31 *3666:22 0 +31 *3094:31 *3684:75 0 +32 *3094:31 *3802:21 0 +33 *3094:31 *5002:13 0 +34 *263:10 *3094:11 0 +35 *361:37 *3094:24 0 +36 *368:39 *3094:8 0 +37 *372:22 *3094:24 0 +38 *373:22 *3094:27 0 +39 *2367:8 *3094:31 0 +40 *2974:12 *3094:31 0 +41 *2975:14 *3094:31 0 +42 *3040:25 *3094:24 0 +43 *3040:26 *3094:8 0 +44 *3072:26 *3094:24 0 +45 *3088:29 *3094:31 0 +*RES +1 *40223:X *3094:7 14.3357 +2 *3094:7 *3094:8 65.3929 +3 *3094:8 *3094:10 4.5 +4 *3094:10 *3094:11 115.536 +5 *3094:11 *3094:24 22.9097 +6 *3094:24 *3094:27 38.7143 +7 *3094:27 *3094:31 45.3571 +8 *3094:31 *37876:B 15.5679 +9 *3094:31 *5747:DIODE 9.3 +*END + +*D_NET *3095 0.0188492 +*CONN +*I *5744:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37874:B I *D sky130_fd_sc_hd__and2_1 +*I *40224:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5744:DIODE 0 +2 *37874:B 0.00020592 +3 *40224:X 0.000500114 +4 *3095:37 0.00230093 +5 *3095:27 0.00520964 +6 *3095:9 0.00662354 +7 *3095:8 0.00400904 +8 *37874:B *3755:31 0 +9 *3095:8 *4545:16 0 +10 *3095:8 *4546:16 0 +11 *3095:8 *5095:22 0 +12 *3095:8 *5209:47 0 +13 *3095:9 *3486:11 0 +14 *3095:27 *6174:DIODE 0 +15 *3095:27 *3117:9 0 +16 *3095:27 *3288:36 0 +17 *3095:27 *3395:85 0 +18 *3095:27 *3407:52 0 +19 *3095:27 *3419:28 0 +20 *3095:27 *3491:30 0 +21 *3095:27 *3734:40 0 +22 *3095:27 *4573:28 0 +23 *3095:37 *3432:97 0 +24 *3095:37 *3661:32 0 +25 *3095:37 *3731:12 0 +26 *3095:37 *3755:31 0 +27 *3095:37 *4033:77 0 +28 *6890:DIODE *3095:8 0 +29 *39396:A *3095:9 0 +30 *39423:B *3095:9 0 +31 *371:15 *3095:27 0 +32 *1013:84 *3095:27 0 +33 *1019:42 *3095:27 0 +34 *1261:56 *3095:27 0 +35 *1460:14 *3095:27 0 +36 *1567:19 *3095:37 0 +37 *1603:10 *3095:8 0 +38 *1734:9 *3095:9 0 +39 *1734:21 *3095:9 0 +40 *1734:29 *3095:9 0 +41 *2423:31 *37874:B 0 +42 *2988:24 *3095:27 0 +*RES +1 *40224:X *3095:8 29.6571 +2 *3095:8 *3095:9 73.2321 +3 *3095:9 *3095:27 48.6846 +4 *3095:27 *3095:37 24.284 +5 *3095:37 *37874:B 22.8536 +6 *3095:37 *5744:DIODE 9.3 +*END + +*D_NET *3096 0.0181005 +*CONN +*I *37922:C I *D sky130_fd_sc_hd__and3b_1 +*I *5825:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40225:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37922:C 0.000276996 +2 *5825:DIODE 0 +3 *40225:X 0.000692661 +4 *3096:20 0.000977792 +5 *3096:17 0.00696483 +6 *3096:16 0.00737982 +7 *3096:10 0.00180844 +8 *37922:C *37922:A_N 0 +9 *37922:C *4249:65 0 +10 *37922:C *4463:51 0 +11 *37922:C *4515:20 0 +12 *3096:10 *3249:50 0 +13 *3096:10 *3380:38 0 +14 *3096:10 *3874:24 0 +15 *3096:16 *3127:25 0 +16 *3096:16 *3215:23 0 +17 *3096:16 *4604:30 0 +18 *3096:16 *4811:26 0 +19 *3096:17 *37476:A 0 +20 *3096:17 *37522:A 0 +21 *3096:17 *3376:27 0 +22 *3096:17 *3468:29 0 +23 *3096:17 *3468:31 0 +24 *3096:20 *4515:20 0 +25 *37477:A *3096:17 0 +26 *37517:A *3096:17 0 +27 *38409:A *3096:17 0 +28 *39429:B *3096:10 0 +29 *39436:B *3096:17 0 +30 *354:17 *3096:10 0 +31 *1170:35 *3096:17 0 +32 *1469:10 *37922:C 0 +33 *1469:10 *3096:20 0 +34 *1643:14 *3096:17 0 +35 *2353:10 *3096:10 0 +36 *3069:9 *3096:10 0 +*RES +1 *40225:X *3096:10 33.9429 +2 *3096:10 *3096:16 32.5179 +3 *3096:16 *3096:17 130.732 +4 *3096:17 *3096:20 20.4107 +5 *3096:20 *5825:DIODE 13.8 +6 *3096:20 *37922:C 19.9071 +*END + +*D_NET *3097 0.0279903 +*CONN +*I *5729:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37864:B I *D sky130_fd_sc_hd__and2_1 +*I *40226:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5729:DIODE 0.000209831 +2 *37864:B 0.00101949 +3 *40226:X 0.00107235 +4 *3097:51 0.00203845 +5 *3097:44 0.00290673 +6 *3097:35 0.00337744 +7 *3097:21 0.00636311 +8 *3097:20 0.00508327 +9 *3097:18 0.00242363 +10 *3097:16 0.00349598 +11 *5729:DIODE *3780:61 0 +12 *37864:B *5746:DIODE 0 +13 *37864:B *3684:75 0 +14 *37864:B *3802:20 0 +15 *37864:B *3990:19 0 +16 *37864:B *3990:21 0 +17 *37864:B *4033:44 0 +18 *37864:B *4051:46 0 +19 *37864:B *4091:26 0 +20 *3097:16 *3416:88 0 +21 *3097:16 *3501:87 0 +22 *3097:16 *3696:136 0 +23 *3097:18 *39641:A 0 +24 *3097:18 *3290:30 0 +25 *3097:18 *3295:90 0 +26 *3097:18 *3400:84 0 +27 *3097:18 *3432:97 0 +28 *3097:18 *3773:55 0 +29 *3097:18 *4600:57 0 +30 *3097:21 *3519:25 0 +31 *3097:21 *3519:32 0 +32 *3097:35 *3277:33 0 +33 *3097:35 *3414:15 0 +34 *3097:35 *3519:13 0 +35 *3097:35 *3652:41 0 +36 *3097:35 *3673:22 0 +37 *3097:44 *3299:19 0 +38 *3097:44 *3425:8 0 +39 *3097:44 *3427:87 0 +40 *3097:44 *3584:37 0 +41 *3097:44 *4031:50 0 +42 *3097:44 *5002:13 0 +43 *3097:44 *5013:17 0 +44 *3097:51 *3662:52 0 +45 *3097:51 *3684:75 0 +46 *37876:B *3097:51 0 +47 *39988:A *3097:16 0 +48 *40131:A *5729:DIODE 0 +49 *40131:A *37864:B 0 +50 *265:7 *3097:18 0 +51 *361:37 *3097:35 0 +52 *368:28 *3097:35 0 +53 *372:27 *3097:35 0 +54 *373:22 *3097:21 0 +55 *383:14 *3097:21 0 +56 *1333:20 *3097:18 0 +57 *1445:53 *5729:DIODE 0 +58 *1826:40 *3097:18 0 +59 *1864:36 *3097:44 0 +60 *2382:14 *3097:16 0 +61 *2382:14 *3097:18 0 +62 *2473:8 *3097:18 0 +63 *2806:50 *3097:16 0 +64 *2834:44 *3097:18 0 +65 *2957:10 *3097:18 0 +66 *2975:14 *3097:44 0 +67 *2975:14 *3097:51 0 +68 *2991:30 *3097:44 0 +69 *2991:30 *3097:51 0 +70 *3008:89 *37864:B 0 +71 *3014:41 *3097:44 0 +72 *3094:31 *3097:44 0 +*RES +1 *40226:X *3097:16 38.3714 +2 *3097:16 *3097:18 55.0714 +3 *3097:18 *3097:20 4.5 +4 *3097:20 *3097:21 106.089 +5 *3097:21 *3097:35 49.625 +6 *3097:35 *3097:44 36.0048 +7 *3097:44 *3097:51 27.1071 +8 *3097:51 *37864:B 44.9607 +9 *3097:51 *5729:DIODE 18.5143 +*END + +*D_NET *3098 0.0199766 +*CONN +*I *5726:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37862:B I *D sky130_fd_sc_hd__and2_1 +*I *40227:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5726:DIODE 0.000548644 +2 *37862:B 8.39127e-05 +3 *40227:X 0.000686836 +4 *3098:52 0.00212225 +5 *3098:43 0.00574493 +6 *3098:41 0.0052584 +7 *3098:19 0.00292397 +8 *3098:12 0.00260765 +9 *5726:DIODE *8505:DIODE 0 +10 *5726:DIODE *4266:18 0 +11 *5726:DIODE *4267:87 0 +12 *3098:12 *6468:DIODE 0 +13 *3098:12 *38290:A 0 +14 *3098:12 *3308:56 0 +15 *3098:12 *4048:79 0 +16 *3098:12 *4057:32 0 +17 *3098:19 *5534:DIODE 0 +18 *3098:19 *3409:120 0 +19 *3098:19 *3439:51 0 +20 *3098:19 *4327:26 0 +21 *3098:19 *4334:27 0 +22 *3098:41 *41006:A 0 +23 *3098:41 *3283:110 0 +24 *3098:41 *3409:66 0 +25 *3098:41 *4220:53 0 +26 *3098:41 *4235:41 0 +27 *3098:41 *4334:16 0 +28 *3098:41 *4334:20 0 +29 *3098:43 *8932:DIODE 0 +30 *3098:43 *3274:79 0 +31 *3098:43 *3279:103 0 +32 *3098:43 *3285:78 0 +33 *3098:43 *4034:88 0 +34 *3098:43 *4088:36 0 +35 *3098:43 *4225:66 0 +36 *3098:43 *4334:16 0 +37 *3098:52 *8505:DIODE 0 +38 *3098:52 *3110:25 0 +39 *3098:52 *4008:103 0 +40 *3098:52 *4052:37 0 +41 *3098:52 *4267:87 0 +42 *3098:52 *4364:19 0 +43 *1544:8 *3098:12 0 +44 *1562:23 *3098:12 0 +45 *2432:32 *5726:DIODE 0 +46 *2929:51 *3098:43 0 +47 *3001:58 *3098:41 0 +48 *3020:11 *3098:19 0 +49 *3036:33 *3098:43 0 +50 *3050:10 *5726:DIODE 0 +51 *3070:84 *3098:52 0 +52 *3090:17 *3098:41 0 +53 *3090:25 *3098:43 0 +54 *3090:43 *3098:52 0 +*RES +1 *40227:X *3098:12 33.9607 +2 *3098:12 *3098:19 49.1964 +3 *3098:19 *3098:41 39.4286 +4 *3098:41 *3098:43 88.8393 +5 *3098:43 *3098:52 45.9107 +6 *3098:52 *37862:B 15.8893 +7 *3098:52 *5726:DIODE 26.2107 +*END + +*D_NET *3099 0.0191586 +*CONN +*I *8055:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40227:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40228:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8055:DIODE 0 +2 *40227:A 0.000540556 +3 *40228:X 0.00122666 +4 *3099:25 0.00130142 +5 *3099:15 0.00781208 +6 *3099:14 0.00705121 +7 *3099:12 0.00122666 +8 *40227:A *8665:DIODE 0 +9 *40227:A *3101:55 0 +10 *40227:A *3410:85 0 +11 *40227:A *3411:106 0 +12 *40227:A *4013:11 0 +13 *40227:A *4013:24 0 +14 *40227:A *4244:11 0 +15 *3099:12 *3419:28 0 +16 *3099:12 *5166:22 0 +17 *3099:15 *3184:35 0 +18 *3099:15 *3264:37 0 +19 *3099:15 *3342:19 0 +20 *3099:15 *3391:55 0 +21 *3099:15 *3403:39 0 +22 *3099:15 *3496:21 0 +23 *3099:15 *4572:28 0 +24 *3099:15 *5167:36 0 +25 *3099:25 *3410:85 0 +26 *3099:25 *3417:94 0 +27 *3099:25 *3758:92 0 +28 *3099:25 *3975:29 0 +29 *3099:25 *4013:24 0 +30 *6879:DIODE *3099:12 0 +31 *380:31 *3099:12 0 +32 *1005:91 *3099:12 0 +33 *1015:125 *3099:12 0 +34 *1450:25 *3099:25 0 +35 *1463:18 *3099:15 0 +36 *1754:8 *3099:12 0 +37 *2380:13 *3099:15 0 +38 *2965:15 *3099:12 0 +39 *3089:58 *3099:15 0 +*RES +1 *40228:X *3099:12 49.9964 +2 *3099:12 *3099:14 4.5 +3 *3099:14 *3099:15 147.161 +4 *3099:15 *3099:25 26.0714 +5 *3099:25 *40227:A 29.9607 +6 *3099:25 *8055:DIODE 9.3 +*END + +*D_NET *3100 0.0216493 +*CONN +*I *5723:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37860:B I *D sky130_fd_sc_hd__and2_1 +*I *40229:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5723:DIODE 0.000129909 +2 *37860:B 0.0005361 +3 *40229:X 0.0017464 +4 *3100:31 0.00907826 +5 *3100:17 0.0101587 +6 *5723:DIODE *8919:DIODE 0 +7 *5723:DIODE *3318:75 0 +8 *37860:B *5722:DIODE 0 +9 *37860:B *8943:DIODE 0 +10 *37860:B *3650:58 0 +11 *37860:B *3740:46 0 +12 *37860:B *4037:74 0 +13 *3100:17 *3113:70 0 +14 *3100:17 *3286:34 0 +15 *3100:17 *3481:22 0 +16 *3100:17 *4013:11 0 +17 *3100:17 *4044:25 0 +18 *3100:17 *4220:88 0 +19 *3100:31 *6416:DIODE 0 +20 *3100:31 *3119:22 0 +21 *3100:31 *3286:34 0 +22 *3100:31 *3301:42 0 +23 *3100:31 *3348:57 0 +24 *3100:31 *3439:38 0 +25 *3100:31 *3513:68 0 +26 *3100:31 *3696:27 0 +27 *3100:31 *4340:40 0 +28 *3100:31 *5084:22 0 +29 *1206:41 *37860:B 0 +30 *1445:37 *3100:31 0 +31 *2400:56 *3100:31 0 +*RES +1 *40229:X *3100:17 31.4634 +2 *3100:17 *3100:31 44.9749 +3 *3100:31 *37860:B 25.8782 +4 *3100:31 *5723:DIODE 19.9689 +*END + +*D_NET *3101 0.0194913 +*CONN +*I *40229:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8056:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40230:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40229:A 0 +2 *8056:DIODE 0.000143745 +3 *40230:X 0.00119805 +4 *3101:58 0.000202715 +5 *3101:55 0.00149867 +6 *3101:39 0.00208057 +7 *3101:25 0.00676715 +8 *3101:24 0.00626432 +9 *3101:16 0.00133609 +10 *8056:DIODE *4220:88 0 +11 *8056:DIODE *4244:11 0 +12 *3101:16 *8989:DIODE 0 +13 *3101:16 *3164:29 0 +14 *3101:16 *4773:42 0 +15 *3101:16 *5095:16 0 +16 *3101:24 *4570:27 0 +17 *3101:24 *4783:13 0 +18 *3101:25 *3102:23 0 +19 *3101:25 *3392:63 0 +20 *3101:25 *4591:11 0 +21 *3101:39 *3194:17 0 +22 *3101:39 *3194:36 0 +23 *3101:55 *6468:DIODE 0 +24 *3101:55 *3111:59 0 +25 *3101:55 *3398:20 0 +26 *3101:55 *3501:34 0 +27 *3101:55 *3999:26 0 +28 *3101:55 *4013:11 0 +29 *3101:55 *4015:55 0 +30 *3101:55 *4244:11 0 +31 *3101:55 *4411:42 0 +32 *3101:55 *4427:70 0 +33 *40227:A *3101:55 0 +34 *1002:53 *3101:55 0 +35 *1013:84 *3101:16 0 +36 *1261:31 *3101:39 0 +37 *1261:41 *3101:25 0 +38 *1261:45 *3101:25 0 +39 *1734:32 *3101:16 0 +40 *1746:17 *3101:25 0 +41 *2373:86 *3101:39 0 +42 *2414:109 *3101:55 0 +43 *2807:12 *3101:55 0 +44 *3002:5 *3101:16 0 +*RES +1 *40230:X *3101:16 48.8625 +2 *3101:16 *3101:24 12.3077 +3 *3101:24 *3101:25 127.857 +4 *3101:25 *3101:39 36.0179 +5 *3101:39 *3101:55 49.6786 +6 *3101:55 *3101:58 5.83929 +7 *3101:58 *8056:DIODE 12.3 +8 *3101:58 *40229:A 9.3 +*END + +*D_NET *3102 0.0187484 +*CONN +*I *37858:B I *D sky130_fd_sc_hd__and2_2 +*I *5720:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40231:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37858:B 0.00024561 +2 *5720:DIODE 0 +3 *40231:X 0.00262248 +4 *3102:52 0.0067517 +5 *3102:23 0.00912857 +6 *37858:B *3666:45 0 +7 *37858:B *4080:15 0 +8 *3102:23 *3164:44 0 +9 *3102:23 *3199:50 0 +10 *3102:23 *3370:8 0 +11 *3102:23 *3375:38 0 +12 *3102:23 *3394:32 0 +13 *3102:23 *3471:37 0 +14 *3102:23 *3536:33 0 +15 *3102:23 *4783:13 0 +16 *3102:23 *4783:42 0 +17 *3102:23 *5169:18 0 +18 *3102:23 *5187:32 0 +19 *3102:52 *3724:29 0 +20 *3102:52 *3776:50 0 +21 *3102:52 *4406:28 0 +22 *3102:52 *4600:57 0 +23 *3102:52 *5187:32 0 +24 *5430:DIODE *3102:52 0 +25 *1250:57 *3102:52 0 +26 *1250:85 *3102:52 0 +27 *1261:41 *3102:23 0 +28 *1571:37 *3102:52 0 +29 *1752:16 *3102:23 0 +30 *1864:72 *3102:23 0 +31 *2370:38 *3102:52 0 +32 *2375:48 *3102:52 0 +33 *2422:26 *3102:52 0 +34 *2422:48 *3102:52 0 +35 *3020:37 *3102:52 0 +36 *3101:25 *3102:23 0 +*RES +1 *40231:X *3102:23 49.9707 +2 *3102:23 *3102:52 37.2396 +3 *3102:52 *5720:DIODE 13.8 +4 *3102:52 *37858:B 19.0679 +*END + +*D_NET *3103 0.0193909 +*CONN +*I *5670:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37820:B I *D sky130_fd_sc_hd__and2_1 +*I *40232:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *5670:DIODE 0.00012194 +2 *37820:B 3.52946e-05 +3 *40232:X 0.000727438 +4 *3103:22 0.00896802 +5 *3103:14 0.00953823 +6 *5670:DIODE *3612:34 0 +7 *37820:B *3558:25 0 +8 *3103:14 *3438:71 0 +9 *3103:14 *3747:45 0 +10 *3103:22 *3180:14 0 +11 *3103:22 *3372:48 0 +12 *3103:22 *3386:28 0 +13 *3103:22 *3386:42 0 +14 *3103:22 *3386:48 0 +15 *3103:22 *3692:9 0 +16 *3103:22 *3742:19 0 +17 *3103:22 *3781:24 0 +18 *3103:22 *3809:11 0 +19 *3103:22 *3821:15 0 +20 *3103:22 *4310:20 0 +21 *3103:22 *4329:12 0 +22 *3103:22 *4329:47 0 +23 *40638:A *5670:DIODE 0 +24 *40840:A *5670:DIODE 0 +25 *1298:17 *3103:22 0 +26 *1438:55 *3103:14 0 +27 *2258:51 *3103:14 0 +28 *2303:24 *3103:22 0 +29 *2303:39 *3103:22 0 +30 *2340:20 *5670:DIODE 0 +31 *2437:40 *3103:22 0 +32 *3085:78 *3103:22 0 +*RES +1 *40232:X *3103:14 33.5761 +2 *3103:14 *3103:22 42.4708 +3 *3103:22 *37820:B 14.6036 +4 *3103:22 *5670:DIODE 16.5857 +*END + +*D_NET *3104 0.00322137 +*CONN +*I *37504:B I *D sky130_fd_sc_hd__and2_1 +*I *38489:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *37504:B 0.000425517 +2 *38489:X 0.00118517 +3 *3104:19 0.00161069 +4 *37504:B *3249:50 0 +5 *3104:19 *39055:A 0 +6 *3104:19 *3171:26 0 +7 *3104:19 *3431:17 0 +8 *3104:19 *3871:28 0 +9 *3104:19 *3887:25 0 +10 *3104:19 *3914:12 0 +11 *3104:19 *4526:18 0 +12 *3104:19 *4791:35 0 +13 *6625:DIODE *3104:19 0 +14 *37325:A *3104:19 0 +15 *282:50 *37504:B 0 +16 *2356:11 *37504:B 0 +17 *2827:43 *3104:19 0 +*RES +1 *38489:X *3104:19 49.175 +2 *3104:19 *37504:B 22.7643 +*END + +*D_NET *3105 0.0249594 +*CONN +*I *8057:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40232:A I *D sky130_fd_sc_hd__buf_2 +*I *40233:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8057:DIODE 0 +2 *40232:A 0.000383375 +3 *40233:X 0.00108605 +4 *3105:123 0.00172669 +5 *3105:103 0.00332249 +6 *3105:99 0.00348156 +7 *3105:81 0.00384039 +8 *3105:76 0.0026792 +9 *3105:62 0.00213307 +10 *3105:42 0.00350622 +11 *3105:23 0.0028004 +12 *40232:A *3438:71 0 +13 *40232:A *3747:45 0 +14 *40232:A *3747:53 0 +15 *3105:23 *3121:7 0 +16 *3105:23 *3208:37 0 +17 *3105:23 *3265:23 0 +18 *3105:23 *3296:62 0 +19 *3105:23 *3759:57 0 +20 *3105:23 *4252:50 0 +21 *3105:42 *38887:A 0 +22 *3105:42 *38888:A 0 +23 *3105:42 *3125:46 0 +24 *3105:42 *3287:66 0 +25 *3105:42 *3420:20 0 +26 *3105:42 *3422:14 0 +27 *3105:42 *3520:45 0 +28 *3105:62 *40510:A 0 +29 *3105:62 *3250:64 0 +30 *3105:62 *3276:26 0 +31 *3105:76 *4517:62 0 +32 *3105:81 *37662:B 0 +33 *3105:81 *3497:27 0 +34 *3105:81 *4517:43 0 +35 *3105:81 *4517:53 0 +36 *3105:81 *4518:33 0 +37 *3105:99 *3321:63 0 +38 *3105:99 *3321:73 0 +39 *3105:99 *3517:50 0 +40 *3105:99 *3520:20 0 +41 *3105:99 *3523:54 0 +42 *3105:99 *4500:13 0 +43 *3105:103 *3322:146 0 +44 *3105:103 *3811:14 0 +45 *3105:103 *4516:8 0 +46 *3105:123 *3322:131 0 +47 *3105:123 *3438:91 0 +48 *3105:123 *3747:53 0 +49 *3105:123 *4134:69 0 +50 *3105:123 *4358:77 0 +51 *6590:DIODE *3105:62 0 +52 *6593:DIODE *3105:42 0 +53 *6723:DIODE *3105:81 0 +54 *6725:DIODE *3105:81 0 +55 *6730:DIODE *3105:62 0 +56 *6733:DIODE *3105:42 0 +57 *6979:DIODE *3105:62 0 +58 *7554:DIODE *3105:42 0 +59 *38454:A *3105:62 0 +60 *38593:A *3105:76 0 +61 *38596:A *3105:62 0 +62 *38731:A *3105:81 0 +63 *39548:B *3105:42 0 +64 *40154:A *3105:62 0 +65 *40177:A *3105:81 0 +66 *40215:A *3105:123 0 +67 *523:8 *3105:42 0 +68 *524:8 *3105:42 0 +69 *640:16 *3105:81 0 +70 *642:8 *3105:81 0 +71 *777:14 *3105:42 0 +72 *1438:55 *40232:A 0 +73 *1505:19 *3105:123 0 +74 *1880:22 *3105:23 0 +75 *1883:13 *3105:42 0 +76 *2051:49 *3105:103 0 +77 *2349:8 *3105:42 0 +78 *2381:73 *3105:81 0 +79 *2404:10 *3105:42 0 +80 *2431:24 *3105:81 0 +81 *2460:15 *3105:42 0 +82 *2472:33 *3105:99 0 +83 *2660:17 *3105:81 0 +84 *2716:18 *3105:81 0 +85 *2738:7 *3105:76 0 +86 *2749:25 *3105:62 0 +87 *2749:25 *3105:76 0 +88 *2749:40 *3105:81 0 +89 *2760:28 *3105:76 0 +90 *2771:23 *3105:42 0 +91 *2782:14 *3105:42 0 +92 *3032:36 *3105:42 0 +93 *3032:36 *3105:62 0 +94 *3043:26 *3105:81 0 +*RES +1 *40233:X *3105:23 49.984 +2 *3105:23 *3105:42 49.8661 +3 *3105:42 *3105:62 46.3 +4 *3105:62 *3105:76 21.375 +5 *3105:76 *3105:81 48.7321 +6 *3105:81 *3105:99 42.1115 +7 *3105:99 *3105:103 48.7232 +8 *3105:103 *3105:123 47.1786 +9 *3105:123 *40232:A 35.5321 +10 *3105:123 *8057:DIODE 9.3 +*END + +*D_NET *3106 0.0189442 +*CONN +*I *40233:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8058:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40234:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40233:A 0.000732208 +2 *8058:DIODE 6.50276e-05 +3 *40234:X 0.00160451 +4 *3106:96 0.00235602 +5 *3106:71 0.0045909 +6 *3106:69 0.00404695 +7 *3106:51 0.00247945 +8 *3106:23 0.00306913 +9 *8058:DIODE *3500:55 0 +10 *40233:A *8873:DIODE 0 +11 *40233:A *3287:91 0 +12 *40233:A *3758:18 0 +13 *40233:A *4255:27 0 +14 *40233:A *5193:68 0 +15 *3106:23 *3266:46 0 +16 *3106:23 *4536:21 0 +17 *3106:23 *4774:35 0 +18 *3106:51 *3125:22 0 +19 *3106:51 *3571:11 0 +20 *3106:51 *4382:17 0 +21 *3106:51 *5072:17 0 +22 *3106:69 *39040:A 0 +23 *3106:69 *3250:48 0 +24 *3106:69 *3571:11 0 +25 *3106:69 *5175:108 0 +26 *3106:71 *39038:A 0 +27 *3106:71 *3413:30 0 +28 *3106:71 *3571:11 0 +29 *3106:71 *4756:35 0 +30 *3106:71 *5165:35 0 +31 *3106:71 *5182:142 0 +32 *3106:96 *8300:DIODE 0 +33 *3106:96 *8499:DIODE 0 +34 *3106:96 *40574:A 0 +35 *3106:96 *3499:27 0 +36 *3106:96 *4756:35 0 +37 *3106:96 *4756:42 0 +38 *3106:96 *5193:68 0 +39 *3106:96 *5193:83 0 +40 la_data_in_mprj[112] *3106:96 0 +41 la_data_in_mprj[119] *3106:69 0 +42 *6739:DIODE *3106:96 0 +43 *7498:DIODE *3106:23 0 +44 *38610:A *3106:71 0 +45 *39528:B *3106:23 0 +46 *39547:B *3106:23 0 +47 *39590:A *40233:A 0 +48 *39604:A *3106:23 0 +49 *39640:A *3106:51 0 +50 *400:29 *3106:96 0 +51 *406:32 *3106:71 0 +52 *409:29 *3106:69 0 +53 *416:21 *3106:23 0 +54 *530:31 *3106:71 0 +55 *534:22 *3106:71 0 +56 *537:43 *3106:51 0 +57 *781:30 *3106:96 0 +58 *787:16 *3106:71 0 +59 *790:51 *3106:51 0 +60 *792:83 *3106:51 0 +61 *795:41 *3106:23 0 +62 *796:31 *3106:51 0 +63 *1001:100 *3106:23 0 +64 *1239:28 *40233:A 0 +65 *1447:9 *8058:DIODE 0 +66 *1447:9 *3106:96 0 +67 *1855:22 *3106:51 0 +68 *1879:21 *3106:23 0 +69 *2381:47 *3106:96 0 +70 *2382:110 *3106:69 0 +71 *2382:110 *3106:71 0 +72 *2386:25 *40233:A 0 +73 *2406:10 *3106:23 0 +74 *2442:79 *3106:71 0 +75 *2445:22 *3106:51 0 +76 *2445:35 *3106:69 0 +77 *2712:162 *3106:96 0 +78 *2713:209 *3106:23 0 +79 *2960:20 *3106:51 0 +80 *2971:10 *3106:51 0 +81 *3034:73 *3106:69 0 +*RES +1 *40234:X *3106:23 43.2821 +2 *3106:23 *3106:51 49.4821 +3 *3106:51 *3106:69 30.4107 +4 *3106:69 *3106:71 63.375 +5 *3106:71 *3106:96 47.3393 +6 *3106:96 *8058:DIODE 15.1571 +7 *3106:96 *40233:A 29.4786 +*END + +*D_NET *3107 0.021331 +*CONN +*I *5717:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37856:B I *D sky130_fd_sc_hd__and2_1 +*I *40235:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5717:DIODE 0.000579135 +2 *37856:B 0 +3 *40235:X 0.00119448 +4 *3107:104 0.000985652 +5 *3107:101 0.00233854 +6 *3107:91 0.00391041 +7 *3107:83 0.00381791 +8 *3107:73 0.00305122 +9 *3107:53 0.00273543 +10 *3107:28 0.00271822 +11 *5717:DIODE *8669:DIODE 0 +12 *5717:DIODE *37854:B 0 +13 *5717:DIODE *3669:51 0 +14 *3107:28 *6138:DIODE 0 +15 *3107:28 *3412:95 0 +16 *3107:28 *3478:61 0 +17 *3107:28 *4219:42 0 +18 *3107:28 *4427:113 0 +19 *3107:28 *4758:27 0 +20 *3107:53 *38116:B 0 +21 *3107:53 *3297:51 0 +22 *3107:53 *3348:112 0 +23 *3107:53 *3388:24 0 +24 *3107:53 *3412:71 0 +25 *3107:53 *3793:95 0 +26 *3107:53 *3975:29 0 +27 *3107:53 *4044:49 0 +28 *3107:53 *4563:89 0 +29 *3107:73 *3411:91 0 +30 *3107:73 *3501:34 0 +31 *3107:73 *3725:80 0 +32 *3107:73 *3950:41 0 +33 *3107:73 *4026:45 0 +34 *3107:73 *4026:62 0 +35 *3107:73 *4322:68 0 +36 *3107:73 *4360:77 0 +37 *3107:73 *4411:65 0 +38 *3107:83 *41391:A 0 +39 *3107:83 *3423:37 0 +40 *3107:83 *4254:78 0 +41 *3107:83 *4319:41 0 +42 *3107:83 *4407:39 0 +43 *3107:91 *6465:DIODE 0 +44 *3107:91 *3941:56 0 +45 *3107:91 *3955:49 0 +46 *3107:91 *4059:53 0 +47 *3107:91 *4220:53 0 +48 *3107:91 *4319:37 0 +49 *3107:91 *5151:11 0 +50 *3107:101 *3941:34 0 +51 *3107:101 *4319:25 0 +52 *3107:101 *4364:19 0 +53 *3107:104 *4225:66 0 +54 *7879:DIODE *3107:28 0 +55 *40148:A *3107:104 0 +56 *1448:13 *3107:83 0 +57 *1448:22 *3107:83 0 +58 *1450:25 *3107:53 0 +59 *1457:17 *3107:53 0 +60 *1457:42 *3107:28 0 +61 *1462:15 *3107:53 0 +62 *1462:33 *3107:53 0 +63 *1562:23 *3107:73 0 +64 *1840:52 *3107:53 0 +65 *2366:112 *3107:83 0 +66 *2384:63 *5717:DIODE 0 +67 *2391:30 *3107:73 0 +68 *2392:43 *3107:53 0 +69 *2443:10 *3107:83 0 +70 *2807:12 *3107:73 0 +71 *2822:97 *5717:DIODE 0 +72 *3013:11 *3107:91 0 +*RES +1 *40235:X *3107:28 49.7643 +2 *3107:28 *3107:53 46.7535 +3 *3107:53 *3107:73 48.7143 +4 *3107:73 *3107:83 48.1786 +5 *3107:83 *3107:91 46.0357 +6 *3107:91 *3107:101 49.5179 +7 *3107:101 *3107:104 13.7321 +8 *3107:104 *37856:B 13.8 +9 *3107:104 *5717:DIODE 26.8893 +*END + +*D_NET *3108 0.025762 +*CONN +*I *5714:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37854:B I *D sky130_fd_sc_hd__and2_1 +*I *40236:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5714:DIODE 0 +2 *37854:B 0.000537892 +3 *40236:X 0.00193294 +4 *3108:40 0.00198438 +5 *3108:37 0.00688399 +6 *3108:36 0.00732078 +7 *3108:30 0.00352618 +8 *3108:27 0.00357584 +9 *37854:B *8669:DIODE 0 +10 *37854:B *3810:9 0 +11 *37854:B *4355:27 0 +12 *3108:27 *3286:34 0 +13 *3108:27 *3498:25 0 +14 *3108:27 *3724:29 0 +15 *3108:27 *3768:47 0 +16 *3108:27 *4240:21 0 +17 *3108:27 *4427:93 0 +18 *3108:30 *3295:40 0 +19 *3108:30 *3428:40 0 +20 *3108:30 *3732:49 0 +21 *3108:30 *4023:44 0 +22 *3108:30 *4023:54 0 +23 *3108:30 *5027:21 0 +24 *3108:36 *3277:48 0 +25 *3108:36 *4021:22 0 +26 *3108:37 *3118:21 0 +27 *3108:37 *3277:33 0 +28 *3108:37 *3528:37 0 +29 *3108:37 *3652:41 0 +30 *3108:40 *3278:10 0 +31 *3108:40 *4355:23 0 +32 *3108:40 *4355:27 0 +33 *5717:DIODE *37854:B 0 +34 *368:34 *3108:36 0 +35 *370:9 *3108:40 0 +36 *378:16 *3108:36 0 +37 *1012:34 *3108:27 0 +38 *1015:122 *3108:36 0 +39 *1272:45 *3108:40 0 +40 *1572:21 *3108:36 0 +41 *2365:38 *3108:37 0 +42 *2442:16 *3108:27 0 +43 *2465:7 *3108:37 0 +44 *2475:25 *3108:30 0 +45 *2715:18 *3108:37 0 +46 *2921:10 *3108:30 0 +47 *2926:13 *3108:30 0 +48 *2958:34 *3108:40 0 +49 *2968:21 *3108:40 0 +50 *3010:28 *3108:37 0 +51 *3091:90 *3108:27 0 +*RES +1 *40236:X *3108:27 37.2123 +2 *3108:27 *3108:30 41.8125 +3 *3108:30 *3108:36 48.5357 +4 *3108:36 *3108:37 113.482 +5 *3108:37 *3108:40 37.4107 +6 *3108:40 *37854:B 34.3179 +7 *3108:40 *5714:DIODE 13.8 +*END + +*D_NET *3109 0.0238741 +*CONN +*I *37852:B I *D sky130_fd_sc_hd__and2_1 +*I *5711:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40237:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37852:B 6.50276e-05 +2 *5711:DIODE 7.23409e-05 +3 *40237:X 0.00325284 +4 *3109:48 0.00151365 +5 *3109:39 0.00382102 +6 *3109:37 0.00446166 +7 *3109:27 0.00472584 +8 *3109:26 0.00270891 +9 *3109:24 0.00325284 +10 *37852:B *3732:35 0 +11 *3109:24 *3179:23 0 +12 *3109:24 *3394:32 0 +13 *3109:24 *3429:39 0 +14 *3109:24 *3429:46 0 +15 *3109:24 *3514:48 0 +16 *3109:24 *3734:40 0 +17 *3109:24 *4322:103 0 +18 *3109:24 *4334:86 0 +19 *3109:24 *4897:24 0 +20 *3109:24 *5174:37 0 +21 *3109:27 *40761:A 0 +22 *3109:27 *3413:133 0 +23 *3109:37 *39671:A 0 +24 *3109:37 *3413:133 0 +25 *3109:48 *3425:8 0 +26 *3109:48 *3979:52 0 +27 *3109:48 *4084:43 0 +28 *360:20 *3109:24 0 +29 *1836:16 *3109:24 0 +30 *2469:7 *3109:27 0 +31 *2469:9 *3109:27 0 +32 *2469:9 *3109:37 0 +33 *2480:7 *3109:39 0 +34 *2806:71 *3109:24 0 +35 *2929:44 *37852:B 0 +36 *2989:19 *3109:37 0 +37 *2989:19 *3109:39 0 +38 *2989:27 *3109:37 0 +39 *3000:37 *3109:48 0 +40 *3028:29 *3109:24 0 +41 *3035:14 *3109:24 0 +*RES +1 *40237:X *3109:24 47.299 +2 *3109:24 *3109:26 4.5 +3 *3109:26 *3109:27 56.5982 +4 *3109:27 *3109:37 42.1875 +5 *3109:37 *3109:39 51.0536 +6 *3109:39 *3109:48 44.6607 +7 *3109:48 *5711:DIODE 15.4429 +8 *3109:48 *37852:B 15.1571 +*END + +*D_NET *3110 0.0204691 +*CONN +*I *37850:B I *D sky130_fd_sc_hd__and2_2 +*I *5708:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40238:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37850:B 0.000124066 +2 *5708:DIODE 0.000524454 +3 *40238:X 0.00132451 +4 *3110:28 0.00090654 +5 *3110:25 0.00557745 +6 *3110:24 0.00631728 +7 *3110:16 0.00268408 +8 *3110:7 0.00301073 +9 *5708:DIODE *3183:42 0 +10 *5708:DIODE *3445:70 0 +11 *5708:DIODE *3648:17 0 +12 *5708:DIODE *3673:8 0 +13 *5708:DIODE *3756:14 0 +14 *37850:B *3750:45 0 +15 *37850:B *3756:23 0 +16 *37850:B *3822:86 0 +17 *3110:7 *8638:DIODE 0 +18 *3110:7 *3298:79 0 +19 *3110:7 *3509:25 0 +20 *3110:7 *3696:80 0 +21 *3110:7 *3954:14 0 +22 *3110:7 *3958:19 0 +23 *3110:16 *3298:63 0 +24 *3110:16 *3301:42 0 +25 *3110:16 *3740:81 0 +26 *3110:16 *4256:77 0 +27 *3110:24 *3285:112 0 +28 *3110:24 *3433:30 0 +29 *3110:24 *3776:17 0 +30 *3110:24 *4081:39 0 +31 *3110:25 *3546:128 0 +32 *3110:25 *4008:103 0 +33 *3110:25 *4085:70 0 +34 *3110:25 *4319:25 0 +35 *3110:25 *4335:13 0 +36 *7984:DIODE *3110:7 0 +37 *1324:16 *5708:DIODE 0 +38 *2378:94 *3110:7 0 +39 *3008:33 *3110:24 0 +40 *3025:80 *3110:7 0 +41 *3070:68 *3110:24 0 +42 *3070:69 *3110:25 0 +43 *3070:108 *3110:25 0 +44 *3073:17 *3110:7 0 +45 *3090:25 *3110:16 0 +46 *3090:43 *3110:25 0 +47 *3098:52 *3110:25 0 +*RES +1 *40238:X *3110:7 41.4429 +2 *3110:7 *3110:16 49.5439 +3 *3110:16 *3110:24 13.9934 +4 *3110:24 *3110:25 111.018 +5 *3110:25 *3110:28 10.3929 +6 *3110:28 *5708:DIODE 25.3714 +7 *3110:28 *37850:B 16.3893 +*END + +*D_NET *3111 0.0203334 +*CONN +*I *8061:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40238:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40239:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8061:DIODE 0.000319645 +2 *40238:A 0.000391332 +3 *40239:X 0.00121858 +4 *3111:75 0.00141112 +5 *3111:69 0.00238221 +6 *3111:59 0.0030632 +7 *3111:44 0.00300441 +8 *3111:33 0.00381838 +9 *3111:26 0.0028505 +10 *3111:18 0.00187397 +11 *8061:DIODE *4014:66 0 +12 *8061:DIODE *4238:98 0 +13 *40238:A *3487:45 0 +14 *40238:A *4232:40 0 +15 *40238:A *4360:25 0 +16 *3111:18 *3370:8 0 +17 *3111:18 *4545:69 0 +18 *3111:18 *4581:35 0 +19 *3111:18 *5169:18 0 +20 *3111:26 *3375:38 0 +21 *3111:26 *4568:36 0 +22 *3111:26 *4585:28 0 +23 *3111:26 *4764:48 0 +24 *3111:33 *7297:DIODE 0 +25 *3111:33 *3116:41 0 +26 *3111:33 *4449:49 0 +27 *3111:33 *4449:51 0 +28 *3111:33 *5171:27 0 +29 *3111:44 *3194:17 0 +30 *3111:44 *4563:74 0 +31 *3111:44 *4590:46 0 +32 *3111:44 *5171:27 0 +33 *3111:44 *5171:57 0 +34 *3111:59 *3199:63 0 +35 *3111:59 *3199:78 0 +36 *3111:59 *3419:23 0 +37 *3111:59 *3421:11 0 +38 *3111:59 *3421:23 0 +39 *3111:59 *4758:49 0 +40 *3111:69 *3155:30 0 +41 *3111:69 *3168:63 0 +42 *3111:69 *3199:78 0 +43 *3111:69 *3297:35 0 +44 *3111:69 *3402:73 0 +45 *3111:69 *3421:11 0 +46 *3111:75 *4044:25 0 +47 *3111:75 *4232:40 0 +48 *6872:DIODE *3111:18 0 +49 *364:19 *3111:18 0 +50 *1001:65 *40238:A 0 +51 *1261:31 *3111:44 0 +52 *3101:55 *3111:59 0 +*RES +1 *40239:X *3111:18 49.1125 +2 *3111:18 *3111:26 14.1814 +3 *3111:26 *3111:33 45.875 +4 *3111:33 *3111:44 47.7321 +5 *3111:44 *3111:59 42.5714 +6 *3111:59 *3111:69 49.1071 +7 *3111:69 *3111:75 10.4806 +8 *3111:75 *40238:A 27.7324 +9 *3111:75 *8061:DIODE 24.4689 +*END + +*D_NET *3112 0.0248997 +*CONN +*I *37848:B I *D sky130_fd_sc_hd__and2_1 +*I *5705:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40240:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *37848:B 0.000236539 +2 *5705:DIODE 4.53482e-05 +3 *40240:X 0.00310102 +4 *3112:83 0.000402959 +5 *3112:82 0.00189318 +6 *3112:59 0.00392187 +7 *3112:52 0.00481723 +8 *3112:37 0.00502403 +9 *3112:24 0.00545758 +10 *5705:DIODE *3811:31 0 +11 *37848:B *3305:105 0 +12 *37848:B *3815:70 0 +13 *37848:B *4128:80 0 +14 *37848:B *4135:108 0 +15 *37848:B *4341:44 0 +16 *3112:24 *3188:111 0 +17 *3112:24 *3306:47 0 +18 *3112:24 *3439:38 0 +19 *3112:24 *3485:17 0 +20 *3112:24 *3497:59 0 +21 *3112:24 *3783:56 0 +22 *3112:37 *3647:34 0 +23 *3112:37 *3647:62 0 +24 *3112:37 *4171:103 0 +25 *3112:52 *6408:DIODE 0 +26 *3112:52 *3317:176 0 +27 *3112:52 *3740:46 0 +28 *3112:52 *3752:16 0 +29 *3112:52 *4114:53 0 +30 *3112:52 *4171:103 0 +31 *3112:59 *3323:57 0 +32 *3112:59 *3733:78 0 +33 *3112:59 *3775:61 0 +34 *3112:59 *4099:38 0 +35 *3112:59 *4362:68 0 +36 *3112:82 *37622:A 0 +37 *3112:82 *3665:27 0 +38 *3112:82 *3817:96 0 +39 *3112:82 *3946:31 0 +40 *3112:82 *4100:54 0 +41 *3112:82 *4113:82 0 +42 *3112:82 *4344:17 0 +43 *3112:82 *4362:68 0 +44 *3112:82 *4719:7 0 +45 *3112:83 *3811:31 0 +46 *37848:A *37848:B 0 +47 *1222:32 *5705:DIODE 0 +48 *1222:32 *3112:83 0 +49 *1271:36 *3112:59 0 +50 *1319:27 *3112:24 0 +51 *1319:27 *3112:37 0 +52 *1319:27 *3112:52 0 +53 *1324:33 *3112:59 0 +54 *1324:33 *3112:82 0 +55 *1324:47 *3112:82 0 +56 *1325:44 *3112:37 0 +57 *1413:35 *3112:59 0 +58 *1439:46 *3112:82 0 +59 *1446:45 *3112:52 0 +60 *2384:103 *3112:59 0 +61 *2420:26 *37848:B 0 +62 *2420:26 *3112:82 0 +63 *2440:49 *3112:24 0 +64 *2919:91 *3112:59 0 +65 *2923:16 *3112:37 0 +66 *2959:19 *3112:37 0 +67 *2962:19 *3112:82 0 +68 *2972:41 *3112:59 0 +69 *2987:30 *3112:59 0 +70 *2987:35 *3112:37 0 +71 *3075:73 *3112:24 0 +*RES +1 *40240:X *3112:24 48.2216 +2 *3112:24 *3112:37 46.6687 +3 *3112:37 *3112:52 31.0322 +4 *3112:52 *3112:59 45.0536 +5 *3112:59 *3112:82 48.0893 +6 *3112:82 *3112:83 2.58929 +7 *3112:83 *5705:DIODE 10.2464 +8 *3112:83 *37848:B 23.4429 +*END + +*D_NET *3113 0.0205692 +*CONN +*I *8062:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40240:A I *D sky130_fd_sc_hd__buf_2 +*I *40241:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8062:DIODE 3.6141e-05 +2 *40240:A 0 +3 *40241:X 0.00109457 +4 *3113:117 0.00108736 +5 *3113:100 0.00249931 +6 *3113:81 0.00260124 +7 *3113:70 0.00342961 +8 *3113:35 0.00393846 +9 *3113:27 0.00322495 +10 *3113:14 0.00265752 +11 *3113:14 *8999:DIODE 0 +12 *3113:14 *41415:A 0 +13 *3113:14 *3262:33 0 +14 *3113:14 *3342:13 0 +15 *3113:14 *3421:25 0 +16 *3113:14 *4554:17 0 +17 *3113:14 *4780:63 0 +18 *3113:14 *5187:39 0 +19 *3113:27 *3168:61 0 +20 *3113:27 *3184:35 0 +21 *3113:27 *4758:38 0 +22 *3113:27 *5084:43 0 +23 *3113:27 *5182:46 0 +24 *3113:35 *3184:45 0 +25 *3113:35 *3184:56 0 +26 *3113:35 *3264:37 0 +27 *3113:35 *3264:66 0 +28 *3113:35 *3413:103 0 +29 *3113:35 *3496:21 0 +30 *3113:35 *4427:70 0 +31 *3113:70 *6126:DIODE 0 +32 *3113:70 *3179:43 0 +33 *3113:70 *3281:24 0 +34 *3113:70 *3413:60 0 +35 *3113:70 *3416:44 0 +36 *3113:70 *3487:45 0 +37 *3113:70 *4044:25 0 +38 *3113:70 *4251:41 0 +39 *3113:70 *4254:105 0 +40 *3113:70 *4270:45 0 +41 *3113:70 *5175:74 0 +42 *3113:81 *8195:DIODE 0 +43 *3113:81 *8714:DIODE 0 +44 *3113:81 *3150:18 0 +45 *3113:81 *3203:60 0 +46 *3113:81 *3251:67 0 +47 *3113:81 *3402:56 0 +48 *3113:81 *3722:69 0 +49 *3113:81 *3793:42 0 +50 *3113:81 *4251:41 0 +51 *3113:100 *3225:10 0 +52 *3113:100 *3235:63 0 +53 *3113:100 *3245:21 0 +54 *3113:100 *3263:10 0 +55 *3113:100 *3412:30 0 +56 *3113:100 *3721:24 0 +57 *3113:100 *4149:94 0 +58 *3113:100 *4149:121 0 +59 *3113:117 *40399:A 0 +60 *3113:117 *40751:A 0 +61 *3113:117 *3129:14 0 +62 *3113:117 *3261:15 0 +63 *3113:117 *3783:56 0 +64 *3113:117 *4149:94 0 +65 *3113:117 *4238:41 0 +66 *3113:117 *4238:59 0 +67 *6127:DIODE *3113:70 0 +68 *7511:DIODE *3113:14 0 +69 *39547:A *3113:35 0 +70 *39550:A *3113:100 0 +71 *535:29 *3113:100 0 +72 *796:31 *3113:70 0 +73 *1025:160 *3113:35 0 +74 *1455:22 *3113:27 0 +75 *1840:72 *3113:70 0 +76 *2368:40 *3113:70 0 +77 *2373:67 *3113:27 0 +78 *2386:19 *3113:117 0 +79 *2402:7 *3113:35 0 +80 *2411:93 *3113:70 0 +81 *2712:96 *3113:100 0 +82 *3037:66 *3113:100 0 +83 *3043:75 *8062:DIODE 0 +84 *3043:75 *3113:100 0 +85 *3100:17 *3113:70 0 +*RES +1 *40241:X *3113:14 46.55 +2 *3113:14 *3113:27 46.5357 +3 *3113:27 *3113:35 48.2321 +4 *3113:35 *3113:70 49.558 +5 *3113:70 *3113:81 19.963 +6 *3113:81 *3113:100 47.5596 +7 *3113:100 *3113:117 40.9107 +8 *3113:117 *40240:A 9.3 +9 *3113:81 *8062:DIODE 14.6839 +*END + +*D_NET *3114 0.0265664 +*CONN +*I *5702:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37846:B I *D sky130_fd_sc_hd__and2_1 +*I *40242:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5702:DIODE 0 +2 *37846:B 0.000167275 +3 *40242:X 0.00349917 +4 *3114:50 0.0017057 +5 *3114:47 0.00961675 +6 *3114:15 0.0115775 +7 *37846:B *3670:37 0 +8 *37846:B *3736:77 0 +9 *3114:15 *3295:33 0 +10 *3114:15 *3584:37 0 +11 *3114:15 *3678:56 0 +12 *3114:15 *3743:32 0 +13 *3114:47 *3521:26 0 +14 *3114:47 *3528:37 0 +15 *3114:47 *4031:50 0 +16 *3114:47 *4241:110 0 +17 *3114:50 *3197:34 0 +18 *3114:50 *3427:48 0 +19 *3114:50 *3701:20 0 +20 *3114:50 *4055:52 0 +21 *1006:10 *3114:47 0 +22 *1019:20 *3114:15 0 +23 *1030:8 *3114:47 0 +24 *1413:49 *37846:B 0 +25 *1413:49 *3114:50 0 +26 *2365:24 *3114:15 0 +27 *2400:39 *3114:47 0 +28 *2402:30 *3114:15 0 +29 *2422:66 *3114:15 0 +30 *2918:16 *3114:50 0 +31 *3003:24 *3114:15 0 +32 *3078:20 *3114:50 0 +33 *3079:49 *3114:15 0 +*RES +1 *40242:X *3114:15 48.0743 +2 *3114:15 *3114:47 44.519 +3 *3114:47 *3114:50 39.3839 +4 *3114:50 *37846:B 12.8536 +5 *3114:50 *5702:DIODE 9.3 +*END + +*D_NET *3115 0.0112928 +*CONN +*I *37506:B I *D sky130_fd_sc_hd__and2_1 +*I *5312:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38490:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *37506:B 0.00018846 +2 *5312:DIODE 6.50276e-05 +3 *38490:X 9.91025e-05 +4 *3115:12 0.00195966 +5 *3115:9 0.00529382 +6 *3115:8 0.00368674 +7 *5312:DIODE *3896:27 0 +8 *37506:B *4177:19 0 +9 *37506:B *4451:75 0 +10 *3115:8 *37518:B 0 +11 *3115:9 *4115:9 0 +12 *3115:9 *4854:9 0 +13 *3115:9 *4866:11 0 +14 *3115:12 *39030:A 0 +15 *3115:12 *3171:42 0 +16 *3115:12 *4451:75 0 +17 *3115:12 *5162:30 0 +18 la_data_in_mprj[18] *3115:8 0 +19 *6740:DIODE *3115:12 0 +20 *302:13 *37506:B 0 +21 *302:13 *3115:12 0 +22 *425:13 *3115:8 0 +23 *527:5 *3115:12 0 +24 *783:5 *3115:12 0 +25 *2357:11 *3115:9 0 +26 *2949:9 *3115:9 0 +*RES +1 *38490:X *3115:8 20.55 +2 *3115:8 *3115:9 74.875 +3 *3115:9 *3115:12 43.1786 +4 *3115:12 *5312:DIODE 15.1571 +5 *3115:12 *37506:B 18.1571 +*END + +*D_NET *3116 0.0183621 +*CONN +*I *8064:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40242:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40243:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8064:DIODE 0 +2 *40242:A 0.000845053 +3 *40243:X 0.00186016 +4 *3116:77 0.000998352 +5 *3116:71 0.0020002 +6 *3116:63 0.0028815 +7 *3116:54 0.00239207 +8 *3116:41 0.00344106 +9 *3116:22 0.00394374 +10 *40242:A *8646:DIODE 0 +11 *40242:A *41041:A 0 +12 *40242:A *3237:64 0 +13 *40242:A *3410:55 0 +14 *40242:A *4044:25 0 +15 *40242:A *4232:40 0 +16 *40242:A *4270:45 0 +17 *3116:22 *3209:17 0 +18 *3116:22 *3254:33 0 +19 *3116:22 *3395:49 0 +20 *3116:22 *3696:136 0 +21 *3116:22 *4539:54 0 +22 *3116:22 *4563:58 0 +23 *3116:22 *4755:23 0 +24 *3116:22 *4764:48 0 +25 *3116:22 *5173:14 0 +26 *3116:41 *3486:5 0 +27 *3116:41 *3721:43 0 +28 *3116:41 *5166:53 0 +29 *3116:41 *5169:18 0 +30 *3116:41 *5172:30 0 +31 *3116:54 *5167:58 0 +32 *3116:54 *5179:56 0 +33 *3116:63 *3297:51 0 +34 *3116:63 *4254:115 0 +35 *3116:63 *4563:89 0 +36 *3116:71 *6142:DIODE 0 +37 *3116:71 *40246:A 0 +38 *3116:71 *3281:33 0 +39 *3116:71 *3288:10 0 +40 *3116:71 *3423:21 0 +41 *3116:71 *4254:105 0 +42 *3116:71 *4270:45 0 +43 *3116:71 *4563:89 0 +44 *3116:71 *4563:91 0 +45 *3116:77 *4270:45 0 +46 *7565:DIODE *3116:71 0 +47 *1450:25 *3116:63 0 +48 *1840:20 *3116:41 0 +49 *1861:20 *3116:22 0 +50 *2373:10 *3116:22 0 +51 *2373:47 *3116:54 0 +52 *2419:26 *40242:A 0 +53 *3111:33 *3116:41 0 +*RES +1 *40243:X *3116:22 42.883 +2 *3116:22 *3116:41 47.8865 +3 *3116:41 *3116:54 46.1689 +4 *3116:54 *3116:63 30.7321 +5 *3116:63 *3116:71 47.6607 +6 *3116:71 *3116:77 12.3214 +7 *3116:77 *40242:A 42.5082 +8 *3116:77 *8064:DIODE 9.3 +*END + +*D_NET *3117 0.0261211 +*CONN +*I *5696:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37842:B I *D sky130_fd_sc_hd__and2_1 +*I *40244:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5696:DIODE 0.000138103 +2 *37842:B 8.04288e-05 +3 *40244:X 0.00123018 +4 *3117:51 0.00160813 +5 *3117:45 0.00304976 +6 *3117:36 0.00302798 +7 *3117:21 0.00538841 +8 *3117:20 0.00535803 +9 *3117:12 0.00317365 +10 *3117:9 0.00306639 +11 *5696:DIODE *3280:64 0 +12 *5696:DIODE *3310:59 0 +13 *3117:9 *7288:DIODE 0 +14 *3117:9 *7289:DIODE 0 +15 *3117:9 *3389:17 0 +16 *3117:9 *5171:10 0 +17 *3117:12 *37866:A 0 +18 *3117:12 *38046:B 0 +19 *3117:12 *3290:75 0 +20 *3117:12 *3421:52 0 +21 *3117:12 *3948:28 0 +22 *3117:12 *4013:80 0 +23 *3117:12 *4740:11 0 +24 *3117:20 *3506:41 0 +25 *3117:21 *3278:35 0 +26 *3117:21 *3707:9 0 +27 *3117:45 *4021:26 0 +28 *3117:51 *3543:38 0 +29 *3117:51 *3719:52 0 +30 *6870:DIODE *3117:9 0 +31 *38053:A *3117:21 0 +32 *40114:A *3117:12 0 +33 *357:43 *3117:36 0 +34 *370:32 *3117:51 0 +35 *371:15 *3117:9 0 +36 *1006:28 *3117:51 0 +37 *1009:126 *3117:36 0 +38 *1009:127 *3117:36 0 +39 *1012:34 *3117:36 0 +40 *1019:20 *3117:36 0 +41 *1019:23 *3117:20 0 +42 *1019:42 *3117:9 0 +43 *1019:42 *3117:12 0 +44 *1221:17 *3117:20 0 +45 *1272:18 *3117:51 0 +46 *1334:14 *3117:12 0 +47 *1453:30 *3117:12 0 +48 *1567:22 *3117:20 0 +49 *1572:21 *3117:45 0 +50 *1751:9 *3117:20 0 +51 *1824:11 *37842:B 0 +52 *1824:11 *3117:51 0 +53 *1850:36 *3117:12 0 +54 *1864:59 *3117:21 0 +55 *2396:13 *3117:20 0 +56 *2469:21 *3117:36 0 +57 *2475:15 *3117:21 0 +58 *2479:11 *3117:36 0 +59 *2836:43 *3117:12 0 +60 *2957:15 *3117:45 0 +61 *2974:34 *3117:12 0 +62 *2986:34 *3117:20 0 +63 *2991:47 *3117:45 0 +64 *3010:35 *3117:36 0 +65 *3013:72 *3117:12 0 +66 *3088:19 *3117:45 0 +67 *3095:27 *3117:9 0 +*RES +1 *40244:X *3117:9 45.8357 +2 *3117:9 *3117:12 46.2143 +3 *3117:12 *3117:20 43.4643 +4 *3117:20 *3117:21 83.9107 +5 *3117:21 *3117:36 46.8358 +6 *3117:36 *3117:45 48.4286 +7 *3117:45 *3117:51 40.3571 +8 *3117:51 *37842:B 10.9786 +9 *3117:51 *5696:DIODE 21.3893 +*END + +*D_NET *3118 0.0258122 +*CONN +*I *5693:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37840:B I *D sky130_fd_sc_hd__and2_2 +*I *40245:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5693:DIODE 0 +2 *37840:B 0.00105312 +3 *40245:X 0 +4 *3118:36 0.00271617 +5 *3118:33 0.00222974 +6 *3118:21 0.00584675 +7 *3118:20 0.00602995 +8 *3118:6 0.00434316 +9 *3118:5 0.00359327 +10 *37840:B *8491:DIODE 0 +11 *37840:B *37840:A 0 +12 *37840:B *3756:37 0 +13 *37840:B *3810:9 0 +14 *37840:B *4245:51 0 +15 *3118:6 *3286:49 0 +16 *3118:6 *3521:46 0 +17 *3118:6 *3696:121 0 +18 *3118:6 *3696:136 0 +19 *3118:6 *3708:46 0 +20 *3118:6 *3712:28 0 +21 *3118:6 *3724:62 0 +22 *3118:6 *4244:29 0 +23 *3118:6 *4334:58 0 +24 *3118:20 *3625:50 0 +25 *3118:20 *3652:41 0 +26 *3118:21 *3277:51 0 +27 *3118:21 *3652:41 0 +28 *3118:36 *4720:24 0 +29 *7985:DIODE *3118:6 0 +30 *38287:A *3118:36 0 +31 *263:10 *3118:20 0 +32 *266:22 *3118:20 0 +33 *266:22 *3118:21 0 +34 *368:10 *3118:33 0 +35 *375:41 *3118:6 0 +36 *386:12 *3118:21 0 +37 *1864:50 *3118:33 0 +38 *2380:55 *3118:36 0 +39 *2384:46 *3118:36 0 +40 *2722:19 *3118:33 0 +41 *2806:22 *3118:6 0 +42 *2831:66 *3118:6 0 +43 *2982:62 *3118:6 0 +44 *2989:36 *3118:6 0 +45 *2991:30 *37840:B 0 +46 *3010:35 *3118:20 0 +47 *3010:38 *3118:6 0 +48 *3073:29 *37840:B 0 +49 *3073:37 *37840:B 0 +50 *3108:37 *3118:21 0 +*RES +1 *40245:X *3118:5 13.8 +2 *3118:5 *3118:6 81.4821 +3 *3118:6 *3118:20 38.9821 +4 *3118:20 *3118:21 110.196 +5 *3118:21 *3118:33 25.7679 +6 *3118:33 *3118:36 42.2679 +7 *3118:36 *37840:B 40.7821 +8 *3118:36 *5693:DIODE 9.3 +*END + +*D_NET *3119 0.020077 +*CONN +*I *5690:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37838:B I *D sky130_fd_sc_hd__and2_1 +*I *40246:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5690:DIODE 0.000214861 +2 *37838:B 0.000184815 +3 *40246:X 0.000354973 +4 *3119:22 0.00968351 +5 *3119:10 0.00963881 +6 *37838:B *37838:A 0 +7 *37838:B *3803:52 0 +8 *3119:10 *8305:DIODE 0 +9 *3119:10 *3416:54 0 +10 *3119:10 *3487:45 0 +11 *3119:10 *3954:14 0 +12 *3119:10 *4263:53 0 +13 *3119:22 *3312:147 0 +14 *3119:22 *3313:46 0 +15 *3119:22 *3439:38 0 +16 *3119:22 *3532:24 0 +17 *3119:22 *3675:67 0 +18 *3119:22 *4014:40 0 +19 *3119:22 *4044:25 0 +20 *3119:22 *4218:52 0 +21 *3119:22 *4268:24 0 +22 *1441:19 *3119:22 0 +23 *2384:90 *5690:DIODE 0 +24 *2402:55 *3119:22 0 +25 *3015:25 *3119:22 0 +26 *3023:21 *3119:22 0 +27 *3081:16 *3119:22 0 +28 *3100:31 *3119:22 0 +*RES +1 *40246:X *3119:10 24.8618 +2 *3119:10 *3119:22 45.5677 +3 *3119:22 *37838:B 17.7821 +4 *3119:22 *5690:DIODE 18.6214 +*END + +*D_NET *3120 0.0197019 +*CONN +*I *5669:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37818:B I *D sky130_fd_sc_hd__and2_1 +*I *40247:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *5669:DIODE 8.28804e-05 +2 *37818:B 2.56688e-05 +3 *40247:X 0.0018531 +4 *3120:50 0.00799786 +5 *3120:24 0.00974241 +6 *3120:24 *3161:34 0 +7 *3120:24 *3387:44 0 +8 *3120:24 *3665:21 0 +9 *3120:24 *3757:22 0 +10 *3120:24 *4113:53 0 +11 *3120:24 *4128:50 0 +12 *3120:50 *3603:35 0 +13 *3120:50 *3691:37 0 +14 *3120:50 *3751:23 0 +15 *3120:50 *3751:28 0 +16 *3120:50 *3757:11 0 +17 *3120:50 *3786:59 0 +18 *3120:50 *4341:22 0 +19 *3120:50 *4350:80 0 +20 *3120:50 *4363:28 0 +21 *3120:50 *4370:32 0 +22 *3120:50 *4378:50 0 +23 *3120:50 *4378:58 0 +24 *37606:A *3120:50 0 +25 *37828:B *3120:50 0 +26 *40840:A *37818:B 0 +27 *1313:14 *3120:50 0 +28 *2274:47 *3120:50 0 +29 *2287:20 *3120:50 0 +30 *2324:37 *3120:50 0 +31 *2340:20 *5669:DIODE 0 +32 *2340:20 *3120:50 0 +33 *2732:67 *3120:50 0 +34 *2749:93 *3120:24 0 +35 *2962:87 *3120:50 0 +36 *3078:31 *3120:24 0 +37 *3080:95 *3120:50 0 +38 *3085:93 *3120:50 0 +*RES +1 *40247:X *3120:24 49.8132 +2 *3120:24 *3120:50 40.3829 +3 *3120:50 *37818:B 14.3357 +4 *3120:50 *5669:DIODE 15.7464 +*END + +*D_NET *3121 0.0216867 +*CONN +*I *8066:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40247:A I *D sky130_fd_sc_hd__buf_2 +*I *40248:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8066:DIODE 0 +2 *40247:A 0.000222877 +3 *40248:X 0.000648137 +4 *3121:71 0.000324269 +5 *3121:68 0.00167334 +6 *3121:56 0.0056171 +7 *3121:42 0.00610858 +8 *3121:9 0.00425382 +9 *3121:7 0.00283854 +10 *40247:A *3322:131 0 +11 *40247:A *3438:91 0 +12 *40247:A *4024:30 0 +13 *40247:A *4113:53 0 +14 *40247:A *4128:50 0 +15 *3121:7 *3265:23 0 +16 *3121:7 *3785:71 0 +17 *3121:9 *8131:DIODE 0 +18 *3121:9 *3217:21 0 +19 *3121:9 *3300:55 0 +20 *3121:9 *3311:61 0 +21 *3121:9 *3785:67 0 +22 *3121:9 *3785:71 0 +23 *3121:42 *8198:DIODE 0 +24 *3121:42 *3158:16 0 +25 *3121:42 *3217:33 0 +26 *3121:42 *3234:27 0 +27 *3121:42 *3302:11 0 +28 *3121:42 *3319:76 0 +29 *3121:42 *3513:38 0 +30 *3121:42 *3784:82 0 +31 *3121:42 *4045:43 0 +32 *3121:56 *3234:27 0 +33 *3121:56 *3234:35 0 +34 *3121:56 *3312:78 0 +35 *3121:56 *3321:39 0 +36 *3121:56 *3517:21 0 +37 *3121:56 *3784:59 0 +38 *3121:56 *3814:56 0 +39 *3121:56 *3927:84 0 +40 *3121:68 *38316:A 0 +41 *3121:68 *3219:12 0 +42 *3121:68 *3317:44 0 +43 *3121:68 *3977:21 0 +44 *3121:68 *4039:83 0 +45 *3121:68 *4510:30 0 +46 *3121:68 *4514:46 0 +47 *3121:71 *3322:131 0 +48 *3121:71 *3438:91 0 +49 *7592:DIODE *3121:9 0 +50 *39551:A *3121:7 0 +51 *1224:20 *40247:A 0 +52 *1880:22 *3121:7 0 +53 *2425:42 *3121:42 0 +54 *2472:33 *3121:56 0 +55 *2771:23 *3121:7 0 +56 *3105:23 *3121:7 0 +*RES +1 *40248:X *3121:7 22.8893 +2 *3121:7 *3121:9 45.7143 +3 *3121:9 *3121:42 48.6353 +4 *3121:42 *3121:56 49.1005 +5 *3121:56 *3121:68 48.1964 +6 *3121:68 *3121:71 6.67857 +7 *3121:71 *40247:A 23.2286 +8 *3121:71 *8066:DIODE 9.3 +*END + +*D_NET *3122 0.0211998 +*CONN +*I *8067:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40248:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40249:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8067:DIODE 2.56688e-05 +2 *40248:A 0.00107904 +3 *40249:X 0.00404456 +4 *3122:44 0.00161546 +5 *3122:43 0.0023666 +6 *3122:40 0.00200844 +7 *3122:35 0.00303177 +8 *3122:34 0.00293143 +9 *3122:31 0.00409681 +10 *8067:DIODE *3294:53 0 +11 *40248:A *40345:A 0 +12 *40248:A *40350:A 0 +13 *40248:A *40369:A 0 +14 *40248:A *3245:51 0 +15 *40248:A *3257:35 0 +16 *40248:A *3294:24 0 +17 *40248:A *3504:42 0 +18 *40248:A *3777:65 0 +19 *40248:A *4754:12 0 +20 *3122:31 *4524:73 0 +21 *3122:31 *5166:78 0 +22 *3122:31 *5168:49 0 +23 *3122:34 *3188:74 0 +24 *3122:35 *3211:49 0 +25 *3122:35 *3697:73 0 +26 *3122:35 *3697:99 0 +27 *3122:35 *3722:25 0 +28 *3122:35 *3793:42 0 +29 *3122:35 *5182:81 0 +30 *3122:43 *3198:37 0 +31 *3122:43 *3261:26 0 +32 *3122:43 *3272:20 0 +33 *3122:43 *3285:31 0 +34 *3122:43 *4149:47 0 +35 *3122:44 *3422:46 0 +36 *3122:44 *3423:10 0 +37 *3122:44 *4255:27 0 +38 *38096:C *40248:A 0 +39 *40556:A *3122:31 0 +40 *544:12 *3122:31 0 +41 *798:23 *3122:31 0 +42 *1435:84 *3122:43 0 +43 *1828:47 *3122:31 0 +44 *1880:22 *40248:A 0 +45 *2397:21 *3122:35 0 +46 *2712:190 *40248:A 0 +47 *2782:14 *3122:31 0 +48 *2882:46 *3122:31 0 +49 *2916:29 *3122:31 0 +50 *3086:28 *40248:A 0 +*RES +1 *40249:X *3122:31 48.895 +2 *3122:31 *3122:34 5.6875 +3 *3122:34 *3122:35 60.0893 +4 *3122:35 *3122:40 12.4643 +5 *3122:40 *3122:43 43.2321 +6 *3122:43 *3122:44 11.6607 +7 *3122:44 *40248:A 45.2804 +8 *3122:44 *8067:DIODE 14.3357 +*END + +*D_NET *3123 0.0209427 +*CONN +*I *5575:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37740:B I *D sky130_fd_sc_hd__and2_1 +*I *40250:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *5575:DIODE 0.000354007 +2 *37740:B 8.55626e-05 +3 *40250:X 0.000963908 +4 *3123:70 0.000952819 +5 *3123:67 0.00235011 +6 *3123:61 0.00548204 +7 *3123:59 0.00471241 +8 *3123:39 0.00307258 +9 *3123:31 0.00296926 +10 *37740:B *3624:51 0 +11 *3123:31 *40315:A 0 +12 *3123:31 *3196:10 0 +13 *3123:31 *3201:19 0 +14 *3123:31 *3438:57 0 +15 *3123:39 *3201:26 0 +16 *3123:39 *3823:25 0 +17 *3123:39 *4353:9 0 +18 *3123:59 *3196:35 0 +19 *3123:59 *3196:37 0 +20 *3123:59 *3201:29 0 +21 *3123:59 *3812:21 0 +22 *3123:59 *3817:18 0 +23 *3123:59 *4359:32 0 +24 *3123:61 *3196:37 0 +25 *3123:61 *3363:15 0 +26 *3123:61 *3812:11 0 +27 *3123:67 *3599:35 0 +28 *3123:67 *3643:9 0 +29 *3123:67 *4101:25 0 +30 *3123:67 *4321:17 0 +31 *3123:67 *4353:9 0 +32 *6324:DIODE *3123:31 0 +33 *8490:DIODE *3123:31 0 +34 *8769:DIODE *3123:31 0 +35 *1269:12 *37740:B 0 +36 *1289:20 *3123:70 0 +37 *1289:28 *5575:DIODE 0 +38 *1289:28 *3123:70 0 +39 *1406:19 *37740:B 0 +40 *2047:16 *3123:39 0 +41 *2073:72 *3123:31 0 +42 *2077:71 *3123:31 0 +43 *2226:13 *5575:DIODE 0 +44 *2226:13 *3123:70 0 +45 *2231:7 *3123:70 0 +46 *2232:15 *3123:70 0 +47 *2251:11 *3123:67 0 +48 *2272:78 *3123:39 0 +49 *2301:38 *3123:39 0 +50 *2306:18 *3123:61 0 +51 *2314:10 *3123:39 0 +52 *2325:27 *3123:59 0 +53 *2342:17 *3123:67 0 +54 *2852:33 *5575:DIODE 0 +55 *2857:53 *5575:DIODE 0 +56 *3054:24 *3123:31 0 +*RES +1 *40250:X *3123:31 43.8714 +2 *3123:31 *3123:39 47.3393 +3 *3123:39 *3123:59 41.1964 +4 *3123:59 *3123:61 76.1071 +5 *3123:61 *3123:67 47.5179 +6 *3123:67 *3123:70 16.1607 +7 *3123:70 *37740:B 15.5857 +8 *3123:70 *5575:DIODE 21.675 +*END + +*D_NET *3124 0.0239642 +*CONN +*I *8068:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40250:A I *D sky130_fd_sc_hd__buf_4 +*I *40251:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8068:DIODE 0 +2 *40250:A 0.000839668 +3 *40251:X 0.00273677 +4 *3124:49 0.00220134 +5 *3124:39 0.00255467 +6 *3124:31 0.00530898 +7 *3124:29 0.00585098 +8 *3124:17 0.00447176 +9 *40250:A *8126:DIODE 0 +10 *40250:A *3320:129 0 +11 *40250:A *3702:14 0 +12 *40250:A *3747:45 0 +13 *40250:A *4230:25 0 +14 *3124:17 *8692:DIODE 0 +15 *3124:17 *8858:DIODE 0 +16 *3124:17 *41238:A 0 +17 *3124:17 *3187:13 0 +18 *3124:17 *3317:176 0 +19 *3124:17 *3528:20 0 +20 *3124:17 *3709:27 0 +21 *3124:17 *3769:51 0 +22 *3124:17 *3820:61 0 +23 *3124:17 *3955:26 0 +24 *3124:17 *4014:13 0 +25 *3124:17 *4058:38 0 +26 *3124:17 *4114:53 0 +27 *3124:17 *4289:21 0 +28 *3124:29 *3687:31 0 +29 *3124:29 *3687:42 0 +30 *3124:29 *3825:67 0 +31 *3124:31 *3687:21 0 +32 *3124:31 *3687:31 0 +33 *3124:31 *3789:98 0 +34 *3124:31 *4100:13 0 +35 *3124:39 *3687:21 0 +36 *3124:39 *3825:25 0 +37 *3124:49 *3984:19 0 +38 *3124:49 *4124:18 0 +39 *3124:49 *4321:26 0 +40 *3124:49 *4374:21 0 +41 *6462:DIODE *40250:A 0 +42 *8462:DIODE *40250:A 0 +43 *8462:DIODE *3124:49 0 +44 *1318:26 *3124:17 0 +45 *1411:55 *3124:31 0 +46 *1820:39 *3124:49 0 +47 *2278:54 *3124:49 0 +48 *2347:33 *3124:49 0 +49 *2421:63 *3124:31 0 +50 *2432:33 *3124:29 0 +51 *2915:10 *3124:29 0 +52 *2930:19 *3124:17 0 +53 *2939:59 *3124:39 0 +54 *3054:47 *3124:31 0 +55 *3054:51 *3124:31 0 +*RES +1 *40251:X *3124:17 47.5073 +2 *3124:17 *3124:29 44.2046 +3 *3124:29 *3124:31 85.9643 +4 *3124:31 *3124:39 38.4821 +5 *3124:39 *3124:49 40.0488 +6 *3124:49 *40250:A 45.1393 +7 *3124:49 *8068:DIODE 9.3 +*END + +*D_NET *3125 0.0215066 +*CONN +*I *40251:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8069:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40252:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40251:A 0.000646405 +2 *8069:DIODE 0 +3 *40252:X 0.00345914 +4 *3125:48 0.00111722 +5 *3125:46 0.00664774 +6 *3125:22 0.00963607 +7 *40251:A *3129:21 0 +8 *40251:A *3187:13 0 +9 *40251:A *3198:55 0 +10 *40251:A *3256:23 0 +11 *3125:22 *3135:26 0 +12 *3125:22 *3413:60 0 +13 *3125:22 *5194:22 0 +14 *3125:22 *5196:39 0 +15 *3125:46 *39024:A 0 +16 *3125:46 *3276:26 0 +17 *3125:46 *3276:53 0 +18 *3125:46 *3413:14 0 +19 *3125:46 *3413:30 0 +20 *3125:46 *4182:20 0 +21 *3125:46 *4182:68 0 +22 *3125:46 *5194:29 0 +23 *3125:46 *5196:39 0 +24 *3125:48 *7122:DIODE 0 +25 *3125:48 *5092:21 0 +26 *6591:DIODE *3125:46 0 +27 *38465:A *3125:46 0 +28 *521:5 *3125:46 0 +29 *785:43 *3125:46 0 +30 *791:50 *3125:22 0 +31 *2404:10 *3125:46 0 +32 *2406:16 *3125:46 0 +33 *2460:15 *3125:46 0 +34 *2916:29 *3125:22 0 +35 *2984:36 *3125:22 0 +36 *3025:21 *3125:46 0 +37 *3041:11 *3125:46 0 +38 *3041:25 *3125:46 0 +39 *3105:42 *3125:46 0 +40 *3106:51 *3125:22 0 +*RES +1 *40252:X *3125:22 47.0533 +2 *3125:22 *3125:46 43.7091 +3 *3125:46 *3125:48 10.7232 +4 *3125:48 *8069:DIODE 13.8 +5 *3125:48 *40251:A 28.175 +*END + +*D_NET *3126 0.00217112 +*CONN +*I *40547:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38491:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40547:A 0 +2 *38491:X 0.00108556 +3 *3126:29 0.00108556 +4 *3126:29 *40499:A 0 +5 *3126:29 *5105:9 0 +6 *3126:29 *5105:22 0 +7 *401:34 *3126:29 0 +8 *785:43 *3126:29 0 +9 *1030:54 *3126:29 0 +10 *2712:162 *3126:29 0 +11 *2860:20 *3126:29 0 +12 *3043:52 *3126:29 0 +*RES +1 *38491:X *3126:29 44.7448 +2 *3126:29 *40547:A 9.3 +*END + +*D_NET *3127 0.0161042 +*CONN +*I *37508:B I *D sky130_fd_sc_hd__and2_1 +*I *5314:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38492:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *37508:B 0.000175483 +2 *5314:DIODE 8.4707e-05 +3 *38492:X 0.00141056 +4 *3127:32 0.00205692 +5 *3127:25 0.0055433 +6 *3127:24 0.00458461 +7 *3127:17 0.0022486 +8 *5314:DIODE *3631:61 0 +9 *37508:B *3585:32 0 +10 *37508:B *3613:37 0 +11 *37508:B *3628:39 0 +12 *37508:B *3644:72 0 +13 *37508:B *4203:19 0 +14 *3127:17 *3304:45 0 +15 *3127:17 *3431:22 0 +16 *3127:17 *3468:10 0 +17 *3127:17 *3468:13 0 +18 *3127:17 *3874:18 0 +19 *3127:17 *4433:25 0 +20 *3127:24 *3468:13 0 +21 *3127:24 *3468:21 0 +22 *3127:24 *3874:18 0 +23 *3127:24 *3920:18 0 +24 *3127:24 *4814:8 0 +25 *3127:25 *39558:A 0 +26 *3127:25 *3215:23 0 +27 *3127:25 *3215:34 0 +28 *3127:25 *3466:42 0 +29 *3127:25 *3894:27 0 +30 *3127:32 *5756:DIODE 0 +31 *3127:32 *37882:B 0 +32 *3127:32 *3585:32 0 +33 *3127:32 *3644:72 0 +34 *3127:32 *3874:25 0 +35 *3127:32 *4127:34 0 +36 *3127:32 *4167:40 0 +37 *3127:32 *4184:83 0 +38 *3127:32 *4249:28 0 +39 *3127:32 *4811:10 0 +40 *37339:A *3127:25 0 +41 *37523:A *3127:25 0 +42 *39933:A *3127:32 0 +43 *297:13 *37508:B 0 +44 *297:13 *3127:32 0 +45 *1148:12 *3127:25 0 +46 *1148:12 *3127:32 0 +47 *1340:10 *37508:B 0 +48 *1340:10 *3127:32 0 +49 *1350:46 *37508:B 0 +50 *1777:11 *3127:25 0 +51 *1778:20 *3127:17 0 +52 *1783:17 *3127:24 0 +53 *1783:17 *3127:25 0 +54 *2351:8 *3127:17 0 +55 *2363:22 *3127:17 0 +56 *3068:25 *3127:25 0 +57 *3068:25 *3127:32 0 +58 *3096:16 *3127:25 0 +*RES +1 *38492:X *3127:17 49.8 +2 *3127:17 *3127:24 26.6786 +3 *3127:24 *3127:25 78.1607 +4 *3127:25 *3127:32 44.125 +5 *3127:32 *5314:DIODE 15.5679 +6 *3127:32 *37508:B 17.8 +*END + +*D_NET *3128 0.0196642 +*CONN +*I *5619:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37772:B I *D sky130_fd_sc_hd__and2_1 +*I *40253:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *5619:DIODE 0 +2 *37772:B 0.000330733 +3 *40253:X 0.00561251 +4 *3128:39 0.00421958 +5 *3128:19 0.00950136 +6 *37772:B *4046:12 0 +7 *3128:19 *3158:16 0 +8 *3128:19 *3233:29 0 +9 *3128:19 *3533:49 0 +10 *3128:19 *3933:49 0 +11 *3128:19 *4027:32 0 +12 *3128:19 *4112:78 0 +13 *3128:19 *4252:37 0 +14 *3128:19 *4496:52 0 +15 *3128:19 *4509:22 0 +16 *3128:39 *3206:46 0 +17 *3128:39 *3233:29 0 +18 *3128:39 *3312:23 0 +19 *3128:39 *3328:43 0 +20 *3128:39 *3355:42 0 +21 *3128:39 *3530:41 0 +22 *3128:39 *3933:49 0 +23 *3128:39 *4112:40 0 +24 *3128:39 *4265:36 0 +25 *41060:A *37772:B 0 +26 *41060:A *3128:39 0 +27 *1996:41 *37772:B 0 +28 *3053:34 *3128:19 0 +*RES +1 *40253:X *3128:19 44.4663 +2 *3128:19 *3128:39 30.6083 +3 *3128:39 *37772:B 25.6571 +4 *3128:39 *5619:DIODE 9.3 +*END + +*D_NET *3129 0.0194739 +*CONN +*I *8070:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40253:A I *D sky130_fd_sc_hd__buf_2 +*I *40254:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8070:DIODE 0 +2 *40253:A 0.000203187 +3 *40254:X 0.000780525 +4 *3129:45 0.00100166 +5 *3129:30 0.00216149 +6 *3129:21 0.00352775 +7 *3129:15 0.00659174 +8 *3129:14 0.00520753 +9 *40253:A *38094:A_N 0 +10 *40253:A *38094:B 0 +11 *40253:A *3256:38 0 +12 *40253:A *3319:49 0 +13 *3129:14 *3266:134 0 +14 *3129:14 *3301:18 0 +15 *3129:14 *3409:21 0 +16 *3129:15 *3203:73 0 +17 *3129:15 *3289:28 0 +18 *3129:15 *3301:18 0 +19 *3129:15 *4019:37 0 +20 *3129:15 *4274:11 0 +21 *3129:21 *8325:DIODE 0 +22 *3129:21 *40521:A 0 +23 *3129:21 *3187:13 0 +24 *3129:21 *3202:24 0 +25 *3129:21 *3256:23 0 +26 *3129:21 *3289:28 0 +27 *3129:21 *3440:67 0 +28 *3129:21 *4019:37 0 +29 *3129:21 *4264:54 0 +30 *3129:30 *3148:20 0 +31 *3129:30 *3202:36 0 +32 *3129:30 *3202:42 0 +33 *3129:30 *3256:23 0 +34 *3129:30 *4286:65 0 +35 *3129:45 *8707:DIODE 0 +36 *3129:45 *3256:38 0 +37 *3129:45 *4117:51 0 +38 *40171:A *3129:14 0 +39 *40207:A *3129:15 0 +40 *40251:A *3129:21 0 +41 *404:34 *3129:14 0 +42 *1235:38 *40253:A 0 +43 *1235:55 *40253:A 0 +44 *1235:55 *3129:30 0 +45 *1235:55 *3129:45 0 +46 *1441:29 *3129:15 0 +47 *1441:51 *3129:15 0 +48 *2671:44 *3129:45 0 +49 *3037:20 *3129:14 0 +50 *3084:11 *3129:21 0 +51 *3113:117 *3129:14 0 +*RES +1 *40254:X *3129:14 35.8357 +2 *3129:14 *3129:15 92.3304 +3 *3129:15 *3129:21 49.6161 +4 *3129:21 *3129:30 42.0893 +5 *3129:30 *3129:45 26.375 +6 *3129:45 *40253:A 22.9161 +7 *3129:45 *8070:DIODE 9.3 +*END + +*D_NET *3130 0.0186953 +*CONN +*I *8071:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40254:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40255:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8071:DIODE 0 +2 *40254:A 0.00138291 +3 *40255:X 0.00558526 +4 *3130:35 0.00218137 +5 *3130:26 0.00237948 +6 *3130:20 0.00716628 +7 *40254:A *40212:A 0 +8 *40254:A *3135:39 0 +9 *40254:A *3240:63 0 +10 *3130:20 *8996:DIODE 0 +11 *3130:20 *40282:A 0 +12 *3130:20 *3230:27 0 +13 *3130:20 *3240:25 0 +14 *3130:20 *3257:23 0 +15 *3130:20 *3357:30 0 +16 *3130:20 *3395:49 0 +17 *3130:20 *4533:65 0 +18 *3130:20 *4554:53 0 +19 *3130:20 *4555:19 0 +20 *3130:20 *4556:45 0 +21 *3130:20 *4755:23 0 +22 *3130:20 *4774:25 0 +23 *3130:20 *5166:78 0 +24 *3130:20 *5186:28 0 +25 *3130:20 *5186:65 0 +26 *3130:26 *40342:A 0 +27 *3130:26 *3230:27 0 +28 *3130:26 *4360:25 0 +29 *3130:26 *4536:21 0 +30 *3130:26 *4590:93 0 +31 *3130:35 *3262:86 0 +32 *3130:35 *4238:59 0 +33 *3130:35 *4550:91 0 +34 *6935:DIODE *3130:20 0 +35 *39580:A *40254:A 0 +36 *39580:A *3130:35 0 +37 *404:58 *40254:A 0 +38 *789:49 *40254:A 0 +39 *1001:100 *3130:20 0 +40 *1028:94 *3130:26 0 +41 *1840:93 *3130:35 0 +42 *1860:28 *3130:20 0 +43 *1860:57 *3130:20 0 +44 *1862:69 *3130:20 0 +45 *2381:18 *40254:A 0 +46 *3017:55 *3130:20 0 +47 *3037:66 *3130:35 0 +48 *3041:55 *3130:35 0 +49 *3081:16 *40254:A 0 +*RES +1 *40255:X *3130:20 47.288 +2 *3130:20 *3130:26 15.8872 +3 *3130:26 *3130:35 25.8036 +4 *3130:35 *40254:A 38.1929 +5 *3130:26 *8071:DIODE 9.3 +*END + +*D_NET *3131 0.0202935 +*CONN +*I *37770:B I *D sky130_fd_sc_hd__and2_1 +*I *5616:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40256:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *37770:B 0.000284019 +2 *5616:DIODE 0 +3 *40256:X 0.0014299 +4 *3131:38 0.000877493 +5 *3131:35 0.00247495 +6 *3131:28 0.0036657 +7 *3131:19 0.0057261 +8 *3131:18 0.00417365 +9 *3131:10 0.00166168 +10 *37770:B *4214:73 0 +11 *37770:B *4392:89 0 +12 *3131:10 *40465:A 0 +13 *3131:10 *3137:19 0 +14 *3131:10 *3373:18 0 +15 *3131:10 *3374:104 0 +16 *3131:10 *3846:10 0 +17 *3131:10 *3921:11 0 +18 *3131:10 *4200:11 0 +19 *3131:10 *4410:22 0 +20 *3131:10 *4447:69 0 +21 *3131:18 *3232:40 0 +22 *3131:18 *4151:7 0 +23 *3131:19 *40958:A 0 +24 *3131:19 *3137:21 0 +25 *3131:19 *3374:76 0 +26 *3131:19 *4152:33 0 +27 *3131:19 *4200:11 0 +28 *3131:19 *4200:13 0 +29 *3131:19 *4200:24 0 +30 *3131:19 *4447:51 0 +31 *3131:19 *4447:69 0 +32 *3131:28 *37954:C 0 +33 *3131:28 *40195:A 0 +34 *3131:28 *3137:21 0 +35 *3131:28 *3857:59 0 +36 *3131:28 *3925:7 0 +37 *3131:28 *3925:9 0 +38 *3131:28 *4200:24 0 +39 *3131:28 *4454:22 0 +40 *3131:28 *4993:17 0 +41 *3131:35 *3872:11 0 +42 *3131:35 *3925:9 0 +43 *3131:35 *4151:37 0 +44 *3131:35 *4904:52 0 +45 *3131:35 *5197:13 0 +46 *3131:38 *3371:12 0 +47 *3131:38 *3974:31 0 +48 *3131:38 *4141:21 0 +49 *8828:DIODE *3131:10 0 +50 *40954:A *3131:10 0 +51 *343:13 *3131:38 0 +52 *1787:11 *3131:35 0 +53 *2004:29 *3131:18 0 +54 *2009:16 *3131:18 0 +55 *2022:23 *3131:19 0 +56 *2064:22 *3131:28 0 +57 *2064:31 *3131:38 0 +58 *2066:23 *3131:28 0 +59 *2186:29 *3131:10 0 +*RES +1 *40256:X *3131:10 48.3 +2 *3131:10 *3131:18 14.0714 +3 *3131:18 *3131:19 82.2679 +4 *3131:19 *3131:28 46.3214 +5 *3131:28 *3131:35 48.375 +6 *3131:35 *3131:38 17.9821 +7 *3131:38 *5616:DIODE 13.8 +8 *3131:38 *37770:B 20.1036 +*END + +*D_NET *3132 0.0181921 +*CONN +*I *8072:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40256:A I *D sky130_fd_sc_hd__buf_6 +*I *40257:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *8072:DIODE 0 +2 *40256:A 0.00016411 +3 *40257:X 0.00129845 +4 *3132:23 0.00215943 +5 *3132:15 0.00493204 +6 *3132:13 0.00295726 +7 *3132:11 0.00270143 +8 *3132:10 0.00397934 +9 *40256:A *3137:19 0 +10 *40256:A *3373:18 0 +11 *3132:10 *8073:DIODE 0 +12 *3132:10 *3147:37 0 +13 *3132:10 *3147:63 0 +14 *3132:10 *3255:38 0 +15 *3132:10 *3255:49 0 +16 *3132:10 *3373:83 0 +17 *3132:10 *3969:18 0 +18 *3132:11 *3147:73 0 +19 *3132:11 *3223:23 0 +20 *3132:15 *38012:C 0 +21 *3132:15 *40431:A 0 +22 *3132:15 *3156:28 0 +23 *3132:15 *3902:25 0 +24 *3132:15 *3902:39 0 +25 *3132:15 *3934:14 0 +26 *3132:15 *4243:21 0 +27 *3132:15 *4292:16 0 +28 *3132:15 *4481:25 0 +29 *3132:15 *4845:15 0 +30 *3132:23 *8182:DIODE 0 +31 *3132:23 *3906:8 0 +32 *3132:23 *4139:10 0 +33 *3132:23 *4148:5 0 +34 *3132:23 *4189:22 0 +35 *8839:DIODE *3132:15 0 +36 *38012:B *3132:15 0 +37 *40998:A *3132:10 0 +38 *41094:A *3132:15 0 +39 *41094:A *3132:23 0 +40 *41150:A *3132:23 0 +41 *41156:A *3132:11 0 +42 *41244:A *3132:15 0 +43 *41289:A *3132:15 0 +44 *1405:30 *3132:11 0 +45 *1966:31 *3132:10 0 +46 *2037:22 *3132:23 0 +47 *2072:10 *3132:10 0 +48 *2091:19 *3132:15 0 +49 *2098:13 *40256:A 0 +50 *2108:26 *40256:A 0 +51 *2122:38 *3132:11 0 +52 *2122:38 *3132:15 0 +53 *2132:10 *3132:23 0 +54 *2132:42 *3132:10 0 +*RES +1 *40257:X *3132:10 45.5321 +2 *3132:10 *3132:11 55.9821 +3 *3132:11 *3132:13 0.428571 +4 *3132:13 *3132:15 61.3214 +5 *3132:15 *3132:23 41.7679 +6 *3132:23 *40256:A 21.9071 +7 *3132:23 *8072:DIODE 9.3 +*END + +*D_NET *3133 0.0201746 +*CONN +*I *40257:A I *D sky130_fd_sc_hd__buf_6 +*I *8073:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40258:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *40257:A 0 +2 *8073:DIODE 0.000242142 +3 *40258:X 0.001107 +4 *3133:72 0.000242142 +5 *3133:70 0.00203368 +6 *3133:65 0.00402515 +7 *3133:61 0.00359852 +8 *3133:40 0.00320819 +9 *3133:21 0.00310597 +10 *3133:12 0.00261183 +11 *8073:DIODE *3147:37 0 +12 *8073:DIODE *3255:38 0 +13 *3133:12 *3224:46 0 +14 *3133:12 *4032:81 0 +15 *3133:12 *4281:42 0 +16 *3133:12 *4303:140 0 +17 *3133:21 *8223:DIODE 0 +18 *3133:21 *3224:46 0 +19 *3133:21 *3317:29 0 +20 *3133:21 *4032:71 0 +21 *3133:21 *4083:63 0 +22 *3133:21 *4281:42 0 +23 *3133:40 *4032:60 0 +24 *3133:40 *4083:52 0 +25 *3133:40 *4246:27 0 +26 *3133:40 *4250:46 0 +27 *3133:40 *4303:112 0 +28 *3133:61 *3157:5 0 +29 *3133:61 *3243:41 0 +30 *3133:61 *3255:13 0 +31 *3133:61 *3327:31 0 +32 *3133:61 *3355:75 0 +33 *3133:61 *4128:13 0 +34 *3133:61 *4128:22 0 +35 *3133:61 *4305:19 0 +36 *3133:65 *3327:31 0 +37 *3133:65 *4046:24 0 +38 *3133:65 *4128:13 0 +39 *3133:65 *4305:13 0 +40 *3133:70 *4305:13 0 +41 *40978:A *3133:70 0 +42 *1975:25 *8073:DIODE 0 +43 *1975:25 *3133:70 0 +44 *1981:62 *3133:40 0 +45 *1987:13 *3133:70 0 +46 *2065:18 *3133:70 0 +47 *2077:68 *3133:61 0 +48 *2113:14 *3133:65 0 +49 *2113:14 *3133:70 0 +50 *2131:60 *3133:65 0 +51 *2131:78 *3133:61 0 +52 *3132:10 *8073:DIODE 0 +*RES +1 *40258:X *3133:12 46.4071 +2 *3133:12 *3133:21 36 +3 *3133:21 *3133:40 49.5182 +4 *3133:40 *3133:61 47.8214 +5 *3133:61 *3133:65 41.625 +6 *3133:65 *3133:70 47.3036 +7 *3133:70 *3133:72 4.5 +8 *3133:72 *8073:DIODE 14.3536 +9 *3133:72 *40257:A 9.3 +*END + +*D_NET *3134 0.021462 +*CONN +*I *8074:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40258:A I *D sky130_fd_sc_hd__buf_4 +*I *40259:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8074:DIODE 0 +2 *40258:A 0.000188131 +3 *40259:X 0.00193698 +4 *3134:59 0.00120437 +5 *3134:45 0.00292003 +6 *3134:23 0.00682963 +7 *3134:22 0.00568589 +8 *3134:16 0.00269702 +9 *40258:A *4286:39 0 +10 *40258:A *4297:55 0 +11 *3134:16 *3141:30 0 +12 *3134:22 *3417:7 0 +13 *3134:22 *3422:14 0 +14 *3134:22 *4753:17 0 +15 *3134:23 *3430:53 0 +16 *3134:23 *3430:55 0 +17 *3134:23 *3788:52 0 +18 *3134:23 *4204:32 0 +19 *3134:23 *4648:17 0 +20 *3134:45 *4507:27 0 +21 *3134:45 *4875:36 0 +22 *3134:45 *4878:42 0 +23 *3134:59 *37640:A 0 +24 *3134:59 *3207:64 0 +25 *3134:59 *3300:21 0 +26 *3134:59 *3785:48 0 +27 *3134:59 *3807:49 0 +28 *3134:59 *3971:33 0 +29 *3134:59 *4286:39 0 +30 *3134:59 *4297:55 0 +31 *3134:59 *4509:53 0 +32 *3134:59 *4881:73 0 +33 la_data_in_mprj[109] *3134:16 0 +34 *6578:DIODE *3134:45 0 +35 *6861:DIODE *3134:45 0 +36 *8020:DIODE *3134:45 0 +37 *38460:A *3134:16 0 +38 *40119:A *3134:45 0 +39 *40177:A *3134:45 0 +40 *398:11 *3134:16 0 +41 *638:12 *3134:45 0 +42 *2389:29 *3134:16 0 +43 *2406:16 *3134:16 0 +44 *2538:19 *3134:45 0 +45 *2727:18 *3134:23 0 +46 *2760:44 *3134:23 0 +47 *2816:19 *3134:16 0 +48 *3015:12 *3134:16 0 +49 *3041:11 *3134:45 0 +50 *3086:56 *3134:59 0 +51 *3087:98 *3134:16 0 +*RES +1 *40259:X *3134:16 45.5274 +2 *3134:16 *3134:22 24.9464 +3 *3134:22 *3134:23 102.804 +4 *3134:23 *3134:45 49.2624 +5 *3134:45 *3134:59 36.1071 +6 *3134:59 *40258:A 13.3134 +7 *3134:59 *8074:DIODE 9.3 +*END + +*D_NET *3135 0.0198996 +*CONN +*I *8075:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40259:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40260:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8075:DIODE 0 +2 *40259:A 0 +3 *40260:X 0.00732267 +4 *3135:59 0.00154626 +5 *3135:39 0.00262713 +6 *3135:26 0.00840355 +7 *3135:26 *3413:30 0 +8 *3135:26 *3413:60 0 +9 *3135:26 *4524:73 0 +10 *3135:26 *4532:38 0 +11 *3135:26 *4550:11 0 +12 *3135:26 *4553:20 0 +13 *3135:26 *5100:45 0 +14 *3135:26 *5165:61 0 +15 *3135:26 *5168:18 0 +16 *3135:26 *5190:43 0 +17 *3135:26 *5194:22 0 +18 *3135:26 *5194:29 0 +19 *3135:26 *5196:17 0 +20 *3135:39 *40377:A 0 +21 *3135:39 *40496:A 0 +22 *3135:39 *3407:13 0 +23 *3135:39 *4149:88 0 +24 *3135:39 *5196:39 0 +25 *3135:59 *7128:DIODE 0 +26 *3135:59 *8201:DIODE 0 +27 *3135:59 *8203:DIODE 0 +28 *3135:59 *8207:DIODE 0 +29 *3135:59 *8213:DIODE 0 +30 *3135:59 *40377:A 0 +31 *3135:59 *3265:18 0 +32 *3135:59 *3272:14 0 +33 *3135:59 *3435:98 0 +34 *3135:59 *5188:60 0 +35 *6599:DIODE *3135:59 0 +36 *6627:DIODE *3135:59 0 +37 *6756:DIODE *3135:26 0 +38 *7508:DIODE *3135:26 0 +39 *7982:DIODE *3135:26 0 +40 *40205:A *3135:59 0 +41 *40254:A *3135:39 0 +42 *791:50 *3135:26 0 +43 *1006:38 *3135:26 0 +44 *1731:51 *3135:26 0 +45 *1731:67 *3135:26 0 +46 *1862:46 *3135:26 0 +47 *2381:18 *3135:39 0 +48 *2381:18 *3135:59 0 +49 *2984:36 *3135:39 0 +50 *2984:58 *3135:26 0 +51 *3033:16 *3135:26 0 +52 *3033:29 *3135:26 0 +53 *3125:22 *3135:26 0 +*RES +1 *40260:X *3135:26 49.2218 +2 *3135:26 *3135:39 30.9183 +3 *3135:39 *3135:59 41.5179 +4 *3135:59 *40259:A 9.3 +5 *3135:39 *8075:DIODE 9.3 +*END + +*D_NET *3136 0.0198001 +*CONN +*I *37768:B I *D sky130_fd_sc_hd__and2_1 +*I *5613:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40261:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *37768:B 0.000154168 +2 *5613:DIODE 5.22648e-05 +3 *40261:X 0.000952687 +4 *3136:48 0.00120383 +5 *3136:39 0.0049983 +6 *3136:38 0.00430038 +7 *3136:35 0.00231575 +8 *3136:32 0.00344316 +9 *3136:14 0.00237957 +10 *37768:B *4150:27 0 +11 *37768:B *4163:9 0 +12 *3136:14 *38198:B 0 +13 *3136:14 *40926:A 0 +14 *3136:14 *3241:25 0 +15 *3136:14 *3859:36 0 +16 *3136:14 *4132:58 0 +17 *3136:14 *4392:80 0 +18 *3136:14 *4904:52 0 +19 *3136:32 *40953:A 0 +20 *3136:32 *4068:45 0 +21 *3136:32 *4132:74 0 +22 *3136:32 *4391:38 0 +23 *3136:32 *4440:20 0 +24 *3136:32 *4748:23 0 +25 *3136:35 *37790:A 0 +26 *3136:35 *37790:B 0 +27 *3136:35 *4068:45 0 +28 *3136:35 *4391:41 0 +29 *3136:38 *3383:29 0 +30 *3136:38 *3885:13 0 +31 *3136:38 *4159:63 0 +32 *3136:38 *4442:20 0 +33 *3136:38 *4443:40 0 +34 *3136:39 *3383:33 0 +35 *3136:39 *4194:15 0 +36 *3136:39 *4194:19 0 +37 *3136:39 *4196:41 0 +38 *3136:39 *4214:73 0 +39 *3136:39 *4392:106 0 +40 *3136:48 *3874:12 0 +41 *3136:48 *4199:13 0 +42 *3136:48 *4202:9 0 +43 *3136:48 *4401:42 0 +44 *296:29 *3136:14 0 +45 *321:13 *5613:DIODE 0 +46 *321:13 *37768:B 0 +47 *1294:5 *3136:35 0 +48 *1487:25 *3136:48 0 +49 *1792:11 *3136:48 0 +50 *1974:44 *3136:14 0 +51 *2792:11 *3136:48 0 +52 *2907:11 *3136:35 0 +*RES +1 *40261:X *3136:14 47.6214 +2 *3136:14 *3136:32 39.3393 +3 *3136:32 *3136:35 46.5179 +4 *3136:35 *3136:38 11.3036 +5 *3136:38 *3136:39 83.5 +6 *3136:39 *3136:48 15.8702 +7 *3136:48 *5613:DIODE 14.9875 +8 *3136:48 *37768:B 17.3804 +*END + +*D_NET *3137 0.0190312 +*CONN +*I *8076:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40261:A I *D sky130_fd_sc_hd__buf_6 +*I *40262:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *8076:DIODE 0 +2 *40261:A 0.000311073 +3 *40262:X 0.000967713 +4 *3137:41 0.00114244 +5 *3137:32 0.00216913 +6 *3137:21 0.00571108 +7 *3137:19 0.00606767 +8 *3137:11 0.00266207 +9 *40261:A *3333:30 0 +10 *40261:A *4173:19 0 +11 *3137:11 *3373:31 0 +12 *3137:11 *3374:104 0 +13 *3137:19 *40465:A 0 +14 *3137:19 *3373:18 0 +15 *3137:19 *3846:10 0 +16 *3137:19 *3921:11 0 +17 *3137:19 *4447:69 0 +18 *3137:21 *40958:A 0 +19 *3137:21 *3374:76 0 +20 *3137:21 *3374:92 0 +21 *3137:21 *3857:59 0 +22 *3137:21 *3873:40 0 +23 *3137:21 *3915:9 0 +24 *3137:21 *4152:33 0 +25 *3137:21 *4447:69 0 +26 *3137:32 *3231:5 0 +27 *3137:32 *3840:71 0 +28 *3137:32 *3913:15 0 +29 *3137:32 *4170:30 0 +30 *3137:32 *4848:15 0 +31 *3137:41 *3333:27 0 +32 *3137:41 *4131:28 0 +33 *3137:41 *4173:19 0 +34 *6304:DIODE *3137:11 0 +35 *8825:DIODE *3137:11 0 +36 *38208:B *3137:11 0 +37 *40256:A *3137:19 0 +38 *40942:A *3137:11 0 +39 *40954:A *3137:19 0 +40 *41203:A *3137:11 0 +41 *41214:A *3137:11 0 +42 *1395:20 *3137:32 0 +43 *1800:25 *3137:32 0 +44 *1971:14 *3137:11 0 +45 *1973:13 *3137:11 0 +46 *2006:28 *3137:11 0 +47 *2009:31 *3137:32 0 +48 *2022:23 *3137:21 0 +49 *2064:21 *3137:32 0 +50 *2066:33 *40261:A 0 +51 *2098:13 *3137:11 0 +52 *2186:29 *3137:19 0 +53 *3059:17 *3137:41 0 +54 *3131:10 *3137:19 0 +55 *3131:19 *3137:21 0 +56 *3131:28 *3137:21 0 +*RES +1 *40262:X *3137:11 29.7107 +2 *3137:11 *3137:19 35.6071 +3 *3137:19 *3137:21 91.3036 +4 *3137:21 *3137:32 46.1607 +5 *3137:32 *3137:41 26.8036 +6 *3137:41 *40261:A 25.2464 +7 *3137:41 *8076:DIODE 9.3 +*END + +*D_NET *3138 0.0037626 +*CONN +*I *37472:B I *D sky130_fd_sc_hd__and2_1 +*I *38493:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *37472:B 0.000222463 +2 *38493:X 0.00165884 +3 *3138:10 0.0018813 +4 *37472:B *3928:35 0 +5 *37472:B *3928:37 0 +6 *3138:10 *4127:14 0 +7 *3138:10 *4371:18 0 +8 *3138:10 *4451:54 0 +9 *3138:10 *4463:23 0 +10 *3138:10 *4504:57 0 +11 *3138:10 *4511:26 0 +12 *6641:DIODE *3138:10 0 +13 *6666:DIODE *3138:10 0 +14 *6770:DIODE *3138:10 0 +15 *6974:DIODE *3138:10 0 +16 *6986:DIODE *3138:10 0 +17 *37473:A *37472:B 0 +18 *38412:A *3138:10 0 +19 *38505:A *3138:10 0 +*RES +1 *38493:X *3138:10 49.0679 +2 *3138:10 *37472:B 18.4429 +*END + +*D_NET *3139 0.0213908 +*CONN +*I *40262:A I *D sky130_fd_sc_hd__buf_6 +*I *8077:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40263:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *40262:A 0.000284351 +2 *8077:DIODE 0 +3 *40263:X 0.000923581 +4 *3139:38 0.000811246 +5 *3139:37 0.00210207 +6 *3139:27 0.00365841 +7 *3139:23 0.00236115 +8 *3139:11 0.00530215 +9 *3139:10 0.00594782 +10 *3139:10 *3228:69 0 +11 *3139:10 *3247:22 0 +12 *3139:10 *3800:9 0 +13 *3139:10 *4079:19 0 +14 *3139:10 *4122:65 0 +15 *3139:10 *4303:14 0 +16 *3139:10 *4492:14 0 +17 *3139:11 *3335:5 0 +18 *3139:11 *4485:14 0 +19 *3139:11 *4489:15 0 +20 *3139:11 *4489:26 0 +21 *3139:23 *3335:5 0 +22 *3139:23 *4480:8 0 +23 *3139:27 *3366:15 0 +24 *3139:27 *3366:19 0 +25 *3139:37 *3332:16 0 +26 *3139:37 *3351:7 0 +27 *3139:37 *3366:15 0 +28 *3139:37 *3366:19 0 +29 *3139:37 *3450:13 0 +30 *6692:DIODE *3139:11 0 +31 *37379:A *3139:11 0 +32 *40942:A *40262:A 0 +33 *41097:A *3139:10 0 +34 *860:9 *3139:23 0 +35 *1809:20 *3139:38 0 +36 *1973:13 *40262:A 0 +37 *2023:22 *3139:11 0 +38 *2023:24 *3139:23 0 +39 *2023:24 *3139:27 0 +40 *2027:40 *3139:38 0 +41 *2033:31 *3139:27 0 +42 *2035:28 *3139:37 0 +43 *2049:13 *3139:37 0 +44 *2078:15 *3139:38 0 +45 *2082:31 *3139:37 0 +46 *2087:15 *3139:10 0 +47 *2088:35 *3139:37 0 +48 *2118:25 *3139:10 0 +49 *2120:40 *3139:23 0 +50 *2120:40 *3139:27 0 +51 *2120:56 *3139:11 0 +52 *2133:28 *3139:10 0 +53 *2154:16 *3139:10 0 +*RES +1 *40263:X *3139:10 38.9429 +2 *3139:10 *3139:11 104.857 +3 *3139:11 *3139:23 14.9464 +4 *3139:23 *3139:27 43.5714 +5 *3139:27 *3139:37 46.6786 +6 *3139:37 *3139:38 11.9643 +7 *3139:38 *8077:DIODE 13.8 +8 *3139:38 *40262:A 20.1393 +*END + +*D_NET *3140 0.0220245 +*CONN +*I *40263:A I *D sky130_fd_sc_hd__buf_6 +*I *8078:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40264:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *40263:A 0.000271655 +2 *8078:DIODE 0.000143745 +3 *40264:X 0.00151675 +4 *3140:98 0.000821878 +5 *3140:97 0.00178087 +6 *3140:84 0.00532488 +7 *3140:60 0.00621888 +8 *3140:36 0.00334876 +9 *3140:18 0.00259712 +10 *8078:DIODE *3934:77 0 +11 *40263:A *3153:72 0 +12 *3140:18 *3148:39 0 +13 *3140:18 *3148:61 0 +14 *3140:18 *3511:73 0 +15 *3140:18 *4500:13 0 +16 *3140:18 *4509:53 0 +17 *3140:18 *4881:62 0 +18 *3140:18 *4881:73 0 +19 *3140:36 *3144:46 0 +20 *3140:36 *3316:29 0 +21 *3140:36 *3436:21 0 +22 *3140:60 *3144:46 0 +23 *3140:60 *3234:35 0 +24 *3140:60 *3314:28 0 +25 *3140:60 *3322:37 0 +26 *3140:60 *3440:9 0 +27 *3140:60 *3511:66 0 +28 *3140:60 *3533:49 0 +29 *3140:60 *3782:69 0 +30 *3140:60 *3797:90 0 +31 *3140:60 *4046:70 0 +32 *3140:60 *4488:84 0 +33 *3140:60 *4496:52 0 +34 *3140:60 *4500:13 0 +35 *3140:84 *3305:35 0 +36 *3140:84 *3314:28 0 +37 *3140:84 *3322:18 0 +38 *3140:84 *3322:37 0 +39 *3140:84 *3518:39 0 +40 *3140:84 *3838:32 0 +41 *3140:84 *3882:30 0 +42 *3140:84 *3939:24 0 +43 *3140:84 *4112:19 0 +44 *3140:84 *4122:74 0 +45 *3140:97 *3335:5 0 +46 *3140:97 *3444:5 0 +47 *3140:97 *3814:17 0 +48 *3140:97 *3814:30 0 +49 *3140:98 *4489:26 0 +50 *1220:14 *3140:36 0 +51 *1967:37 *3140:84 0 +52 *1967:37 *3140:97 0 +53 *1978:25 *3140:98 0 +54 *2051:9 *3140:98 0 +55 *2053:22 *3140:97 0 +56 *2070:37 *40263:A 0 +57 *2087:15 *3140:98 0 +58 *2103:48 *3140:84 0 +59 *2118:28 *3140:97 0 +60 *2154:16 *40263:A 0 +61 *2405:40 *3140:60 0 +62 *2427:21 *3140:84 0 +63 *2431:112 *3140:84 0 +64 *2435:52 *3140:60 0 +65 *2435:52 *3140:84 0 +66 *2437:40 *3140:84 0 +67 *2472:21 *3140:60 0 +68 *2472:33 *3140:18 0 +69 *3055:89 *3140:18 0 +*RES +1 *40264:X *3140:18 47.9432 +2 *3140:18 *3140:36 49.875 +3 *3140:36 *3140:60 49.3306 +4 *3140:60 *3140:84 46.5964 +5 *3140:84 *3140:97 42.4286 +6 *3140:97 *3140:98 9.23214 +7 *3140:98 *8078:DIODE 16.8 +8 *3140:98 *40263:A 19.925 +*END + +*D_NET *3141 0.0220937 +*CONN +*I *40264:A I *D sky130_fd_sc_hd__buf_4 +*I *8079:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40265:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40264:A 0.000151169 +2 *8079:DIODE 0.000104386 +3 *40265:X 0.000829899 +4 *3141:46 0.00126314 +5 *3141:41 0.00296183 +6 *3141:38 0.00208005 +7 *3141:33 0.00530748 +8 *3141:32 0.00518167 +9 *3141:30 0.00169209 +10 *3141:14 0.00252199 +11 *8079:DIODE *3511:73 0 +12 *40264:A *3148:39 0 +13 *40264:A *3517:40 0 +14 *40264:A *4881:62 0 +15 *3141:14 *3410:14 0 +16 *3141:14 *5188:60 0 +17 *3141:30 *3291:37 0 +18 *3141:30 *5188:81 0 +19 *3141:30 *5193:83 0 +20 *3141:33 *3250:49 0 +21 *3141:41 *4884:17 0 +22 *3141:46 *3517:40 0 +23 *3141:46 *4878:42 0 +24 la_data_in_mprj[111] *3141:30 0 +25 *6579:DIODE *3141:46 0 +26 *6741:DIODE *3141:14 0 +27 *40161:A *3141:33 0 +28 *401:51 *3141:14 0 +29 *510:7 *3141:46 0 +30 *531:33 *3141:14 0 +31 *1220:37 *3141:33 0 +32 *1230:27 *3141:30 0 +33 *1884:14 *3141:30 0 +34 *2371:30 *3141:33 0 +35 *2379:44 *3141:38 0 +36 *2389:29 *3141:14 0 +37 *2389:29 *3141:30 0 +38 *2406:16 *3141:14 0 +39 *2406:16 *3141:30 0 +40 *2407:11 *3141:33 0 +41 *2410:25 *3141:33 0 +42 *2415:13 *3141:41 0 +43 *2415:26 *3141:41 0 +44 *2418:14 *3141:33 0 +45 *2805:27 *3141:14 0 +46 *2978:9 *3141:30 0 +47 *2984:20 *3141:30 0 +48 *3134:16 *3141:30 0 +*RES +1 *40265:X *3141:14 37.2671 +2 *3141:14 *3141:30 48.8619 +3 *3141:30 *3141:32 4.5 +4 *3141:32 *3141:33 108.143 +5 *3141:33 *3141:38 11.8571 +6 *3141:38 *3141:41 45.2857 +7 *3141:41 *3141:46 22.9464 +8 *3141:46 *8079:DIODE 15.9786 +9 *3141:46 *40264:A 17.1393 +*END + +*D_NET *3142 0.0220091 +*CONN +*I *40265:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8080:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40266:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40265:A 0.00217723 +2 *8080:DIODE 0.000222463 +3 *40266:X 0.00125324 +4 *3142:106 0.00344698 +5 *3142:91 0.00279583 +6 *3142:74 0.00231431 +7 *3142:50 0.002605 +8 *3142:46 0.00252275 +9 *3142:40 0.00195081 +10 *3142:19 0.00272053 +11 *40265:A *3230:27 0 +12 *40265:A *3235:96 0 +13 *40265:A *3245:51 0 +14 *40265:A *3257:23 0 +15 *40265:A *3257:35 0 +16 *40265:A *3487:20 0 +17 *3142:19 *40378:A 0 +18 *3142:19 *5107:27 0 +19 *3142:40 *40481:A 0 +20 *3142:40 *3392:18 0 +21 *3142:40 *3395:23 0 +22 *3142:40 *4416:20 0 +23 *3142:40 *4550:11 0 +24 *3142:40 *4556:36 0 +25 *3142:40 *4784:45 0 +26 *3142:40 *5102:19 0 +27 *3142:40 *5107:27 0 +28 *3142:91 *5103:18 0 +29 *3142:91 *5175:86 0 +30 *3142:91 *5175:108 0 +31 *3142:91 *5179:133 0 +32 *3142:91 *5191:31 0 +33 *3142:106 *3250:49 0 +34 *3142:106 *5173:66 0 +35 *7990:DIODE *40265:A 0 +36 *37448:A *3142:40 0 +37 *38733:A *3142:40 0 +38 *39399:A *3142:19 0 +39 *39399:A *3142:40 0 +40 *39399:B *3142:19 0 +41 *39399:B *3142:40 0 +42 *39521:A *40265:A 0 +43 *404:58 *3142:106 0 +44 *405:35 *40265:A 0 +45 *407:36 *3142:106 0 +46 *408:23 *3142:106 0 +47 *534:43 *3142:106 0 +48 *536:46 *8080:DIODE 0 +49 *789:49 *40265:A 0 +50 *790:29 *3142:91 0 +51 *901:62 *40265:A 0 +52 *1726:43 *3142:50 0 +53 *1728:42 *3142:40 0 +54 *1731:32 *3142:50 0 +55 *1731:67 *3142:91 0 +56 *1855:22 *3142:74 0 +57 *1855:27 *3142:50 0 +58 *1866:22 *3142:40 0 +59 *2408:26 *3142:91 0 +60 *2408:35 *3142:91 0 +61 *2408:37 *3142:91 0 +62 *2408:37 *3142:106 0 +63 *2410:24 *3142:91 0 +64 *2712:110 *8080:DIODE 0 +65 *2714:57 *3142:19 0 +66 *2927:41 *3142:50 0 +67 *2927:41 *3142:74 0 +68 *2927:41 *3142:91 0 +69 *2960:43 *3142:50 0 +70 *3017:11 *3142:74 0 +71 *3017:31 *3142:74 0 +72 *3030:21 *3142:40 0 +73 *3030:21 *3142:46 0 +74 *3043:75 *40265:A 0 +*RES +1 *40266:X *3142:19 44.8179 +2 *3142:19 *3142:40 49.125 +3 *3142:40 *3142:46 19.7143 +4 *3142:46 *3142:50 47.1429 +5 *3142:50 *3142:74 35 +6 *3142:74 *3142:91 45.8929 +7 *3142:91 *3142:106 28 +8 *3142:106 *8080:DIODE 18.4429 +9 *3142:106 *40265:A 41.3083 +*END + +*D_NET *3143 0.0195388 +*CONN +*I *5610:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37766:B I *D sky130_fd_sc_hd__and2_1 +*I *40267:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *5610:DIODE 0 +2 *37766:B 0.000330812 +3 *40267:X 0.00870988 +4 *3143:31 0.0010595 +5 *3143:25 0.00943857 +6 *37766:B *3365:10 0 +7 *3143:25 *40371:A 0 +8 *3143:25 *3330:33 0 +9 *3143:25 *3792:37 0 +10 *3143:25 *3907:21 0 +11 *3143:25 *3965:20 0 +12 *3143:25 *3992:14 0 +13 *3143:25 *3998:19 0 +14 *3143:25 *4072:20 0 +15 *3143:25 *4072:51 0 +16 *3143:25 *4090:49 0 +17 *3143:25 *4121:27 0 +18 *3143:25 *4129:24 0 +19 *3143:25 *4166:35 0 +20 *3143:25 *4243:21 0 +21 *3143:25 *4243:32 0 +22 *3143:25 *4277:33 0 +23 *3143:25 *4299:23 0 +24 *3143:25 *4302:51 0 +25 *3143:31 *3365:10 0 +26 *3143:31 *4143:8 0 +27 *1282:17 *37766:B 0 +28 *1285:15 *3143:25 0 +29 *1989:23 *37766:B 0 +30 *2029:16 *3143:31 0 +31 *2035:15 *3143:31 0 +32 *2065:50 *3143:25 0 +33 *2099:39 *37766:B 0 +34 *2106:15 *37766:B 0 +35 *2128:28 *3143:25 0 +*RES +1 *40267:X *3143:25 49.75 +2 *3143:25 *3143:31 23.7225 +3 *3143:31 *37766:B 25.6571 +4 *3143:31 *5610:DIODE 9.3 +*END + +*D_NET *3144 0.0191776 +*CONN +*I *40267:A I *D sky130_fd_sc_hd__buf_6 +*I *8081:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40268:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *40267:A 0.000227458 +2 *8081:DIODE 4.53482e-05 +3 *40268:X 0.00144882 +4 *3144:50 0.00177252 +5 *3144:46 0.0031295 +6 *3144:36 0.00273703 +7 *3144:29 0.00280374 +8 *3144:21 0.00363042 +9 *3144:17 0.00338275 +10 *8081:DIODE *4083:63 0 +11 *8081:DIODE *4250:46 0 +12 *40267:A *3255:13 0 +13 *40267:A *4046:52 0 +14 *3144:17 *8320:DIODE 0 +15 *3144:17 *3309:49 0 +16 *3144:17 *3513:38 0 +17 *3144:17 *3513:52 0 +18 *3144:17 *3977:137 0 +19 *3144:17 *3977:151 0 +20 *3144:21 *3309:23 0 +21 *3144:21 *3513:38 0 +22 *3144:21 *3517:65 0 +23 *3144:21 *3529:35 0 +24 *3144:21 *3529:62 0 +25 *3144:21 *3977:137 0 +26 *3144:21 *4221:68 0 +27 *3144:21 *4281:71 0 +28 *3144:21 *4281:75 0 +29 *3144:21 *4281:77 0 +30 *3144:29 *3302:10 0 +31 *3144:29 *3309:23 0 +32 *3144:29 *3436:21 0 +33 *3144:29 *3436:28 0 +34 *3144:29 *3529:35 0 +35 *3144:36 *40410:A 0 +36 *3144:36 *3305:62 0 +37 *3144:36 *3309:10 0 +38 *3144:36 *3316:29 0 +39 *3144:36 *3436:21 0 +40 *3144:46 *3148:61 0 +41 *3144:46 *3440:9 0 +42 *3144:46 *3511:66 0 +43 *3144:46 *4509:53 0 +44 *3144:50 *3148:74 0 +45 *3144:50 *3259:25 0 +46 *3144:50 *3322:56 0 +47 *3144:50 *3440:9 0 +48 *3144:50 *3511:32 0 +49 *3144:50 *3939:62 0 +50 *3144:50 *4295:26 0 +51 *3144:50 *4303:112 0 +52 *3144:50 *4513:48 0 +53 *7973:DIODE *3144:21 0 +54 *8302:DIODE *3144:17 0 +55 *40593:A *3144:46 0 +56 *1227:42 *3144:46 0 +57 *1238:49 *3144:21 0 +58 *2115:70 *3144:50 0 +59 *2383:48 *3144:50 0 +60 *2727:79 *3144:50 0 +61 *3140:36 *3144:46 0 +62 *3140:60 *3144:46 0 +*RES +1 *40268:X *3144:17 49.0143 +2 *3144:17 *3144:21 40.3929 +3 *3144:21 *3144:29 35.5 +4 *3144:29 *3144:36 32.3214 +5 *3144:36 *3144:46 43.1607 +6 *3144:46 *3144:50 36.375 +7 *3144:50 *8081:DIODE 14.7464 +8 *3144:50 *40267:A 18.8357 +*END + +*D_NET *3145 0.0197675 +*CONN +*I *40268:A I *D sky130_fd_sc_hd__buf_4 +*I *8082:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40269:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40268:A 0.00021825 +2 *8082:DIODE 0 +3 *40269:X 0.000720481 +4 *3145:90 0.00208197 +5 *3145:74 0.00361899 +6 *3145:58 0.00390865 +7 *3145:54 0.00244818 +8 *3145:46 0.0013673 +9 *3145:24 0.00287786 +10 *3145:13 0.00252585 +11 *40268:A *3513:52 0 +12 *40268:A *3977:151 0 +13 *40268:A *4171:10 0 +14 *3145:13 *40282:A 0 +15 *3145:13 *5100:19 0 +16 *3145:13 *5102:18 0 +17 *3145:24 *8987:DIODE 0 +18 *3145:24 *3266:92 0 +19 *3145:24 *5100:19 0 +20 *3145:24 *5102:18 0 +21 *3145:46 *3405:22 0 +22 *3145:46 *3417:32 0 +23 *3145:46 *5105:66 0 +24 *3145:54 *3682:15 0 +25 *3145:54 *5105:66 0 +26 *3145:58 *3409:21 0 +27 *3145:58 *3410:14 0 +28 *3145:58 *3417:32 0 +29 *3145:58 *5101:13 0 +30 *3145:58 *5105:22 0 +31 *3145:74 *39026:A 0 +32 *3145:74 *3500:55 0 +33 *3145:74 *5101:13 0 +34 *3145:74 *5105:9 0 +35 *3145:74 *5193:83 0 +36 *3145:74 *5196:39 0 +37 *3145:90 *3744:70 0 +38 *3145:90 *4171:10 0 +39 *3145:90 *4752:18 0 +40 *3145:90 *5105:9 0 +41 *6593:DIODE *3145:90 0 +42 *7540:DIODE *3145:74 0 +43 *7597:DIODE *3145:13 0 +44 *37445:A *3145:24 0 +45 *401:51 *3145:58 0 +46 *403:33 *3145:46 0 +47 *409:29 *3145:24 0 +48 *411:8 *3145:24 0 +49 *532:10 *3145:58 0 +50 *532:35 *3145:58 0 +51 *533:40 *3145:58 0 +52 *536:17 *3145:46 0 +53 *536:46 *3145:46 0 +54 *781:30 *3145:74 0 +55 *1000:50 *3145:46 0 +56 *1010:169 *3145:13 0 +57 *1879:21 *3145:13 0 +58 *1883:13 *3145:90 0 +59 *2371:20 *3145:24 0 +60 *2682:21 *3145:74 0 +61 *2793:23 *3145:74 0 +62 *2794:13 *3145:90 0 +63 *2882:10 *3145:58 0 +64 *2927:33 *3145:46 0 +65 *3034:43 *3145:58 0 +66 *3034:73 *3145:24 0 +67 *3041:11 *3145:74 0 +68 *3043:26 *3145:90 0 +69 *3077:108 *3145:46 0 +70 *3087:5 *3145:13 0 +71 *3087:74 *3145:24 0 +72 *3087:90 *3145:54 0 +*RES +1 *40269:X *3145:13 33.55 +2 *3145:13 *3145:24 46.8571 +3 *3145:24 *3145:46 49.7321 +4 *3145:46 *3145:54 15.3214 +5 *3145:54 *3145:58 49.5179 +6 *3145:58 *3145:74 45.8702 +7 *3145:74 *3145:90 48.1381 +8 *3145:90 *8082:DIODE 13.8 +9 *3145:90 *40268:A 18.7107 +*END + +*D_NET *3146 0.0258488 +*CONN +*I *5608:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37764:B I *D sky130_fd_sc_hd__and2_1 +*I *40270:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *5608:DIODE 0.000122974 +2 *37764:B 0.000124921 +3 *40270:X 0.00124865 +4 *3146:12 0.00287309 +5 *3146:11 0.00262519 +6 *3146:9 0.00880268 +7 *3146:8 0.0100513 +8 *37764:B *3635:33 0 +9 *3146:8 *38012:A_N 0 +10 *3146:8 *39108:A 0 +11 *3146:8 *3694:19 0 +12 *3146:8 *4480:8 0 +13 *3146:8 *4481:14 0 +14 *3146:12 *5885:DIODE 0 +15 *3146:12 *38196:B 0 +16 *3146:12 *3888:40 0 +17 *3146:12 *3901:16 0 +18 *3146:12 *4448:8 0 +19 *3146:12 *4462:21 0 +20 *3146:12 *4915:20 0 +21 *3146:12 *4915:35 0 +22 *3146:12 *5048:66 0 +23 *3146:12 *5199:27 0 +24 la_data_in_mprj[46] *3146:12 0 +25 la_data_in_mprj[65] *3146:8 0 +26 *6982:DIODE *3146:12 0 +27 *37372:A *3146:8 0 +28 *456:8 *3146:12 0 +29 *477:9 *3146:8 0 +30 *584:8 *3146:12 0 +31 *860:9 *3146:8 0 +32 *1397:27 *37764:B 0 +33 *2012:18 *3146:9 0 +34 *2027:16 *3146:8 0 +35 *2033:27 *3146:8 0 +36 *2048:10 *3146:9 0 +37 *2126:28 *3146:8 0 +*RES +1 *40270:X *3146:8 46.6571 +2 *3146:8 *3146:9 183.714 +3 *3146:9 *3146:11 4.5 +4 *3146:11 *3146:12 59.625 +5 *3146:12 *37764:B 16.4071 +6 *3146:12 *5608:DIODE 16.6571 +*END + +*D_NET *3147 0.0200427 +*CONN +*I *40270:A I *D sky130_fd_sc_hd__buf_6 +*I *8084:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40271:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *40270:A 0.000161377 +2 *8084:DIODE 0.000281369 +3 *40271:X 0.00106297 +4 *3147:73 0.00141897 +5 *3147:63 0.0027709 +6 *3147:60 0.00208361 +7 *3147:55 0.00168537 +8 *3147:37 0.00366406 +9 *3147:36 0.00405939 +10 *3147:19 0.00285473 +11 *40270:A *3373:66 0 +12 *3147:19 *3236:86 0 +13 *3147:19 *3255:13 0 +14 *3147:19 *3255:27 0 +15 *3147:19 *3438:44 0 +16 *3147:19 *3792:37 0 +17 *3147:19 *3939:24 0 +18 *3147:19 *3952:40 0 +19 *3147:19 *3980:26 0 +20 *3147:36 *40293:A 0 +21 *3147:36 *3153:45 0 +22 *3147:36 *3173:75 0 +23 *3147:36 *3258:27 0 +24 *3147:36 *3355:69 0 +25 *3147:36 *3792:37 0 +26 *3147:37 *37788:B 0 +27 *3147:37 *3255:38 0 +28 *3147:37 *3345:59 0 +29 *3147:37 *3907:21 0 +30 *3147:55 *3233:41 0 +31 *3147:55 *4155:11 0 +32 *3147:55 *4265:19 0 +33 *3147:55 *4296:23 0 +34 *3147:63 *37780:B 0 +35 *3147:63 *3255:49 0 +36 *3147:63 *3373:76 0 +37 *3147:63 *3373:83 0 +38 *3147:63 *4116:15 0 +39 *3147:63 *4287:35 0 +40 *3147:73 *3156:18 0 +41 *3147:73 *3373:76 0 +42 *3147:73 *3902:10 0 +43 *8073:DIODE *3147:37 0 +44 *8629:DIODE *3147:19 0 +45 *8773:DIODE *3147:36 0 +46 *8773:DIODE *3147:37 0 +47 *8906:DIODE *3147:37 0 +48 *37780:A *3147:63 0 +49 *37794:A *3147:37 0 +50 *37800:A *40270:A 0 +51 *41156:A *3147:73 0 +52 *41271:A *3147:60 0 +53 *1405:30 *3147:73 0 +54 *1966:31 *3147:55 0 +55 *1975:25 *3147:37 0 +56 *1979:42 *3147:36 0 +57 *1980:15 *3147:60 0 +58 *1995:21 *3147:37 0 +59 *2050:30 *3147:55 0 +60 *2065:35 *3147:37 0 +61 *2065:50 *3147:36 0 +62 *2070:56 *3147:37 0 +63 *2085:25 *3147:37 0 +64 *2089:7 *3147:60 0 +65 *2114:26 *3147:63 0 +66 *2121:9 *3147:55 0 +67 *2131:35 *3147:73 0 +68 *2133:14 *3147:37 0 +69 *2133:28 *3147:37 0 +70 *2133:56 *3147:36 0 +71 *3132:10 *3147:37 0 +72 *3132:10 *3147:63 0 +73 *3132:11 *3147:73 0 +*RES +1 *40271:X *3147:19 40.6214 +2 *3147:19 *3147:36 46.8393 +3 *3147:36 *3147:37 47.3571 +4 *3147:37 *3147:55 48.2356 +5 *3147:55 *3147:60 15.5 +6 *3147:60 *3147:63 37.5179 +7 *3147:63 *3147:73 33.9821 +8 *3147:73 *8084:DIODE 20.3357 +9 *3147:73 *40270:A 17.4071 +*END + +*D_NET *3148 0.0207604 +*CONN +*I *8085:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40271:A I *D sky130_fd_sc_hd__buf_6 +*I *40272:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8085:DIODE 0 +2 *40271:A 0.000631837 +3 *40272:X 0.00122963 +4 *3148:78 0.0016591 +5 *3148:74 0.00346329 +6 *3148:61 0.00362504 +7 *3148:39 0.00354305 +8 *3148:36 0.00386643 +9 *3148:20 0.00274201 +10 *40271:A *3320:82 0 +11 *40271:A *3965:20 0 +12 *40271:A *4020:20 0 +13 *40271:A *4046:36 0 +14 *40271:A *4072:51 0 +15 *40271:A *4298:20 0 +16 *3148:20 *3207:15 0 +17 *3148:20 *3207:35 0 +18 *3148:20 *3225:59 0 +19 *3148:20 *3256:23 0 +20 *3148:20 *3272:51 0 +21 *3148:20 *3285:14 0 +22 *3148:20 *3497:50 0 +23 *3148:20 *3697:46 0 +24 *3148:36 *40595:A 0 +25 *3148:36 *3207:35 0 +26 *3148:36 *3207:49 0 +27 *3148:36 *3697:25 0 +28 *3148:36 *4242:51 0 +29 *3148:36 *4889:22 0 +30 *3148:39 *3977:119 0 +31 *3148:39 *4264:42 0 +32 *3148:39 *4509:53 0 +33 *3148:39 *4881:62 0 +34 *3148:61 *3440:38 0 +35 *3148:61 *3511:66 0 +36 *3148:61 *4509:53 0 +37 *3148:74 *40349:A 0 +38 *3148:74 *40522:A 0 +39 *3148:74 *3440:38 0 +40 *3148:74 *3442:66 0 +41 *3148:74 *3511:32 0 +42 *3148:74 *3511:66 0 +43 *3148:74 *4496:52 0 +44 *3148:78 *3207:95 0 +45 *3148:78 *3224:74 0 +46 *3148:78 *3517:13 0 +47 *3148:78 *3838:47 0 +48 *7997:DIODE *3148:20 0 +49 *8295:DIODE *3148:20 0 +50 *37406:A *3148:36 0 +51 *40264:A *3148:39 0 +52 *40593:A *3148:61 0 +53 *2118:65 *3148:74 0 +54 *2397:33 *3148:20 0 +55 *2425:57 *3148:36 0 +56 *2427:26 *3148:61 0 +57 *2427:26 *3148:74 0 +58 *2660:17 *3148:39 0 +59 *2727:65 *3148:61 0 +60 *2727:65 *3148:74 0 +61 *2844:41 *3148:74 0 +62 *3005:22 *3148:20 0 +63 *3053:34 *3148:61 0 +64 *3053:35 *3148:39 0 +65 *3129:30 *3148:20 0 +66 *3140:18 *3148:39 0 +67 *3140:18 *3148:61 0 +68 *3144:46 *3148:61 0 +69 *3144:50 *3148:74 0 +*RES +1 *40272:X *3148:20 49.4964 +2 *3148:20 *3148:36 45.6964 +3 *3148:36 *3148:39 49.2232 +4 *3148:39 *3148:61 34.2411 +5 *3148:61 *3148:74 49.9161 +6 *3148:74 *3148:78 26.6964 +7 *3148:78 *40271:A 28.6772 +8 *3148:78 *8085:DIODE 13.8 +*END + +*D_NET *3149 0.0190043 +*CONN +*I *37510:B I *D sky130_fd_sc_hd__and2_1 +*I *5317:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38494:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37510:B 0.000175424 +2 *5317:DIODE 4.53482e-05 +3 *38494:X 0.00150127 +4 *3149:44 0.000937672 +5 *3149:41 0.0021944 +6 *3149:25 0.00480931 +7 *3149:24 0.00343083 +8 *3149:19 0.00225392 +9 *3149:12 0.00365617 +10 *5317:DIODE *3631:61 0 +11 *37510:B *3613:37 0 +12 *37510:B *4203:19 0 +13 *3149:12 *5294:DIODE 0 +14 *3149:12 *3468:10 0 +15 *3149:12 *3993:31 0 +16 *3149:12 *4637:30 0 +17 *3149:12 *4809:8 0 +18 *3149:19 *4391:47 0 +19 *3149:19 *4821:11 0 +20 *3149:24 *4417:12 0 +21 *3149:25 *4126:28 0 +22 *3149:25 *4802:11 0 +23 *3149:41 *3896:33 0 +24 *3149:41 *4523:13 0 +25 *3149:41 *4811:16 0 +26 *3149:44 *6252:DIODE 0 +27 *3149:44 *4422:45 0 +28 *3149:44 *4475:12 0 +29 *3149:44 *4475:45 0 +30 *6630:DIODE *3149:12 0 +31 *6938:DIODE *3149:12 0 +32 *7392:DIODE *3149:41 0 +33 *37335:A *3149:25 0 +34 *37485:A *3149:12 0 +35 *37940:C *3149:44 0 +36 *38494:A *3149:12 0 +37 *39450:A *3149:25 0 +38 *39498:B *3149:12 0 +39 *293:27 *37510:B 0 +40 *293:27 *3149:44 0 +41 *297:13 *3149:41 0 +42 *310:27 *3149:41 0 +43 *428:7 *3149:12 0 +44 *1164:19 *3149:19 0 +45 *1369:6 *3149:44 0 +46 *1485:12 *37510:B 0 +47 *1485:12 *3149:44 0 +48 *1782:14 *3149:24 0 +49 *1782:14 *3149:25 0 +50 *1782:15 *3149:19 0 +51 *1782:36 *3149:12 0 +52 *1786:19 *3149:25 0 +53 *1786:30 *3149:25 0 +54 *1786:30 *3149:41 0 +55 *2360:14 *3149:25 0 +56 *2360:24 *3149:19 0 +57 *2360:24 *3149:24 0 +58 *2360:36 *3149:12 0 +59 *2362:18 *3149:12 0 +60 *2364:21 *3149:25 0 +61 *3060:15 *3149:41 0 +62 *3068:34 *3149:44 0 +*RES +1 *38494:X *3149:12 47.55 +2 *3149:12 *3149:19 49.5357 +3 *3149:19 *3149:24 11.25 +4 *3149:24 *3149:25 69.5357 +5 *3149:25 *3149:41 49.1071 +6 *3149:41 *3149:44 20.7143 +7 *3149:44 *5317:DIODE 14.7464 +8 *3149:44 *37510:B 17.8 +*END + +*D_NET *3150 0.0203087 +*CONN +*I *8086:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40272:A I *D sky130_fd_sc_hd__buf_4 +*I *40273:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8086:DIODE 0 +2 *40272:A 0.000124066 +3 *40273:X 0.000787766 +4 *3150:45 0.000815839 +5 *3150:43 0.002249 +6 *3150:35 0.00626659 +7 *3150:34 0.00470937 +8 *3150:32 0.00200909 +9 *3150:28 0.00228414 +10 *3150:18 0.00106281 +11 *40272:A *3225:35 0 +12 *40272:A *3697:59 0 +13 *3150:18 *3264:105 0 +14 *3150:18 *5193:48 0 +15 *3150:28 *8297:DIODE 0 +16 *3150:28 *4251:41 0 +17 *3150:32 *3237:37 0 +18 *3150:32 *3434:45 0 +19 *3150:32 *5084:23 0 +20 *3150:35 *3263:11 0 +21 *3150:35 *3313:17 0 +22 *3150:35 *3423:11 0 +23 *3150:35 *3507:35 0 +24 *3150:35 *3972:29 0 +25 *3150:35 *4261:62 0 +26 *3150:43 *3507:19 0 +27 *3150:43 *3697:66 0 +28 *3150:45 *3225:35 0 +29 *3150:45 *3697:59 0 +30 *3150:45 *3697:66 0 +31 *39608:A *3150:18 0 +32 *2402:56 *3150:43 0 +33 *2410:18 *3150:18 0 +34 *3024:18 *3150:18 0 +35 *3077:120 *3150:32 0 +36 *3113:81 *3150:18 0 +*RES +1 *40273:X *3150:18 49.4161 +2 *3150:18 *3150:28 15.0405 +3 *3150:28 *3150:32 46.7857 +4 *3150:32 *3150:34 4.5 +5 *3150:34 *3150:35 98.2857 +6 *3150:35 *3150:43 42.2143 +7 *3150:43 *3150:45 14.5 +8 *3150:45 *40272:A 11.8893 +9 *3150:45 *8086:DIODE 9.3 +*END + +*D_NET *3151 0.0200034 +*CONN +*I *5605:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37762:B I *D sky130_fd_sc_hd__and2_2 +*I *40274:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *5605:DIODE 0 +2 *37762:B 0.000231047 +3 *40274:X 0.00193865 +4 *3151:50 0.00806307 +5 *3151:24 0.00977068 +6 *37762:B *3586:29 0 +7 *3151:24 *3555:63 0 +8 *3151:24 *3568:22 0 +9 *3151:24 *3850:32 0 +10 *3151:24 *4361:17 0 +11 *3151:24 *4458:48 0 +12 *3151:50 *3353:42 0 +13 *3151:50 *3548:50 0 +14 *3151:50 *3557:29 0 +15 *3151:50 *3557:42 0 +16 *3151:50 *3561:61 0 +17 *3151:50 *3580:102 0 +18 *3151:50 *3837:23 0 +19 *3151:50 *3840:71 0 +20 *3151:50 *3855:48 0 +21 *3151:50 *4361:30 0 +22 *37583:A *3151:50 0 +23 *1183:32 *3151:24 0 +24 *1387:70 *3151:24 0 +25 *1403:43 *3151:24 0 +26 *1492:42 *3151:50 0 +27 *1791:6 *3151:50 0 +28 *1805:34 *3151:50 0 +29 *1887:15 *3151:24 0 +30 *1906:49 *3151:24 0 +31 *1914:29 *3151:50 0 +32 *1919:37 *3151:50 0 +33 *1923:57 *3151:50 0 +34 *1926:56 *3151:50 0 +35 *2189:23 *3151:24 0 +36 *2202:64 *3151:24 0 +37 *2733:42 *3151:24 0 +38 *2762:19 *3151:50 0 +*RES +1 *40274:X *3151:24 47.5311 +2 *3151:24 *3151:50 45.5309 +3 *3151:50 *37762:B 18.8536 +4 *3151:50 *5605:DIODE 13.8 +*END + +*D_NET *3152 0.0201637 +*CONN +*I *40274:A I *D sky130_fd_sc_hd__buf_6 +*I *8088:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40275:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *40274:A 0.000279414 +2 *8088:DIODE 0.000222703 +3 *40275:X 0.000259456 +4 *3152:43 0.00157374 +5 *3152:30 0.00201325 +6 *3152:9 0.00824867 +7 *3152:8 0.0075665 +8 *8088:DIODE *3384:18 0 +9 *8088:DIODE *3857:19 0 +10 *3152:8 *3243:65 0 +11 *3152:8 *4283:10 0 +12 *3152:9 *3242:19 0 +13 *3152:9 *3242:27 0 +14 *3152:9 *4137:9 0 +15 *3152:9 *4143:11 0 +16 *3152:9 *4176:11 0 +17 *3152:9 *4410:23 0 +18 *3152:30 *3325:11 0 +19 *3152:30 *3898:15 0 +20 *3152:43 *37594:B 0 +21 *3152:43 *3325:37 0 +22 *3152:43 *3596:34 0 +23 *3152:43 *3857:19 0 +24 *8568:DIODE *3152:43 0 +25 *8592:DIODE *8088:DIODE 0 +26 *41171:A *3152:43 0 +27 *1196:10 *8088:DIODE 0 +28 *1385:13 *3152:43 0 +29 *1395:44 *3152:43 0 +30 *1506:22 *3152:43 0 +31 *1533:71 *3152:30 0 +32 *1533:71 *3152:43 0 +33 *1966:14 *3152:8 0 +34 *1972:10 *3152:9 0 +35 *1974:21 *3152:30 0 +36 *2001:8 *3152:9 0 +37 *2002:8 *3152:9 0 +38 *2005:14 *3152:9 0 +39 *2016:14 *3152:30 0 +40 *2028:27 *3152:30 0 +41 *2028:27 *3152:43 0 +42 *2061:21 *3152:30 0 +43 *2063:22 *3152:30 0 +44 *2064:14 *3152:30 0 +45 *2083:34 *3152:9 0 +46 *2166:17 *3152:43 0 +47 *2177:14 *3152:30 0 +48 *2210:25 *3152:43 0 +49 *2747:24 *8088:DIODE 0 +50 *2747:24 *3152:43 0 +*RES +1 *40275:X *3152:8 24.1929 +2 *3152:8 *3152:9 152.5 +3 *3152:9 *3152:30 43.6553 +4 *3152:30 *3152:43 38.3435 +5 *3152:43 *8088:DIODE 19.9655 +6 *3152:43 *40274:A 23.5582 +*END + +*D_NET *3153 0.021324 +*CONN +*I *40275:A I *D sky130_fd_sc_hd__buf_6 +*I *8089:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40276:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *40275:A 0.000202783 +2 *8089:DIODE 0.00035815 +3 *40276:X 0.000871034 +4 *3153:100 0.00115508 +5 *3153:89 0.00253274 +6 *3153:78 0.00257425 +7 *3153:72 0.00159818 +8 *3153:45 0.00425497 +9 *3153:44 0.00333413 +10 *3153:39 0.00180668 +11 *3153:24 0.00263603 +12 *8089:DIODE *40332:A 0 +13 *8089:DIODE *4155:11 0 +14 *8089:DIODE *4296:23 0 +15 *40275:A *3373:76 0 +16 *3153:24 *3173:31 0 +17 *3153:24 *3219:45 0 +18 *3153:24 *3327:53 0 +19 *3153:24 *3952:72 0 +20 *3153:24 *4083:63 0 +21 *3153:24 *4303:112 0 +22 *3153:39 *8680:DIODE 0 +23 *3153:39 *37736:B 0 +24 *3153:39 *3219:45 0 +25 *3153:39 *3219:66 0 +26 *3153:39 *3255:13 0 +27 *3153:39 *3320:82 0 +28 *3153:39 *3534:105 0 +29 *3153:44 *3438:44 0 +30 *3153:45 *37782:B 0 +31 *3153:45 *3255:13 0 +32 *3153:45 *3255:27 0 +33 *3153:45 *3255:38 0 +34 *3153:72 *3814:17 0 +35 *3153:72 *4047:58 0 +36 *3153:72 *4079:19 0 +37 *3153:72 *4243:21 0 +38 *3153:78 *3255:38 0 +39 *3153:89 *3157:5 0 +40 *3153:89 *3243:51 0 +41 *3153:89 *3969:28 0 +42 *3153:100 *3157:5 0 +43 *3153:100 *4307:11 0 +44 *8537:DIODE *3153:72 0 +45 *8773:DIODE *3153:45 0 +46 *37780:A *40275:A 0 +47 *40263:A *3153:72 0 +48 *40858:A *3153:72 0 +49 *41090:A *3153:45 0 +50 *41230:A *3153:72 0 +51 *41296:A *3153:72 0 +52 *1966:14 *3153:89 0 +53 *1969:12 *3153:39 0 +54 *1979:57 *3153:39 0 +55 *2025:17 *3153:78 0 +56 *2050:55 *3153:72 0 +57 *2053:7 *8089:DIODE 0 +58 *2053:7 *3153:100 0 +59 *2062:15 *3153:89 0 +60 *2070:56 *3153:45 0 +61 *2084:11 *3153:100 0 +62 *2085:25 *3153:89 0 +63 *2089:7 *3153:89 0 +64 *2093:22 *3153:89 0 +65 *2115:70 *3153:24 0 +66 *2131:45 *3153:100 0 +67 *2136:17 *3153:72 0 +68 *2137:27 *3153:89 0 +69 *2154:16 *3153:72 0 +70 *2155:14 *3153:89 0 +71 *2155:29 *3153:89 0 +72 *2155:29 *3153:100 0 +73 *2232:74 *3153:44 0 +74 *3054:24 *3153:39 0 +75 *3147:36 *3153:45 0 +*RES +1 *40276:X *3153:24 46.4607 +2 *3153:24 *3153:39 46.1964 +3 *3153:39 *3153:44 9.94643 +4 *3153:44 *3153:45 68.7143 +5 *3153:45 *3153:72 44.5838 +6 *3153:72 *3153:78 22.375 +7 *3153:78 *3153:89 49.8393 +8 *3153:89 *3153:100 17.375 +9 *3153:100 *8089:DIODE 21.8536 +10 *3153:100 *40275:A 18.0321 +*END + +*D_NET *3154 0.0215647 +*CONN +*I *8090:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40276:A I *D sky130_fd_sc_hd__buf_6 +*I *40277:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8090:DIODE 0 +2 *40276:A 0.000439348 +3 *40277:X 0.00108677 +4 *3154:97 0.00178785 +5 *3154:76 0.00375752 +6 *3154:56 0.00404668 +7 *3154:47 0.00356593 +8 *3154:35 0.00386105 +9 *3154:14 0.00301954 +10 *40276:A *8279:DIODE 0 +11 *40276:A *3327:61 0 +12 *40276:A *3534:92 0 +13 *40276:A *3782:69 0 +14 *40276:A *3952:72 0 +15 *40276:A *4046:70 0 +16 *3154:14 *3208:37 0 +17 *3154:14 *3287:47 0 +18 *3154:14 *3302:11 0 +19 *3154:14 *3524:14 0 +20 *3154:14 *3977:151 0 +21 *3154:14 *4275:81 0 +22 *3154:14 *4517:81 0 +23 *3154:14 *4752:18 0 +24 *3154:35 *3296:29 0 +25 *3154:35 *3296:49 0 +26 *3154:35 *3435:41 0 +27 *3154:35 *3435:45 0 +28 *3154:35 *3504:42 0 +29 *3154:35 *3744:63 0 +30 *3154:35 *3744:70 0 +31 *3154:35 *4981:23 0 +32 *3154:47 *40400:A 0 +33 *3154:47 *3217:33 0 +34 *3154:47 *3287:25 0 +35 *3154:47 *3291:10 0 +36 *3154:47 *3311:37 0 +37 *3154:47 *3497:27 0 +38 *3154:47 *3517:50 0 +39 *3154:47 *4506:63 0 +40 *3154:47 *4878:16 0 +41 *3154:47 *4890:10 0 +42 *3154:56 *40518:A 0 +43 *3154:56 *3217:59 0 +44 *3154:56 *3435:14 0 +45 *3154:56 *3517:50 0 +46 *3154:56 *3882:53 0 +47 *3154:56 *4506:63 0 +48 *3154:76 *3244:11 0 +49 *3154:76 *3300:21 0 +50 *3154:76 *3305:55 0 +51 *3154:76 *3444:33 0 +52 *3154:76 *3533:49 0 +53 *3154:76 *3927:66 0 +54 *3154:76 *4027:32 0 +55 *3154:76 *4500:13 0 +56 *3154:76 *4501:56 0 +57 *3154:76 *4513:31 0 +58 *3154:97 *8279:DIODE 0 +59 *3154:97 *3202:75 0 +60 *3154:97 *3224:46 0 +61 *3154:97 *3530:79 0 +62 *3154:97 *3533:49 0 +63 *3154:97 *3939:93 0 +64 *3154:97 *4295:53 0 +65 *3154:97 *4306:62 0 +66 *3154:97 *4496:52 0 +67 *37409:A *3154:14 0 +68 *40579:A *3154:76 0 +69 *1220:28 *3154:76 0 +70 *1227:56 *3154:56 0 +71 *1982:39 *3154:97 0 +72 *2381:49 *3154:35 0 +73 *2400:60 *3154:14 0 +74 *2404:10 *3154:35 0 +75 *2409:10 *3154:47 0 +76 *2415:10 *3154:35 0 +77 *2418:8 *3154:35 0 +78 *2472:33 *3154:76 0 +79 *2505:37 *3154:76 0 +80 *3043:26 *3154:35 0 +81 *3053:34 *3154:76 0 +82 *3055:71 *3154:76 0 +83 *3086:51 *3154:35 0 +*RES +1 *40277:X *3154:14 46.2643 +2 *3154:14 *3154:35 48.8693 +3 *3154:35 *3154:47 48.5796 +4 *3154:47 *3154:56 43.5357 +5 *3154:56 *3154:76 46.9379 +6 *3154:76 *3154:97 37.101 +7 *3154:97 *40276:A 27.8357 +8 *3154:97 *8090:DIODE 9.3 +*END + +*D_NET *3155 0.0226843 +*CONN +*I *8091:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40277:A I *D sky130_fd_sc_hd__buf_4 +*I *40278:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8091:DIODE 0.00048565 +2 *40277:A 0 +3 *40278:X 0.00139674 +4 *3155:68 0.000997562 +5 *3155:65 0.00423431 +6 *3155:63 0.00374294 +7 *3155:61 0.00279982 +8 *3155:59 0.00374122 +9 *3155:30 0.00242561 +10 *3155:15 0.00286042 +11 *8091:DIODE *3300:55 0 +12 *8091:DIODE *3520:45 0 +13 *8091:DIODE *5153:16 0 +14 *3155:15 *40505:A 0 +15 *3155:15 *3478:17 0 +16 *3155:15 *4603:30 0 +17 *3155:15 *4755:41 0 +18 *3155:15 *5084:23 0 +19 *3155:15 *5172:65 0 +20 *3155:30 *6150:DIODE 0 +21 *3155:30 *41041:A 0 +22 *3155:30 *3167:22 0 +23 *3155:30 *3402:73 0 +24 *3155:30 *3460:73 0 +25 *3155:59 *8197:DIODE 0 +26 *3155:59 *8307:DIODE 0 +27 *3155:59 *40588:A 0 +28 *3155:59 *3167:22 0 +29 *3155:59 *3237:64 0 +30 *3155:59 *3289:37 0 +31 *3155:59 *4014:66 0 +32 *3155:59 *4044:25 0 +33 *3155:59 *4232:40 0 +34 *3155:61 *8197:DIODE 0 +35 *3155:61 *3289:37 0 +36 *3155:61 *3348:21 0 +37 *3155:61 *3410:15 0 +38 *3155:61 *3535:19 0 +39 *3155:61 *4232:15 0 +40 *3155:61 *4270:17 0 +41 *3155:61 *4270:32 0 +42 *3155:65 *3306:29 0 +43 *3155:65 *3535:19 0 +44 *3155:65 *4270:17 0 +45 *7498:DIODE *3155:15 0 +46 *7554:DIODE *8091:DIODE 0 +47 *8012:DIODE *8091:DIODE 0 +48 *1029:93 *8091:DIODE 0 +49 *1261:24 *3155:15 0 +50 *1883:13 *8091:DIODE 0 +51 *2381:49 *8091:DIODE 0 +52 *2382:70 *3155:59 0 +53 *2712:190 *8091:DIODE 0 +54 *3028:25 *3155:59 0 +55 *3038:42 *3155:15 0 +56 *3087:5 *3155:15 0 +57 *3111:69 *3155:30 0 +*RES +1 *40278:X *3155:15 49.05 +2 *3155:15 *3155:30 49.0714 +3 *3155:30 *3155:59 45.0468 +4 *3155:59 *3155:61 58.0357 +5 *3155:61 *3155:63 0.428571 +6 *3155:63 *3155:65 77.75 +7 *3155:65 *3155:68 16.1607 +8 *3155:68 *40277:A 13.8 +9 *3155:68 *8091:DIODE 24.8179 +*END + +*D_NET *3156 0.0234245 +*CONN +*I *37760:B I *D sky130_fd_sc_hd__and2_1 +*I *5602:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40279:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *37760:B 6.20329e-05 +2 *5602:DIODE 0.000202783 +3 *40279:X 0.00104959 +4 *3156:76 0.0019961 +5 *3156:55 0.00592899 +6 *3156:54 0.00456453 +7 *3156:47 0.0028303 +8 *3156:28 0.00410204 +9 *3156:18 0.00268815 +10 *5602:DIODE *3565:41 0 +11 *5602:DIODE *3639:19 0 +12 *37760:B *3639:19 0 +13 *3156:18 *40356:A 0 +14 *3156:18 *3243:65 0 +15 *3156:18 *3373:66 0 +16 *3156:18 *3902:10 0 +17 *3156:28 *3373:66 0 +18 *3156:28 *3902:10 0 +19 *3156:28 *3934:14 0 +20 *3156:28 *4265:19 0 +21 *3156:28 *4280:10 0 +22 *3156:28 *4481:25 0 +23 *3156:47 *37778:B 0 +24 *3156:47 *38236:A 0 +25 *3156:47 *3902:25 0 +26 *3156:47 *3902:48 0 +27 *3156:47 *4047:14 0 +28 *3156:47 *4090:21 0 +29 *3156:47 *4845:15 0 +30 *3156:54 *3902:48 0 +31 *3156:54 *4479:16 0 +32 *3156:54 *5081:14 0 +33 *3156:55 *41164:A 0 +34 *3156:55 *3594:34 0 +35 *3156:55 *3912:15 0 +36 *3156:55 *4131:17 0 +37 *3156:55 *4154:13 0 +38 *3156:55 *4155:47 0 +39 *3156:76 *3384:27 0 +40 *3156:76 *4448:37 0 +41 *3156:76 *4893:24 0 +42 *3156:76 *4926:17 0 +43 *5378:DIODE *3156:76 0 +44 *8566:DIODE *5602:DIODE 0 +45 *8566:DIODE *37760:B 0 +46 *40946:A *3156:54 0 +47 *40952:A *3156:28 0 +48 *41167:A *3156:76 0 +49 *41289:A *3156:28 0 +50 *1524:35 *3156:76 0 +51 *1807:17 *3156:54 0 +52 *1810:21 *3156:47 0 +53 *1811:30 *3156:47 0 +54 *1811:30 *3156:54 0 +55 *1812:23 *3156:47 0 +56 *1906:49 *3156:76 0 +57 *1980:15 *3156:47 0 +58 *1986:9 *3156:47 0 +59 *1991:28 *3156:54 0 +60 *2010:25 *3156:47 0 +61 *2023:41 *3156:76 0 +62 *2078:15 *3156:54 0 +63 *2107:33 *3156:55 0 +64 *2131:35 *3156:18 0 +65 *2132:28 *3156:18 0 +66 *2171:31 *5602:DIODE 0 +67 *3132:15 *3156:28 0 +68 *3147:73 *3156:18 0 +*RES +1 *40279:X *3156:18 40.3893 +2 *3156:18 *3156:28 47.9018 +3 *3156:28 *3156:47 49.659 +4 *3156:47 *3156:54 13.5594 +5 *3156:54 *3156:55 87.6071 +6 *3156:55 *3156:76 48.7143 +7 *3156:76 *5602:DIODE 13.5321 +8 *3156:76 *37760:B 10.6571 +*END + +*D_NET *3157 0.0177146 +*CONN +*I *8092:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40279:A I *D sky130_fd_sc_hd__buf_6 +*I *40280:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *8092:DIODE 0 +2 *40279:A 0.000143745 +3 *40280:X 0 +4 *3157:10 0.000349816 +5 *3157:5 0.00871356 +6 *3157:4 0.00850749 +7 *40279:A *3243:65 0 +8 *40279:A *3373:76 0 +9 *3157:5 *3255:49 0 +10 *3157:5 *3969:72 0 +11 *3157:5 *4012:18 0 +12 *3157:5 *4096:22 0 +13 *3157:5 *4262:15 0 +14 *3157:5 *4262:19 0 +15 *3157:5 *4305:13 0 +16 *3157:5 *4305:19 0 +17 *3157:10 *4108:8 0 +18 *3157:10 *4290:20 0 +19 *41037:A *3157:5 0 +20 *41063:A *3157:5 0 +21 *41253:A *3157:5 0 +22 *1988:12 *3157:5 0 +23 *2023:15 *3157:5 0 +24 *2023:15 *3157:10 0 +25 *2065:18 *3157:5 0 +26 *2085:13 *3157:5 0 +27 *2095:18 *3157:5 0 +28 *2131:45 *3157:5 0 +29 *2145:24 *3157:5 0 +30 *2155:29 *3157:5 0 +31 *3133:61 *3157:5 0 +32 *3153:89 *3157:5 0 +33 *3153:100 *3157:5 0 +*RES +1 *40280:X *3157:4 9.3 +2 *3157:4 *3157:5 177.554 +3 *3157:5 *3157:10 13.6786 +4 *3157:10 *40279:A 12.3 +5 *3157:10 *8092:DIODE 9.3 +*END + +*D_NET *3158 0.0202405 +*CONN +*I *40280:A I *D sky130_fd_sc_hd__buf_6 +*I *8093:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40281:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *40280:A 0.000248289 +2 *8093:DIODE 0.000142657 +3 *40281:X 0.00704722 +4 *3158:29 0.00307304 +5 *3158:16 0.00972932 +6 *8093:DIODE *3173:51 0 +7 *8093:DIODE *4029:49 0 +8 *40280:A *8894:DIODE 0 +9 *40280:A *4012:18 0 +10 *3158:16 *3520:20 0 +11 *3158:16 *3520:45 0 +12 *3158:16 *3529:22 0 +13 *3158:16 *3759:45 0 +14 *3158:16 *3777:33 0 +15 *3158:16 *3933:49 0 +16 *3158:16 *3949:44 0 +17 *3158:16 *4045:43 0 +18 *3158:16 *4112:78 0 +19 *3158:16 *4221:60 0 +20 *3158:16 *4233:71 0 +21 *3158:16 *4252:37 0 +22 *3158:16 *4496:52 0 +23 *3158:16 *5092:21 0 +24 *3158:29 *3244:32 0 +25 *3158:29 *3436:16 0 +26 *3158:29 *3525:17 0 +27 *3158:29 *3529:22 0 +28 *3158:29 *4046:70 0 +29 *3158:29 *4072:51 0 +30 *1215:32 *3158:16 0 +31 *1227:26 *3158:16 0 +32 *1979:77 *3158:29 0 +33 *1981:65 *8093:DIODE 0 +34 *2103:48 *3158:29 0 +35 *2386:63 *3158:16 0 +36 *2427:26 *3158:16 0 +37 *2472:21 *3158:29 0 +38 *2844:41 *3158:29 0 +39 *3053:34 *3158:16 0 +40 *3121:42 *3158:16 0 +41 *3128:19 *3158:16 0 +*RES +1 *40281:X *3158:16 49.559 +2 *3158:16 *3158:29 24.1146 +3 *3158:29 *8093:DIODE 17.0411 +4 *3158:29 *40280:A 19.3804 +*END + +*D_NET *3159 0.0323683 +*CONN +*I *8094:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40281:A I *D sky130_fd_sc_hd__buf_4 +*I *40282:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8094:DIODE 0 +2 *40281:A 0.000139467 +3 *40282:X 0.00241005 +4 *3159:42 0.000139467 +5 *3159:40 0.00330619 +6 *3159:39 0.00474871 +7 *3159:31 0.00754912 +8 *3159:30 0.00722947 +9 *3159:24 0.00277931 +10 *3159:21 0.0040665 +11 *40281:A *3208:47 0 +12 *3159:21 *8135:DIODE 0 +13 *3159:21 *4015:55 0 +14 *3159:21 *4590:93 0 +15 *3159:24 *3414:6 0 +16 *3159:24 *3506:24 0 +17 *3159:24 *4229:69 0 +18 *3159:30 *4102:53 0 +19 *3159:31 *3278:19 0 +20 *3159:31 *4031:21 0 +21 *3159:39 *3280:15 0 +22 *3159:40 *37850:A 0 +23 *3159:40 *3317:183 0 +24 *3159:40 *3319:126 0 +25 *3159:40 *3445:70 0 +26 *3159:40 *3513:52 0 +27 *3159:40 *3669:22 0 +28 *3159:40 *3730:101 0 +29 *3159:40 *3942:107 0 +30 *3159:40 *4000:10 0 +31 *3159:40 *4019:36 0 +32 *3159:40 *4037:24 0 +33 *3159:40 *4107:101 0 +34 *3159:40 *4171:10 0 +35 *3159:40 *4248:45 0 +36 *3159:40 *4268:14 0 +37 *3159:40 *4278:26 0 +38 *1001:100 *3159:21 0 +39 *1009:120 *3159:30 0 +40 *1435:78 *3159:40 0 +41 *1538:20 *3159:40 0 +42 *2385:41 *3159:21 0 +43 *2386:42 *40281:A 0 +44 *2443:36 *3159:24 0 +45 *2479:39 *3159:31 0 +46 *2712:328 *3159:21 0 +47 *2715:9 *3159:39 0 +48 *2723:10 *3159:40 0 +49 *2822:32 *3159:40 0 +50 *2824:63 *3159:24 0 +51 *2939:10 *3159:40 0 +52 *3001:38 *3159:31 0 +53 *3007:24 *3159:31 0 +54 *3072:23 *3159:21 0 +55 *3072:26 *3159:24 0 +*RES +1 *40282:X *3159:21 45.0843 +2 *3159:21 *3159:24 42.1161 +3 *3159:24 *3159:30 32.7143 +4 *3159:30 *3159:31 127.446 +5 *3159:31 *3159:39 43.7143 +6 *3159:39 *3159:40 75.1071 +7 *3159:40 *3159:42 4.5 +8 *3159:42 *40281:A 12.2107 +9 *3159:42 *8094:DIODE 9.3 +*END + +*D_NET *3160 0.00515966 +*CONN +*I *37512:B I *D sky130_fd_sc_hd__and2_1 +*I *38495:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *37512:B 0.00132073 +2 *38495:X 0.0012591 +3 *3160:26 0.00257983 +4 *37512:B *37512:A 0 +5 *37512:B *4436:14 0 +6 *37512:B *4637:18 0 +7 *37512:B *4649:13 0 +8 *37512:B *4820:18 0 +9 *37512:B *4826:15 0 +10 *37512:B *5201:10 0 +11 *37512:B *5202:15 0 +12 *3160:26 *39061:A 0 +13 *3160:26 *4429:15 0 +14 *3160:26 *4430:20 0 +15 *3160:26 *4436:14 0 +16 *3160:26 *4637:18 0 +17 *3160:26 *4649:13 0 +18 *3160:26 *4671:11 0 +19 *3160:26 *4671:30 0 +20 *3160:26 *4813:10 0 +21 *6632:DIODE *3160:26 0 +22 *6634:DIODE *37512:B 0 +23 *37344:A *3160:26 0 +24 *38496:A *3160:26 0 +25 *38819:A *37512:B 0 +26 *39065:A *37512:B 0 +27 *433:5 *37512:B 0 +28 *558:5 *3160:26 0 +29 *815:8 *3160:26 0 +30 *2359:19 *37512:B 0 +31 *2360:59 *3160:26 0 +*RES +1 *38495:X *3160:26 49.7059 +2 *3160:26 *37512:B 37.2148 +*END + +*D_NET *3161 0.028865 +*CONN +*I *5668:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37816:B I *D sky130_fd_sc_hd__and2_1 +*I *40283:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *5668:DIODE 0 +2 *37816:B 0.000164766 +3 *40283:X 0.0012574 +4 *3161:70 0.00209907 +5 *3161:69 0.00345978 +6 *3161:58 0.00354502 +7 *3161:54 0.00388265 +8 *3161:43 0.0056869 +9 *3161:42 0.0056679 +10 *3161:34 0.00310151 +11 *37816:B *3578:22 0 +12 *37816:B *4378:50 0 +13 *3161:34 *40283:A 0 +14 *3161:34 *3670:24 0 +15 *3161:34 *3720:23 0 +16 *3161:34 *3819:19 0 +17 *3161:42 *3798:52 0 +18 *3161:42 *3798:54 0 +19 *3161:42 *4990:20 0 +20 *3161:43 *3362:23 0 +21 *3161:43 *3693:33 0 +22 *3161:54 *4316:16 0 +23 *3161:69 *4683:10 0 +24 *3161:70 *4679:14 0 +25 *3161:70 *4962:10 0 +26 *37816:A *37816:B 0 +27 *38233:A *3161:43 0 +28 *327:19 *3161:70 0 +29 *336:23 *3161:54 0 +30 *337:16 *3161:43 0 +31 *340:31 *3161:43 0 +32 *345:20 *3161:58 0 +33 *346:10 *3161:43 0 +34 *346:18 *3161:69 0 +35 *348:13 *3161:42 0 +36 *901:8 *3161:69 0 +37 *1008:94 *3161:54 0 +38 *1023:98 *3161:54 0 +39 *1026:75 *3161:54 0 +40 *1028:46 *3161:69 0 +41 *1307:10 *37816:B 0 +42 *1307:10 *3161:70 0 +43 *1511:27 *3161:54 0 +44 *2235:25 *3161:70 0 +45 *2746:16 *3161:42 0 +46 *2749:93 *3161:34 0 +47 *2752:27 *3161:43 0 +48 *2846:8 *37816:B 0 +49 *2846:8 *3161:70 0 +50 *2851:22 *3161:69 0 +51 *2928:91 *3161:34 0 +52 *2934:24 *3161:54 0 +53 *3078:31 *3161:34 0 +54 *3120:24 *3161:34 0 +*RES +1 *40283:X *3161:34 43.5411 +2 *3161:34 *3161:42 46.4196 +3 *3161:42 *3161:43 79.8036 +4 *3161:43 *3161:54 27.1151 +5 *3161:54 *3161:58 46.7321 +6 *3161:58 *3161:69 29.6591 +7 *3161:69 *3161:70 43.9911 +8 *3161:70 *37816:B 17.4964 +9 *3161:70 *5668:DIODE 13.8 +*END + +*D_NET *3162 0.0249719 +*CONN +*I *8096:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40283:A I *D sky130_fd_sc_hd__buf_6 +*I *40284:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *8096:DIODE 2.56688e-05 +2 *40283:A 0.000289032 +3 *40284:X 0.000557029 +4 *3162:26 0.00214818 +5 *3162:23 0.0100261 +6 *3162:22 0.00978077 +7 *3162:14 0.00214518 +8 *40283:A *8927:DIODE 0 +9 *40283:A *3720:23 0 +10 *40283:A *3819:19 0 +11 *3162:14 *6111:DIODE 0 +12 *3162:14 *8502:DIODE 0 +13 *3162:14 *4981:39 0 +14 *3162:22 *3303:17 0 +15 *3162:22 *3655:62 0 +16 *3162:22 *4738:6 0 +17 *3162:23 *39665:A 0 +18 *3162:23 *3277:25 0 +19 *3162:23 *3354:27 0 +20 *3162:23 *3445:51 0 +21 *3162:23 *3445:53 0 +22 *3162:23 *3445:67 0 +23 *3162:23 *3655:41 0 +24 *3162:23 *3979:33 0 +25 *3162:26 *3799:38 0 +26 *3162:26 *4698:8 0 +27 *1210:89 *3162:14 0 +28 *2365:51 *3162:23 0 +29 *3161:34 *40283:A 0 +*RES +1 *40284:X *3162:14 35.1482 +2 *3162:14 *3162:22 40.6696 +3 *3162:22 *3162:23 170.982 +4 *3162:23 *3162:26 46.2143 +5 *3162:26 *40283:A 20.0143 +6 *3162:26 *8096:DIODE 14.3357 +*END + +*D_NET *3163 0.0231246 +*CONN +*I *8097:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40284:A I *D sky130_fd_sc_hd__buf_6 +*I *40285:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8097:DIODE 0.00114216 +2 *40284:A 0.000157009 +3 *40285:X 0.0033533 +4 *3163:58 0.00173243 +5 *3163:55 0.00238751 +6 *3163:52 0.00205335 +7 *3163:47 0.00204769 +8 *3163:40 0.00442322 +9 *3163:19 0.00582793 +10 *8097:DIODE *3726:89 0 +11 *8097:DIODE *3822:111 0 +12 *8097:DIODE *4109:65 0 +13 *8097:DIODE *4247:21 0 +14 *40284:A *4092:83 0 +15 *40284:A *4247:21 0 +16 *3163:19 *8297:DIODE 0 +17 *3163:19 *3179:43 0 +18 *3163:19 *3264:66 0 +19 *3163:19 *3407:18 0 +20 *3163:19 *3460:73 0 +21 *3163:19 *3497:59 0 +22 *3163:19 *4251:41 0 +23 *3163:19 *4603:30 0 +24 *3163:19 *5193:26 0 +25 *3163:40 *3301:42 0 +26 *3163:40 *3682:46 0 +27 *3163:40 *3904:49 0 +28 *3163:40 *3959:54 0 +29 *3163:40 *3987:43 0 +30 *3163:40 *4088:27 0 +31 *3163:40 *4215:82 0 +32 *3163:40 *4254:19 0 +33 *3163:40 *4407:30 0 +34 *3163:40 *5182:81 0 +35 *3163:47 *4094:9 0 +36 *3163:47 *4357:21 0 +37 *3163:52 *3522:24 0 +38 *3163:55 *3997:60 0 +39 *3163:55 *4000:23 0 +40 *3163:55 *4078:72 0 +41 *3163:55 *4095:89 0 +42 *3163:55 *4220:23 0 +43 *39596:A *3163:19 0 +44 *1027:74 *3163:40 0 +45 *1261:24 *3163:19 0 +46 *1442:46 *40284:A 0 +47 *2397:20 *3163:40 0 +48 *2400:56 *8097:DIODE 0 +49 *2982:51 *3163:19 0 +50 *3025:46 *3163:40 0 +51 *3025:46 *3163:47 0 +52 *3025:68 *3163:40 0 +53 *3070:32 *3163:19 0 +54 *3073:29 *3163:40 0 +*RES +1 *40285:X *3163:19 42.692 +2 *3163:19 *3163:40 49.8386 +3 *3163:40 *3163:47 45.8482 +4 *3163:47 *3163:52 11.25 +5 *3163:52 *3163:55 45.2857 +6 *3163:55 *3163:58 14.3393 +7 *3163:58 *40284:A 21.7107 +8 *3163:58 *8097:DIODE 42.0743 +*END + +*D_NET *3164 0.018947 +*CONN +*I *8098:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40285:A I *D sky130_fd_sc_hd__buf_4 +*I *40286:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8098:DIODE 0 +2 *40285:A 0.000162283 +3 *40286:X 0.00205054 +4 *3164:55 0.00202866 +5 *3164:45 0.00435047 +6 *3164:44 0.0036405 +7 *3164:29 0.00291022 +8 *3164:17 0.00380436 +9 *40285:A *3184:45 0 +10 *40285:A *3264:66 0 +11 *3164:17 *40231:A 0 +12 *3164:17 *3370:16 0 +13 *3164:17 *3375:38 0 +14 *3164:17 *3471:37 0 +15 *3164:17 *4570:27 0 +16 *3164:17 *4575:14 0 +17 *3164:17 *4777:22 0 +18 *3164:29 *3392:65 0 +19 *3164:29 *4570:27 0 +20 *3164:29 *4773:42 0 +21 *3164:29 *5174:37 0 +22 *3164:44 *3199:63 0 +23 *3164:44 *3395:72 0 +24 *3164:44 *3471:37 0 +25 *3164:44 *3724:78 0 +26 *3164:44 *4773:49 0 +27 *3164:44 *5187:9 0 +28 *3164:45 *3421:25 0 +29 *3164:45 *5187:39 0 +30 *3164:55 *3402:78 0 +31 *3164:55 *3421:25 0 +32 *3164:55 *4758:49 0 +33 *3164:55 *5167:58 0 +34 *6914:DIODE *3164:17 0 +35 *6917:DIODE *3164:17 0 +36 *7510:DIODE *3164:45 0 +37 *7995:DIODE *3164:29 0 +38 *39516:A *3164:17 0 +39 *40107:A *3164:45 0 +40 *40141:A *3164:29 0 +41 *368:79 *3164:44 0 +42 *383:17 *3164:17 0 +43 *1261:56 *3164:17 0 +44 *1746:17 *3164:44 0 +45 *1754:8 *3164:17 0 +46 *1867:17 *3164:17 0 +47 *1869:15 *3164:17 0 +48 *2373:47 *3164:55 0 +49 *2965:13 *3164:44 0 +50 *2993:64 *3164:55 0 +51 *3101:16 *3164:29 0 +52 *3102:23 *3164:44 0 +*RES +1 *40286:X *3164:17 49.6422 +2 *3164:17 *3164:29 49.0975 +3 *3164:29 *3164:44 43.013 +4 *3164:44 *3164:45 51.875 +5 *3164:45 *3164:55 48.1786 +6 *3164:55 *40285:A 21.7464 +7 *3164:55 *8098:DIODE 9.3 +*END + +*D_NET *3165 0.0189171 +*CONN +*I *5667:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37814:B I *D sky130_fd_sc_hd__and2_1 +*I *40287:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *5667:DIODE 0 +2 *37814:B 0.000263958 +3 *40287:X 0.000567123 +4 *3165:26 0.000843983 +5 *3165:21 0.00708022 +6 *3165:20 0.00804743 +7 *3165:14 0.00211436 +8 *37814:B *3624:51 0 +9 *37814:B *3624:58 0 +10 *3165:14 *3340:38 0 +11 *3165:14 *3354:12 0 +12 *3165:14 *3674:14 0 +13 *3165:14 *3819:13 0 +14 *3165:14 *4345:75 0 +15 *3165:14 *4345:84 0 +16 *3165:20 *3801:21 0 +17 *3165:20 *4366:11 0 +18 *3165:21 *4345:75 0 +19 *3165:21 *4366:11 0 +20 *37814:A *3165:26 0 +21 *1203:48 *3165:21 0 +22 *1306:8 *37814:B 0 +23 *2227:13 *37814:B 0 +24 *2227:13 *3165:26 0 +25 *2301:23 *3165:20 0 +26 *2306:40 *3165:14 0 +27 *2314:10 *3165:21 0 +28 *2323:10 *3165:21 0 +29 *2333:10 *3165:21 0 +*RES +1 *40287:X *3165:14 30.2821 +2 *3165:14 *3165:20 41.375 +3 *3165:20 *3165:21 135.661 +4 *3165:21 *3165:26 22.1786 +5 *3165:26 *37814:B 23.9607 +6 *3165:26 *5667:DIODE 9.3 +*END + +*D_NET *3166 0.0283406 +*CONN +*I *8100:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40287:A I *D sky130_fd_sc_hd__buf_6 +*I *40288:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *8100:DIODE 8.17123e-05 +2 *40287:A 0.000642932 +3 *40288:X 0.000931141 +4 *3166:46 0.000724644 +5 *3166:44 0.00206409 +6 *3166:43 0.00260912 +7 *3166:37 0.00532328 +8 *3166:36 0.00510457 +9 *3166:33 0.00234646 +10 *3166:29 0.00280431 +11 *3166:24 0.00278066 +12 *3166:17 0.00292762 +13 *8100:DIODE *3819:17 0 +14 *8100:DIODE *4345:84 0 +15 *40287:A *3819:17 0 +16 *40287:A *3956:50 0 +17 *40287:A *4321:26 0 +18 *40287:A *4345:84 0 +19 *40287:A *4365:34 0 +20 *3166:17 *8450:DIODE 0 +21 *3166:17 *3279:94 0 +22 *3166:17 *3715:25 0 +23 *3166:17 *3746:5 0 +24 *3166:17 *3811:42 0 +25 *3166:17 *4054:11 0 +26 *3166:17 *4085:58 0 +27 *3166:17 *4092:58 0 +28 *3166:17 *4119:109 0 +29 *3166:17 *4241:14 0 +30 *3166:17 *4357:20 0 +31 *3166:17 *4358:135 0 +32 *3166:24 *40731:A 0 +33 *3166:24 *40742:A 0 +34 *3166:24 *3279:94 0 +35 *3166:24 *3686:8 0 +36 *3166:24 *3715:32 0 +37 *3166:24 *4613:10 0 +38 *3166:29 *3951:11 0 +39 *3166:29 *4613:13 0 +40 *3166:33 *3951:11 0 +41 *3166:36 *3688:8 0 +42 *3166:37 *3795:49 0 +43 *3166:37 *5144:5 0 +44 *3166:44 *4707:8 0 +45 *1211:36 *3166:24 0 +46 *1536:23 *3166:36 0 +47 *2253:53 *3166:44 0 +48 *2254:87 *3166:44 0 +49 *2256:24 *3166:37 0 +50 *2256:24 *3166:43 0 +51 *2282:41 *3166:44 0 +52 *2295:43 *40287:A 0 +53 *2466:16 *3166:37 0 +54 *2726:23 *3166:37 0 +55 *2746:11 *3166:37 0 +*RES +1 *40288:X *3166:17 47.4071 +2 *3166:17 *3166:24 49.9107 +3 *3166:24 *3166:29 20.8661 +4 *3166:29 *3166:33 46.7232 +5 *3166:33 *3166:36 11.9107 +6 *3166:36 *3166:37 99.7232 +7 *3166:37 *3166:43 15.9375 +8 *3166:43 *3166:44 46.875 +9 *3166:44 *3166:46 4.5 +10 *3166:46 *40287:A 38.0305 +11 *3166:46 *8100:DIODE 11.0679 +*END + +*D_NET *3167 0.0211256 +*CONN +*I *8101:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40288:A I *D sky130_fd_sc_hd__buf_6 +*I *40289:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8101:DIODE 0 +2 *40288:A 0 +3 *40289:X 0.0013294 +4 *3167:59 0.00118584 +5 *3167:39 0.00172189 +6 *3167:31 0.00542103 +7 *3167:29 0.00561847 +8 *3167:25 0.00262656 +9 *3167:22 0.00322247 +10 *3167:22 *8270:DIODE 0 +11 *3167:22 *8307:DIODE 0 +12 *3167:22 *8309:DIODE 0 +13 *3167:22 *3460:73 0 +14 *3167:22 *4019:45 0 +15 *3167:25 *3198:24 0 +16 *3167:25 *3198:25 0 +17 *3167:25 *3211:48 0 +18 *3167:25 *3237:37 0 +19 *3167:25 *3430:104 0 +20 *3167:25 *3434:19 0 +21 *3167:25 *3460:33 0 +22 *3167:25 *4591:67 0 +23 *3167:29 *3237:27 0 +24 *3167:29 *3237:37 0 +25 *3167:29 *3460:33 0 +26 *3167:31 *3225:23 0 +27 *3167:31 *3225:35 0 +28 *3167:31 *3237:27 0 +29 *3167:31 *3507:19 0 +30 *3167:31 *3510:9 0 +31 *3167:39 *8853:DIODE 0 +32 *3167:39 *3198:55 0 +33 *3167:39 *3225:35 0 +34 *3167:39 *3507:18 0 +35 *3167:39 *3510:9 0 +36 *3167:59 *8983:DIODE 0 +37 *3167:59 *38084:C 0 +38 *3167:59 *41026:A 0 +39 *3167:59 *41242:A 0 +40 *3167:59 *3183:61 0 +41 *3167:59 *3253:32 0 +42 *3167:59 *3279:94 0 +43 *3167:59 *3507:18 0 +44 *3167:59 *3964:26 0 +45 *7574:DIODE *3167:22 0 +46 *39569:A *3167:22 0 +47 *39596:A *3167:25 0 +48 *40112:A *3167:22 0 +49 *2419:88 *3167:59 0 +50 *2822:19 *3167:59 0 +51 *2972:84 *3167:22 0 +52 *3028:25 *3167:22 0 +53 *3155:30 *3167:22 0 +54 *3155:59 *3167:22 0 +*RES +1 *40289:X *3167:22 46.925 +2 *3167:22 *3167:25 39.5714 +3 *3167:25 *3167:29 15.3393 +4 *3167:29 *3167:31 101.982 +5 *3167:31 *3167:39 11.375 +6 *3167:39 *3167:59 44.6786 +7 *3167:59 *40288:A 9.3 +8 *3167:39 *8101:DIODE 9.3 +*END + +*D_NET *3168 0.0196904 +*CONN +*I *40289:A I *D sky130_fd_sc_hd__buf_4 +*I *8102:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40290:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40289:A 0.000143103 +2 *8102:DIODE 0 +3 *40290:X 0.00114596 +4 *3168:63 0.00168259 +5 *3168:61 0.00268146 +6 *3168:49 0.00394244 +7 *3168:48 0.00300887 +8 *3168:43 0.00143517 +9 *3168:25 0.00286581 +10 *3168:16 0.002785 +11 *40289:A *3460:73 0 +12 *40289:A *3793:65 0 +13 *3168:16 *3199:18 0 +14 *3168:16 *3212:26 0 +15 *3168:16 *3419:28 0 +16 *3168:16 *3536:7 0 +17 *3168:16 *4566:17 0 +18 *3168:16 *4568:36 0 +19 *3168:16 *4764:25 0 +20 *3168:16 *4777:31 0 +21 *3168:16 *5174:34 0 +22 *3168:16 *5178:33 0 +23 *3168:25 *3199:50 0 +24 *3168:25 *3342:19 0 +25 *3168:25 *3395:72 0 +26 *3168:25 *3421:35 0 +27 *3168:25 *3724:87 0 +28 *3168:43 *3199:63 0 +29 *3168:43 *3286:49 0 +30 *3168:43 *3421:35 0 +31 *3168:43 *5176:20 0 +32 *3168:48 *3416:88 0 +33 *3168:48 *4578:32 0 +34 *3168:49 *4573:44 0 +35 *3168:61 *3416:57 0 +36 *3168:61 *3478:17 0 +37 *3168:61 *5084:23 0 +38 *3168:61 *5084:43 0 +39 *3168:63 *3297:35 0 +40 *3168:63 *3402:73 0 +41 *3168:63 *3460:73 0 +42 *3168:63 *3477:23 0 +43 *3168:63 *3478:17 0 +44 *3168:63 *5084:23 0 +45 *7381:DIODE *3168:16 0 +46 *39420:A *3168:16 0 +47 *39581:A *3168:25 0 +48 *40158:A *3168:63 0 +49 *1455:22 *3168:49 0 +50 *1455:22 *3168:61 0 +51 *1746:17 *3168:25 0 +52 *1746:17 *3168:43 0 +53 *3022:11 *3168:61 0 +54 *3022:13 *3168:49 0 +55 *3022:18 *3168:48 0 +56 *3111:69 *3168:63 0 +57 *3113:27 *3168:61 0 +*RES +1 *40290:X *3168:16 42.8226 +2 *3168:16 *3168:25 43.4464 +3 *3168:25 *3168:43 49.4286 +4 *3168:43 *3168:48 9.23214 +5 *3168:48 *3168:49 58.4464 +6 *3168:49 *3168:61 33.0179 +7 *3168:61 *3168:63 32.1607 +8 *3168:63 *8102:DIODE 9.3 +9 *3168:63 *40289:A 12.3179 +*END + +*D_NET *3169 0.021287 +*CONN +*I *37758:B I *D sky130_fd_sc_hd__and2_1 +*I *5599:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40291:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *37758:B 0 +2 *5599:DIODE 0.000217594 +3 *40291:X 0.00141467 +4 *3169:54 0.000467455 +5 *3169:53 0.00339406 +6 *3169:29 0.00732073 +7 *3169:27 0.00561719 +8 *3169:9 0.00285534 +9 *5599:DIODE *3613:7 0 +10 *5599:DIODE *3880:22 0 +11 *5599:DIODE *4399:26 0 +12 *3169:9 *3573:20 0 +13 *3169:9 *3574:20 0 +14 *3169:9 *4387:50 0 +15 *3169:27 *3554:34 0 +16 *3169:29 *37548:A 0 +17 *3169:29 *37762:A 0 +18 *3169:29 *3586:29 0 +19 *3169:29 *3847:29 0 +20 *3169:29 *4385:73 0 +21 *3169:29 *4402:17 0 +22 *3169:53 *37986:A_N 0 +23 *3169:53 *3554:63 0 +24 *3169:53 *3586:68 0 +25 *3169:53 *4402:27 0 +26 *3169:54 *3579:59 0 +27 *3169:54 *3586:68 0 +28 *3169:54 *3848:56 0 +29 *3169:54 *4667:14 0 +30 *37549:A *3169:29 0 +31 *39458:A *3169:29 0 +32 *39458:B *3169:29 0 +33 *40027:A *3169:9 0 +34 *295:13 *3169:9 0 +35 *334:15 *3169:53 0 +36 *1277:38 *3169:27 0 +37 *1364:16 *5599:DIODE 0 +38 *1364:16 *3169:54 0 +39 *1373:13 *3169:53 0 +40 *1483:48 *3169:53 0 +41 *1526:9 *3169:29 0 +42 *1661:20 *3169:53 0 +43 *1666:13 *3169:29 0 +44 *1666:13 *3169:53 0 +45 *1675:32 *3169:9 0 +46 *1677:11 *3169:29 0 +47 *1677:19 *3169:27 0 +48 *1677:19 *3169:29 0 +49 *1677:33 *3169:9 0 +50 *1904:35 *3169:27 0 +51 *2454:10 *3169:54 0 +52 *2762:19 *3169:53 0 +53 *2764:20 *3169:54 0 +54 *2855:16 *3169:29 0 +55 *2855:28 *3169:27 0 +56 *2855:28 *3169:29 0 +57 *2908:31 *3169:53 0 +58 *3051:23 *3169:9 0 +59 *3051:23 *3169:27 0 +60 *3051:37 *3169:29 0 +61 *3051:37 *3169:53 0 +*RES +1 *40291:X *3169:9 48.7643 +2 *3169:9 *3169:27 48.7857 +3 *3169:27 *3169:29 87.1964 +4 *3169:29 *3169:53 45.7245 +5 *3169:53 *3169:54 5.74107 +6 *3169:54 *5599:DIODE 18.6214 +7 *3169:54 *37758:B 13.8 +*END + +*D_NET *3170 0.0209279 +*CONN +*I *40291:A I *D sky130_fd_sc_hd__buf_4 +*I *8104:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40292:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *40291:A 0.000223933 +2 *8104:DIODE 6.8944e-05 +3 *40292:X 0.00737744 +4 *3170:28 0.000443969 +5 *3170:27 0.00279363 +6 *3170:15 0.01002 +7 *8104:DIODE *3868:25 0 +8 *8104:DIODE *4168:26 0 +9 *3170:15 *3222:14 0 +10 *3170:15 *3268:29 0 +11 *3170:15 *3857:46 0 +12 *3170:15 *3890:24 0 +13 *3170:15 *3921:41 0 +14 *3170:15 *4143:36 0 +15 *3170:15 *4209:19 0 +16 *3170:15 *4915:35 0 +17 *3170:27 *3918:8 0 +18 *3170:27 *4197:36 0 +19 *3170:28 *4457:61 0 +20 *38004:C *40291:A 0 +21 *295:23 *3170:27 0 +22 *1398:28 *3170:27 0 +23 *1800:18 *3170:27 0 +24 *1812:23 *3170:15 0 +25 *2009:31 *3170:27 0 +26 *2021:16 *3170:15 0 +27 *2022:23 *3170:27 0 +28 *2031:48 *3170:27 0 +29 *2043:27 *3170:15 0 +30 *2061:21 *3170:15 0 +31 *2063:22 *3170:15 0 +32 *2066:23 *3170:27 0 +33 *2086:21 *3170:15 0 +34 *2126:13 *3170:15 0 +35 *2210:21 *3170:15 0 +36 *3058:28 *8104:DIODE 0 +37 *3058:28 *3170:28 0 +*RES +1 *40292:X *3170:15 49.8925 +2 *3170:15 *3170:27 46.8345 +3 *3170:27 *3170:28 3.46429 +4 *3170:28 *8104:DIODE 15.2821 +5 *3170:28 *40291:A 18.9964 +*END + +*D_NET *3171 0.0163215 +*CONN +*I *37514:B I *D sky130_fd_sc_hd__and2_1 +*I *5320:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38496:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *37514:B 0.000104746 +2 *5320:DIODE 6.56751e-05 +3 *38496:X 0.000579796 +4 *3171:48 0.00182781 +5 *3171:42 0.00388516 +6 *3171:32 0.00318463 +7 *3171:26 0.00352539 +8 *3171:14 0.00314833 +9 *5320:DIODE *4499:10 0 +10 *37514:B *37514:A 0 +11 *3171:14 *3466:19 0 +12 *3171:14 *3872:24 0 +13 *3171:14 *4797:50 0 +14 *3171:14 *5202:9 0 +15 *3171:26 *40201:A 0 +16 *3171:26 *3304:34 0 +17 *3171:26 *3390:17 0 +18 *3171:26 *3466:19 0 +19 *3171:26 *3871:28 0 +20 *3171:26 *3877:38 0 +21 *3171:26 *4437:31 0 +22 *3171:26 *4797:50 0 +23 *3171:32 *3871:17 0 +24 *3171:42 *3871:17 0 +25 *3171:42 *3877:63 0 +26 *3171:42 *4787:25 0 +27 *3171:42 *4797:24 0 +28 *3171:42 *4797:31 0 +29 *3171:48 *37514:A 0 +30 *3171:48 *4499:10 0 +31 *3171:48 *4787:11 0 +32 *3171:48 *4797:9 0 +33 *6632:DIODE *3171:14 0 +34 *37332:A *3171:42 0 +35 *39062:A *3171:14 0 +36 *343:52 *3171:26 0 +37 *343:72 *5320:DIODE 0 +38 *814:8 *3171:14 0 +39 *1156:9 *3171:48 0 +40 *1628:15 *3171:42 0 +41 *1760:13 *37514:B 0 +42 *1782:36 *3171:26 0 +43 *2362:24 *3171:14 0 +44 *3069:9 *3171:42 0 +45 *3104:19 *3171:26 0 +46 *3115:12 *3171:42 0 +*RES +1 *38496:X *3171:14 40.2643 +2 *3171:14 *3171:26 39.779 +3 *3171:26 *3171:32 29.0536 +4 *3171:32 *3171:42 47.7069 +5 *3171:42 *3171:48 43.8661 +6 *3171:48 *5320:DIODE 15.2911 +7 *3171:48 *37514:B 21.2321 +*END + +*D_NET *3172 0.0212932 +*CONN +*I *8105:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40292:A I *D sky130_fd_sc_hd__buf_6 +*I *40293:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *8105:DIODE 0 +2 *40292:A 0.000228664 +3 *40293:X 0.00330995 +4 *3172:33 0.00107821 +5 *3172:29 0.00710797 +6 *3172:13 0.00956838 +7 *40292:A *3373:43 0 +8 *3172:13 *3258:27 0 +9 *3172:13 *3270:135 0 +10 *3172:13 *3328:43 0 +11 *3172:13 *3449:26 0 +12 *3172:13 *3809:30 0 +13 *3172:13 *4303:30 0 +14 *3172:29 *3356:9 0 +15 *3172:29 *3671:14 0 +16 *3172:29 *4480:35 0 +17 *3172:29 *5115:20 0 +18 *3172:29 *5159:20 0 +19 *3172:33 *3269:39 0 +20 *3172:33 *3373:43 0 +21 *3172:33 *4474:22 0 +22 *1815:42 *3172:29 0 +23 *1986:9 *3172:33 0 +24 *1996:41 *3172:13 0 +25 *2033:31 *3172:33 0 +26 *2055:10 *3172:29 0 +27 *2065:50 *3172:13 0 +28 *2071:11 *3172:33 0 +29 *2115:24 *3172:13 0 +30 *2133:56 *3172:13 0 +31 *2149:14 *3172:29 0 +32 *2233:17 *3172:13 0 +33 *2233:17 *3172:29 0 +34 *2437:48 *3172:13 0 +*RES +1 *40293:X *3172:13 47.3769 +2 *3172:13 *3172:29 34.0698 +3 *3172:29 *3172:33 23.7411 +4 *3172:33 *40292:A 23.1571 +5 *3172:33 *8105:DIODE 9.3 +*END + +*D_NET *3173 0.0188243 +*CONN +*I *8106:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40293:A I *D sky130_fd_sc_hd__buf_6 +*I *40294:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8106:DIODE 0 +2 *40293:A 0.000580542 +3 *40294:X 0.00149841 +4 *3173:75 0.000722148 +5 *3173:70 0.00174733 +6 *3173:51 0.00333333 +7 *3173:31 0.00474754 +8 *3173:30 0.00385826 +9 *3173:11 0.00233674 +10 *40293:A *3255:27 0 +11 *40293:A *3258:27 0 +12 *3173:11 *4297:66 0 +13 *3173:30 *5455:DIODE 0 +14 *3173:30 *37638:A 0 +15 *3173:30 *3210:70 0 +16 *3173:30 *3446:31 0 +17 *3173:30 *4032:81 0 +18 *3173:30 *4135:62 0 +19 *3173:30 *4509:65 0 +20 *3173:31 *8031:DIODE 0 +21 *3173:31 *3446:31 0 +22 *3173:31 *4083:63 0 +23 *3173:31 *5192:15 0 +24 *3173:51 *8221:DIODE 0 +25 *3173:51 *37632:B 0 +26 *3173:51 *3952:40 0 +27 *3173:51 *4029:29 0 +28 *3173:51 *4083:39 0 +29 *3173:51 *4083:52 0 +30 *3173:51 *4083:63 0 +31 *3173:51 *4303:112 0 +32 *3173:70 *37632:B 0 +33 *3173:70 *3223:18 0 +34 *3173:70 *3223:19 0 +35 *3173:70 *3355:42 0 +36 *3173:70 *3438:25 0 +37 *3173:70 *4032:13 0 +38 *3173:70 *4061:24 0 +39 *3173:70 *4083:11 0 +40 *3173:70 *4083:39 0 +41 *3173:70 *4121:27 0 +42 *3173:75 *3255:27 0 +43 *3173:75 *3355:69 0 +44 *8093:DIODE *3173:51 0 +45 *8664:DIODE *3173:70 0 +46 *1227:45 *3173:11 0 +47 *2133:56 *40293:A 0 +48 *2139:67 *3173:70 0 +49 *3147:36 *40293:A 0 +50 *3147:36 *3173:75 0 +51 *3153:24 *3173:31 0 +*RES +1 *40294:X *3173:11 49.675 +2 *3173:11 *3173:30 36.25 +3 *3173:30 *3173:31 62.9643 +4 *3173:31 *3173:51 45.625 +5 *3173:51 *3173:70 47.625 +6 *3173:70 *3173:75 7.51786 +7 *3173:75 *40293:A 21.4786 +8 *3173:75 *8106:DIODE 9.3 +*END + +*D_NET *3174 0.0197538 +*CONN +*I *40294:A I *D sky130_fd_sc_hd__buf_4 +*I *8107:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40295:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40294:A 0.000223903 +2 *8107:DIODE 4.53482e-05 +3 *40295:X 0.00659232 +4 *3174:41 0.000685513 +5 *3174:40 0.00301533 +6 *3174:16 0.00919139 +7 *40294:A *3312:78 0 +8 *40294:A *3523:65 0 +9 *40294:A *4286:61 0 +10 *3174:16 *8317:DIODE 0 +11 *3174:16 *3230:39 0 +12 *3174:16 *3245:51 0 +13 *3174:16 *3257:35 0 +14 *3174:16 *3296:49 0 +15 *3174:16 *3435:76 0 +16 *3174:16 *3504:42 0 +17 *3174:16 *3525:29 0 +18 *3174:16 *3744:63 0 +19 *3174:16 *4870:16 0 +20 *3174:40 *37666:B 0 +21 *3174:40 *3296:29 0 +22 *3174:40 *3312:96 0 +23 *3174:40 *3435:41 0 +24 *3174:40 *3508:28 0 +25 *3174:40 *3520:20 0 +26 *3174:40 *3759:45 0 +27 *3174:40 *3777:46 0 +28 *3174:40 *3933:49 0 +29 *3174:40 *3973:49 0 +30 *3174:40 *4878:16 0 +31 *3174:41 *3511:73 0 +32 *6594:DIODE *3174:16 0 +33 *7548:DIODE *3174:16 0 +34 *7990:DIODE *3174:16 0 +35 *1030:54 *3174:16 0 +36 *2381:73 *3174:40 0 +37 *2415:10 *3174:40 0 +38 *2418:8 *3174:16 0 +39 *2995:19 *3174:16 0 +40 *3043:26 *3174:40 0 +41 *3086:51 *3174:16 0 +42 *3086:51 *3174:40 0 +*RES +1 *40295:X *3174:16 46.7516 +2 *3174:16 *3174:40 48.7267 +3 *3174:40 *3174:41 8.75 +4 *3174:41 *8107:DIODE 10.2464 +5 *3174:41 *40294:A 23.2286 +*END + +*D_NET *3175 0.0191448 +*CONN +*I *37918:C I *D sky130_fd_sc_hd__and3b_1 +*I *5818:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40296:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37918:C 0.000233273 +2 *5818:DIODE 0 +3 *40296:X 0.00088177 +4 *3175:38 0.00189678 +5 *3175:37 0.00348909 +6 *3175:33 0.00288303 +7 *3175:28 0.00301326 +8 *3175:22 0.0039108 +9 *3175:16 0.00283676 +10 *37918:C *4371:46 0 +11 *3175:16 *7149:DIODE 0 +12 *3175:16 *3380:38 0 +13 *3175:16 *3380:51 0 +14 *3175:16 *3467:9 0 +15 *3175:16 *3993:22 0 +16 *3175:16 *5162:19 0 +17 *3175:22 *3381:19 0 +18 *3175:22 *3381:30 0 +19 *3175:22 *3917:15 0 +20 *3175:22 *3917:25 0 +21 *3175:28 *3381:39 0 +22 *3175:28 *3390:23 0 +23 *3175:28 *3390:35 0 +24 *3175:28 *3917:25 0 +25 *3175:28 *4537:23 0 +26 *3175:28 *5161:9 0 +27 *3175:33 *3924:36 0 +28 *3175:33 *4801:15 0 +29 *3175:33 *4815:22 0 +30 *3175:37 *4499:17 0 +31 *3175:37 *4815:15 0 +32 *3175:38 *4425:10 0 +33 *3175:38 *4425:23 0 +34 *3175:38 *4425:44 0 +35 *3175:38 *4792:12 0 +36 *39435:A *3175:28 0 +37 *39435:B *3175:28 0 +38 *39955:A *3175:38 0 +39 *1145:13 *3175:22 0 +40 *1145:13 *3175:28 0 +41 *1355:14 *37918:C 0 +42 *1469:10 *37918:C 0 +43 *1469:10 *3175:38 0 +44 *1628:27 *3175:16 0 +45 *1757:14 *3175:38 0 +46 *2359:15 *3175:16 0 +47 *2797:14 *37918:C 0 +48 *2797:14 *3175:38 0 +49 *3082:10 *3175:16 0 +*RES +1 *40296:X *3175:16 46.6393 +2 *3175:16 *3175:22 49.9107 +3 *3175:22 *3175:28 45.5 +4 *3175:28 *3175:33 26.6161 +5 *3175:33 *3175:37 42.6161 +6 *3175:37 *3175:38 37.7679 +7 *3175:38 *5818:DIODE 13.8 +8 *3175:38 *37918:C 19.175 +*END + +*D_NET *3176 0.0188747 +*CONN +*I *37812:B I *D sky130_fd_sc_hd__and2_1 +*I *5666:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40297:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *37812:B 0 +2 *5666:DIODE 0.000190039 +3 *40297:X 0.00846956 +4 *3176:37 0.000967805 +5 *3176:25 0.00924733 +6 *5666:DIODE *3828:32 0 +7 *3176:25 *3345:78 0 +8 *3176:25 *3358:23 0 +9 *3176:25 *3386:42 0 +10 *3176:25 *3558:50 0 +11 *3176:25 *3578:22 0 +12 *3176:25 *3698:17 0 +13 *3176:25 *4310:20 0 +14 *3176:25 *4325:17 0 +15 *3176:25 *4325:34 0 +16 *3176:25 *4333:50 0 +17 *3176:25 *4333:59 0 +18 *3176:25 *4345:42 0 +19 *3176:25 *4379:22 0 +20 *3176:37 *3640:19 0 +21 *3176:37 *4333:26 0 +22 *8956:DIODE *3176:37 0 +23 *37604:A *3176:25 0 +24 *37810:A *3176:37 0 +25 *37812:A *3176:37 0 +26 *37826:A *3176:25 0 +27 *41355:A *3176:37 0 +28 *1304:10 *3176:37 0 +29 *2203:19 *5666:DIODE 0 +30 *2203:19 *3176:37 0 +31 *2254:19 *3176:25 0 +32 *2308:27 *3176:25 0 +33 *2317:16 *3176:25 0 +34 *2328:21 *5666:DIODE 0 +35 *2330:23 *3176:25 0 +*RES +1 *40297:X *3176:25 49.3137 +2 *3176:25 *3176:37 24.2761 +3 *3176:37 *5666:DIODE 22.425 +4 *3176:37 *37812:B 9.3 +*END + +*D_NET *3177 0.0215484 +*CONN +*I *8109:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40297:A I *D sky130_fd_sc_hd__buf_6 +*I *40298:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *8109:DIODE 0.000497611 +2 *40297:A 0.000466799 +3 *40298:X 0.00179241 +4 *3177:67 0.00218846 +5 *3177:57 0.00506752 +6 *3177:56 0.00437834 +7 *3177:46 0.00294986 +8 *3177:26 0.00420739 +9 *8109:DIODE *6353:DIODE 0 +10 *8109:DIODE *40301:A 0 +11 *8109:DIODE *3723:24 0 +12 *8109:DIODE *4362:18 0 +13 *8109:DIODE *4377:16 0 +14 *40297:A *3787:48 0 +15 *3177:26 *3685:40 0 +16 *3177:26 *3763:36 0 +17 *3177:26 *3964:15 0 +18 *3177:26 *4008:72 0 +19 *3177:26 *4109:48 0 +20 *3177:46 *8457:DIODE 0 +21 *3177:46 *40763:A 0 +22 *3177:46 *3181:29 0 +23 *3177:46 *4103:47 0 +24 *3177:46 *4365:46 0 +25 *3177:56 *3672:25 0 +26 *3177:57 *3672:17 0 +27 *3177:57 *4746:15 0 +28 *3177:57 *4746:27 0 +29 *3177:67 *3181:57 0 +30 *3177:67 *3687:19 0 +31 *3177:67 *3823:48 0 +32 *40743:A *3177:67 0 +33 *1287:25 *3177:26 0 +34 *1324:51 *3177:46 0 +35 *1324:51 *3177:56 0 +36 *1437:59 *3177:26 0 +37 *1693:20 *3177:46 0 +38 *1821:16 *3177:57 0 +39 *2156:26 *8109:DIODE 0 +40 *2262:26 *40297:A 0 +41 *2289:30 *8109:DIODE 0 +42 *2297:14 *3177:67 0 +43 *2315:55 *3177:46 0 +44 *2341:14 *40297:A 0 +45 *2345:19 *40297:A 0 +46 *2346:25 *8109:DIODE 0 +47 *2429:34 *3177:26 0 +48 *2940:14 *3177:46 0 +49 *2947:47 *3177:57 0 +50 *2947:87 *40297:A 0 +51 *2947:87 *3177:67 0 +52 *2948:19 *3177:57 0 +53 *2962:19 *3177:46 0 +54 *2987:16 *3177:26 0 +55 *3085:55 *3177:57 0 +56 *3085:55 *3177:67 0 +57 *3085:61 *40297:A 0 +58 *3085:61 *3177:67 0 +*RES +1 *40298:X *3177:26 47.7184 +2 *3177:26 *3177:46 47.0658 +3 *3177:46 *3177:56 24.8393 +4 *3177:56 *3177:57 80.2143 +5 *3177:57 *3177:67 34.8571 +6 *3177:67 *40297:A 28.3714 +7 *3177:67 *8109:DIODE 29.4071 +*END + +*D_NET *3178 0.0205803 +*CONN +*I *8110:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40298:A I *D sky130_fd_sc_hd__buf_6 +*I *40299:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8110:DIODE 0 +2 *40298:A 0.000507607 +3 *40299:X 0.000841614 +4 *3178:43 0.00186411 +5 *3178:21 0.00704984 +6 *3178:20 0.00758443 +7 *3178:14 0.00273271 +8 *40298:A *3181:18 0 +9 *40298:A *3443:53 0 +10 *40298:A *3964:15 0 +11 *40298:A *4008:72 0 +12 *40298:A *4230:90 0 +13 *3178:14 *8123:DIODE 0 +14 *3178:14 *4590:105 0 +15 *3178:20 *3198:25 0 +16 *3178:20 *3225:17 0 +17 *3178:20 *3261:15 0 +18 *3178:20 *3261:26 0 +19 *3178:20 *3285:31 0 +20 *3178:20 *3348:15 0 +21 *3178:20 *3430:80 0 +22 *3178:20 *3507:35 0 +23 *3178:21 *8499:DIODE 0 +24 *3178:21 *3272:21 0 +25 *3178:21 *3272:51 0 +26 *3178:21 *3302:37 0 +27 *3178:21 *3302:59 0 +28 *3178:43 *38090:A_N 0 +29 *3178:43 *3207:15 0 +30 *3178:43 *3289:19 0 +31 *3178:43 *3312:96 0 +32 *3178:43 *3319:76 0 +33 *3178:43 *3443:53 0 +34 *3178:43 *3493:11 0 +35 *3178:43 *3532:24 0 +36 *3178:43 *3697:59 0 +37 *3178:43 *4001:105 0 +38 *3178:43 *4518:73 0 +39 *2365:76 *3178:43 0 +40 *2397:21 *3178:21 0 +41 *2972:23 *3178:43 0 +42 *3015:38 *3178:20 0 +43 *3037:15 *3178:43 0 +*RES +1 *40299:X *3178:14 45.3536 +2 *3178:14 *3178:20 48.8214 +3 *3178:20 *3178:21 118.821 +4 *3178:21 *3178:43 44.5338 +5 *3178:43 *40298:A 29.3714 +6 *3178:43 *8110:DIODE 9.3 +*END + +*D_NET *3179 0.0191421 +*CONN +*I *8111:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40299:A I *D sky130_fd_sc_hd__buf_4 +*I *40300:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8111:DIODE 0 +2 *40299:A 8.4707e-05 +3 *40300:X 0.00573361 +4 *3179:64 0.00126735 +5 *3179:43 0.00375273 +6 *3179:23 0.0083037 +7 *40299:A *3208:27 0 +8 *40299:A *4590:105 0 +9 *3179:23 *3264:34 0 +10 *3179:23 *3357:61 0 +11 *3179:23 *3391:42 0 +12 *3179:23 *3395:60 0 +13 *3179:23 *3403:39 0 +14 *3179:23 *3407:18 0 +15 *3179:23 *3419:23 0 +16 *3179:23 *3419:28 0 +17 *3179:23 *3475:42 0 +18 *3179:23 *3536:33 0 +19 *3179:23 *3695:18 0 +20 *3179:23 *4545:69 0 +21 *3179:23 *4590:28 0 +22 *3179:43 *3198:24 0 +23 *3179:43 *3407:18 0 +24 *3179:43 *3793:42 0 +25 *3179:64 *8269:DIODE 0 +26 *3179:64 *3188:74 0 +27 *3179:64 *3194:93 0 +28 *3179:64 *3198:24 0 +29 *3179:64 *3203:60 0 +30 *3179:64 *3264:105 0 +31 *3179:64 *3515:34 0 +32 *3179:64 *3697:99 0 +33 *3179:64 *4590:105 0 +34 *3179:64 *5182:84 0 +35 *7576:DIODE *3179:64 0 +36 *7589:DIODE *3179:43 0 +37 *39569:A *3179:43 0 +38 *39596:A *3179:43 0 +39 *39608:A *3179:64 0 +40 *40112:A *3179:64 0 +41 *1836:16 *3179:23 0 +42 *2368:41 *3179:43 0 +43 *2373:47 *3179:23 0 +44 *2970:29 *3179:64 0 +45 *2972:118 *3179:43 0 +46 *2982:51 *3179:43 0 +47 *2982:62 *3179:23 0 +48 *3089:38 *3179:23 0 +49 *3109:24 *3179:23 0 +50 *3113:70 *3179:43 0 +51 *3163:19 *3179:43 0 +*RES +1 *40300:X *3179:23 49.7272 +2 *3179:23 *3179:43 33.8384 +3 *3179:43 *3179:64 47.5714 +4 *3179:64 *40299:A 15.5679 +5 *3179:43 *8111:DIODE 9.3 +*END + +*D_NET *3180 0.0187229 +*CONN +*I *5665:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37810:B I *D sky130_fd_sc_hd__and2_1 +*I *40301:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *5665:DIODE 0 +2 *37810:B 0.000249077 +3 *40301:X 0.000172564 +4 *3180:14 0.00918888 +5 *3180:8 0.00911237 +6 *37810:B *3608:51 0 +7 *3180:14 *8931:DIODE 0 +8 *3180:14 *3372:24 0 +9 *3180:14 *3385:17 0 +10 *3180:14 *3386:42 0 +11 *3180:14 *3386:48 0 +12 *3180:14 *3809:11 0 +13 *3180:14 *4310:20 0 +14 *3180:14 *4310:59 0 +15 *3180:14 *4325:34 0 +16 *3180:14 *4333:26 0 +17 *3180:14 *4333:59 0 +18 *3180:14 *5149:28 0 +19 *2199:14 *3180:14 0 +20 *2213:23 *37810:B 0 +21 *2284:15 *3180:14 0 +22 *2303:24 *3180:14 0 +23 *2344:7 *3180:8 0 +24 *2437:40 *3180:14 0 +25 *2847:28 *3180:14 0 +26 *2859:24 *3180:14 0 +27 *2947:91 *3180:8 0 +28 *3085:78 *3180:14 0 +29 *3103:22 *3180:14 0 +*RES +1 *40301:X *3180:8 21.1296 +2 *3180:8 *3180:14 45.1191 +3 *3180:14 *37810:B 23.6571 +4 *3180:14 *5665:DIODE 9.3 +*END + +*D_NET *3181 0.0237903 +*CONN +*I *8113:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40301:A I *D sky130_fd_sc_hd__buf_6 +*I *40302:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *8113:DIODE 0.000645643 +2 *40301:A 0.0005329 +3 *40302:X 0.000959791 +4 *3181:57 0.00212613 +5 *3181:50 0.00301614 +6 *3181:46 0.00260468 +7 *3181:29 0.00378922 +8 *3181:28 0.00620454 +9 *3181:18 0.00391124 +10 *8113:DIODE *4100:11 0 +11 *8113:DIODE *4332:25 0 +12 *8113:DIODE *4377:16 0 +13 *3181:18 *3964:15 0 +14 *3181:18 *4230:90 0 +15 *3181:28 *3796:29 0 +16 *3181:28 *4100:54 0 +17 *3181:28 *4713:14 0 +18 *3181:29 *3191:40 0 +19 *3181:29 *4332:66 0 +20 *3181:29 *4714:13 0 +21 *3181:46 *3191:54 0 +22 *3181:46 *3698:48 0 +23 *3181:46 *4024:30 0 +24 *3181:46 *4324:43 0 +25 *3181:46 *4336:9 0 +26 *3181:50 *3191:54 0 +27 *3181:50 *3191:57 0 +28 *3181:50 *3691:20 0 +29 *3181:50 *3787:67 0 +30 *3181:50 *5117:14 0 +31 *3181:57 *3372:48 0 +32 *3181:57 *3797:59 0 +33 *5676:DIODE *8113:DIODE 0 +34 *8109:DIODE *40301:A 0 +35 *37830:B *3181:46 0 +36 *38025:A *3181:29 0 +37 *40298:A *3181:18 0 +38 *40724:A *8113:DIODE 0 +39 *40743:A *3181:57 0 +40 *40760:A *3181:29 0 +41 *1271:46 *3181:29 0 +42 *1310:22 *3181:28 0 +43 *1324:47 *3181:29 0 +44 *1324:51 *3181:29 0 +45 *1324:62 *3181:29 0 +46 *1505:48 *3181:50 0 +47 *2156:26 *40301:A 0 +48 *2222:83 *8113:DIODE 0 +49 *2227:83 *8113:DIODE 0 +50 *2303:30 *40301:A 0 +51 *2303:30 *3181:57 0 +52 *2346:25 *40301:A 0 +53 *2948:25 *3181:50 0 +54 *2959:32 *3181:28 0 +55 *3078:31 *3181:46 0 +56 *3080:60 *3181:28 0 +57 *3081:68 *3181:18 0 +58 *3081:68 *3181:28 0 +59 *3085:61 *40301:A 0 +60 *3085:61 *3181:57 0 +61 *3177:46 *3181:29 0 +62 *3177:67 *3181:57 0 +*RES +1 *40302:X *3181:18 36.2107 +2 *3181:18 *3181:28 49.2726 +3 *3181:28 *3181:29 67.8929 +4 *3181:29 *3181:46 34.566 +5 *3181:46 *3181:50 47.9643 +6 *3181:50 *3181:57 24.9643 +7 *3181:57 *40301:A 29.8 +8 *3181:57 *8113:DIODE 32.9071 +*END + +*D_NET *3182 0.00489327 +*CONN +*I *40546:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38497:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40546:A 0 +2 *38497:X 0.000603766 +3 *3182:17 0.00184287 +4 *3182:10 0.00244663 +5 *3182:10 *39063:A 0 +6 *3182:10 *4431:17 0 +7 *3182:10 *4615:17 0 +8 *3182:10 *4813:10 0 +9 *3182:10 *4820:10 0 +10 *3182:17 *3378:20 0 +11 *3182:17 *3431:25 0 +12 *3182:17 *4799:28 0 +13 *6954:DIODE *3182:10 0 +14 *343:30 *3182:17 0 +15 *431:5 *3182:10 0 +16 *559:8 *3182:10 0 +17 *815:8 *3182:10 0 +18 *1841:17 *3182:10 0 +19 *2359:19 *3182:17 0 +*RES +1 *38497:X *3182:10 31.7464 +2 *3182:10 *3182:17 47.6429 +3 *3182:17 *40546:A 9.3 +*END + +*D_NET *3183 0.0268726 +*CONN +*I *8114:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40302:A I *D sky130_fd_sc_hd__buf_6 +*I *40303:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8114:DIODE 0 +2 *40302:A 0.000441406 +3 *40303:X 0.00150871 +4 *3183:67 0.00066066 +5 *3183:61 0.00170537 +6 *3183:42 0.0029914 +7 *3183:36 0.00284969 +8 *3183:25 0.0059357 +9 *3183:24 0.00619198 +10 *3183:18 0.00233983 +11 *3183:14 0.00224785 +12 *40302:A *8663:DIODE 0 +13 *40302:A *3964:15 0 +14 *40302:A *4008:99 0 +15 *40302:A *4078:26 0 +16 *40302:A *4291:75 0 +17 *3183:14 *8865:DIODE 0 +18 *3183:14 *3298:79 0 +19 *3183:14 *3535:19 0 +20 *3183:14 *3658:85 0 +21 *3183:14 *4278:37 0 +22 *3183:36 *3317:183 0 +23 *3183:42 *3670:50 0 +24 *3183:42 *3756:14 0 +25 *3183:42 *4171:26 0 +26 *3183:42 *4241:21 0 +27 *3183:61 *8853:DIODE 0 +28 *3183:61 *38080:A_N 0 +29 *3183:61 *41242:A 0 +30 *3183:61 *3274:37 0 +31 *3183:61 *3955:38 0 +32 *3183:61 *4028:19 0 +33 *3183:61 *4059:42 0 +34 *3183:61 *4107:83 0 +35 *3183:61 *4230:99 0 +36 *3183:61 *4235:14 0 +37 *3183:61 *4278:23 0 +38 *3183:61 *4297:122 0 +39 *3183:67 *38080:B 0 +40 *3183:67 *3964:15 0 +41 *3183:67 *4291:75 0 +42 *5708:DIODE *3183:42 0 +43 *6117:DIODE *3183:18 0 +44 *370:19 *3183:25 0 +45 *370:23 *3183:24 0 +46 *370:24 *3183:24 0 +47 *1206:24 *3183:42 0 +48 *1211:80 *3183:24 0 +49 *1338:17 *3183:25 0 +50 *1339:24 *3183:25 0 +51 *1439:17 *40302:A 0 +52 *1822:25 *3183:24 0 +53 *1824:69 *3183:14 0 +54 *2419:45 *3183:24 0 +55 *2421:51 *3183:36 0 +56 *2822:32 *3183:42 0 +57 *2831:39 *3183:24 0 +58 *2921:11 *3183:24 0 +59 *2939:10 *3183:36 0 +60 *2972:51 *3183:25 0 +61 *2981:62 *3183:42 0 +62 *3036:57 *3183:24 0 +63 *3075:73 *3183:24 0 +64 *3167:59 *3183:61 0 +*RES +1 *40303:X *3183:14 48.05 +2 *3183:14 *3183:18 21.3214 +3 *3183:18 *3183:24 42.5893 +4 *3183:24 *3183:25 95.8214 +5 *3183:25 *3183:36 46.9643 +6 *3183:36 *3183:42 42.8929 +7 *3183:42 *3183:61 49.5536 +8 *3183:61 *3183:67 4.78571 +9 *3183:67 *40302:A 36.675 +10 *3183:67 *8114:DIODE 9.3 +*END + +*D_NET *3184 0.0190871 +*CONN +*I *8115:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40303:A I *D sky130_fd_sc_hd__buf_4 +*I *40304:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8115:DIODE 0 +2 *40303:A 0.00016428 +3 *40304:X 0.00124198 +4 *3184:84 0.00109167 +5 *3184:57 0.00193403 +6 *3184:56 0.00219207 +7 *3184:45 0.0025594 +8 *3184:35 0.00320651 +9 *3184:31 0.00364388 +10 *3184:22 0.00305332 +11 *40303:A *8197:DIODE 0 +12 *40303:A *3289:37 0 +13 *3184:22 *40300:A 0 +14 *3184:22 *41407:A 0 +15 *3184:22 *3391:42 0 +16 *3184:22 *4529:32 0 +17 *3184:22 *4545:69 0 +18 *3184:22 *4545:74 0 +19 *3184:22 *4548:65 0 +20 *3184:22 *4585:28 0 +21 *3184:22 *4601:37 0 +22 *3184:22 *5074:56 0 +23 *3184:22 *5178:74 0 +24 *3184:31 *3421:35 0 +25 *3184:35 *5514:DIODE 0 +26 *3184:35 *3264:37 0 +27 *3184:35 *3342:13 0 +28 *3184:35 *3342:19 0 +29 *3184:35 *4758:38 0 +30 *3184:35 *5167:36 0 +31 *3184:45 *6154:DIODE 0 +32 *3184:45 *3264:37 0 +33 *3184:45 *3264:66 0 +34 *3184:45 *4758:38 0 +35 *3184:56 *8166:DIODE 0 +36 *3184:56 *3413:103 0 +37 *3184:56 *3496:21 0 +38 *3184:56 *4415:46 0 +39 *3184:57 *40222:A 0 +40 *3184:57 *3199:81 0 +41 *3184:57 *3793:65 0 +42 *3184:57 *4415:46 0 +43 *3184:84 *8642:DIODE 0 +44 *3184:84 *40222:A 0 +45 *3184:84 *3298:79 0 +46 *3184:84 *3502:54 0 +47 *3184:84 *3510:33 0 +48 *3184:84 *5084:23 0 +49 *5442:DIODE *3184:22 0 +50 *6754:DIODE *3184:57 0 +51 *7517:DIODE *3184:57 0 +52 *7574:DIODE *3184:84 0 +53 *39414:B *3184:31 0 +54 *39547:A *3184:56 0 +55 *40285:A *3184:45 0 +56 *368:79 *3184:22 0 +57 *1025:160 *3184:56 0 +58 *1261:41 *3184:31 0 +59 *2373:67 *3184:35 0 +60 *2373:67 *3184:45 0 +61 *2373:99 *3184:45 0 +62 *2373:99 *3184:56 0 +63 *2382:70 *3184:84 0 +64 *2402:7 *3184:56 0 +65 *2417:19 *3184:57 0 +66 *2977:90 *3184:31 0 +67 *2982:51 *3184:56 0 +68 *2993:64 *3184:45 0 +69 *3015:58 *40303:A 0 +70 *3042:77 *3184:22 0 +71 *3073:17 *3184:84 0 +72 *3091:54 *3184:31 0 +73 *3099:15 *3184:35 0 +74 *3113:27 *3184:35 0 +75 *3113:35 *3184:45 0 +76 *3113:35 *3184:56 0 +*RES +1 *40304:X *3184:22 44.9607 +2 *3184:22 *3184:31 47.2143 +3 *3184:31 *3184:35 38.3393 +4 *3184:35 *3184:45 37.8214 +5 *3184:45 *3184:56 33.9107 +6 *3184:56 *3184:57 21.0714 +7 *3184:57 *3184:84 47.5 +8 *3184:84 *40303:A 12.7286 +9 *3184:57 *8115:DIODE 9.3 +*END + +*D_NET *3185 0.0195174 +*CONN +*I *5664:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37808:B I *D sky130_fd_sc_hd__and2_1 +*I *40305:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *5664:DIODE 0.000482684 +2 *37808:B 0.000143745 +3 *40305:X 0.000246124 +4 *3185:25 0.00139866 +5 *3185:9 0.00888613 +6 *3185:8 0.00836003 +7 *5664:DIODE *40667:A 0 +8 *3185:9 *3195:14 0 +9 *3185:9 *3201:43 0 +10 *3185:9 *3550:9 0 +11 *3185:9 *3550:18 0 +12 *3185:9 *3587:22 0 +13 *3185:9 *3587:33 0 +14 *3185:25 *3558:50 0 +15 *3185:25 *4350:13 0 +16 *5682:DIODE *3185:8 0 +17 *40909:A *37808:B 0 +18 *40909:A *3185:25 0 +19 *1198:42 *5664:DIODE 0 +20 *1303:14 *5664:DIODE 0 +21 *1906:21 *5664:DIODE 0 +22 *1910:22 *3185:9 0 +23 *1910:22 *3185:25 0 +24 *1914:29 *3185:25 0 +25 *1915:18 *5664:DIODE 0 +26 *1928:30 *5664:DIODE 0 +27 *1931:36 *3185:9 0 +28 *1937:19 *3185:25 0 +29 *1945:34 *37808:B 0 +30 *1945:34 *3185:25 0 +31 *1951:43 *37808:B 0 +32 *2192:27 *3185:9 0 +33 *2196:44 *5664:DIODE 0 +34 *2204:8 *3185:9 0 +35 *2211:12 *3185:9 0 +36 *2212:33 *3185:9 0 +37 *2217:10 *3185:9 0 +38 *2223:10 *3185:9 0 +39 *2224:15 *3185:9 0 +40 *2226:13 *3185:9 0 +41 *2237:15 *3185:9 0 +42 *2238:10 *3185:9 0 +43 *2262:11 *3185:9 0 +44 *2286:36 *3185:8 0 +*RES +1 *40305:X *3185:8 23.8893 +2 *3185:8 *3185:9 169.339 +3 *3185:9 *3185:25 26.8236 +4 *3185:25 *37808:B 12.3 +5 *3185:25 *5664:DIODE 29.2643 +*END + +*D_NET *3186 0.0223743 +*CONN +*I *8117:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40305:A I *D sky130_fd_sc_hd__buf_6 +*I *40306:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *8117:DIODE 0.000461516 +2 *40305:A 0.000194795 +3 *40306:X 0.000870274 +4 *3186:46 0.00599325 +5 *3186:32 0.00966057 +6 *3186:11 0.00519391 +7 *8117:DIODE *41310:A 0 +8 *8117:DIODE *3578:22 0 +9 *8117:DIODE *5147:42 0 +10 *40305:A *3581:19 0 +11 *3186:11 *3358:81 0 +12 *3186:11 *3447:103 0 +13 *3186:11 *3943:50 0 +14 *3186:11 *4257:22 0 +15 *3186:32 *3191:28 0 +16 *3186:32 *3665:21 0 +17 *3186:32 *3681:14 0 +18 *3186:32 *3751:28 0 +19 *3186:32 *3757:22 0 +20 *3186:32 *4050:44 0 +21 *3186:32 *4362:40 0 +22 *3186:32 *4377:32 0 +23 *3186:46 *3592:9 0 +24 *3186:46 *3665:21 0 +25 *3186:46 *3679:22 0 +26 *3186:46 *3689:15 0 +27 *3186:46 *3698:17 0 +28 *3186:46 *3757:11 0 +29 *3186:46 *3786:59 0 +30 *3186:46 *4363:53 0 +31 *3186:46 *4370:32 0 +32 *3186:46 *4377:16 0 +33 *37837:A *3186:46 0 +34 *38078:C *3186:11 0 +35 *40672:A *40305:A 0 +36 *2217:94 *3186:32 0 +37 *2222:58 *8117:DIODE 0 +38 *2321:36 *3186:46 0 +39 *2325:78 *3186:32 0 +40 *2346:25 *3186:46 0 +41 *2947:91 *3186:46 0 +42 *3054:46 *3186:32 0 +*RES +1 *40306:X *3186:11 42.2286 +2 *3186:11 *3186:32 43.5053 +3 *3186:32 *3186:46 31.1369 +4 *3186:46 *40305:A 18.4339 +5 *3186:46 *8117:DIODE 24.5232 +*END + +*D_NET *3187 0.0203911 +*CONN +*I *40306:A I *D sky130_fd_sc_hd__buf_6 +*I *8118:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40307:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *40306:A 0.000201372 +2 *8118:DIODE 0 +3 *40307:X 0.000657616 +4 *3187:50 0.000320492 +5 *3187:47 0.00250145 +6 *3187:21 0.00407514 +7 *3187:13 0.00683513 +8 *3187:12 0.00579993 +9 *40306:A *4001:45 0 +10 *40306:A *4020:86 0 +11 *3187:12 *3237:22 0 +12 *3187:12 *3261:26 0 +13 *3187:12 *3435:76 0 +14 *3187:12 *3504:42 0 +15 *3187:12 *4259:24 0 +16 *3187:12 *4270:16 0 +17 *3187:13 *8325:DIODE 0 +18 *3187:13 *40390:A 0 +19 *3187:13 *3202:36 0 +20 *3187:13 *3256:20 0 +21 *3187:13 *3256:23 0 +22 *3187:13 *3289:29 0 +23 *3187:13 *4272:39 0 +24 *3187:13 *4279:55 0 +25 *3187:13 *4518:73 0 +26 *3187:21 *3534:5 0 +27 *3187:21 *4029:81 0 +28 *3187:21 *4045:14 0 +29 *3187:21 *4246:50 0 +30 *3187:21 *4520:79 0 +31 *3187:47 *38094:B 0 +32 *3187:47 *3317:81 0 +33 *3187:47 *3534:58 0 +34 *3187:47 *3939:117 0 +35 *3187:47 *3965:96 0 +36 *3187:47 *4035:49 0 +37 *3187:47 *4227:57 0 +38 *3187:47 *4269:61 0 +39 *3187:47 *4272:28 0 +40 *3187:47 *4276:20 0 +41 *3187:47 *4295:58 0 +42 *3187:47 *4516:58 0 +43 *7614:DIODE *3187:21 0 +44 *40164:A *3187:13 0 +45 *40251:A *3187:13 0 +46 *1440:19 *3187:21 0 +47 *2051:49 *3187:50 0 +48 *3084:11 *3187:13 0 +49 *3124:17 *3187:13 0 +50 *3129:21 *3187:13 0 +*RES +1 *40307:X *3187:12 33.4607 +2 *3187:12 *3187:13 107.321 +3 *3187:13 *3187:21 49.0357 +4 *3187:21 *3187:47 46.7542 +5 *3187:47 *3187:50 6.11536 +6 *3187:50 *8118:DIODE 13.8 +7 *3187:50 *40306:A 18.3179 +*END + +*D_NET *3188 0.0210887 +*CONN +*I *8119:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40307:A I *D sky130_fd_sc_hd__buf_4 +*I *40308:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8119:DIODE 0 +2 *40307:A 0.000320218 +3 *40308:X 0.00102164 +4 *3188:111 0.0016242 +5 *3188:87 0.00384295 +6 *3188:74 0.00378908 +7 *3188:66 0.00250187 +8 *3188:46 0.00262814 +9 *3188:31 0.00285769 +10 *3188:27 0.00250294 +11 *40307:A *40578:A 0 +12 *40307:A *3503:16 0 +13 *40307:A *3783:41 0 +14 *3188:27 *3212:27 0 +15 *3188:27 *3212:50 0 +16 *3188:27 *4554:53 0 +17 *3188:27 *4764:49 0 +18 *3188:31 *3471:17 0 +19 *3188:31 *4602:18 0 +20 *3188:31 *4602:40 0 +21 *3188:31 *4761:86 0 +22 *3188:31 *5034:57 0 +23 *3188:46 *3235:22 0 +24 *3188:46 *3479:20 0 +25 *3188:46 *4602:54 0 +26 *3188:46 *5034:57 0 +27 *3188:66 *7136:DIODE 0 +28 *3188:66 *3194:63 0 +29 *3188:66 *3211:42 0 +30 *3188:66 *3264:93 0 +31 *3188:66 *3783:76 0 +32 *3188:66 *4591:34 0 +33 *3188:74 *3208:27 0 +34 *3188:74 *3211:42 0 +35 *3188:74 *3400:21 0 +36 *3188:74 *3722:69 0 +37 *3188:74 *3783:66 0 +38 *3188:74 *4590:93 0 +39 *3188:74 *5034:29 0 +40 *3188:87 *40353:A 0 +41 *3188:87 *3194:125 0 +42 *3188:87 *3194:132 0 +43 *3188:87 *3198:25 0 +44 *3188:87 *3225:17 0 +45 *3188:87 *3407:13 0 +46 *3188:87 *3407:18 0 +47 *3188:87 *3434:19 0 +48 *3188:87 *3434:34 0 +49 *3188:87 *3653:47 0 +50 *3188:87 *4232:15 0 +51 *3188:87 *5034:16 0 +52 *3188:87 *5034:29 0 +53 *3188:87 *5084:13 0 +54 *3188:87 *5084:22 0 +55 *3188:87 *5188:32 0 +56 *3188:87 *5188:44 0 +57 *3188:111 *8870:DIODE 0 +58 *3188:111 *40353:A 0 +59 *3188:111 *3276:74 0 +60 *3188:111 *3302:59 0 +61 *3188:111 *3783:41 0 +62 *3188:111 *4149:68 0 +63 *3188:111 *4238:41 0 +64 *3188:111 *5084:13 0 +65 *6783:DIODE *3188:46 0 +66 *6907:DIODE *3188:27 0 +67 *7513:DIODE *3188:46 0 +68 *7591:DIODE *3188:31 0 +69 *39531:A *3188:27 0 +70 *39580:A *3188:87 0 +71 *39599:A *3188:31 0 +72 *39604:A *3188:31 0 +73 *39604:A *3188:46 0 +74 *39640:A *3188:46 0 +75 *40171:A *3188:87 0 +76 *40552:A *3188:27 0 +77 *542:60 *3188:46 0 +78 *1248:24 *3188:27 0 +79 *1248:24 *3188:31 0 +80 *1248:43 *3188:27 0 +81 *1726:43 *3188:46 0 +82 *1863:28 *3188:27 0 +83 *2397:21 *3188:111 0 +84 *2400:11 *3188:46 0 +85 *2411:65 *3188:66 0 +86 *2411:93 *3188:66 0 +87 *2426:84 *3188:111 0 +88 *2712:65 *3188:66 0 +89 *3015:38 *3188:87 0 +90 *3027:20 *3188:31 0 +91 *3027:43 *3188:27 0 +92 *3027:43 *3188:31 0 +93 *3037:20 *3188:87 0 +94 *3075:77 *3188:111 0 +95 *3112:24 *3188:111 0 +96 *3122:34 *3188:74 0 +97 *3179:64 *3188:74 0 +*RES +1 *40308:X *3188:27 39.9786 +2 *3188:27 *3188:31 30.9464 +3 *3188:31 *3188:46 42.4608 +4 *3188:46 *3188:66 49 +5 *3188:66 *3188:74 40.1429 +6 *3188:74 *3188:87 47.3543 +7 *3188:87 *3188:111 46.3214 +8 *3188:111 *40307:A 16.0143 +9 *3188:87 *8119:DIODE 9.3 +*END + +*D_NET *3189 0.018705 +*CONN +*I *40308:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8120:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40309:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40308:A 0.000168983 +2 *8120:DIODE 2.56688e-05 +3 *40309:X 0.000331566 +4 *3189:43 0.000320607 +5 *3189:37 0.00239507 +6 *3189:36 0.0026892 +7 *3189:17 0.00461478 +8 *3189:16 0.00601113 +9 *3189:10 0.00214799 +10 *3189:10 *4545:16 0 +11 *3189:10 *4762:10 0 +12 *3189:10 *4781:11 0 +13 *3189:10 *5209:54 0 +14 *3189:16 *3695:31 0 +15 *3189:17 *40290:A 0 +16 *3189:17 *41404:A 0 +17 *3189:17 *41406:A 0 +18 *3189:17 *3695:23 0 +19 *3189:17 *4551:35 0 +20 *3189:17 *4773:49 0 +21 *3189:17 *4777:31 0 +22 *3189:17 *5166:53 0 +23 *3189:36 *41406:A 0 +24 *3189:36 *3212:27 0 +25 *3189:36 *3394:32 0 +26 *3189:36 *3395:60 0 +27 *3189:36 *3721:43 0 +28 *3189:36 *4764:48 0 +29 *3189:36 *5166:53 0 +30 *3189:37 *3194:13 0 +31 *3189:37 *3212:27 0 +32 *3189:37 *5074:49 0 +33 *3189:43 *3486:5 0 +34 *6890:DIODE *3189:10 0 +35 *6902:DIODE *3189:36 0 +36 *6925:DIODE *3189:10 0 +37 *7475:DIODE *3189:17 0 +38 *8285:DIODE *40308:A 0 +39 *37427:A *3189:17 0 +40 *39496:B *3189:36 0 +41 *39504:B *3189:17 0 +42 *39542:A *3189:17 0 +43 *368:79 *3189:36 0 +44 *1019:42 *3189:17 0 +45 *1020:22 *3189:17 0 +46 *1021:19 *3189:10 0 +47 *1248:43 *40308:A 0 +48 *1248:51 *3189:37 0 +49 *1248:67 *3189:36 0 +50 *1248:67 *3189:37 0 +51 *1259:19 *3189:17 0 +52 *1259:31 *3189:17 0 +53 *1755:18 *3189:17 0 +54 *3091:12 *3189:16 0 +55 *3091:27 *3189:17 0 +56 *3091:41 *3189:17 0 +*RES +1 *40309:X *3189:10 25.7464 +2 *3189:10 *3189:16 47.0179 +3 *3189:16 *3189:17 87.6071 +4 *3189:17 *3189:36 22.6744 +5 *3189:36 *3189:37 47.3571 +6 *3189:37 *3189:43 12.1071 +7 *3189:43 *8120:DIODE 9.83571 +8 *3189:43 *40308:A 22.3179 +*END + +*D_NET *3190 0.0199933 +*CONN +*I *5662:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37806:B I *D sky130_fd_sc_hd__and2_1 +*I *40310:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *5662:DIODE 0.000439532 +2 *37806:B 0.000246384 +3 *40310:X 8.57316e-05 +4 *3190:35 0.00213638 +5 *3190:19 0.00730124 +6 *3190:18 0.00777453 +7 *3190:8 0.00200949 +8 *5662:DIODE *3850:20 0 +9 *37806:B *3558:50 0 +10 *3190:8 *3806:30 0 +11 *3190:18 *3201:43 0 +12 *3190:19 *3195:17 0 +13 *3190:19 *3363:15 0 +14 *3190:19 *3581:35 0 +15 *3190:19 *3581:41 0 +16 *3190:19 *3581:43 0 +17 *3190:19 *3633:12 0 +18 *3190:19 *3812:11 0 +19 *3190:19 *4338:21 0 +20 *3190:35 *3550:19 0 +21 *3190:35 *3558:50 0 +22 *3190:35 *3624:71 0 +23 *3190:35 *4333:26 0 +24 *7816:DIODE *3190:35 0 +25 *37820:A *3190:19 0 +26 *37827:A *3190:18 0 +27 *1302:18 *37806:B 0 +28 *1812:13 *3190:35 0 +29 *1908:9 *5662:DIODE 0 +30 *1937:19 *37806:B 0 +31 *1951:52 *37806:B 0 +32 *2188:13 *3190:19 0 +33 *2197:11 *3190:19 0 +34 *2206:12 *3190:35 0 +35 *2256:10 *3190:19 0 +36 *2298:11 *3190:8 0 +37 *2319:30 *3190:18 0 +38 *2340:14 *3190:18 0 +39 *2885:51 *37806:B 0 +*RES +1 *40310:X *3190:8 20.2464 +2 *3190:8 *3190:18 49.5893 +3 *3190:18 *3190:19 122.107 +4 *3190:19 *3190:35 31.2309 +5 *3190:35 *37806:B 20.1805 +6 *3190:35 *5662:DIODE 27.2011 +*END + +*D_NET *3191 0.022086 +*CONN +*I *40310:A I *D sky130_fd_sc_hd__buf_6 +*I *8121:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40311:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *40310:A 0 +2 *8121:DIODE 0.000344838 +3 *40311:X 0.000683197 +4 *3191:83 0.00208319 +5 *3191:59 0.004557 +6 *3191:57 0.00410315 +7 *3191:54 0.00220908 +8 *3191:40 0.00215156 +9 *3191:28 0.00324889 +10 *3191:10 0.0027051 +11 *8121:DIODE *3588:13 0 +12 *3191:10 *3322:146 0 +13 *3191:10 *3942:28 0 +14 *3191:10 *3977:74 0 +15 *3191:10 *4230:53 0 +16 *3191:28 *3741:65 0 +17 *3191:28 *3751:28 0 +18 *3191:28 *3775:48 0 +19 *3191:28 *3822:27 0 +20 *3191:28 *3942:28 0 +21 *3191:28 *4050:44 0 +22 *3191:28 *4098:58 0 +23 *3191:28 *4702:12 0 +24 *3191:40 *3741:47 0 +25 *3191:40 *4332:58 0 +26 *3191:54 *3546:26 0 +27 *3191:54 *3730:17 0 +28 *3191:54 *4336:22 0 +29 *3191:57 *3197:55 0 +30 *3191:57 *3691:20 0 +31 *3191:57 *3787:67 0 +32 *3191:57 *4336:9 0 +33 *3191:59 *3691:20 0 +34 *3191:59 *4336:9 0 +35 *3191:59 *5117:14 0 +36 *3191:83 *4332:10 0 +37 *3191:83 *4332:17 0 +38 *3191:83 *4338:41 0 +39 *3191:83 *5147:25 0 +40 *5678:DIODE *3191:54 0 +41 *37830:B *3191:54 0 +42 *37853:A *3191:57 0 +43 *40664:A *3191:83 0 +44 *1286:12 *3191:10 0 +45 *1286:12 *3191:28 0 +46 *1324:51 *3191:40 0 +47 *1505:34 *3191:40 0 +48 *1505:48 *3191:57 0 +49 *2268:43 *3191:40 0 +50 *2271:37 *3191:83 0 +51 *2275:46 *3191:83 0 +52 *2275:69 *3191:83 0 +53 *2331:10 *3191:83 0 +54 *2334:20 *3191:59 0 +55 *2334:20 *3191:83 0 +56 *2336:14 *3191:59 0 +57 *2340:14 *3191:59 0 +58 *2340:14 *3191:83 0 +59 *2347:33 *3191:57 0 +60 *2928:83 *3191:54 0 +61 *2948:25 *3191:59 0 +62 *3055:104 *3191:10 0 +63 *3181:29 *3191:40 0 +64 *3181:46 *3191:54 0 +65 *3181:50 *3191:54 0 +66 *3181:50 *3191:57 0 +67 *3186:32 *3191:28 0 +*RES +1 *40311:X *3191:10 28.7911 +2 *3191:10 *3191:28 42.8845 +3 *3191:28 *3191:40 44 +4 *3191:40 *3191:54 28.8214 +5 *3191:54 *3191:57 26.8393 +6 *3191:57 *3191:59 58.8571 +7 *3191:59 *3191:83 45.75 +8 *3191:83 *8121:DIODE 26.05 +9 *3191:83 *40310:A 9.3 +*END + +*D_NET *3192 0.0214711 +*CONN +*I *8122:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40311:A I *D sky130_fd_sc_hd__buf_6 +*I *40312:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8122:DIODE 2.26741e-05 +2 *40311:A 0.000309465 +3 *40312:X 0.000635794 +4 *3192:66 0.00131715 +5 *3192:54 0.00468445 +6 *3192:37 0.00482404 +7 *3192:13 0.00508316 +8 *3192:11 0.00459435 +9 *40311:A *3977:74 0 +10 *40311:A *3980:80 0 +11 *40311:A *4083:124 0 +12 *3192:11 *40312:A 0 +13 *3192:11 *3211:49 0 +14 *3192:11 *3239:8 0 +15 *3192:11 *3697:73 0 +16 *3192:13 *3198:47 0 +17 *3192:13 *3211:49 0 +18 *3192:13 *3261:27 0 +19 *3192:13 *3510:7 0 +20 *3192:13 *3510:9 0 +21 *3192:13 *3513:52 0 +22 *3192:13 *4259:18 0 +23 *3192:37 *3210:15 0 +24 *3192:37 *3261:53 0 +25 *3192:37 *3510:7 0 +26 *3192:37 *4242:73 0 +27 *3192:54 *3210:15 0 +28 *3192:54 *3298:24 0 +29 *3192:54 *3318:13 0 +30 *3192:54 *4045:43 0 +31 *3192:54 *4275:56 0 +32 *3192:54 *4286:61 0 +33 *3192:66 *3327:92 0 +34 *3192:66 *3961:49 0 +35 *3192:66 *4072:51 0 +36 *3192:66 *4135:96 0 +37 *3192:66 *4300:65 0 +38 *3192:66 *4521:41 0 +39 *39994:A *3192:66 0 +40 *1433:15 *40311:A 0 +41 *1434:26 *3192:66 0 +42 *1435:44 *3192:54 0 +43 *2397:21 *3192:11 0 +44 *2397:21 *3192:13 0 +45 *2397:21 *3192:37 0 +46 *2400:60 *3192:13 0 +47 *2424:19 *3192:66 0 +48 *2594:28 *3192:66 0 +*RES +1 *40312:X *3192:11 31.7821 +2 *3192:11 *3192:13 82.6786 +3 *3192:13 *3192:37 47.3929 +4 *3192:37 *3192:54 49.6183 +5 *3192:54 *3192:66 26.9911 +6 *3192:66 *40311:A 25.0143 +7 *3192:66 *8122:DIODE 9.83571 +*END + +*D_NET *3193 0.00745802 +*CONN +*I *37518:B I *D sky130_fd_sc_hd__and2_1 +*I *38498:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *37518:B 0.000449225 +2 *38498:X 8.57316e-05 +3 *3193:9 0.00364328 +4 *3193:8 0.00327978 +5 *37518:B *3390:17 0 +6 *37518:B *4433:34 0 +7 *3193:9 *4796:21 0 +8 la_data_in_mprj[18] *37518:B 0 +9 la_data_in_mprj[25] *3193:8 0 +10 *6905:DIODE *37518:B 0 +11 *425:13 *37518:B 0 +12 *433:5 *3193:8 0 +13 *2357:11 *3193:9 0 +14 *3115:8 *37518:B 0 +*RES +1 *38498:X *3193:8 20.2464 +2 *3193:8 *3193:9 66.6607 +3 *3193:9 *37518:B 28.4429 +*END + +*D_NET *3194 0.0229107 +*CONN +*I *8123:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40312:A I *D sky130_fd_sc_hd__buf_4 +*I *40313:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8123:DIODE 0.000399753 +2 *40312:A 0.000446512 +3 *40313:X 0.000328323 +4 *3194:135 0.00224333 +5 *3194:132 0.00216138 +6 *3194:125 0.00150132 +7 *3194:107 0.00196932 +8 *3194:93 0.00212517 +9 *3194:63 0.0022764 +10 *3194:36 0.0031667 +11 *3194:17 0.00387366 +12 *3194:13 0.00241883 +13 *40312:A *3239:8 0 +14 *40312:A *3348:15 0 +15 *40312:A *3430:71 0 +16 *3194:17 *3212:50 0 +17 *3194:17 *3262:33 0 +18 *3194:17 *3475:17 0 +19 *3194:17 *4603:16 0 +20 *3194:36 *40505:A 0 +21 *3194:36 *3264:66 0 +22 *3194:36 *3419:23 0 +23 *3194:36 *4586:63 0 +24 *3194:36 *4591:11 0 +25 *3194:36 *4783:42 0 +26 *3194:36 *5169:40 0 +27 *3194:63 *3198:18 0 +28 *3194:63 *3264:93 0 +29 *3194:63 *3264:105 0 +30 *3194:63 *3281:24 0 +31 *3194:63 *3402:56 0 +32 *3194:63 *3416:26 0 +33 *3194:63 *4415:32 0 +34 *3194:63 *4591:34 0 +35 *3194:63 *5172:65 0 +36 *3194:93 *3208:24 0 +37 *3194:93 *3264:105 0 +38 *3194:93 *3264:128 0 +39 *3194:107 *3237:37 0 +40 *3194:107 *3409:26 0 +41 *3194:107 *5189:37 0 +42 *3194:107 *5193:51 0 +43 *3194:125 *3405:22 0 +44 *3194:125 *3407:18 0 +45 *3194:125 *3696:62 0 +46 *3194:125 *4232:15 0 +47 *3194:125 *4591:67 0 +48 *3194:125 *5188:32 0 +49 *3194:132 *3510:18 0 +50 *3194:132 *4232:15 0 +51 *3194:135 *3289:29 0 +52 *3194:135 *3682:15 0 +53 *3194:135 *4019:37 0 +54 *3194:135 *4019:45 0 +55 *6816:DIODE *3194:36 0 +56 *6907:DIODE *3194:17 0 +57 *6919:DIODE *3194:13 0 +58 *7505:DIODE *3194:17 0 +59 *7517:DIODE *3194:63 0 +60 *7576:DIODE *3194:93 0 +61 *39608:A *3194:93 0 +62 *39616:A *3194:63 0 +63 *266:48 *3194:17 0 +64 *1011:273 *3194:132 0 +65 *1027:80 *3194:107 0 +66 *1248:51 *3194:13 0 +67 *1261:30 *3194:36 0 +68 *1261:31 *3194:17 0 +69 *1840:72 *3194:63 0 +70 *2373:86 *3194:17 0 +71 *2373:86 *3194:36 0 +72 *2387:63 *3194:107 0 +73 *2411:93 *3194:63 0 +74 *2417:19 *3194:63 0 +75 *2426:65 *3194:125 0 +76 *2713:116 *3194:17 0 +77 *2970:29 *3194:93 0 +78 *2972:118 *3194:63 0 +79 *2993:70 *3194:17 0 +80 *3025:80 *3194:107 0 +81 *3081:16 *8123:DIODE 0 +82 *3084:11 *3194:135 0 +83 *3101:39 *3194:17 0 +84 *3101:39 *3194:36 0 +85 *3111:44 *3194:17 0 +86 *3178:14 *8123:DIODE 0 +87 *3179:64 *3194:93 0 +88 *3188:66 *3194:63 0 +89 *3188:87 *3194:125 0 +90 *3188:87 *3194:132 0 +91 *3189:37 *3194:13 0 +92 *3192:11 *40312:A 0 +*RES +1 *40313:X *3194:13 25.5143 +2 *3194:13 *3194:17 48.1607 +3 *3194:17 *3194:36 47.2589 +4 *3194:36 *3194:63 49.8032 +5 *3194:63 *3194:93 46.625 +6 *3194:93 *3194:107 49.125 +7 *3194:107 *3194:125 18.1678 +8 *3194:125 *3194:132 12.5252 +9 *3194:132 *3194:135 42 +10 *3194:135 *40312:A 23.9429 +11 *3194:125 *8123:DIODE 26.2904 +*END + +*D_NET *3195 0.0212887 +*CONN +*I *5660:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37804:B I *D sky130_fd_sc_hd__and2_1 +*I *40314:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *5660:DIODE 0 +2 *37804:B 0.00018396 +3 *40314:X 0.000729748 +4 *3195:50 0.00018396 +5 *3195:48 0.00166488 +6 *3195:39 0.0048816 +7 *3195:38 0.00328236 +8 *3195:33 0.00209398 +9 *3195:26 0.0034589 +10 *3195:17 0.00275507 +11 *3195:14 0.00205426 +12 *37804:B *3577:49 0 +13 *37804:B *3847:17 0 +14 *3195:14 *4359:8 0 +15 *3195:17 *3581:43 0 +16 *3195:26 *3566:51 0 +17 *3195:26 *4350:33 0 +18 *3195:26 *5115:20 0 +19 *3195:33 *3552:22 0 +20 *3195:33 *3562:49 0 +21 *3195:33 *3575:68 0 +22 *3195:33 *4368:22 0 +23 *3195:33 *5058:10 0 +24 *3195:39 *4942:16 0 +25 *37804:A *37804:B 0 +26 *38189:A *3195:39 0 +27 *39478:B *3195:39 0 +28 *319:12 *3195:26 0 +29 *344:50 *3195:39 0 +30 *1279:10 *3195:48 0 +31 *1279:18 *3195:48 0 +32 *1304:10 *3195:26 0 +33 *1404:10 *3195:26 0 +34 *1497:49 *3195:39 0 +35 *1529:29 *3195:39 0 +36 *1529:46 *3195:39 0 +37 *1682:43 *3195:39 0 +38 *1814:19 *3195:26 0 +39 *1905:56 *3195:48 0 +40 *1911:27 *3195:39 0 +41 *1934:45 *3195:26 0 +42 *1948:29 *3195:39 0 +43 *1948:42 *3195:33 0 +44 *1948:42 *3195:39 0 +45 *2184:34 *3195:39 0 +46 *2187:11 *3195:26 0 +47 *2190:7 *3195:26 0 +48 *2197:38 *3195:26 0 +49 *2198:22 *3195:33 0 +50 *2198:43 *3195:33 0 +51 *2199:30 *3195:26 0 +52 *2211:9 *3195:14 0 +53 *2743:14 *3195:48 0 +54 *2747:80 *3195:38 0 +55 *2763:39 *3195:39 0 +56 *2765:43 *3195:39 0 +57 *2857:42 *3195:39 0 +58 *2885:51 *3195:33 0 +59 *2886:40 *3195:39 0 +60 *2886:65 *3195:33 0 +61 *2887:47 *37804:B 0 +62 *3185:9 *3195:14 0 +63 *3190:19 *3195:17 0 +*RES +1 *40314:X *3195:14 42.9964 +2 *3195:14 *3195:17 32.1429 +3 *3195:17 *3195:26 34.8281 +4 *3195:26 *3195:33 47.0788 +5 *3195:33 *3195:38 9.40107 +6 *3195:38 *3195:39 67.0714 +7 *3195:39 *3195:48 49.0536 +8 *3195:48 *3195:50 4.5 +9 *3195:50 *37804:B 13.1393 +10 *3195:50 *5660:DIODE 9.3 +*END + +*D_NET *3196 0.0199462 +*CONN +*I *8125:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40314:A I *D sky130_fd_sc_hd__buf_6 +*I *40315:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *8125:DIODE 0.00029975 +2 *40314:A 0 +3 *40315:X 0.00141279 +4 *3196:40 0.000625923 +5 *3196:37 0.00639342 +6 *3196:35 0.00793438 +7 *3196:10 0.00327992 +8 *8125:DIODE *3324:30 0 +9 *8125:DIODE *3624:58 0 +10 *3196:10 *3252:62 0 +11 *3196:10 *3438:57 0 +12 *3196:10 *3447:40 0 +13 *3196:10 *3947:27 0 +14 *3196:10 *4006:23 0 +15 *3196:10 *4125:33 0 +16 *3196:10 *4128:22 0 +17 *3196:35 *3812:21 0 +18 *3196:35 *3817:18 0 +19 *3196:35 *3817:34 0 +20 *3196:35 *3823:35 0 +21 *3196:35 *4329:28 0 +22 *3196:35 *4359:9 0 +23 *3196:35 *4359:32 0 +24 *3196:35 *4359:38 0 +25 *3196:37 *3363:15 0 +26 *3196:37 *4321:17 0 +27 *3196:37 *4359:9 0 +28 *8490:DIODE *3196:10 0 +29 *1203:48 *3196:35 0 +30 *1406:19 *8125:DIODE 0 +31 *2073:72 *3196:10 0 +32 *2221:15 *8125:DIODE 0 +33 *2221:15 *3196:40 0 +34 *2222:10 *8125:DIODE 0 +35 *2222:10 *3196:40 0 +36 *2227:83 *3196:10 0 +37 *2270:60 *3196:10 0 +38 *2294:20 *3196:35 0 +39 *2299:19 *3196:35 0 +40 *2299:33 *3196:35 0 +41 *3123:31 *3196:10 0 +42 *3123:59 *3196:35 0 +43 *3123:59 *3196:37 0 +44 *3123:61 *3196:37 0 +*RES +1 *40315:X *3196:10 48.8536 +2 *3196:10 *3196:35 48.7679 +3 *3196:35 *3196:37 126.625 +4 *3196:37 *3196:40 11.9107 +5 *3196:40 *40314:A 13.8 +6 *3196:40 *8125:DIODE 20.3714 +*END + +*D_NET *3197 0.0279393 +*CONN +*I *8126:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40315:A I *D sky130_fd_sc_hd__buf_6 +*I *40316:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *8126:DIODE 0.000534419 +2 *40315:A 0.000798677 +3 *40316:X 0.000915753 +4 *3197:56 0.00191851 +5 *3197:55 0.00240661 +6 *3197:41 0.00672736 +7 *3197:40 0.00490616 +8 *3197:38 0.00212094 +9 *3197:34 0.00271961 +10 *3197:30 0.00228709 +11 *3197:16 0.00260418 +12 *8126:DIODE *4230:25 0 +13 *40315:A *3438:57 0 +14 *40315:A *3943:50 0 +15 *40315:A *4125:33 0 +16 *40315:A *4230:25 0 +17 *3197:16 *41242:A 0 +18 *3197:16 *3253:32 0 +19 *3197:16 *3313:15 0 +20 *3197:16 *3715:25 0 +21 *3197:16 *4268:14 0 +22 *3197:30 *8450:DIODE 0 +23 *3197:30 *3677:17 0 +24 *3197:30 *3680:92 0 +25 *3197:30 *3691:52 0 +26 *3197:30 *3715:25 0 +27 *3197:30 *3772:91 0 +28 *3197:30 *4070:95 0 +29 *3197:30 *4241:14 0 +30 *3197:34 *3690:28 0 +31 *3197:38 *3252:34 0 +32 *3197:38 *4735:11 0 +33 *3197:55 *3531:36 0 +34 *3197:55 *3690:16 0 +35 *3197:55 *3789:65 0 +36 *3197:55 *3791:45 0 +37 *3197:55 *4336:9 0 +38 *3197:55 *4707:8 0 +39 *3197:56 *4711:14 0 +40 *3197:56 *4711:16 0 +41 *8490:DIODE *40315:A 0 +42 *40250:A *8126:DIODE 0 +43 *1324:16 *3197:30 0 +44 *1329:20 *3197:34 0 +45 *1559:10 *8126:DIODE 0 +46 *1560:26 *3197:55 0 +47 *2077:71 *40315:A 0 +48 *2279:49 *3197:55 0 +49 *2295:28 *40315:A 0 +50 *2309:38 *3197:41 0 +51 *2432:41 *3197:41 0 +52 *2434:37 *3197:38 0 +53 *2436:26 *3197:34 0 +54 *2436:27 *3197:41 0 +55 *2717:19 *3197:38 0 +56 *2840:34 *3197:38 0 +57 *2946:12 *3197:38 0 +58 *2947:70 *3197:56 0 +59 *2981:53 *3197:38 0 +60 *3053:71 *3197:16 0 +61 *3054:24 *40315:A 0 +62 *3114:50 *3197:34 0 +63 *3123:31 *40315:A 0 +64 *3191:57 *3197:55 0 +*RES +1 *40316:X *3197:16 43.1393 +2 *3197:16 *3197:30 38.9754 +3 *3197:30 *3197:34 18.1339 +4 *3197:34 *3197:38 49.1429 +5 *3197:38 *3197:40 4.5 +6 *3197:40 *3197:41 102.393 +7 *3197:41 *3197:55 47.7101 +8 *3197:55 *3197:56 13.3304 +9 *3197:56 *40315:A 41.9616 +10 *3197:56 *8126:DIODE 25.6839 +*END + +*D_NET *3198 0.0193336 +*CONN +*I *40316:A I *D sky130_fd_sc_hd__buf_6 +*I *8127:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40317:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *40316:A 0.000434928 +2 *8127:DIODE 0.00019268 +3 *40317:X 0.000745788 +4 *3198:55 0.000901998 +5 *3198:47 0.00204551 +6 *3198:37 0.00488645 +7 *3198:36 0.00319605 +8 *3198:25 0.00231048 +9 *3198:24 0.00305184 +10 *3198:18 0.00156787 +11 *40316:A *8853:DIODE 0 +12 *40316:A *3253:32 0 +13 *40316:A *3289:28 0 +14 *40316:A *3313:15 0 +15 *40316:A *3441:55 0 +16 *40316:A *3535:25 0 +17 *40316:A *3972:27 0 +18 *3198:18 *40607:A 0 +19 *3198:18 *3264:93 0 +20 *3198:18 *3264:105 0 +21 *3198:18 *4591:34 0 +22 *3198:24 *3211:48 0 +23 *3198:24 *4591:39 0 +24 *3198:24 *4591:67 0 +25 *3198:25 *3225:17 0 +26 *3198:25 *3237:27 0 +27 *3198:25 *3237:37 0 +28 *3198:25 *3507:35 0 +29 *3198:25 *4591:67 0 +30 *3198:37 *3261:26 0 +31 *3198:37 *3507:19 0 +32 *3198:37 *4149:47 0 +33 *3198:47 *41259:A 0 +34 *3198:47 *3510:9 0 +35 *3198:47 *3513:52 0 +36 *3198:55 *3510:7 0 +37 *3198:55 *3510:9 0 +38 *7576:DIODE *3198:24 0 +39 *39580:A *3198:25 0 +40 *39596:A *3198:24 0 +41 *40142:A *3198:18 0 +42 *40251:A *3198:55 0 +43 *1435:84 *3198:37 0 +44 *2349:8 *3198:55 0 +45 *2400:56 *3198:47 0 +46 *2400:60 *3198:47 0 +47 *2972:118 *3198:18 0 +48 *2972:118 *3198:24 0 +49 *3122:43 *3198:37 0 +50 *3167:25 *3198:24 0 +51 *3167:25 *3198:25 0 +52 *3167:39 *3198:55 0 +53 *3178:20 *3198:25 0 +54 *3179:43 *3198:24 0 +55 *3179:64 *3198:24 0 +56 *3188:87 *3198:25 0 +57 *3192:13 *3198:47 0 +58 *3194:63 *3198:18 0 +*RES +1 *40317:X *3198:18 34.2107 +2 *3198:18 *3198:24 26.1786 +3 *3198:24 *3198:25 46.5357 +4 *3198:25 *3198:36 11.0357 +5 *3198:36 *3198:37 65.0179 +6 *3198:37 *3198:47 46.2321 +7 *3198:47 *3198:55 19.2857 +8 *3198:55 *8127:DIODE 18.175 +9 *3198:55 *40316:A 23.5857 +*END + +*D_NET *3199 0.0201668 +*CONN +*I *40317:A I *D sky130_fd_sc_hd__buf_4 +*I *8128:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40318:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40317:A 0.000431852 +2 *8128:DIODE 2.56688e-05 +3 *40318:X 0.000817541 +4 *3199:81 0.0009525 +5 *3199:78 0.00170315 +6 *3199:63 0.00601892 +7 *3199:62 0.00512314 +8 *3199:50 0.00229445 +9 *3199:18 0.00279961 +10 *40317:A *40506:A 0 +11 *40317:A *3203:30 0 +12 *40317:A *3264:93 0 +13 *40317:A *4415:32 0 +14 *3199:18 *3486:5 0 +15 *3199:18 *3695:23 0 +16 *3199:18 *4548:57 0 +17 *3199:18 *4566:17 0 +18 *3199:18 *4568:19 0 +19 *3199:50 *40231:A 0 +20 *3199:50 *3357:61 0 +21 *3199:50 *3370:8 0 +22 *3199:50 *3419:28 0 +23 *3199:50 *3475:42 0 +24 *3199:50 *3475:58 0 +25 *3199:50 *4573:28 0 +26 *3199:62 *3342:19 0 +27 *3199:62 *3394:32 0 +28 *3199:62 *3421:35 0 +29 *3199:62 *3475:42 0 +30 *3199:62 *4244:43 0 +31 *3199:63 *3419:23 0 +32 *3199:63 *3421:23 0 +33 *3199:63 *3421:25 0 +34 *3199:63 *3475:28 0 +35 *3199:63 *3724:78 0 +36 *3199:63 *5187:39 0 +37 *3199:78 *8132:DIODE 0 +38 *3199:78 *3421:11 0 +39 *3199:78 *4603:30 0 +40 *3199:78 *4758:49 0 +41 *3199:81 *7140:DIODE 0 +42 *3199:81 *4415:46 0 +43 *6754:DIODE *3199:81 0 +44 *7499:DIODE *3199:81 0 +45 *7607:DIODE *40317:A 0 +46 *39536:A *3199:18 0 +47 *40107:A *3199:63 0 +48 *40550:A *3199:63 0 +49 *281:51 *3199:63 0 +50 *1746:17 *3199:63 0 +51 *1836:16 *3199:50 0 +52 *1864:72 *3199:50 0 +53 *2411:93 *40317:A 0 +54 *2965:13 *3199:63 0 +55 *2982:51 *3199:81 0 +56 *2993:71 *3199:63 0 +57 *3072:23 *40317:A 0 +58 *3089:38 *3199:62 0 +59 *3102:23 *3199:50 0 +60 *3111:59 *3199:63 0 +61 *3111:59 *3199:78 0 +62 *3111:69 *3199:78 0 +63 *3164:44 *3199:63 0 +64 *3168:16 *3199:18 0 +65 *3168:25 *3199:50 0 +66 *3168:43 *3199:63 0 +67 *3184:57 *3199:81 0 +*RES +1 *40318:X *3199:18 49.7286 +2 *3199:18 *3199:50 49.9368 +3 *3199:50 *3199:62 20.4286 +4 *3199:62 *3199:63 100.339 +5 *3199:63 *3199:78 48.125 +6 *3199:78 *3199:81 14.8929 +7 *3199:81 *8128:DIODE 9.83571 +8 *3199:81 *40317:A 28.3714 +*END + +*D_NET *3200 0.0185976 +*CONN +*I *5657:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37802:B I *D sky130_fd_sc_hd__and2_2 +*I *40319:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *5657:DIODE 0.000241173 +2 *37802:B 2.56688e-05 +3 *40319:X 0.00800999 +4 *3200:16 0.00128881 +5 *3200:11 0.00903197 +6 *3200:11 *3558:66 0 +7 *3200:11 *3619:18 0 +8 *3200:11 *3624:71 0 +9 *3200:11 *4325:17 0 +10 *3200:11 *4333:26 0 +11 *3200:11 *4384:28 0 +12 *3200:16 *3555:52 0 +13 *3200:16 *4361:17 0 +14 *3200:16 *4384:28 0 +15 *5656:DIODE *37802:B 0 +16 *8553:DIODE *5657:DIODE 0 +17 *41368:A *3200:16 0 +18 *1382:45 *37802:B 0 +19 *1387:70 *3200:16 0 +20 *1387:84 *3200:11 0 +21 *1905:34 *3200:11 0 +22 *1912:26 *5657:DIODE 0 +23 *1914:29 *3200:16 0 +24 *1937:19 *3200:11 0 +25 *2192:27 *3200:11 0 +26 *2194:26 *3200:11 0 +27 *2194:26 *3200:16 0 +28 *2202:39 *3200:11 0 +29 *2847:28 *3200:11 0 +30 *2864:28 *5657:DIODE 0 +31 *2864:28 *3200:16 0 +*RES +1 *40319:X *3200:11 48.2426 +2 *3200:11 *3200:16 7.37315 +3 *3200:16 *37802:B 14.3357 +4 *3200:16 *5657:DIODE 28.2107 +*END + +*D_NET *3201 0.020775 +*CONN +*I *40319:A I *D sky130_fd_sc_hd__buf_6 +*I *8129:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40320:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *40319:A 0 +2 *8129:DIODE 0.000281501 +3 *40320:X 0.000994623 +4 *3201:48 0.000393955 +5 *3201:43 0.00466438 +6 *3201:42 0.00573193 +7 *3201:29 0.00329169 +8 *3201:26 0.003267 +9 *3201:19 0.00214994 +10 *3201:19 *3320:108 0 +11 *3201:19 *3747:30 0 +12 *3201:19 *3747:45 0 +13 *3201:26 *3320:108 0 +14 *3201:26 *3354:12 0 +15 *3201:26 *3747:30 0 +16 *3201:26 *4128:22 0 +17 *3201:26 *5150:21 0 +18 *3201:29 *3812:21 0 +19 *3201:43 *5149:9 0 +20 *6381:DIODE *3201:19 0 +21 *8769:DIODE *3201:19 0 +22 *37827:A *3201:43 0 +23 *39483:B *3201:48 0 +24 *1203:22 *3201:26 0 +25 *1203:28 *3201:29 0 +26 *1203:54 *3201:42 0 +27 *1687:21 *8129:DIODE 0 +28 *1999:52 *3201:26 0 +29 *2073:72 *3201:19 0 +30 *2073:72 *3201:26 0 +31 *2215:20 *8129:DIODE 0 +32 *2224:15 *3201:43 0 +33 *2232:15 *3201:48 0 +34 *2234:24 *3201:48 0 +35 *2238:10 *3201:43 0 +36 *2272:78 *3201:26 0 +37 *2294:10 *3201:42 0 +38 *2297:12 *3201:43 0 +39 *2297:14 *3201:43 0 +40 *2306:18 *3201:29 0 +41 *2306:40 *3201:26 0 +42 *2307:18 *3201:29 0 +43 *2307:20 *3201:29 0 +44 *2311:12 *3201:42 0 +45 *2319:30 *3201:43 0 +46 *2320:19 *3201:43 0 +47 *2320:33 *3201:43 0 +48 *2322:27 *3201:42 0 +49 *2327:19 *3201:42 0 +50 *2340:13 *3201:29 0 +51 *2948:25 *3201:43 0 +52 *3123:31 *3201:19 0 +53 *3123:39 *3201:26 0 +54 *3123:59 *3201:29 0 +55 *3185:9 *3201:43 0 +56 *3190:18 *3201:43 0 +*RES +1 *40320:X *3201:19 40.1036 +2 *3201:19 *3201:26 34.2143 +3 *3201:26 *3201:29 48.5714 +4 *3201:29 *3201:42 39.2321 +5 *3201:42 *3201:43 95 +6 *3201:43 *3201:48 11.5536 +7 *3201:48 *8129:DIODE 15.175 +8 *3201:48 *40319:A 9.3 +*END + +*D_NET *3202 0.0207647 +*CONN +*I *8130:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40320:A I *D sky130_fd_sc_hd__buf_6 +*I *40321:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8130:DIODE 0 +2 *40320:A 0.00063983 +3 *40321:X 0.000406419 +4 *3202:75 0.00215938 +5 *3202:60 0.00303709 +6 *3202:48 0.00329056 +7 *3202:42 0.00365481 +8 *3202:36 0.00245729 +9 *3202:24 0.00264417 +10 *3202:8 0.00247509 +11 *40320:A *8761:DIODE 0 +12 *40320:A *3322:56 0 +13 *40320:A *4017:29 0 +14 *40320:A *4053:66 0 +15 *40320:A *4120:40 0 +16 *40320:A *4275:26 0 +17 *40320:A *4408:15 0 +18 *3202:8 *3265:57 0 +19 *3202:8 *3513:52 0 +20 *3202:24 *3239:9 0 +21 *3202:24 *3289:28 0 +22 *3202:24 *3436:64 0 +23 *3202:24 *3675:67 0 +24 *3202:24 *4279:55 0 +25 *3202:36 *4029:89 0 +26 *3202:42 *38094:B 0 +27 *3202:42 *3319:49 0 +28 *3202:42 *4246:45 0 +29 *3202:42 *4301:67 0 +30 *3202:48 *3210:70 0 +31 *3202:48 *3236:22 0 +32 *3202:48 *3256:38 0 +33 *3202:48 *3316:46 0 +34 *3202:48 *3952:108 0 +35 *3202:48 *4061:75 0 +36 *3202:48 *4135:62 0 +37 *3202:48 *4301:59 0 +38 *3202:48 *4301:67 0 +39 *3202:60 *4242:22 0 +40 *3202:60 *4261:27 0 +41 *3202:75 *6072:DIODE 0 +42 *3202:75 *37642:A 0 +43 *3202:75 *38062:B 0 +44 *3202:75 *3530:79 0 +45 *3202:75 *3806:75 0 +46 *3202:75 *4046:76 0 +47 *3202:75 *4053:66 0 +48 *3202:75 *4075:76 0 +49 *3202:75 *4083:83 0 +50 *3202:75 *4281:26 0 +51 *3202:75 *4305:50 0 +52 *37672:B *40320:A 0 +53 *40164:A *3202:36 0 +54 *1235:19 *3202:60 0 +55 *1235:38 *3202:48 0 +56 *1235:55 *3202:42 0 +57 *2141:64 *40320:A 0 +58 *2431:15 *3202:48 0 +59 *3005:22 *3202:24 0 +60 *3037:15 *3202:24 0 +61 *3129:21 *3202:24 0 +62 *3129:30 *3202:36 0 +63 *3129:30 *3202:42 0 +64 *3154:97 *3202:75 0 +65 *3187:13 *3202:36 0 +*RES +1 *40321:X *3202:8 27.5321 +2 *3202:8 *3202:24 46.8207 +3 *3202:24 *3202:36 30.1786 +4 *3202:36 *3202:42 48.3571 +5 *3202:42 *3202:48 46.3571 +6 *3202:48 *3202:60 42.6795 +7 *3202:60 *3202:75 41.7143 +8 *3202:75 *40320:A 32.6214 +9 *3202:75 *8130:DIODE 9.3 +*END + +*D_NET *3203 0.0212437 +*CONN +*I *40321:A I *D sky130_fd_sc_hd__buf_4 +*I *8131:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40322:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40321:A 0 +2 *8131:DIODE 0.000484023 +3 *40322:X 0.00116664 +4 *3203:79 0.00087312 +5 *3203:73 0.00521504 +6 *3203:71 0.00542167 +7 *3203:68 0.00203194 +8 *3203:60 0.00316041 +9 *3203:30 0.00289085 +10 *8131:DIODE *3208:37 0 +11 *8131:DIODE *3265:57 0 +12 *8131:DIODE *3525:29 0 +13 *8131:DIODE *4193:27 0 +14 *8131:DIODE *4221:81 0 +15 *3203:30 *3211:15 0 +16 *3203:30 *3251:43 0 +17 *3203:30 *3479:20 0 +18 *3203:30 *3783:76 0 +19 *3203:30 *4602:54 0 +20 *3203:30 *5034:52 0 +21 *3203:60 *3221:36 0 +22 *3203:60 *3400:52 0 +23 *3203:60 *3402:56 0 +24 *3203:60 *3793:42 0 +25 *3203:60 *4015:26 0 +26 *3203:60 *5175:74 0 +27 *3203:68 *4019:45 0 +28 *3203:68 *5084:23 0 +29 *3203:71 *3409:21 0 +30 *3203:71 *3409:26 0 +31 *3203:71 *5189:39 0 +32 *3203:73 *3239:9 0 +33 *3203:73 *3289:28 0 +34 *3203:73 *3301:18 0 +35 *3203:73 *3409:21 0 +36 *3203:73 *3430:71 0 +37 *3203:73 *5189:39 0 +38 *3203:79 *3208:37 0 +39 *3203:79 *3265:57 0 +40 *3203:79 *3784:82 0 +41 *3203:79 *4276:34 0 +42 *6609:DIODE *3203:30 0 +43 *7592:DIODE *8131:DIODE 0 +44 *39616:A *3203:30 0 +45 *40207:A *3203:73 0 +46 *40317:A *3203:30 0 +47 *1441:29 *3203:73 0 +48 *1441:51 *3203:73 0 +49 *2373:119 *3203:30 0 +50 *2411:93 *3203:60 0 +51 *2417:19 *3203:30 0 +52 *2982:29 *3203:30 0 +53 *3024:18 *3203:60 0 +54 *3113:81 *3203:60 0 +55 *3121:9 *8131:DIODE 0 +56 *3129:15 *3203:73 0 +57 *3179:64 *3203:60 0 +*RES +1 *40322:X *3203:30 48.4875 +2 *3203:30 *3203:60 47.1839 +3 *3203:60 *3203:68 43.6071 +4 *3203:68 *3203:71 12.4643 +5 *3203:71 *3203:73 100.75 +6 *3203:73 *3203:79 17.8571 +7 *3203:79 *8131:DIODE 29.05 +8 *3203:79 *40321:A 9.3 +*END + +*D_NET *3204 0.0142567 +*CONN +*I *5325:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37520:B I *D sky130_fd_sc_hd__and2_1 +*I *38499:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *5325:DIODE 0.000134635 +2 *37520:B 0 +3 *38499:X 0.000767435 +4 *3204:22 0.00185117 +5 *3204:21 0.00257961 +6 *3204:9 0.00450975 +7 *3204:8 0.00441411 +8 *5325:DIODE *3570:24 0 +9 *3204:8 *3238:12 0 +10 *3204:8 *3488:26 0 +11 *3204:9 *37502:A 0 +12 *3204:9 *3887:21 0 +13 *3204:9 *4821:22 0 +14 *3204:21 *37502:A 0 +15 *3204:21 *39555:A 0 +16 *3204:21 *3466:29 0 +17 *3204:21 *3914:12 0 +18 *3204:21 *4482:22 0 +19 *3204:21 *4671:41 0 +20 *3204:21 *4791:25 0 +21 *3204:22 *37540:B 0 +22 *3204:22 *37938:A_N 0 +23 *3204:22 *39556:A 0 +24 *3204:22 *40669:A 0 +25 *3204:22 *41201:A 0 +26 *3204:22 *3249:50 0 +27 *3204:22 *3466:42 0 +28 *3204:22 *3466:44 0 +29 *3204:22 *3570:24 0 +30 *3204:22 *4150:38 0 +31 *3204:22 *4671:46 0 +32 *3204:22 *4892:14 0 +33 *37513:A *3204:8 0 +34 *39065:A *3204:8 0 +35 *296:43 *3204:8 0 +36 *817:8 *3204:8 0 +37 *1150:15 *3204:21 0 +38 *1283:20 *3204:22 0 +39 *1368:12 *5325:DIODE 0 +40 *2352:7 *3204:9 0 +41 *2352:11 *3204:9 0 +42 *2352:13 *3204:9 0 +43 *2362:24 *3204:9 0 +44 *2911:17 *5325:DIODE 0 +45 *2952:10 *5325:DIODE 0 +46 *2952:10 *3204:22 0 +*RES +1 *38499:X *3204:8 35.7286 +2 *3204:8 *3204:9 76.1071 +3 *3204:9 *3204:21 31.7321 +4 *3204:21 *3204:22 38.9821 +5 *3204:22 *37520:B 13.8 +6 *3204:22 *5325:DIODE 16.8 +*END + +*D_NET *3205 0.0221744 +*CONN +*I *8132:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40322:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40323:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8132:DIODE 0.000453853 +2 *40322:A 4.53482e-05 +3 *40323:X 0.00428684 +4 *3205:68 0.00337506 +5 *3205:47 0.00630116 +6 *3205:21 0.00771215 +7 *40322:A *3479:20 0 +8 *40322:A *4602:54 0 +9 *3205:21 *4532:19 0 +10 *3205:21 *4540:18 0 +11 *3205:21 *4596:28 0 +12 *3205:21 *5075:51 0 +13 *3205:21 *5077:19 0 +14 *3205:21 *5168:18 0 +15 *3205:21 *5185:14 0 +16 *3205:21 *5190:31 0 +17 *3205:47 *4553:20 0 +18 *3205:47 *5075:13 0 +19 *3205:47 *5184:16 0 +20 *3205:47 *5185:14 0 +21 *3205:47 *5190:43 0 +22 *3205:47 *5195:21 0 +23 *3205:68 *7145:DIODE 0 +24 *3205:68 *8166:DIODE 0 +25 *3205:68 *41424:A 0 +26 *3205:68 *3254:33 0 +27 *3205:68 *3571:48 0 +28 *3205:68 *3721:24 0 +29 *3205:68 *4540:26 0 +30 *3205:68 *5034:78 0 +31 *3205:68 *5100:45 0 +32 *3205:68 *5179:91 0 +33 *5509:DIODE *3205:68 0 +34 *6869:DIODE *3205:47 0 +35 *7982:DIODE *3205:68 0 +36 *797:54 *3205:68 0 +37 *902:9 *3205:47 0 +38 *910:10 *3205:21 0 +39 *1006:38 *3205:68 0 +40 *1008:129 *3205:68 0 +41 *1829:47 *3205:68 0 +42 *1834:23 *3205:68 0 +43 *1860:28 *3205:68 0 +44 *1860:57 *3205:47 0 +45 *2373:47 *3205:47 0 +46 *2849:23 *3205:68 0 +47 *2882:46 *3205:68 0 +48 *3030:21 *3205:47 0 +49 *3199:78 *8132:DIODE 0 +*RES +1 *40323:X *3205:21 49.918 +2 *3205:21 *3205:47 47.0727 +3 *3205:47 *3205:68 31.147 +4 *3205:68 *40322:A 14.7464 +5 *3205:68 *8132:DIODE 23.9071 +*END + +*D_NET *3206 0.0211955 +*CONN +*I *37800:B I *D sky130_fd_sc_hd__and2_1 +*I *5654:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40324:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *37800:B 0.000310079 +2 *5654:DIODE 0 +3 *40324:X 0.000914181 +4 *3206:64 0.0019562 +5 *3206:58 0.00264229 +6 *3206:47 0.00633528 +7 *3206:46 0.00673119 +8 *3206:25 0.00230626 +9 *37800:B *3214:13 0 +10 *37800:B *3373:66 0 +11 *3206:25 *3438:44 0 +12 *3206:25 *3973:21 0 +13 *3206:25 *4020:17 0 +14 *3206:25 *4029:16 0 +15 *3206:25 *4032:60 0 +16 *3206:25 *4083:39 0 +17 *3206:25 *4135:45 0 +18 *3206:25 *4284:62 0 +19 *3206:25 *4297:24 0 +20 *3206:25 *4300:15 0 +21 *3206:46 *3330:12 0 +22 *3206:46 *3807:40 0 +23 *3206:46 *4265:36 0 +24 *3206:46 *4284:51 0 +25 *3206:47 *40520:A 0 +26 *3206:47 *3838:11 0 +27 *3206:47 *4491:31 0 +28 *3206:47 *4858:25 0 +29 *3206:47 *4858:32 0 +30 *3206:58 *3324:14 0 +31 *3206:58 *3705:11 0 +32 *3206:64 *5126:10 0 +33 *5449:DIODE *3206:25 0 +34 *1959:10 *3206:64 0 +35 *1959:17 *3206:47 0 +36 *1967:58 *3206:25 0 +37 *1978:25 *3206:47 0 +38 *2048:9 *3206:64 0 +39 *2121:45 *3206:46 0 +40 *2132:28 *37800:B 0 +41 *2135:19 *37800:B 0 +42 *2138:28 *3206:58 0 +43 *2139:16 *37800:B 0 +44 *2143:32 *3206:47 0 +45 *2143:40 *3206:64 0 +46 *2232:74 *3206:25 0 +47 *2427:21 *3206:46 0 +48 *3128:39 *3206:46 0 +*RES +1 *40324:X *3206:25 47.2286 +2 *3206:25 *3206:46 36.6734 +3 *3206:46 *3206:47 111.429 +4 *3206:47 *3206:58 38.9821 +5 *3206:58 *3206:64 44.125 +6 *3206:64 *5654:DIODE 9.3 +7 *3206:64 *37800:B 25.175 +*END + +*D_NET *3207 0.0233253 +*CONN +*I *8133:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40324:A I *D sky130_fd_sc_hd__buf_6 +*I *40325:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *8133:DIODE 0 +2 *40324:A 0.000521199 +3 *40325:X 0.000635716 +4 *3207:95 0.00200855 +5 *3207:83 0.00450972 +6 *3207:76 0.00424756 +7 *3207:64 0.00286793 +8 *3207:49 0.00333932 +9 *3207:35 0.00312806 +10 *3207:15 0.00206719 +11 *40324:A *8280:DIODE 0 +12 *40324:A *8863:DIODE 0 +13 *40324:A *40427:A 0 +14 *40324:A *3321:9 0 +15 *40324:A *4032:60 0 +16 *40324:A *4083:52 0 +17 *3207:15 *38090:C 0 +18 *3207:15 *3225:59 0 +19 *3207:15 *3261:53 0 +20 *3207:15 *3272:51 0 +21 *3207:15 *3697:59 0 +22 *3207:35 *40341:A 0 +23 *3207:35 *3225:59 0 +24 *3207:35 *3272:51 0 +25 *3207:35 *4242:71 0 +26 *3207:35 *4297:91 0 +27 *3207:35 *4889:22 0 +28 *3207:49 *40595:A 0 +29 *3207:49 *3224:21 0 +30 *3207:49 *3259:17 0 +31 *3207:49 *3446:52 0 +32 *3207:49 *3523:65 0 +33 *3207:49 *3697:25 0 +34 *3207:49 *4112:78 0 +35 *3207:49 *4112:119 0 +36 *3207:49 *4242:51 0 +37 *3207:49 *4264:30 0 +38 *3207:64 *3259:17 0 +39 *3207:64 *3785:48 0 +40 *3207:64 *3882:53 0 +41 *3207:64 *4112:78 0 +42 *3207:64 *4513:101 0 +43 *3207:83 *3782:61 0 +44 *3207:83 *3938:9 0 +45 *3207:83 *4253:72 0 +46 *3207:83 *4875:47 0 +47 *3207:83 *4875:55 0 +48 *3207:95 *8280:DIODE 0 +49 *3207:95 *3321:9 0 +50 *3207:95 *3442:39 0 +51 *3207:95 *4002:30 0 +52 *3207:95 *4496:33 0 +53 *5264:DIODE *3207:35 0 +54 *6566:DIODE *3207:95 0 +55 *6577:DIODE *3207:64 0 +56 *6708:DIODE *3207:95 0 +57 *37395:A *3207:83 0 +58 *37406:A *3207:35 0 +59 *38576:A *3207:83 0 +60 *39621:A *3207:49 0 +61 *498:5 *3207:95 0 +62 *499:9 *3207:95 0 +63 *502:5 *3207:83 0 +64 *626:5 *3207:95 0 +65 *1213:7 *3207:76 0 +66 *1216:17 *3207:83 0 +67 *1435:19 *3207:35 0 +68 *1435:44 *3207:35 0 +69 *1987:36 *3207:83 0 +70 *2051:22 *3207:95 0 +71 *2089:16 *3207:95 0 +72 *2124:66 *3207:49 0 +73 *2397:33 *3207:15 0 +74 *2397:33 *3207:35 0 +75 *2424:19 *3207:49 0 +76 *2425:57 *3207:35 0 +77 *2425:57 *3207:49 0 +78 *2425:67 *3207:49 0 +79 *2738:39 *3207:76 0 +80 *3134:59 *3207:64 0 +81 *3148:20 *3207:15 0 +82 *3148:20 *3207:35 0 +83 *3148:36 *3207:35 0 +84 *3148:36 *3207:49 0 +85 *3148:78 *3207:95 0 +86 *3178:43 *3207:15 0 +*RES +1 *40325:X *3207:15 31.8179 +2 *3207:15 *3207:35 39.875 +3 *3207:35 *3207:49 44.9464 +4 *3207:49 *3207:64 49.2321 +5 *3207:64 *3207:76 39.875 +6 *3207:76 *3207:83 47.1885 +7 *3207:83 *3207:95 49.7939 +8 *3207:95 *40324:A 30.1393 +9 *3207:95 *8133:DIODE 9.3 +*END + +*D_NET *3208 0.0187923 +*CONN +*I *8134:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40325:A I *D sky130_fd_sc_hd__buf_6 +*I *40326:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8134:DIODE 0 +2 *40325:A 0.000156729 +3 *40326:X 0.000569898 +4 *3208:47 0.00129118 +5 *3208:37 0.00332336 +6 *3208:35 0.00347277 +7 *3208:29 0.00431749 +8 *3208:27 0.0040623 +9 *3208:24 0.00159857 +10 *3208:24 *3245:21 0 +11 *3208:24 *3400:21 0 +12 *3208:24 *4015:26 0 +13 *3208:27 *8714:DIODE 0 +14 *3208:27 *3211:42 0 +15 *3208:27 *3783:66 0 +16 *3208:27 *4590:105 0 +17 *3208:27 *5034:29 0 +18 *3208:29 *8870:DIODE 0 +19 *3208:29 *3266:134 0 +20 *3208:29 *3302:59 0 +21 *3208:29 *3783:56 0 +22 *3208:29 *3783:66 0 +23 *3208:29 *4149:68 0 +24 *3208:29 *4590:105 0 +25 *3208:35 *8873:DIODE 0 +26 *3208:35 *4259:24 0 +27 *3208:37 *8660:DIODE 0 +28 *3208:37 *8873:DIODE 0 +29 *3208:37 *40369:A 0 +30 *3208:37 *3502:9 0 +31 *3208:37 *3977:151 0 +32 *3208:37 *3977:155 0 +33 *3208:37 *4221:81 0 +34 *3208:47 *8308:DIODE 0 +35 *3208:47 *3511:85 0 +36 *6716:DIODE *3208:24 0 +37 *7612:DIODE *3208:27 0 +38 *8131:DIODE *3208:37 0 +39 *39590:A *3208:37 0 +40 *40281:A *3208:47 0 +41 *40299:A *3208:27 0 +42 *539:29 *3208:24 0 +43 *1239:16 *3208:37 0 +44 *1239:28 *3208:37 0 +45 *1435:66 *40325:A 0 +46 *1435:66 *3208:47 0 +47 *1435:78 *3208:47 0 +48 *2386:19 *3208:29 0 +49 *2386:42 *3208:47 0 +50 *2425:13 *3208:29 0 +51 *2426:65 *3208:29 0 +52 *2712:75 *3208:24 0 +53 *3043:75 *3208:27 0 +54 *3105:23 *3208:37 0 +55 *3154:14 *3208:37 0 +56 *3188:74 *3208:27 0 +57 *3194:93 *3208:24 0 +58 *3203:79 *3208:37 0 +*RES +1 *40326:X *3208:24 40.3179 +2 *3208:24 *3208:27 21.5 +3 *3208:27 *3208:29 63.375 +4 *3208:29 *3208:35 26.8571 +5 *3208:35 *3208:37 45.7143 +6 *3208:37 *3208:47 33 +7 *3208:47 *40325:A 21.7286 +8 *3208:47 *8134:DIODE 9.3 +*END + +*D_NET *3209 0.020412 +*CONN +*I *8135:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40326:A I *D sky130_fd_sc_hd__buf_4 +*I *40327:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8135:DIODE 0.000847968 +2 *40326:A 0.000822739 +3 *40327:X 0.00853527 +4 *3209:17 0.010206 +5 *8135:DIODE *4404:25 0 +6 *3209:17 *40346:A 0 +7 *3209:17 *3230:27 0 +8 *3209:17 *3266:46 0 +9 *3209:17 *3357:30 0 +10 *3209:17 *3395:23 0 +11 *3209:17 *4404:25 0 +12 *3209:17 *4533:97 0 +13 *3209:17 *4535:51 0 +14 *3209:17 *4556:21 0 +15 *3209:17 *4563:28 0 +16 *3209:17 *4592:50 0 +17 *3209:17 *4594:23 0 +18 *3209:17 *4601:19 0 +19 *3209:17 *4774:25 0 +20 *3209:17 *4774:35 0 +21 *3209:17 *5179:91 0 +22 *3209:17 *5182:28 0 +23 *3209:17 *5186:65 0 +24 *6946:DIODE *3209:17 0 +25 *39394:B *3209:17 0 +26 *39640:A *40326:A 0 +27 *40556:A *3209:17 0 +28 *540:55 *3209:17 0 +29 *796:31 *40326:A 0 +30 *797:54 *3209:17 0 +31 *1000:78 *40326:A 0 +32 *1001:100 *3209:17 0 +33 *1010:169 *3209:17 0 +34 *1027:120 *40326:A 0 +35 *1856:16 *3209:17 0 +36 *1860:57 *3209:17 0 +37 *1861:20 *3209:17 0 +38 *1870:18 *3209:17 0 +39 *2713:11 *3209:17 0 +40 *2713:16 *3209:17 0 +41 *2713:101 *3209:17 0 +42 *2994:20 *3209:17 0 +43 *3017:55 *3209:17 0 +44 *3077:91 *3209:17 0 +45 *3116:22 *3209:17 0 +46 *3159:21 *8135:DIODE 0 +*RES +1 *40327:X *3209:17 48.9181 +2 *3209:17 *40326:A 25.212 +3 *3209:17 *8135:DIODE 27.7049 +*END + +*D_NET *3210 0.0223511 +*CONN +*I *5652:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37798:B I *D sky130_fd_sc_hd__and2_1 +*I *40328:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *5652:DIODE 0 +2 *37798:B 0.0007102 +3 *40328:X 0.000352954 +4 *3210:93 0.000947232 +5 *3210:87 0.00222707 +6 *3210:70 0.00411445 +7 *3210:48 0.00350704 +8 *3210:35 0.00249008 +9 *3210:15 0.00284159 +10 *3210:11 0.00327084 +11 *3210:8 0.00188966 +12 *37798:B *4283:61 0 +13 *3210:11 *3285:29 0 +14 *3210:11 *3285:31 0 +15 *3210:11 *3697:66 0 +16 *3210:11 *4255:21 0 +17 *3210:15 *40600:A 0 +18 *3210:15 *3285:29 0 +19 *3210:15 *3427:9 0 +20 *3210:15 *3427:34 0 +21 *3210:15 *3697:66 0 +22 *3210:35 *40394:A 0 +23 *3210:35 *3239:9 0 +24 *3210:35 *3319:49 0 +25 *3210:35 *3534:38 0 +26 *3210:35 *4288:94 0 +27 *3210:35 *4509:71 0 +28 *3210:48 *4032:88 0 +29 *3210:48 *4121:27 0 +30 *3210:48 *4509:69 0 +31 *3210:48 *4885:20 0 +32 *3210:70 *3220:21 0 +33 *3210:70 *3224:46 0 +34 *3210:70 *3236:22 0 +35 *3210:70 *3446:31 0 +36 *3210:70 *4032:81 0 +37 *3210:70 *4072:51 0 +38 *3210:70 *4166:60 0 +39 *3210:70 *4277:50 0 +40 *3210:70 *4288:52 0 +41 *3210:87 *38062:B 0 +42 *3210:87 *3961:49 0 +43 *3210:87 *4053:66 0 +44 *3210:87 *4075:76 0 +45 *3210:87 *4253:87 0 +46 *3210:87 *4258:34 0 +47 *3210:87 *4269:36 0 +48 *3210:87 *4305:55 0 +49 *3210:87 *4512:23 0 +50 *3210:87 *4512:44 0 +51 *3210:93 *3965:43 0 +52 *8295:DIODE *3210:35 0 +53 *37402:A *3210:35 0 +54 *37798:A *37798:B 0 +55 *1235:15 *37798:B 0 +56 *1235:15 *3210:93 0 +57 *1235:38 *3210:70 0 +58 *1238:21 *3210:70 0 +59 *1435:44 *3210:15 0 +60 *1435:44 *3210:35 0 +61 *2549:45 *3210:35 0 +62 *2638:22 *3210:48 0 +63 *3037:15 *3210:48 0 +64 *3086:56 *3210:70 0 +65 *3173:30 *3210:70 0 +66 *3192:37 *3210:15 0 +67 *3192:54 *3210:15 0 +68 *3202:48 *3210:70 0 +*RES +1 *40328:X *3210:8 26.3179 +2 *3210:8 *3210:11 32.0714 +3 *3210:11 *3210:15 36.2232 +4 *3210:15 *3210:35 32.6518 +5 *3210:35 *3210:48 42.9595 +6 *3210:48 *3210:70 49.4506 +7 *3210:70 *3210:87 49.7835 +8 *3210:87 *3210:93 14.2321 +9 *3210:93 *37798:B 33.8357 +10 *3210:93 *5652:DIODE 9.3 +*END + +*D_NET *3211 0.0184669 +*CONN +*I *40328:A I *D sky130_fd_sc_hd__buf_2 +*I *8136:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40329:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40328:A 0.000853467 +2 *8136:DIODE 0.000211286 +3 *40329:X 0.00145503 +4 *3211:52 0.00118782 +5 *3211:49 0.00497319 +6 *3211:48 0.00539369 +7 *3211:42 0.00174048 +8 *3211:15 0.00265194 +9 *8136:DIODE *3300:65 0 +10 *8136:DIODE *3759:57 0 +11 *8136:DIODE *3976:25 0 +12 *40328:A *8660:DIODE 0 +13 *40328:A *40369:A 0 +14 *3211:15 *7136:DIODE 0 +15 *3211:15 *40203:A 0 +16 *3211:15 *3235:42 0 +17 *3211:15 *3783:76 0 +18 *3211:15 *4590:47 0 +19 *3211:15 *5034:57 0 +20 *3211:42 *3221:36 0 +21 *3211:42 *3400:21 0 +22 *3211:42 *3722:69 0 +23 *3211:42 *3783:76 0 +24 *3211:42 *4015:26 0 +25 *3211:42 *5193:48 0 +26 *3211:48 *3264:128 0 +27 *3211:48 *3430:104 0 +28 *3211:49 *3237:22 0 +29 *3211:49 *3264:128 0 +30 *3211:49 *3296:62 0 +31 *3211:49 *3434:34 0 +32 *3211:49 *3510:9 0 +33 *3211:49 *3697:73 0 +34 *3211:49 *3697:99 0 +35 *3211:49 *3722:25 0 +36 *3211:49 *3793:42 0 +37 *3211:49 *4251:9 0 +38 *3211:49 *4259:18 0 +39 *3211:52 *4261:87 0 +40 *6609:DIODE *3211:15 0 +41 *39616:A *3211:15 0 +42 *535:21 *3211:48 0 +43 *535:21 *3211:49 0 +44 *537:43 *3211:42 0 +45 *1001:65 *3211:42 0 +46 *1239:28 *8136:DIODE 0 +47 *1239:28 *40328:A 0 +48 *2386:25 *40328:A 0 +49 *2419:26 *3211:42 0 +50 *2712:55 *3211:15 0 +51 *2712:65 *3211:15 0 +52 *3024:18 *3211:42 0 +53 *3043:75 *3211:42 0 +54 *3122:35 *3211:49 0 +55 *3167:25 *3211:48 0 +56 *3188:66 *3211:42 0 +57 *3188:74 *3211:42 0 +58 *3192:11 *3211:49 0 +59 *3192:13 *3211:49 0 +60 *3198:24 *3211:48 0 +61 *3203:30 *3211:15 0 +62 *3208:27 *3211:42 0 +*RES +1 *40329:X *3211:15 48.675 +2 *3211:15 *3211:42 49.7261 +3 *3211:42 *3211:48 20.4286 +4 *3211:48 *3211:49 101.161 +5 *3211:49 *3211:52 7.35714 +6 *3211:52 *8136:DIODE 18.5143 +7 *3211:52 *40328:A 40.8714 +*END + +*D_NET *3212 0.0197893 +*CONN +*I *8137:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40329:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40330:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8137:DIODE 0 +2 *40329:A 0.000485965 +3 *40330:X 0.000643703 +4 *3212:59 0.00208342 +5 *3212:50 0.00257664 +6 *3212:27 0.00379784 +7 *3212:26 0.00618832 +8 *3212:12 0.00401337 +9 *40329:A *4586:63 0 +10 *40329:A *4590:47 0 +11 *3212:12 *8989:DIODE 0 +12 *3212:12 *39317:A 0 +13 *3212:12 *4535:13 0 +14 *3212:12 *4548:39 0 +15 *3212:12 *4576:23 0 +16 *3212:26 *3391:42 0 +17 *3212:26 *4529:16 0 +18 *3212:26 *4548:57 0 +19 *3212:26 *4568:36 0 +20 *3212:26 *4585:28 0 +21 *3212:26 *4764:48 0 +22 *3212:26 *5077:19 0 +23 *3212:26 *5166:22 0 +24 *3212:26 *5166:53 0 +25 *3212:26 *5209:129 0 +26 *3212:50 *4586:24 0 +27 *3212:59 *8175:DIODE 0 +28 *3212:59 *4449:30 0 +29 *3212:59 *4449:41 0 +30 *3212:59 *4586:51 0 +31 *3212:59 *4586:63 0 +32 *3212:59 *4590:46 0 +33 *3212:59 *4590:47 0 +34 *6757:DIODE *40329:A 0 +35 *6757:DIODE *3212:59 0 +36 *6878:DIODE *3212:12 0 +37 *6909:DIODE *3212:26 0 +38 *37649:A *3212:26 0 +39 *39531:A *3212:50 0 +40 *40552:A *3212:50 0 +41 *910:10 *3212:12 0 +42 *1248:43 *3212:27 0 +43 *1248:51 *3212:27 0 +44 *1248:67 *3212:27 0 +45 *1863:28 *3212:50 0 +46 *1867:17 *3212:26 0 +47 *2964:5 *3212:26 0 +48 *3077:20 *3212:27 0 +49 *3077:20 *3212:50 0 +50 *3168:16 *3212:26 0 +51 *3188:27 *3212:27 0 +52 *3188:27 *3212:50 0 +53 *3189:36 *3212:27 0 +54 *3189:37 *3212:27 0 +55 *3194:17 *3212:50 0 +*RES +1 *40330:X *3212:12 32.3357 +2 *3212:12 *3212:26 48.8745 +3 *3212:26 *3212:27 58.8571 +4 *3212:27 *3212:50 39.6429 +5 *3212:50 *3212:59 33.6786 +6 *3212:59 *40329:A 28.675 +7 *3212:59 *8137:DIODE 9.3 +*END + +*D_NET *3213 0.0187434 +*CONN +*I *37796:B I *D sky130_fd_sc_hd__and2_1 +*I *5649:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40331:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *37796:B 0.000109622 +2 *5649:DIODE 0 +3 *40331:X 0.00382122 +4 *3213:22 0.0055505 +5 *3213:18 0.0092621 +6 *3213:18 *37958:C 0 +7 *3213:18 *3384:48 0 +8 *3213:18 *3890:50 0 +9 *3213:18 *4068:37 0 +10 *3213:18 *4137:44 0 +11 *3213:18 *4152:33 0 +12 *3213:18 *4186:12 0 +13 *3213:18 *4395:53 0 +14 *3213:18 *4882:25 0 +15 *3213:18 *4915:35 0 +16 *3213:22 *37960:A_N 0 +17 *3213:22 *38216:A 0 +18 *3213:22 *3344:17 0 +19 *3213:22 *3374:25 0 +20 *3213:22 *3862:26 0 +21 *3213:22 *3862:35 0 +22 *3213:22 *3890:50 0 +23 *3213:22 *3921:46 0 +24 *3213:22 *4150:13 0 +25 *3213:22 *4162:62 0 +26 *3213:22 *4173:34 0 +27 *3213:22 *4391:18 0 +28 *3213:22 *4395:53 0 +29 *3213:22 *4440:44 0 +30 *3213:22 *4442:48 0 +31 *3213:22 *4443:11 0 +32 *1376:23 *3213:18 0 +33 *1376:23 *3213:22 0 +34 *1793:13 *3213:22 0 +35 *2043:40 *3213:18 0 +36 *2045:45 *3213:18 0 +37 *2057:49 *3213:18 0 +38 *2907:20 *3213:22 0 +*RES +1 *40331:X *3213:18 39.5909 +2 *3213:18 *3213:22 23.6035 +3 *3213:22 *5649:DIODE 13.8 +4 *3213:22 *37796:B 16.3536 +*END + +*D_NET *3214 0.0190322 +*CONN +*I *8138:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40331:A I *D sky130_fd_sc_hd__buf_4 +*I *40332:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *8138:DIODE 0 +2 *40331:A 0.000124066 +3 *40332:X 0.00192751 +4 *3214:30 0.00182062 +5 *3214:21 0.00407669 +6 *3214:13 0.00576799 +7 *3214:11 0.00531536 +8 *40331:A *4143:36 0 +9 *3214:11 *3247:29 0 +10 *3214:11 *4222:10 0 +11 *3214:11 *4250:23 0 +12 *3214:13 *40360:A 0 +13 *3214:13 *3223:35 0 +14 *3214:13 *3247:29 0 +15 *3214:13 *3247:33 0 +16 *3214:13 *3258:62 0 +17 *3214:13 *3902:39 0 +18 *3214:13 *3934:30 0 +19 *3214:13 *4047:33 0 +20 *3214:13 *4155:46 0 +21 *3214:21 *8604:DIODE 0 +22 *3214:21 *3906:11 0 +23 *3214:21 *4131:7 0 +24 *3214:21 *4131:14 0 +25 *3214:21 *4189:25 0 +26 *3214:21 *4189:27 0 +27 *3214:30 *3331:60 0 +28 *3214:30 *3594:35 0 +29 *3214:30 *3906:11 0 +30 *3214:30 *3919:30 0 +31 *8619:DIODE *3214:13 0 +32 *8681:DIODE *3214:11 0 +33 *8723:DIODE *3214:11 0 +34 *8723:DIODE *3214:13 0 +35 *8781:DIODE *3214:13 0 +36 *8869:DIODE *3214:11 0 +37 *37800:B *3214:13 0 +38 *39998:A *3214:11 0 +39 *41154:A *3214:11 0 +40 *41226:A *3214:11 0 +41 *41250:A *3214:11 0 +42 *41302:A *3214:11 0 +43 *1961:32 *3214:11 0 +44 *1963:16 *3214:11 0 +45 *1977:25 *3214:13 0 +46 *1991:39 *3214:13 0 +47 *2011:19 *3214:11 0 +48 *2030:15 *3214:13 0 +49 *2107:28 *3214:13 0 +50 *2108:46 *3214:13 0 +51 *2108:46 *3214:21 0 +52 *2140:27 *3214:21 0 +53 *2140:39 *3214:13 0 +54 *2150:20 *3214:21 0 +55 *2150:27 *3214:21 0 +56 *2150:32 *3214:21 0 +57 *2150:32 *3214:30 0 +58 *2165:29 *40331:A 0 +*RES +1 *40332:X *3214:11 49.8357 +2 *3214:11 *3214:13 70.7679 +3 *3214:13 *3214:21 49.9821 +4 *3214:21 *3214:30 45.2679 +5 *3214:30 *40331:A 11.8893 +6 *3214:30 *8138:DIODE 9.3 +*END + +*D_NET *3215 0.0190929 +*CONN +*I *5328:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37522:B I *D sky130_fd_sc_hd__and2_1 +*I *38500:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5328:DIODE 0.000108647 +2 *37522:B 0.000104386 +3 *38500:X 0.000782296 +4 *3215:38 0.000352249 +5 *3215:37 0.00221154 +6 *3215:34 0.00223395 +7 *3215:23 0.00513666 +8 *3215:22 0.00617795 +9 *3215:16 0.00198521 +10 *37522:B *37522:A 0 +11 *37522:B *3468:31 0 +12 *3215:16 *3238:12 0 +13 *3215:16 *4430:91 0 +14 *3215:16 *4660:16 0 +15 *3215:16 *5162:19 0 +16 *3215:22 *5295:DIODE 0 +17 *3215:22 *3431:29 0 +18 *3215:22 *3910:22 0 +19 *3215:22 *4432:12 0 +20 *3215:23 *39554:A 0 +21 *3215:23 *3466:42 0 +22 *3215:23 *3874:25 0 +23 *3215:23 *3894:13 0 +24 *3215:23 *3894:17 0 +25 *3215:23 *3894:27 0 +26 *3215:23 *3920:9 0 +27 *3215:23 *3920:18 0 +28 *3215:23 *3925:9 0 +29 *3215:34 *3874:25 0 +30 *3215:34 *3894:27 0 +31 *3215:34 *4604:30 0 +32 *3215:34 *4637:47 0 +33 *3215:37 *3874:25 0 +34 *6636:DIODE *3215:16 0 +35 *37487:A *3215:22 0 +36 *37513:A *3215:16 0 +37 *38642:A *3215:16 0 +38 *332:47 *3215:38 0 +39 *343:30 *3215:16 0 +40 *1783:17 *3215:23 0 +41 *2355:8 *3215:34 0 +42 *3052:14 *3215:22 0 +43 *3096:16 *3215:23 0 +44 *3127:25 *3215:23 0 +45 *3127:25 *3215:34 0 +*RES +1 *38500:X *3215:16 44.1393 +2 *3215:16 *3215:22 35.4821 +3 *3215:22 *3215:23 103.83 +4 *3215:23 *3215:34 12.5625 +5 *3215:34 *3215:37 47.75 +6 *3215:37 *3215:38 3.16071 +7 *3215:38 *37522:B 15.9786 +8 *3215:38 *5328:DIODE 16.2821 +*END + +*D_NET *3216 0.0197455 +*CONN +*I *8139:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40332:A I *D sky130_fd_sc_hd__buf_6 +*I *40333:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *8139:DIODE 0 +2 *40332:A 0.000316922 +3 *40333:X 0.000684607 +4 *3216:32 0.00220815 +5 *3216:25 0.00606624 +6 *3216:23 0.00426381 +7 *3216:17 0.00280497 +8 *3216:15 0.00340078 +9 *3216:15 *3311:5 0 +10 *3216:15 *4046:70 0 +11 *3216:15 *4513:48 0 +12 *3216:17 *3511:15 0 +13 *3216:17 *4495:43 0 +14 *3216:17 *4858:15 0 +15 *3216:25 *40520:A 0 +16 *3216:25 *3438:25 0 +17 *3216:25 *3838:32 0 +18 *3216:25 *4485:15 0 +19 *3216:25 *4491:17 0 +20 *3216:25 *4491:31 0 +21 *3216:25 *4858:15 0 +22 *3216:25 *4858:25 0 +23 *3216:25 *4858:32 0 +24 *3216:25 *4861:13 0 +25 *3216:32 *8228:DIODE 0 +26 *3216:32 *40434:A 0 +27 *3216:32 *3330:12 0 +28 *3216:32 *4485:15 0 +29 *8089:DIODE *40332:A 0 +30 *37382:A *3216:25 0 +31 *37383:A *3216:25 0 +32 *40598:A *3216:15 0 +33 *41154:A *40332:A 0 +34 *41302:A *40332:A 0 +35 *1978:25 *3216:25 0 +36 *2033:7 *3216:32 0 +37 *2053:7 *40332:A 0 +38 *2053:7 *3216:32 0 +39 *2088:46 *3216:32 0 +40 *2118:11 *3216:32 0 +41 *2143:32 *3216:25 0 +42 *2143:32 *3216:32 0 +43 *2435:68 *3216:17 0 +44 *3055:9 *3216:25 0 +45 *3055:33 *3216:17 0 +46 *3055:33 *3216:23 0 +47 *3055:33 *3216:25 0 +48 *3055:35 *3216:15 0 +49 *3055:35 *3216:17 0 +*RES +1 *40333:X *3216:15 33.3893 +2 *3216:15 *3216:17 56.8036 +3 *3216:17 *3216:23 2.10714 +4 *3216:23 *3216:25 87.1964 +5 *3216:25 *3216:32 44.6071 +6 *3216:32 *40332:A 20.8 +7 *3216:32 *8139:DIODE 13.8 +*END + +*D_NET *3217 0.020473 +*CONN +*I *8140:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40333:A I *D sky130_fd_sc_hd__buf_6 +*I *40334:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8140:DIODE 0 +2 *40333:A 0.000175973 +3 *40334:X 0.00188323 +4 *3217:71 0.000770847 +5 *3217:69 0.00230237 +6 *3217:59 0.00399651 +7 *3217:52 0.00288488 +8 *3217:33 0.00238572 +9 *3217:21 0.00299004 +10 *3217:13 0.00308342 +11 *40333:A *4286:38 0 +12 *40333:A *4300:34 0 +13 *3217:13 *8889:DIODE 0 +14 *3217:13 *4274:10 0 +15 *3217:13 *4275:67 0 +16 *3217:13 *4275:81 0 +17 *3217:13 *4517:93 0 +18 *3217:13 *4752:18 0 +19 *3217:21 *3311:49 0 +20 *3217:21 *3785:67 0 +21 *3217:33 *40400:A 0 +22 *3217:33 *3244:11 0 +23 *3217:33 *3302:11 0 +24 *3217:33 *3311:37 0 +25 *3217:33 *3517:50 0 +26 *3217:33 *3517:65 0 +27 *3217:33 *3529:62 0 +28 *3217:33 *3785:66 0 +29 *3217:33 *3785:67 0 +30 *3217:33 *4878:16 0 +31 *3217:52 *3435:37 0 +32 *3217:52 *4885:20 0 +33 *3217:59 *40402:A 0 +34 *3217:59 *40404:A 0 +35 *3217:59 *40518:A 0 +36 *3217:59 *3305:55 0 +37 *3217:59 *3311:16 0 +38 *3217:59 *3321:39 0 +39 *3217:59 *3321:63 0 +40 *3217:59 *3321:73 0 +41 *3217:59 *3517:40 0 +42 *3217:59 *3517:50 0 +43 *3217:69 *3300:21 0 +44 *3217:69 *3311:5 0 +45 *3217:69 *3321:39 0 +46 *3217:69 *4027:32 0 +47 *3217:69 *4286:39 0 +48 *3217:69 *4297:55 0 +49 *3217:71 *4286:38 0 +50 *3217:71 *4297:55 0 +51 *5262:DIODE *3217:33 0 +52 *6968:DIODE *3217:33 0 +53 *37397:A *3217:33 0 +54 *1227:56 *3217:59 0 +55 *2389:29 *3217:33 0 +56 *2712:190 *3217:13 0 +57 *2727:49 *3217:59 0 +58 *3121:9 *3217:21 0 +59 *3121:42 *3217:33 0 +60 *3154:47 *3217:33 0 +61 *3154:56 *3217:59 0 +*RES +1 *40334:X *3217:13 48.7286 +2 *3217:13 *3217:21 34.2857 +3 *3217:21 *3217:33 46.6429 +4 *3217:33 *3217:52 31.4643 +5 *3217:52 *3217:59 47.9286 +6 *3217:59 *3217:69 45.3214 +7 *3217:69 *3217:71 12.4464 +8 *3217:71 *40333:A 22.0321 +9 *3217:71 *8140:DIODE 9.3 +*END + +*D_NET *3218 0.0319872 +*CONN +*I *8141:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40334:A I *D sky130_fd_sc_hd__buf_4 +*I *40335:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8141:DIODE 0.000187719 +2 *40334:A 0.000486166 +3 *40335:X 0.00289964 +4 *3218:68 0.0040952 +5 *3218:60 0.0110944 +6 *3218:36 0.00899875 +7 *3218:24 0.00422527 +8 *8141:DIODE *3245:51 0 +9 *40334:A *8889:DIODE 0 +10 *40334:A *38096:A_N 0 +11 *40334:A *3245:51 0 +12 *40334:A *3300:55 0 +13 *40334:A *3302:28 0 +14 *40334:A *4215:39 0 +15 *3218:24 *3240:25 0 +16 *3218:24 *4044:49 0 +17 *3218:24 *4057:24 0 +18 *3218:24 *4077:19 0 +19 *3218:24 *4224:51 0 +20 *3218:36 *3945:25 0 +21 *3218:60 *8751:DIODE 0 +22 *3218:60 *40722:A 0 +23 *3218:60 *3306:47 0 +24 *3218:60 *3411:17 0 +25 *3218:60 *3709:38 0 +26 *3218:60 *3768:14 0 +27 *3218:60 *4241:75 0 +28 *3218:68 *3433:20 0 +29 *3218:68 *3735:22 0 +30 *3218:68 *4220:20 0 +31 *1002:53 *3218:24 0 +32 *1232:38 *3218:60 0 +33 *1319:27 *3218:68 0 +34 *1436:34 *3218:60 0 +35 *1446:45 *3218:60 0 +36 *1544:8 *3218:24 0 +37 *1822:16 *3218:36 0 +38 *1834:23 *3218:24 0 +39 *2374:76 *3218:68 0 +40 *2384:46 *3218:60 0 +41 *2390:12 *40334:A 0 +42 *2391:30 *3218:24 0 +43 *2391:30 *3218:36 0 +44 *2403:52 *3218:36 0 +45 *2417:63 *3218:60 0 +46 *2421:42 *3218:60 0 +47 *2425:24 *40334:A 0 +48 *2440:31 *3218:36 0 +49 *2440:49 *3218:60 0 +50 *2442:31 *3218:60 0 +51 *2443:36 *3218:60 0 +52 *2712:190 *40334:A 0 +53 *2807:26 *3218:36 0 +54 *2821:36 *3218:36 0 +55 *2823:19 *3218:60 0 +56 *2826:11 *3218:60 0 +57 *2968:21 *3218:60 0 +58 *2970:29 *3218:60 0 +59 *2977:76 *3218:60 0 +60 *2992:82 *3218:60 0 +61 *2995:19 *3218:60 0 +62 *2995:42 *3218:60 0 +63 *3000:49 *3218:36 0 +64 *3005:76 *3218:60 0 +65 *3024:34 *3218:60 0 +66 *3025:46 *3218:68 0 +67 *3031:66 *3218:24 0 +68 *3076:26 *3218:60 0 +69 *3076:52 *3218:68 0 +70 *3086:28 *8141:DIODE 0 +71 *3086:28 *40334:A 0 +*RES +1 *40335:X *3218:24 49.6469 +2 *3218:24 *3218:36 45.6154 +3 *3218:36 *3218:60 47.4347 +4 *3218:60 *3218:68 23.8584 +5 *3218:68 *40334:A 26.464 +6 *3218:68 *8141:DIODE 18.1464 +*END + +*D_NET *3219 0.0191442 +*CONN +*I *37794:B I *D sky130_fd_sc_hd__and2_1 +*I *5646:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40336:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *37794:B 0 +2 *5646:DIODE 0.000163425 +3 *40336:X 0 +4 *3219:80 0.00155249 +5 *3219:73 0.00350011 +6 *3219:70 0.0022127 +7 *3219:66 0.00212135 +8 *3219:45 0.0036741 +9 *3219:12 0.00378723 +10 *3219:4 0.00213282 +11 *5646:DIODE *3255:38 0 +12 *3219:12 *40368:A 0 +13 *3219:12 *40604:A 0 +14 *3219:12 *3236:22 0 +15 *3219:12 *3236:38 0 +16 *3219:12 *3255:11 0 +17 *3219:12 *3256:39 0 +18 *3219:12 *3317:29 0 +19 *3219:12 *3317:44 0 +20 *3219:12 *3442:92 0 +21 *3219:12 *3530:79 0 +22 *3219:12 *3532:10 0 +23 *3219:12 *4029:49 0 +24 *3219:12 *4046:70 0 +25 *3219:12 *4083:63 0 +26 *3219:12 *4083:83 0 +27 *3219:12 *4301:56 0 +28 *3219:12 *4301:59 0 +29 *3219:45 *3236:38 0 +30 *3219:45 *3255:11 0 +31 *3219:45 *3327:53 0 +32 *3219:45 *3446:28 0 +33 *3219:45 *3518:54 0 +34 *3219:45 *3534:92 0 +35 *3219:45 *3952:72 0 +36 *3219:45 *4046:70 0 +37 *3219:45 *4062:38 0 +38 *3219:66 *3243:50 0 +39 *3219:66 *3327:31 0 +40 *3219:66 *3327:53 0 +41 *3219:66 *4253:39 0 +42 *3219:66 *4301:36 0 +43 *3219:66 *4305:18 0 +44 *3219:70 *3327:31 0 +45 *3219:70 *4046:24 0 +46 *3219:73 *3243:51 0 +47 *3219:73 *4301:24 0 +48 *3219:80 *3236:105 0 +49 *3219:80 *3243:51 0 +50 *3219:80 *3969:28 0 +51 *1969:12 *3219:66 0 +52 *1969:12 *3219:73 0 +53 *1979:42 *3219:73 0 +54 *1979:57 *3219:66 0 +55 *1995:21 *5646:DIODE 0 +56 *2025:17 *3219:80 0 +57 *2065:35 *3219:80 0 +58 *2117:26 *3219:73 0 +59 *2134:21 *3219:73 0 +60 *2136:45 *3219:73 0 +61 *2137:27 *3219:80 0 +62 *3121:68 *3219:12 0 +63 *3153:24 *3219:45 0 +64 *3153:39 *3219:45 0 +65 *3153:39 *3219:66 0 +*RES +1 *40336:X *3219:4 9.3 +2 *3219:4 *3219:12 49.4464 +3 *3219:12 *3219:45 49.6473 +4 *3219:45 *3219:66 48.0223 +5 *3219:66 *3219:70 6.90179 +6 *3219:70 *3219:73 44.0893 +7 *3219:73 *3219:80 38.1786 +8 *3219:80 *5646:DIODE 12.7107 +9 *3219:80 *37794:B 9.3 +*END + +*D_NET *3220 0.0182932 +*CONN +*I *40336:A I *D sky130_fd_sc_hd__buf_6 +*I *8143:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40337:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *40336:A 0.000166535 +2 *8143:DIODE 1.21715e-05 +3 *40337:X 0.000326409 +4 *3220:21 0.00395338 +5 *3220:9 0.00864148 +6 *3220:8 0.00519321 +7 *8143:DIODE *4277:50 0 +8 *40336:A *3236:22 0 +9 *40336:A *4277:50 0 +10 *40336:A *4301:59 0 +11 *3220:8 *3287:91 0 +12 *3220:8 *3743:16 0 +13 *3220:9 *3239:9 0 +14 *3220:9 *3253:15 0 +15 *3220:9 *3253:33 0 +16 *3220:9 *3285:29 0 +17 *3220:21 *4001:74 0 +18 *3220:21 *4072:51 0 +19 *3220:21 *4166:60 0 +20 *3220:21 *4221:48 0 +21 *3220:21 *4288:52 0 +22 *3220:21 *4288:94 0 +23 *3220:21 *4518:73 0 +24 *3220:21 *4521:41 0 +25 *3015:12 *3220:8 0 +26 *3037:15 *3220:21 0 +27 *3210:70 *3220:21 0 +*RES +1 *40337:X *3220:8 25.7107 +2 *3220:8 *3220:9 101.571 +3 *3220:9 *3220:21 26.0153 +4 *3220:21 *8143:DIODE 14.0768 +5 *3220:21 *40336:A 17.3804 +*END + +*D_NET *3221 0.0192603 +*CONN +*I *8144:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40337:A I *D sky130_fd_sc_hd__buf_4 +*I *40338:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8144:DIODE 0 +2 *40337:A 0.00110376 +3 *40338:X 0.00237846 +4 *3221:36 0.00725168 +5 *3221:16 0.00852638 +6 *3221:16 *3240:25 0 +7 *3221:16 *3254:33 0 +8 *3221:16 *3403:16 0 +9 *3221:16 *3721:24 0 +10 *3221:16 *4755:23 0 +11 *3221:36 *8195:DIODE 0 +12 *3221:36 *8855:DIODE 0 +13 *3221:36 *3263:10 0 +14 *3221:36 *3264:93 0 +15 *3221:36 *3287:103 0 +16 *3221:36 *3400:21 0 +17 *3221:36 *3402:56 0 +18 *3221:36 *3515:34 0 +19 *3221:36 *3697:99 0 +20 *3221:36 *3793:42 0 +21 *3221:36 *4015:26 0 +22 *3221:36 *4015:55 0 +23 *3221:36 *4233:71 0 +24 *3221:36 *4238:41 0 +25 *3221:36 *4586:92 0 +26 *3221:36 *4755:23 0 +27 *3221:36 *4755:41 0 +28 *3221:36 *5172:65 0 +29 *3221:36 *5172:73 0 +30 *3221:36 *5175:74 0 +31 *3221:36 *5179:91 0 +32 *6816:DIODE *3221:16 0 +33 *39640:A *3221:36 0 +34 *1449:17 *3221:36 0 +35 *1726:43 *3221:36 0 +36 *1828:47 *3221:36 0 +37 *1840:72 *3221:36 0 +38 *1860:28 *3221:16 0 +39 *1862:91 *3221:16 0 +40 *2386:19 *40337:A 0 +41 *2386:23 *40337:A 0 +42 *2411:93 *3221:36 0 +43 *2993:17 *3221:36 0 +44 *3031:66 *3221:16 0 +45 *3037:48 *3221:36 0 +46 *3038:42 *3221:36 0 +47 *3203:60 *3221:36 0 +48 *3211:42 *3221:36 0 +*RES +1 *40338:X *3221:16 40.7371 +2 *3221:16 *3221:36 38.3891 +3 *3221:36 *40337:A 32.3357 +4 *3221:36 *8144:DIODE 9.3 +*END + +*D_NET *3222 0.0215994 +*CONN +*I *37756:B I *D sky130_fd_sc_hd__and2_2 +*I *5596:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40339:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *37756:B 0.000194471 +2 *5596:DIODE 2.55425e-05 +3 *40339:X 0.00478868 +4 *3222:37 0.00601103 +5 *3222:14 0.0105797 +6 *37756:B *3884:23 0 +7 *3222:37 *8407:DIODE 0 +8 *3222:37 *3565:66 0 +9 *3222:37 *3850:32 0 +10 *3222:37 *3854:17 0 +11 *3222:37 *3861:50 0 +12 *3222:37 *3865:20 0 +13 *3222:37 *4197:27 0 +14 *41368:A *3222:37 0 +15 *1806:35 *3222:37 0 +16 *1888:14 *3222:37 0 +17 *1889:25 *3222:37 0 +18 *1890:19 *3222:37 0 +19 *2003:7 *3222:14 0 +20 *2031:28 *3222:37 0 +21 *2031:48 *3222:37 0 +22 *2061:21 *3222:14 0 +23 *2127:9 *3222:14 0 +24 *2136:17 *3222:14 0 +25 *2160:63 *3222:37 0 +26 *2161:63 *3222:37 0 +27 *2164:69 *3222:37 0 +28 *2171:61 *37756:B 0 +29 *2191:30 *3222:37 0 +30 *2210:21 *3222:14 0 +31 *2747:24 *3222:37 0 +32 *2850:21 *3222:14 0 +33 *3170:15 *3222:14 0 +*RES +1 *40339:X *3222:14 48.6019 +2 *3222:14 *3222:37 40.7755 +3 *3222:37 *5596:DIODE 14.3804 +4 *3222:37 *37756:B 18.0589 +*END + +*D_NET *3223 0.0192872 +*CONN +*I *40339:A I *D sky130_fd_sc_hd__buf_6 +*I *8146:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40340:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *40339:A 0.000356085 +2 *8146:DIODE 0.000104386 +3 *40340:X 0.000719336 +4 *3223:35 0.00157103 +5 *3223:23 0.00572303 +6 *3223:21 0.004633 +7 *3223:19 0.00274078 +8 *3223:18 0.00343959 +9 *8146:DIODE *3934:30 0 +10 *40339:A *3373:66 0 +11 *40339:A *5126:10 0 +12 *3223:18 *4083:11 0 +13 *3223:19 *3270:135 0 +14 *3223:19 *4032:13 0 +15 *3223:19 *4046:12 0 +16 *3223:23 *3228:97 0 +17 *3223:23 *4283:10 0 +18 *3223:35 *3934:30 0 +19 *3223:35 *4186:8 0 +20 *3223:35 *4231:26 0 +21 *3223:35 *4239:10 0 +22 *38240:B *3223:23 0 +23 *41156:A *3223:23 0 +24 *41184:A *3223:23 0 +25 *41234:A *3223:35 0 +26 *41280:A *3223:23 0 +27 *41294:A *3223:23 0 +28 *41296:A *3223:23 0 +29 *41300:A *3223:23 0 +30 *1299:21 *40339:A 0 +31 *1956:54 *3223:23 0 +32 *1963:16 *3223:23 0 +33 *1966:44 *3223:23 0 +34 *2034:18 *3223:23 0 +35 *2034:35 *3223:23 0 +36 *2048:9 *40339:A 0 +37 *2050:30 *3223:23 0 +38 *2065:50 *3223:19 0 +39 *2079:27 *3223:35 0 +40 *2102:16 *3223:19 0 +41 *2122:30 *40339:A 0 +42 *2122:38 *40339:A 0 +43 *2133:14 *3223:23 0 +44 *2133:28 *3223:23 0 +45 *2145:35 *3223:23 0 +46 *2145:42 *3223:35 0 +47 *2154:16 *3223:19 0 +48 *3132:11 *3223:23 0 +49 *3173:70 *3223:18 0 +50 *3173:70 *3223:19 0 +51 *3214:13 *3223:35 0 +*RES +1 *40340:X *3223:18 42.5679 +2 *3223:18 *3223:19 56.8036 +3 *3223:19 *3223:21 0.428571 +4 *3223:21 *3223:23 96.2321 +5 *3223:23 *3223:35 42.0714 +6 *3223:35 *8146:DIODE 11.4786 +7 *3223:35 *40339:A 26.0857 +*END + +*D_NET *3224 0.0200117 +*CONN +*I *40340:A I *D sky130_fd_sc_hd__buf_6 +*I *8147:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40341:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *40340:A 0.000598195 +2 *8147:DIODE 0 +3 *40341:X 0.00139685 +4 *3224:80 0.000826879 +5 *3224:74 0.00184795 +6 *3224:63 0.0029859 +7 *3224:46 0.00346761 +8 *3224:36 0.00334625 +9 *3224:21 0.00269525 +10 *3224:9 0.00284682 +11 *40340:A *41131:A 0 +12 *40340:A *3236:86 0 +13 *40340:A *3255:13 0 +14 *40340:A *4112:40 0 +15 *3224:9 *3261:59 0 +16 *3224:9 *4297:91 0 +17 *3224:21 *3312:45 0 +18 *3224:21 *4032:88 0 +19 *3224:21 *4112:78 0 +20 *3224:36 *4032:81 0 +21 *3224:46 *3270:42 0 +22 *3224:46 *3317:29 0 +23 *3224:46 *3446:31 0 +24 *3224:46 *3797:90 0 +25 *3224:46 *4032:71 0 +26 *3224:46 *4032:81 0 +27 *3224:46 *4281:42 0 +28 *3224:46 *4303:140 0 +29 *3224:63 *3233:29 0 +30 *3224:63 *3270:42 0 +31 *3224:63 *3436:16 0 +32 *3224:63 *3511:32 0 +33 *3224:63 *3517:20 0 +34 *3224:63 *4496:52 0 +35 *3224:63 *4513:48 0 +36 *3224:74 *3316:7 0 +37 *3224:74 *3517:13 0 +38 *3224:74 *3517:20 0 +39 *3224:74 *3838:47 0 +40 *3224:80 *3228:37 0 +41 *39621:A *3224:21 0 +42 *1982:39 *3224:46 0 +43 *2097:31 *40340:A 0 +44 *2424:19 *3224:21 0 +45 *2671:14 *3224:9 0 +46 *3133:12 *3224:46 0 +47 *3133:21 *3224:46 0 +48 *3148:78 *3224:74 0 +49 *3154:97 *3224:46 0 +50 *3207:49 *3224:21 0 +51 *3210:70 *3224:46 0 +*RES +1 *40341:X *3224:9 47.5857 +2 *3224:9 *3224:21 48.625 +3 *3224:21 *3224:36 44.2679 +4 *3224:36 *3224:46 49.1964 +5 *3224:46 *3224:63 34.8772 +6 *3224:63 *3224:74 47.5357 +7 *3224:74 *3224:80 13.8571 +8 *3224:80 *8147:DIODE 13.8 +9 *3224:80 *40340:A 27.3893 +*END + +*D_NET *3225 0.0193695 +*CONN +*I *8148:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40341:A I *D sky130_fd_sc_hd__buf_4 +*I *40342:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8148:DIODE 0 +2 *40341:A 0.000217152 +3 *40342:X 0.000810639 +4 *3225:59 0.000810356 +5 *3225:35 0.00251326 +6 *3225:23 0.00619648 +7 *3225:22 0.00430867 +8 *3225:17 0.0018673 +9 *3225:10 0.00264569 +10 *40341:A *3272:51 0 +11 *40341:A *4242:71 0 +12 *3225:17 *3237:27 0 +13 *3225:22 *5084:22 0 +14 *3225:23 *3237:27 0 +15 *3225:23 *3507:19 0 +16 *3225:23 *3697:67 0 +17 *3225:35 *3289:19 0 +18 *3225:35 *3497:50 0 +19 *3225:35 *3507:18 0 +20 *3225:35 *3507:19 0 +21 *3225:35 *3697:59 0 +22 *3225:59 *3272:51 0 +23 *3225:59 *3697:59 0 +24 *6603:DIODE *3225:10 0 +25 *39580:A *3225:10 0 +26 *40207:A *3225:22 0 +27 *40272:A *3225:35 0 +28 *3015:38 *3225:17 0 +29 *3113:100 *3225:10 0 +30 *3148:20 *3225:59 0 +31 *3150:45 *3225:35 0 +32 *3167:31 *3225:23 0 +33 *3167:31 *3225:35 0 +34 *3167:39 *3225:35 0 +35 *3178:20 *3225:17 0 +36 *3188:87 *3225:17 0 +37 *3198:25 *3225:17 0 +38 *3207:15 *3225:59 0 +39 *3207:35 *40341:A 0 +40 *3207:35 *3225:59 0 +*RES +1 *40342:X *3225:10 36.4071 +2 *3225:10 *3225:17 47.3571 +3 *3225:17 *3225:22 9.73214 +4 *3225:22 *3225:23 89.25 +5 *3225:23 *3225:35 49.25 +6 *3225:35 *3225:59 40.3036 +7 *3225:59 *40341:A 22.9161 +8 *3225:59 *8148:DIODE 9.3 +*END + +*D_NET *3226 0.0089057 +*CONN +*I *5330:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37524:B I *D sky130_fd_sc_hd__and2_1 +*I *38501:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5330:DIODE 0.00012613 +2 *37524:B 0 +3 *38501:X 0.00374625 +4 *3226:26 0.000706597 +5 *3226:21 0.00432672 +6 *5330:DIODE *3614:70 0 +7 *3226:21 *3367:21 0 +8 *3226:21 *3367:40 0 +9 *3226:21 *3595:49 0 +10 *3226:21 *3867:14 0 +11 *3226:21 *3897:92 0 +12 *3226:21 *4183:57 0 +13 *3226:21 *4185:21 0 +14 *3226:21 *4397:72 0 +15 *3226:21 *4429:67 0 +16 *3226:21 *4432:40 0 +17 *3226:21 *4615:17 0 +18 *3226:21 *4826:24 0 +19 *3226:26 *37524:A 0 +20 *3226:26 *4431:22 0 +21 *1161:11 *3226:26 0 +22 *1372:44 *5330:DIODE 0 +23 *3066:11 *3226:21 0 +*RES +1 *38501:X *3226:21 42.3428 +2 *3226:21 *3226:26 13.3839 +3 *3226:26 *37524:B 13.8 +4 *3226:26 *5330:DIODE 16.925 +*END + +*D_NET *3227 0.0195643 +*CONN +*I *5644:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37792:B I *D sky130_fd_sc_hd__and2_1 +*I *40343:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *5644:DIODE 0 +2 *37792:B 0.000231562 +3 *40343:X 0.00022595 +4 *3227:15 0.00187094 +5 *3227:14 0.00932461 +6 *3227:8 0.00791118 +7 *37792:B *3898:39 0 +8 *3227:14 *3258:39 0 +9 *3227:14 *3705:11 0 +10 *3227:14 *3898:15 0 +11 *3227:14 *4116:15 0 +12 *3227:14 *4122:31 0 +13 *3227:14 *4262:14 0 +14 *3227:14 *4287:15 0 +15 *3227:15 *4137:15 0 +16 *8794:DIODE *37792:B 0 +17 *8806:DIODE *37792:B 0 +18 *41002:A *37792:B 0 +19 *1818:31 *3227:8 0 +20 *1971:35 *3227:14 0 +21 *2017:14 *3227:14 0 +22 *2024:25 *3227:14 0 +23 *2026:25 *37792:B 0 +24 *2056:17 *3227:8 0 +25 *2057:15 *3227:14 0 +26 *2063:22 *3227:14 0 +27 *2085:13 *3227:8 0 +28 *2089:7 *3227:8 0 +29 *2147:8 *3227:15 0 +30 *2210:21 *3227:14 0 +*RES +1 *40343:X *3227:8 22.3439 +2 *3227:8 *3227:14 35.9795 +3 *3227:14 *3227:15 34.2143 +4 *3227:15 *37792:B 23.5143 +5 *3227:15 *5644:DIODE 9.3 +*END + +*D_NET *3228 0.0201824 +*CONN +*I *40343:A I *D sky130_fd_sc_hd__buf_6 +*I *8150:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40344:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *40343:A 0.000112395 +2 *8150:DIODE 2.56688e-05 +3 *40344:X 0.000415055 +4 *3228:97 0.000766219 +5 *3228:85 0.00209378 +6 *3228:69 0.00297512 +7 *3228:47 0.00372365 +8 *3228:37 0.00416219 +9 *3228:28 0.00372064 +10 *3228:14 0.00218765 +11 *3228:14 *40349:A 0 +12 *3228:14 *3440:9 0 +13 *3228:28 *40519:A 0 +14 *3228:28 *3440:9 0 +15 *3228:28 *3530:41 0 +16 *3228:28 *3838:51 0 +17 *3228:28 *3939:44 0 +18 *3228:28 *3939:62 0 +19 *3228:28 *4250:42 0 +20 *3228:37 *8222:DIODE 0 +21 *3228:37 *3518:23 0 +22 *3228:37 *3518:39 0 +23 *3228:37 *3530:41 0 +24 *3228:37 *3838:47 0 +25 *3228:37 *3939:44 0 +26 *3228:37 *4250:42 0 +27 *3228:37 *4489:43 0 +28 *3228:37 *4865:11 0 +29 *3228:37 *5159:56 0 +30 *3228:47 *3807:17 0 +31 *3228:47 *3813:14 0 +32 *3228:47 *3827:22 0 +33 *3228:47 *4865:11 0 +34 *3228:69 *3233:29 0 +35 *3228:69 *3814:17 0 +36 *3228:69 *3934:59 0 +37 *3228:69 *4287:49 0 +38 *3228:85 *4122:56 0 +39 *3228:97 *3247:29 0 +40 *37380:A *3228:47 0 +41 *41097:A *3228:69 0 +42 *1975:37 *3228:69 0 +43 *1981:62 *3228:37 0 +44 *1986:27 *3228:85 0 +45 *1993:29 *3228:85 0 +46 *1996:27 *3228:69 0 +47 *2050:30 *8150:DIODE 0 +48 *2050:30 *3228:97 0 +49 *2051:9 *3228:69 0 +50 *2069:9 *3228:85 0 +51 *2072:13 *3228:85 0 +52 *2077:23 *3228:85 0 +53 *2077:53 *3228:47 0 +54 *2080:12 *3228:85 0 +55 *2088:49 *3228:97 0 +56 *2111:28 *3228:85 0 +57 *2111:43 *3228:85 0 +58 *2120:65 *3228:85 0 +59 *2121:18 *3228:69 0 +60 *2121:45 *3228:37 0 +61 *2435:97 *3228:37 0 +62 *2435:97 *3228:47 0 +63 *3139:10 *3228:69 0 +64 *3223:23 *3228:97 0 +65 *3224:80 *3228:37 0 +*RES +1 *40344:X *3228:14 36.425 +2 *3228:14 *3228:28 46.1786 +3 *3228:28 *3228:37 49.9286 +4 *3228:37 *3228:47 46.4107 +5 *3228:47 *3228:69 48.596 +6 *3228:69 *3228:85 48.8929 +7 *3228:85 *3228:97 31.6607 +8 *3228:97 *8150:DIODE 9.83571 +9 *3228:97 *40343:A 20.8536 +*END + +*D_NET *3229 0.0190895 +*CONN +*I *8151:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40344:A I *D sky130_fd_sc_hd__buf_6 +*I *40345:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8151:DIODE 0 +2 *40344:A 0.000143745 +3 *40345:X 0.00604346 +4 *3229:26 0.00350131 +5 *3229:18 0.00940102 +6 *40344:A *3312:35 0 +7 *40344:A *4061:59 0 +8 *3229:18 *3300:55 0 +9 *3229:18 *3309:61 0 +10 *3229:18 *3433:20 0 +11 *3229:18 *3497:59 0 +12 *3229:18 *3522:24 0 +13 *3229:18 *3956:80 0 +14 *3229:18 *4001:74 0 +15 *3229:18 *4045:43 0 +16 *3229:18 *4112:119 0 +17 *3229:18 *4261:87 0 +18 *3229:18 *4275:56 0 +19 *3229:18 *4286:61 0 +20 *3229:18 *4288:94 0 +21 *3229:18 *4881:48 0 +22 *3229:26 *3744:36 0 +23 *3229:26 *4246:27 0 +24 *3229:26 *4275:56 0 +25 *3229:26 *4288:52 0 +26 *3229:26 *4288:94 0 +27 *3229:26 *4300:56 0 +28 *3229:26 *4306:62 0 +29 *1238:21 *3229:26 0 +30 *2400:56 *3229:18 0 +*RES +1 *40345:X *3229:18 49.9411 +2 *3229:18 *3229:26 23.715 +3 *3229:26 *40344:A 12.3 +4 *3229:26 *8151:DIODE 9.3 +*END + +*D_NET *3230 0.0196123 +*CONN +*I *8152:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40345:A I *D sky130_fd_sc_hd__buf_4 +*I *40346:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8152:DIODE 0 +2 *40345:A 0.00077473 +3 *40346:X 0.00537542 +4 *3230:39 0.00443072 +5 *3230:27 0.00903141 +6 *40345:A *8265:DIODE 0 +7 *40345:A *3245:51 0 +8 *40345:A *3265:23 0 +9 *40345:A *3976:25 0 +10 *40345:A *4215:39 0 +11 *3230:27 *7145:DIODE 0 +12 *3230:27 *3240:46 0 +13 *3230:27 *3257:23 0 +14 *3230:27 *4524:73 0 +15 *3230:27 *4536:21 0 +16 *3230:27 *4590:93 0 +17 *3230:27 *4774:25 0 +18 *3230:27 *5186:65 0 +19 *3230:39 *3245:51 0 +20 *3230:39 *3265:23 0 +21 *3230:39 *3287:91 0 +22 *3230:39 *3435:76 0 +23 *3230:39 *3485:17 0 +24 *3230:39 *3490:14 0 +25 *3230:39 *3492:14 0 +26 *3230:39 *3744:70 0 +27 *5268:DIODE *3230:39 0 +28 *6594:DIODE *3230:39 0 +29 *6736:DIODE *3230:39 0 +30 *6756:DIODE *3230:27 0 +31 *7978:DIODE *3230:39 0 +32 *8013:DIODE *3230:27 0 +33 *39533:A *3230:39 0 +34 *39550:A *3230:27 0 +35 *40248:A *40345:A 0 +36 *40265:A *3230:27 0 +37 *402:35 *3230:39 0 +38 *526:29 *40345:A 0 +39 *526:29 *3230:39 0 +40 *540:55 *3230:27 0 +41 *788:57 *3230:27 0 +42 *789:49 *3230:39 0 +43 *1028:94 *3230:27 0 +44 *1030:22 *3230:39 0 +45 *1030:54 *3230:39 0 +46 *1860:28 *3230:27 0 +47 *1860:57 *3230:27 0 +48 *1862:46 *3230:27 0 +49 *1862:69 *3230:27 0 +50 *2379:30 *3230:27 0 +51 *2442:79 *3230:39 0 +52 *2712:162 *3230:39 0 +53 *2977:31 *3230:39 0 +54 *3018:46 *3230:39 0 +55 *3040:21 *3230:39 0 +56 *3041:55 *3230:27 0 +57 *3041:55 *3230:39 0 +58 *3043:75 *3230:27 0 +59 *3043:75 *3230:39 0 +60 *3077:91 *3230:27 0 +61 *3086:28 *40345:A 0 +62 *3087:98 *3230:39 0 +63 *3130:20 *3230:27 0 +64 *3130:26 *3230:27 0 +65 *3174:16 *3230:39 0 +66 *3209:17 *3230:27 0 +*RES +1 *40346:X *3230:27 47.3552 +2 *3230:27 *3230:39 30.2435 +3 *3230:39 *40345:A 38.0133 +4 *3230:39 *8152:DIODE 9.3 +*END + +*D_NET *3231 0.0190367 +*CONN +*I *5641:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37790:B I *D sky130_fd_sc_hd__and2_1 +*I *40347:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *5641:DIODE 0 +2 *37790:B 0.000210551 +3 *40347:X 0 +4 *3231:23 0.00251989 +5 *3231:20 0.00369021 +6 *3231:5 0.00699849 +7 *3231:4 0.00561761 +8 *37790:B *37790:A 0 +9 *37790:B *3458:16 0 +10 *37790:B *3894:12 0 +11 *37790:B *4391:41 0 +12 *3231:5 *8231:DIODE 0 +13 *3231:5 *40445:A 0 +14 *3231:5 *40937:A 0 +15 *3231:5 *3857:58 0 +16 *3231:5 *3873:32 0 +17 *3231:5 *3873:41 0 +18 *3231:5 *3901:11 0 +19 *3231:5 *3921:41 0 +20 *3231:20 *40445:A 0 +21 *3231:20 *3344:17 0 +22 *3231:20 *3873:53 0 +23 *3231:20 *3889:15 0 +24 *3231:20 *4200:35 0 +25 *3231:23 *3384:63 0 +26 *3231:23 *3642:98 0 +27 *3231:23 *4440:16 0 +28 *37563:A *3231:23 0 +29 *39461:B *3231:23 0 +30 *40947:A *3231:5 0 +31 *40956:A *3231:5 0 +32 *2142:25 *3231:5 0 +33 *2144:62 *3231:5 0 +34 *2148:8 *3231:5 0 +35 *2148:17 *3231:5 0 +36 *2148:18 *3231:5 0 +37 *3059:16 *3231:5 0 +38 *3059:17 *3231:20 0 +39 *3064:25 *3231:20 0 +40 *3136:35 *37790:B 0 +41 *3137:32 *3231:5 0 +*RES +1 *40347:X *3231:4 9.3 +2 *3231:4 *3231:5 117.179 +3 *3231:5 *3231:20 47.125 +4 *3231:20 *3231:23 48.1964 +5 *3231:23 *37790:B 22.925 +6 *3231:23 *5641:DIODE 9.3 +*END + +*D_NET *3232 0.0205029 +*CONN +*I *8154:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40347:A I *D sky130_fd_sc_hd__buf_4 +*I *40348:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *8154:DIODE 0 +2 *40347:A 0.000352925 +3 *40348:X 0.00107329 +4 *3232:40 0.00114894 +5 *3232:24 0.00882525 +6 *3232:14 0.00910252 +7 *40347:A *4209:19 0 +8 *40347:A *4464:12 0 +9 *3232:14 *3345:30 0 +10 *3232:14 *4243:21 0 +11 *3232:14 *4287:35 0 +12 *3232:24 *3660:43 0 +13 *3232:24 *3919:19 0 +14 *3232:24 *3926:13 0 +15 *3232:24 *4047:33 0 +16 *3232:24 *4130:13 0 +17 *3232:24 *4141:14 0 +18 *3232:24 *4179:20 0 +19 *3232:24 *4179:47 0 +20 *3232:24 *4265:19 0 +21 *3232:24 *4296:14 0 +22 *3232:24 *4302:26 0 +23 *1402:32 *40347:A 0 +24 *1980:15 *3232:24 0 +25 *1991:28 *3232:24 0 +26 *1998:29 *3232:24 0 +27 *1998:51 *3232:24 0 +28 *2020:24 *3232:24 0 +29 *2023:15 *3232:14 0 +30 *2104:26 *3232:24 0 +31 *2126:13 *3232:24 0 +32 *2142:17 *3232:40 0 +33 *2142:25 *40347:A 0 +34 *2148:8 *40347:A 0 +35 *2148:8 *3232:40 0 +36 *2150:20 *3232:24 0 +37 *3131:18 *3232:40 0 +*RES +1 *40348:X *3232:14 31.8277 +2 *3232:14 *3232:24 44.3917 +3 *3232:24 *3232:40 23.2679 +4 *3232:40 *40347:A 26.7946 +5 *3232:40 *8154:DIODE 13.8 +*END + +*D_NET *3233 0.0192801 +*CONN +*I *40348:A I *D sky130_fd_sc_hd__buf_6 +*I *8155:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40349:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *40348:A 0.000356721 +2 *8155:DIODE 6.50276e-05 +3 *40349:X 0.00678979 +4 *3233:41 0.00285026 +5 *3233:29 0.0092183 +6 *40348:A *4110:11 0 +7 *3233:29 *40522:A 0 +8 *3233:29 *3358:23 0 +9 *3233:29 *3807:40 0 +10 *3233:29 *3939:44 0 +11 *3233:29 *4118:21 0 +12 *3233:29 *4265:36 0 +13 *3233:29 *4287:49 0 +14 *3233:29 *4496:52 0 +15 *3233:29 *4867:28 0 +16 *3233:41 *3934:41 0 +17 *3233:41 *4118:21 0 +18 *3233:41 *4122:56 0 +19 *3233:41 *4265:19 0 +20 *3233:41 *4287:49 0 +21 *8671:DIODE *3233:41 0 +22 *41125:A *40348:A 0 +23 *41184:A *40348:A 0 +24 *1956:54 *40348:A 0 +25 *1975:37 *3233:29 0 +26 *1996:41 *3233:29 0 +27 *2050:55 *3233:29 0 +28 *2077:11 *40348:A 0 +29 *2081:7 *40348:A 0 +30 *2091:36 *8155:DIODE 0 +31 *2091:36 *3233:41 0 +32 *2126:32 *3233:41 0 +33 *2844:27 *3233:29 0 +34 *2844:41 *3233:29 0 +35 *3128:19 *3233:29 0 +36 *3128:39 *3233:29 0 +37 *3147:55 *3233:41 0 +38 *3224:63 *3233:29 0 +39 *3228:69 *3233:29 0 +*RES +1 *40349:X *3233:29 49.0022 +2 *3233:29 *3233:41 26.5814 +3 *3233:41 *8155:DIODE 10.6571 +4 *3233:41 *40348:A 26.175 +*END + +*D_NET *3234 0.0192145 +*CONN +*I *8156:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40349:A I *D sky130_fd_sc_hd__buf_6 +*I *40350:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8156:DIODE 0 +2 *40349:A 0.000163425 +3 *40350:X 0.00764361 +4 *3234:35 0.00196366 +5 *3234:27 0.00944384 +6 *40349:A *3440:9 0 +7 *40349:A *3442:66 0 +8 *3234:27 *3244:32 0 +9 *3234:27 *3245:51 0 +10 *3234:27 *3294:24 0 +11 *3234:27 *3311:37 0 +12 *3234:27 *3312:78 0 +13 *3234:27 *3314:28 0 +14 *3234:27 *3314:62 0 +15 *3234:27 *3504:42 0 +16 *3234:27 *3520:45 0 +17 *3234:27 *3525:29 0 +18 *3234:27 *3759:45 0 +19 *3234:27 *3784:59 0 +20 *3234:27 *3784:82 0 +21 *3234:27 *3960:35 0 +22 *3234:27 *4082:23 0 +23 *3234:27 *4204:50 0 +24 *3234:27 *4275:56 0 +25 *3234:27 *4275:67 0 +26 *3234:27 *5092:21 0 +27 *3234:35 *3244:32 0 +28 *3234:35 *3440:9 0 +29 *3234:35 *3442:66 0 +30 *3234:35 *3525:17 0 +31 *3234:35 *3927:84 0 +32 *2386:63 *3234:27 0 +33 *2393:28 *3234:27 0 +34 *2393:44 *3234:27 0 +35 *2443:67 *3234:27 0 +36 *3086:28 *3234:27 0 +37 *3086:51 *3234:27 0 +38 *3121:42 *3234:27 0 +39 *3121:56 *3234:27 0 +40 *3121:56 *3234:35 0 +41 *3140:60 *3234:35 0 +42 *3148:74 *40349:A 0 +43 *3228:14 *40349:A 0 +*RES +1 *40350:X *3234:27 45.3343 +2 *3234:27 *3234:35 20.9943 +3 *3234:35 *40349:A 12.7107 +4 *3234:35 *8156:DIODE 9.3 +*END + +*D_NET *3235 0.0218016 +*CONN +*I *40350:A I *D sky130_fd_sc_hd__buf_4 +*I *8157:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40351:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40350:A 0.000730277 +2 *8157:DIODE 6.01077e-05 +3 *40351:X 0.00254905 +4 *3235:119 0.00106198 +5 *3235:111 0.00262178 +6 *3235:96 0.00328739 +7 *3235:80 0.00219855 +8 *3235:63 0.00296389 +9 *3235:42 0.00274102 +10 *3235:22 0.00358753 +11 *8157:DIODE *3294:53 0 +12 *40350:A *6122:DIODE 0 +13 *40350:A *40369:A 0 +14 *40350:A *3257:35 0 +15 *40350:A *3294:53 0 +16 *40350:A *3302:28 0 +17 *3235:22 *3254:33 0 +18 *3235:22 *3721:43 0 +19 *3235:22 *4555:54 0 +20 *3235:22 *5175:74 0 +21 *3235:42 *4415:32 0 +22 *3235:42 *4555:80 0 +23 *3235:42 *5034:52 0 +24 *3235:63 *7134:DIODE 0 +25 *3235:63 *8466:DIODE 0 +26 *3235:63 *40366:A 0 +27 *3235:63 *3402:56 0 +28 *3235:63 *4149:121 0 +29 *3235:63 *5034:52 0 +30 *3235:80 *8303:DIODE 0 +31 *3235:80 *8515:DIODE 0 +32 *3235:80 *3263:10 0 +33 *3235:80 *3266:134 0 +34 *3235:80 *3291:68 0 +35 *3235:80 *4045:53 0 +36 *3235:80 *4238:59 0 +37 *3235:96 *3682:15 0 +38 *3235:96 *4524:99 0 +39 *3235:111 *8203:DIODE 0 +40 *3235:111 *8486:DIODE 0 +41 *3235:111 *8499:DIODE 0 +42 *3235:111 *40383:A 0 +43 *3235:111 *3265:23 0 +44 *3235:111 *4524:99 0 +45 *3235:111 *5034:16 0 +46 *3235:119 *3265:23 0 +47 *3235:119 *3500:55 0 +48 *5269:DIODE *40350:A 0 +49 *6600:DIODE *3235:96 0 +50 *6601:DIODE *3235:96 0 +51 *6609:DIODE *3235:42 0 +52 *6609:DIODE *3235:63 0 +53 *6705:DIODE *3235:63 0 +54 *6716:DIODE *3235:42 0 +55 *6745:DIODE *3235:63 0 +56 *6827:DIODE *3235:22 0 +57 *7978:DIODE *3235:96 0 +58 *37411:A *40350:A 0 +59 *37440:A *3235:96 0 +60 *37471:A *3235:111 0 +61 *39640:A *3235:22 0 +62 *40134:A *3235:111 0 +63 *40248:A *40350:A 0 +64 *40265:A *3235:96 0 +65 *528:31 *3235:111 0 +66 *532:35 *3235:96 0 +67 *544:49 *3235:22 0 +68 *784:31 *3235:111 0 +69 *785:43 *3235:96 0 +70 *1028:94 *3235:42 0 +71 *1230:39 *3235:111 0 +72 *1441:51 *3235:111 0 +73 *1447:9 *40350:A 0 +74 *1447:9 *3235:119 0 +75 *1726:43 *3235:22 0 +76 *1862:69 *3235:22 0 +77 *2381:35 *3235:111 0 +78 *2410:18 *3235:63 0 +79 *2411:93 *3235:63 0 +80 *2712:135 *3235:111 0 +81 *2712:143 *3235:111 0 +82 *2712:190 *40350:A 0 +83 *2978:15 *3235:96 0 +84 *2982:29 *3235:42 0 +85 *2993:64 *3235:22 0 +86 *2995:19 *3235:111 0 +87 *3031:66 *3235:22 0 +88 *3041:25 *3235:96 0 +89 *3041:55 *3235:96 0 +90 *3113:100 *3235:63 0 +91 *3188:46 *3235:22 0 +92 *3211:15 *3235:42 0 +*RES +1 *40351:X *3235:22 46.5956 +2 *3235:22 *3235:42 49.25 +3 *3235:42 *3235:63 49.3036 +4 *3235:63 *3235:80 49.3351 +5 *3235:80 *3235:96 38.1607 +6 *3235:96 *3235:111 49.5446 +7 *3235:111 *3235:119 14.9018 +8 *3235:119 *8157:DIODE 10.6571 +9 *3235:119 *40350:A 24.55 +*END + +*D_NET *3236 0.0212469 +*CONN +*I *37788:B I *D sky130_fd_sc_hd__and2_1 +*I *5638:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40352:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *37788:B 0.000150991 +2 *5638:DIODE 0.000430334 +3 *40352:X 0.00134283 +4 *3236:114 0.000763996 +5 *3236:105 0.00208603 +6 *3236:98 0.00315294 +7 *3236:86 0.00255279 +8 *3236:53 0.00331628 +9 *3236:38 0.00406046 +10 *3236:22 0.00339021 +11 *5638:DIODE *3800:9 0 +12 *37788:B *3255:38 0 +13 *37788:B *3345:59 0 +14 *3236:22 *3256:39 0 +15 *3236:22 *3317:44 0 +16 *3236:22 *3442:92 0 +17 *3236:22 *3759:19 0 +18 *3236:22 *4060:19 0 +19 *3236:22 *4061:75 0 +20 *3236:22 *4301:59 0 +21 *3236:38 *3255:11 0 +22 *3236:38 *3319:22 0 +23 *3236:38 *4083:63 0 +24 *3236:38 *4301:47 0 +25 *3236:38 *4301:59 0 +26 *3236:53 *8235:DIODE 0 +27 *3236:53 *40425:A 0 +28 *3236:53 *41243:A 0 +29 *3236:53 *3255:11 0 +30 *3236:53 *3255:13 0 +31 *3236:53 *3319:22 0 +32 *3236:53 *3320:82 0 +33 *3236:53 *3355:75 0 +34 *3236:53 *3438:44 0 +35 *3236:53 *3788:20 0 +36 *3236:53 *3952:72 0 +37 *3236:53 *4046:52 0 +38 *3236:53 *4301:47 0 +39 *3236:86 *37782:B 0 +40 *3236:86 *3255:13 0 +41 *3236:86 *3438:44 0 +42 *3236:86 *3965:20 0 +43 *3236:86 *3980:26 0 +44 *3236:86 *4046:24 0 +45 *3236:86 *4072:20 0 +46 *3236:86 *4083:39 0 +47 *3236:86 *4298:20 0 +48 *3236:86 *4301:24 0 +49 *3236:98 *4046:12 0 +50 *3236:98 *4096:22 0 +51 *3236:105 *4253:11 0 +52 *8629:DIODE *3236:86 0 +53 *8690:DIODE *3236:53 0 +54 *37672:B *3236:38 0 +55 *40336:A *3236:22 0 +56 *40340:A *3236:86 0 +57 *41037:A *3236:53 0 +58 *1969:12 *3236:98 0 +59 *1969:37 *3236:53 0 +60 *2070:37 *5638:DIODE 0 +61 *2080:33 *3236:53 0 +62 *2097:12 *3236:105 0 +63 *2113:22 *3236:98 0 +64 *2121:18 *5638:DIODE 0 +65 *2125:33 *3236:105 0 +66 *2133:72 *3236:98 0 +67 *2139:67 *3236:86 0 +68 *2139:78 *3236:86 0 +69 *3147:19 *3236:86 0 +70 *3147:37 *37788:B 0 +71 *3202:48 *3236:22 0 +72 *3210:70 *3236:22 0 +73 *3219:12 *3236:22 0 +74 *3219:12 *3236:38 0 +75 *3219:45 *3236:38 0 +76 *3219:80 *3236:105 0 +*RES +1 *40352:X *3236:22 47.4964 +2 *3236:22 *3236:38 47.5714 +3 *3236:38 *3236:53 46.9464 +4 *3236:53 *3236:86 46.2669 +5 *3236:86 *3236:98 40.6071 +6 *3236:98 *3236:105 49.0536 +7 *3236:105 *3236:114 8.8125 +8 *3236:114 *5638:DIODE 23.5232 +9 *3236:114 *37788:B 17.0946 +*END + +*D_NET *3237 0.0154178 +*CONN +*I *38106:C I *D sky130_fd_sc_hd__and3b_1 +*I *6142:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38502:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *38106:C 4.53482e-05 +2 *6142:DIODE 0.000297046 +3 *38502:X 0.00116435 +4 *3237:74 0.00138338 +5 *3237:64 0.0024567 +6 *3237:37 0.00326659 +7 *3237:27 0.00374545 +8 *3237:22 0.00305892 +9 *6142:DIODE *4563:91 0 +10 *3237:22 *8201:DIODE 0 +11 *3237:22 *40499:A 0 +12 *3237:22 *3504:42 0 +13 *3237:22 *3510:9 0 +14 *3237:22 *3697:73 0 +15 *3237:22 *4238:18 0 +16 *3237:22 *4270:16 0 +17 *3237:22 *5189:46 0 +18 *3237:27 *3507:19 0 +19 *3237:37 *3286:11 0 +20 *3237:37 *3434:45 0 +21 *3237:37 *5193:51 0 +22 *3237:64 *40222:A 0 +23 *3237:64 *3422:46 0 +24 *3237:64 *3496:19 0 +25 *3237:64 *3535:14 0 +26 *3237:64 *5084:23 0 +27 *3237:74 *6148:DIODE 0 +28 *3237:74 *40204:A 0 +29 *3237:74 *3406:45 0 +30 *3237:74 *3406:49 0 +31 *3237:74 *3410:55 0 +32 *3237:74 *3501:18 0 +33 *3237:74 *4596:71 0 +34 *38465:A *3237:22 0 +35 *40205:A *3237:22 0 +36 *40242:A *3237:64 0 +37 *400:29 *3237:22 0 +38 *402:8 *3237:22 0 +39 *2397:20 *3237:37 0 +40 *2419:26 *3237:64 0 +41 *2794:25 *3237:22 0 +42 *3092:9 *3237:64 0 +43 *3116:71 *6142:DIODE 0 +44 *3150:32 *3237:37 0 +45 *3155:59 *3237:64 0 +46 *3167:25 *3237:37 0 +47 *3167:29 *3237:27 0 +48 *3167:29 *3237:37 0 +49 *3167:31 *3237:27 0 +50 *3187:12 *3237:22 0 +51 *3194:107 *3237:37 0 +52 *3198:25 *3237:27 0 +53 *3198:25 *3237:37 0 +54 *3211:49 *3237:22 0 +55 *3225:17 *3237:27 0 +56 *3225:23 *3237:27 0 +*RES +1 *38502:X *3237:22 49.0857 +2 *3237:22 *3237:27 44.0714 +3 *3237:27 *3237:37 47.9464 +4 *3237:37 *3237:64 49.3036 +5 *3237:64 *3237:74 35.6964 +6 *3237:74 *6142:DIODE 20.3536 +7 *3237:74 *38106:C 14.7464 +*END + +*D_NET *3238 0.00310175 +*CONN +*I *40545:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38503:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40545:A 0.000262677 +2 *38503:X 0.0012882 +3 *3238:12 0.00155088 +4 *40545:A *3431:29 0 +5 *40545:A *3431:31 0 +6 *3238:12 *3304:9 0 +7 *3238:12 *3304:22 0 +8 *3238:12 *4430:82 0 +9 *3238:12 *4430:91 0 +10 *3238:12 *4660:16 0 +11 *6639:DIODE *3238:12 0 +12 *37513:A *3238:12 0 +13 *38642:A *3238:12 0 +14 *38822:A *3238:12 0 +15 *3204:8 *3238:12 0 +16 *3215:16 *3238:12 0 +*RES +1 *38503:X *3238:12 45.5143 +2 *3238:12 *40545:A 14.7821 +*END + +*D_NET *3239 0.0186743 +*CONN +*I *8159:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40352:A I *D sky130_fd_sc_hd__buf_6 +*I *40353:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8159:DIODE 0 +2 *40352:A 0.000193957 +3 *40353:X 0.000473392 +4 *3239:14 0.00023818 +5 *3239:9 0.00866979 +6 *3239:8 0.00909896 +7 *3239:8 *3291:52 0 +8 *3239:8 *3301:18 0 +9 *3239:8 *3434:19 0 +10 *3239:8 *4149:68 0 +11 *3239:9 *38094:A_N 0 +12 *3239:9 *3253:15 0 +13 *3239:9 *3253:33 0 +14 *3239:9 *3289:28 0 +15 *3239:9 *3319:49 0 +16 *3239:9 *3446:31 0 +17 *3239:9 *4509:69 0 +18 *3239:9 *5189:39 0 +19 *8295:DIODE *3239:9 0 +20 *40312:A *3239:8 0 +21 *1235:38 *40352:A 0 +22 *1441:51 *3239:8 0 +23 *3192:11 *3239:8 0 +24 *3202:24 *3239:9 0 +25 *3203:73 *3239:9 0 +26 *3210:35 *3239:9 0 +27 *3220:9 *3239:9 0 +*RES +1 *40353:X *3239:8 29.05 +2 *3239:8 *3239:9 180.018 +3 *3239:9 *3239:14 10.0357 +4 *3239:14 *40352:A 22.4607 +5 *3239:14 *8159:DIODE 9.3 +*END + +*D_NET *3240 0.0191965 +*CONN +*I *8160:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40353:A I *D sky130_fd_sc_hd__buf_4 +*I *40354:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8160:DIODE 0 +2 *40353:A 0.000969638 +3 *40354:X 0.00546854 +4 *3240:63 0.00199632 +5 *3240:46 0.00316006 +6 *3240:25 0.00760191 +7 *40353:A *40377:A 0 +8 *40353:A *3302:59 0 +9 *40353:A *3435:98 0 +10 *40353:A *3783:41 0 +11 *40353:A *4149:68 0 +12 *40353:A *4149:88 0 +13 *40353:A *4238:41 0 +14 *3240:25 *3257:23 0 +15 *3240:25 *3721:24 0 +16 *3240:25 *4554:53 0 +17 *3240:25 *4556:45 0 +18 *3240:25 *4594:45 0 +19 *3240:25 *4755:23 0 +20 *3240:46 *3257:23 0 +21 *3240:46 *3266:134 0 +22 *3240:46 *4590:93 0 +23 *3240:63 *8272:DIODE 0 +24 *3240:63 *40377:A 0 +25 *3240:63 *40751:A 0 +26 *3240:63 *4149:88 0 +27 *3240:63 *4238:41 0 +28 *3240:63 *4524:99 0 +29 *6716:DIODE *3240:46 0 +30 *8013:DIODE *3240:46 0 +31 *39582:A *3240:63 0 +32 *40205:A *40353:A 0 +33 *40254:A *3240:63 0 +34 *404:34 *3240:63 0 +35 *404:58 *3240:63 0 +36 *409:61 *3240:46 0 +37 *901:62 *3240:63 0 +38 *1028:94 *3240:25 0 +39 *1840:93 *3240:25 0 +40 *1862:103 *3240:25 0 +41 *2373:145 *3240:25 0 +42 *2379:30 *3240:46 0 +43 *2381:18 *3240:63 0 +44 *3031:66 *3240:25 0 +45 *3041:55 *3240:46 0 +46 *3075:77 *40353:A 0 +47 *3130:20 *3240:25 0 +48 *3188:87 *40353:A 0 +49 *3188:111 *40353:A 0 +50 *3218:24 *3240:25 0 +51 *3221:16 *3240:25 0 +52 *3230:27 *3240:46 0 +*RES +1 *40354:X *3240:25 48.9104 +2 *3240:25 *3240:46 34.8328 +3 *3240:46 *3240:63 30.8036 +4 *3240:63 *40353:A 29.5679 +5 *3240:46 *8160:DIODE 9.3 +*END + +*D_NET *3241 0.0200568 +*CONN +*I *5637:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37786:B I *D sky130_fd_sc_hd__and2_1 +*I *40355:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *5637:DIODE 0.000200323 +2 *37786:B 0 +3 *40355:X 0.001695 +4 *3241:52 0.00058002 +5 *3241:51 0.00190612 +6 *3241:41 0.0028744 +7 *3241:25 0.00531102 +8 *3241:23 0.00487899 +9 *3241:15 0.00261094 +10 *5637:DIODE *5636:DIODE 0 +11 *5637:DIODE *4205:15 0 +12 *3241:15 *3374:64 0 +13 *3241:23 *37784:B 0 +14 *3241:23 *37956:C 0 +15 *3241:23 *3246:27 0 +16 *3241:23 *3888:51 0 +17 *3241:23 *3888:53 0 +18 *3241:25 *37956:C 0 +19 *3241:25 *38198:A 0 +20 *3241:25 *38198:B 0 +21 *3241:25 *40924:A 0 +22 *3241:25 *40926:A 0 +23 *3241:25 *3859:36 0 +24 *3241:25 *3859:37 0 +25 *3241:25 *3888:53 0 +26 *3241:25 *3888:65 0 +27 *3241:25 *3974:31 0 +28 *3241:25 *4132:47 0 +29 *3241:25 *4132:58 0 +30 *3241:25 *4152:91 0 +31 *3241:25 *4782:21 0 +32 *3241:25 *5198:18 0 +33 *3241:41 *37796:A 0 +34 *3241:41 *3859:37 0 +35 *3241:41 *3862:26 0 +36 *3241:41 *3862:35 0 +37 *3241:41 *3887:10 0 +38 *3241:41 *3974:31 0 +39 *3241:41 *4152:109 0 +40 *3241:51 *37796:A 0 +41 *3241:51 *3383:29 0 +42 *3241:51 *3859:37 0 +43 *3241:51 *3862:37 0 +44 *3241:51 *4132:80 0 +45 *3241:51 *4401:23 0 +46 *3241:52 *4123:32 0 +47 *3241:52 *4172:34 0 +48 *1292:14 *5637:DIODE 0 +49 *1297:5 *3241:51 0 +50 *1377:13 *3241:23 0 +51 *1502:11 *3241:23 0 +52 *1790:8 *3241:51 0 +53 *1802:8 *3241:41 0 +54 *1895:25 *3241:15 0 +55 *1899:56 *3241:15 0 +56 *1926:66 *3241:15 0 +57 *2907:11 *3241:51 0 +58 *3136:14 *3241:25 0 +*RES +1 *40355:X *3241:15 45.175 +2 *3241:15 *3241:23 19.1786 +3 *3241:23 *3241:25 82.6786 +4 *3241:25 *3241:41 37.8571 +5 *3241:41 *3241:51 45.5714 +6 *3241:51 *3241:52 8.625 +7 *3241:52 *37786:B 13.8 +8 *3241:52 *5637:DIODE 18.2821 +*END + +*D_NET *3242 0.0190503 +*CONN +*I *40355:A I *D sky130_fd_sc_hd__buf_4 +*I *8162:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40356:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *40355:A 0.000166912 +2 *8162:DIODE 0 +3 *40356:X 0.000219225 +4 *3242:36 0.00192804 +5 *3242:27 0.00382129 +6 *3242:19 0.00278273 +7 *3242:13 0.00370016 +8 *3242:11 0.00459514 +9 *3242:8 0.00183679 +10 *3242:11 *3258:39 0 +11 *3242:11 *3258:56 0 +12 *3242:11 *4122:31 0 +13 *3242:11 *4143:15 0 +14 *3242:11 *4239:16 0 +15 *3242:13 *4137:9 0 +16 *3242:13 *4143:15 0 +17 *3242:19 *4137:9 0 +18 *3242:19 *4143:15 0 +19 *3242:27 *3890:24 0 +20 *3242:27 *4137:15 0 +21 *3242:27 *4143:36 0 +22 *3242:27 *4410:23 0 +23 *3242:36 *3919:30 0 +24 *3242:36 *4152:33 0 +25 *1524:35 *3242:36 0 +26 *1805:38 *40355:A 0 +27 *1805:38 *3242:36 0 +28 *1895:18 *40355:A 0 +29 *1896:37 *40355:A 0 +30 *1968:17 *3242:13 0 +31 *1978:15 *3242:8 0 +32 *1988:8 *3242:11 0 +33 *1988:8 *3242:13 0 +34 *1989:8 *3242:13 0 +35 *2024:51 *3242:27 0 +36 *2036:17 *3242:36 0 +37 *2147:8 *3242:19 0 +38 *2147:8 *3242:27 0 +39 *3152:9 *3242:19 0 +40 *3152:9 *3242:27 0 +*RES +1 *40356:X *3242:8 23.2821 +2 *3242:8 *3242:11 33.8214 +3 *3242:11 *3242:13 62.1429 +4 *3242:13 *3242:19 15.1429 +5 *3242:19 *3242:27 49.6646 +6 *3242:27 *3242:36 16.9317 +7 *3242:36 *8162:DIODE 13.8 +8 *3242:36 *40355:A 17.425 +*END + +*D_NET *3243 0.0202584 +*CONN +*I *8163:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40356:A I *D sky130_fd_sc_hd__buf_6 +*I *40357:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *8163:DIODE 0 +2 *40356:A 0.000163425 +3 *40357:X 0.00097367 +4 *3243:65 0.00108696 +5 *3243:51 0.00685303 +6 *3243:50 0.00638719 +7 *3243:41 0.00213908 +8 *3243:27 0.00265505 +9 *40356:A *3373:76 0 +10 *3243:27 *8221:DIODE 0 +11 *3243:27 *40187:A 0 +12 *3243:27 *3317:16 0 +13 *3243:27 *3318:13 0 +14 *3243:27 *4032:60 0 +15 *3243:27 *4083:52 0 +16 *3243:27 *4135:45 0 +17 *3243:27 *4490:78 0 +18 *3243:41 *3327:53 0 +19 *3243:41 *3365:21 0 +20 *3243:41 *4062:19 0 +21 *3243:41 *4305:19 0 +22 *3243:50 *4280:20 0 +23 *3243:50 *4305:18 0 +24 *3243:51 *3969:28 0 +25 *3243:51 *4046:24 0 +26 *3243:51 *4301:24 0 +27 *3243:65 *3373:76 0 +28 *40279:A *3243:65 0 +29 *40599:A *3243:27 0 +30 *1966:14 *3243:65 0 +31 *1969:37 *3243:27 0 +32 *1979:24 *3243:51 0 +33 *1979:42 *3243:50 0 +34 *1979:42 *3243:51 0 +35 *1984:12 *3243:51 0 +36 *2035:15 *3243:65 0 +37 *2050:17 *3243:51 0 +38 *2056:17 *3243:51 0 +39 *2062:15 *3243:51 0 +40 *2073:60 *3243:50 0 +41 *2085:25 *3243:51 0 +42 *2093:22 *3243:51 0 +43 *2097:31 *3243:50 0 +44 *2113:14 *3243:51 0 +45 *2114:26 *3243:51 0 +46 *2117:26 *3243:51 0 +47 *2117:52 *3243:50 0 +48 *2125:33 *3243:51 0 +49 *2131:35 *40356:A 0 +50 *2131:45 *3243:51 0 +51 *2131:48 *3243:51 0 +52 *2132:28 *3243:65 0 +53 *2134:21 *3243:51 0 +54 *2137:15 *3243:51 0 +55 *2145:24 *3243:51 0 +56 *2145:38 *3243:51 0 +57 *2155:29 *3243:51 0 +58 *3133:61 *3243:41 0 +59 *3152:8 *3243:65 0 +60 *3153:89 *3243:51 0 +61 *3156:18 *40356:A 0 +62 *3156:18 *3243:65 0 +63 *3219:66 *3243:50 0 +64 *3219:73 *3243:51 0 +65 *3219:80 *3243:51 0 +*RES +1 *40357:X *3243:27 47.0139 +2 *3243:27 *3243:41 49.4464 +3 *3243:41 *3243:50 19.3929 +4 *3243:50 *3243:51 123.75 +5 *3243:51 *3243:65 28.6964 +6 *3243:65 *40356:A 12.7107 +7 *3243:65 *8163:DIODE 9.3 +*END + +*D_NET *3244 0.019368 +*CONN +*I *8164:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40357:A I *D sky130_fd_sc_hd__buf_6 +*I *40358:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8164:DIODE 0 +2 *40357:A 0.000143745 +3 *40358:X 0.00108904 +4 *3244:34 0.000143745 +5 *3244:32 0.00391895 +6 *3244:11 0.0084512 +7 *3244:10 0.00562129 +8 *40357:A *3312:23 0 +9 *40357:A *4061:53 0 +10 *3244:10 *3287:47 0 +11 *3244:10 *3427:9 0 +12 *3244:10 *4149:8 0 +13 *3244:10 *4275:67 0 +14 *3244:11 *3294:9 0 +15 *3244:11 *3300:21 0 +16 *3244:11 *3300:28 0 +17 *3244:11 *3311:20 0 +18 *3244:11 *3444:33 0 +19 *3244:11 *3785:59 0 +20 *3244:11 *3785:66 0 +21 *3244:11 *3785:67 0 +22 *3244:32 *3314:28 0 +23 *3244:32 *3511:32 0 +24 *3244:32 *3511:52 0 +25 *3244:32 *3525:17 0 +26 *3244:32 *3788:40 0 +27 *3244:32 *4046:70 0 +28 *3244:32 *4250:42 0 +29 *3244:32 *4513:31 0 +30 *37401:A *3244:11 0 +31 *2103:48 *3244:32 0 +32 *2115:70 *3244:32 0 +33 *2383:42 *3244:32 0 +34 *2393:90 *3244:32 0 +35 *3086:51 *3244:32 0 +36 *3154:76 *3244:11 0 +37 *3158:29 *3244:32 0 +38 *3217:33 *3244:11 0 +39 *3234:27 *3244:32 0 +40 *3234:35 *3244:32 0 +*RES +1 *40358:X *3244:10 41.2107 +2 *3244:10 *3244:11 94.5893 +3 *3244:11 *3244:32 46.0325 +4 *3244:32 *3244:34 4.5 +5 *3244:34 *40357:A 12.3 +6 *3244:34 *8164:DIODE 9.3 +*END + +*D_NET *3245 0.0200449 +*CONN +*I *40358:A I *D sky130_fd_sc_hd__buf_4 +*I *8165:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40359:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40358:A 2.27896e-05 +2 *8165:DIODE 0.000163714 +3 *40359:X 0.00394074 +4 *3245:51 0.00608173 +5 *3245:21 0.00983597 +6 *8165:DIODE *3294:24 0 +7 *8165:DIODE *3300:40 0 +8 *8165:DIODE *4171:10 0 +9 *40358:A *4171:10 0 +10 *3245:21 *8515:DIODE 0 +11 *3245:21 *40366:A 0 +12 *3245:21 *3254:33 0 +13 *3245:21 *3257:23 0 +14 *3245:21 *3264:128 0 +15 *3245:21 *3266:62 0 +16 *3245:21 *3412:30 0 +17 *3245:21 *3721:24 0 +18 *3245:21 *3722:69 0 +19 *3245:51 *3257:35 0 +20 *3245:51 *3485:17 0 +21 *3245:51 *3504:42 0 +22 *3245:51 *3543:21 0 +23 *3245:51 *3759:45 0 +24 *5269:DIODE *3245:51 0 +25 *6727:DIODE *3245:21 0 +26 *8141:DIODE *3245:51 0 +27 *39582:A *3245:51 0 +28 *40248:A *3245:51 0 +29 *40265:A *3245:51 0 +30 *40334:A *3245:51 0 +31 *40345:A *3245:51 0 +32 *412:43 *3245:21 0 +33 *540:55 *3245:21 0 +34 *788:57 *3245:21 0 +35 *1008:129 *3245:21 0 +36 *1011:273 *3245:21 0 +37 *1029:93 *3245:51 0 +38 *2377:14 *3245:21 0 +39 *2442:79 *3245:51 0 +40 *2712:96 *3245:21 0 +41 *3086:28 *3245:51 0 +42 *3113:100 *3245:21 0 +43 *3174:16 *3245:51 0 +44 *3208:24 *3245:21 0 +45 *3230:39 *3245:51 0 +46 *3234:27 *3245:51 0 +*RES +1 *40359:X *3245:21 49.4945 +2 *3245:21 *3245:51 46.7387 +3 *3245:51 *8165:DIODE 17.3625 +4 *3245:51 *40358:A 14.3804 +*END + +*D_NET *3246 0.0189976 +*CONN +*I *5634:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37784:B I *D sky130_fd_sc_hd__and2_1 +*I *40360:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *5634:DIODE 0 +2 *37784:B 0.000163425 +3 *40360:X 7.23213e-05 +4 *3246:27 0.000315066 +5 *3246:19 0.00484133 +6 *3246:18 0.005386 +7 *3246:9 0.00442171 +8 *3246:8 0.00379772 +9 *37784:B *3888:51 0 +10 *3246:19 *4173:12 0 +11 *3246:19 *5152:51 0 +12 *1899:41 *3246:19 0 +13 *1899:56 *37784:B 0 +14 *1899:56 *3246:19 0 +15 *1899:56 *3246:27 0 +16 *1971:13 *3246:9 0 +17 *2005:35 *3246:18 0 +18 *2024:51 *3246:19 0 +19 *2026:8 *3246:19 0 +20 *2026:25 *3246:19 0 +21 *2054:20 *3246:9 0 +22 *2067:46 *3246:27 0 +23 *2068:8 *3246:19 0 +24 *2068:24 *3246:19 0 +25 *2074:10 *3246:19 0 +26 *2086:33 *3246:9 0 +27 *2100:8 *3246:9 0 +28 *2111:13 *3246:8 0 +29 *2132:22 *3246:9 0 +30 *2142:17 *3246:18 0 +31 *2144:32 *3246:9 0 +32 *2144:40 *3246:9 0 +33 *2144:40 *3246:18 0 +34 *2145:58 *3246:8 0 +35 *2145:60 *3246:9 0 +36 *2148:8 *3246:9 0 +37 *2148:8 *3246:18 0 +38 *3241:23 *37784:B 0 +39 *3241:23 *3246:27 0 +*RES +1 *40360:X *3246:8 19.9429 +2 *3246:8 *3246:9 77.75 +3 *3246:9 *3246:18 24.0714 +4 *3246:18 *3246:19 97.875 +5 *3246:19 *3246:27 12.4286 +6 *3246:27 *37784:B 12.7107 +7 *3246:27 *5634:DIODE 9.3 +*END + +*D_NET *3247 0.0189936 +*CONN +*I *8167:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40360:A I *D sky130_fd_sc_hd__buf_6 +*I *40361:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *8167:DIODE 0 +2 *40360:A 0.000507371 +3 *40361:X 0.00126178 +4 *3247:33 0.00115914 +5 *3247:29 0.00555793 +6 *3247:27 0.00497055 +7 *3247:22 0.00216969 +8 *3247:17 0.00336709 +9 *40360:A *3373:66 0 +10 *40360:A *4047:33 0 +11 *3247:17 *8903:DIODE 0 +12 *3247:17 *41135:A 0 +13 *3247:17 *3270:118 0 +14 *3247:17 *3980:26 0 +15 *3247:17 *4083:11 0 +16 *3247:17 *4128:10 0 +17 *3247:17 *4296:53 0 +18 *3247:17 *4300:13 0 +19 *3247:17 *4300:15 0 +20 *3247:22 *3270:118 0 +21 *3247:22 *3328:43 0 +22 *3247:22 *3800:9 0 +23 *3247:22 *3934:77 0 +24 *3247:22 *3992:14 0 +25 *3247:22 *4296:35 0 +26 *3247:22 *4296:50 0 +27 *3247:22 *4300:13 0 +28 *3247:29 *3341:31 0 +29 *3247:29 *4053:24 0 +30 *3247:29 *4096:10 0 +31 *3247:29 *4122:40 0 +32 *3247:29 *4155:10 0 +33 *3247:29 *4273:13 0 +34 *3247:33 *4047:33 0 +35 *8888:DIODE *3247:29 0 +36 *40845:A *3247:29 0 +37 *41008:A *3247:17 0 +38 *41060:A *3247:22 0 +39 *41073:A *3247:29 0 +40 *41081:A *3247:29 0 +41 *41112:A *3247:29 0 +42 *41125:A *3247:29 0 +43 *41141:A *3247:29 0 +44 *41154:A *3247:29 0 +45 *41230:A *3247:27 0 +46 *41230:A *3247:29 0 +47 *41264:A *40360:A 0 +48 *41301:A *3247:29 0 +49 *41302:A *3247:29 0 +50 *1956:58 *3247:29 0 +51 *1957:20 *3247:29 0 +52 *1961:32 *3247:29 0 +53 *2030:15 *40360:A 0 +54 *2093:43 *3247:29 0 +55 *2100:24 *3247:29 0 +56 *2122:30 *40360:A 0 +57 *2128:28 *3247:17 0 +58 *2240:25 *3247:29 0 +59 *3139:10 *3247:22 0 +60 *3214:11 *3247:29 0 +61 *3214:13 *40360:A 0 +62 *3214:13 *3247:29 0 +63 *3214:13 *3247:33 0 +64 *3228:97 *3247:29 0 +*RES +1 *40361:X *3247:17 45.0679 +2 *3247:17 *3247:22 48.5714 +3 *3247:22 *3247:27 5.875 +4 *3247:27 *3247:29 102.393 +5 *3247:29 *3247:33 13.6964 +6 *3247:33 *40360:A 29.3714 +7 *3247:33 *8167:DIODE 9.3 +*END + +*D_NET *3248 0.022497 +*CONN +*I *40361:A I *D sky130_fd_sc_hd__buf_6 +*I *8168:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40362:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *40361:A 0.000327941 +2 *8168:DIODE 0 +3 *40362:X 0.00210071 +4 *3248:46 0.00227503 +5 *3248:42 0.00881987 +6 *3248:24 0.00897349 +7 *40361:A *37782:B 0 +8 *40361:A *3939:24 0 +9 *40361:A *3980:26 0 +10 *40361:A *4083:39 0 +11 *3248:24 *3504:42 0 +12 *3248:24 *3511:85 0 +13 *3248:24 *3529:62 0 +14 *3248:24 *3744:63 0 +15 *3248:24 *3977:137 0 +16 *3248:24 *4233:71 0 +17 *3248:24 *4507:38 0 +18 *3248:42 *3500:20 0 +19 *3248:42 *3500:32 0 +20 *3248:42 *3788:40 0 +21 *3248:42 *3860:61 0 +22 *3248:42 *4502:38 0 +23 *3248:42 *4507:27 0 +24 *3248:46 *3442:24 0 +25 *3248:46 *3533:19 0 +26 *3248:46 *3849:46 0 +27 *3248:46 *3939:24 0 +28 *3248:46 *4502:15 0 +29 *3248:46 *5192:9 0 +30 *8731:DIODE *40361:A 0 +31 *1216:17 *3248:42 0 +32 *1987:36 *3248:42 0 +33 *2053:36 *3248:46 0 +34 *2053:54 *40361:A 0 +35 *2053:54 *3248:46 0 +36 *2124:55 *3248:42 0 +37 *2405:40 *3248:42 0 +38 *2425:42 *3248:24 0 +39 *2472:21 *3248:46 0 +40 *2516:26 *3248:42 0 +41 *2516:30 *3248:42 0 +42 *2538:19 *3248:42 0 +43 *2549:16 *3248:42 0 +44 *3041:11 *3248:24 0 +*RES +1 *40362:X *3248:24 48.1559 +2 *3248:24 *3248:42 46.7688 +3 *3248:42 *3248:46 23.4526 +4 *3248:46 *8168:DIODE 13.8 +5 *3248:46 *40361:A 21.1571 +*END + +*D_NET *3249 0.0169999 +*CONN +*I *5335:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37528:B I *D sky130_fd_sc_hd__and2_1 +*I *38504:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5335:DIODE 4.53482e-05 +2 *37528:B 0.000186946 +3 *38504:X 0.00129071 +4 *3249:50 0.00152002 +5 *3249:49 0.00203064 +6 *3249:38 0.00282336 +7 *3249:34 0.00307491 +8 *3249:24 0.00286585 +9 *3249:18 0.0031621 +10 *3249:18 *3851:17 0 +11 *3249:18 *3878:43 0 +12 *3249:18 *3913:48 0 +13 *3249:18 *4434:29 0 +14 *3249:18 *4682:16 0 +15 *3249:18 *4809:18 0 +16 *3249:18 *4822:8 0 +17 *3249:18 *5162:17 0 +18 *3249:24 *5350:DIODE 0 +19 *3249:24 *40915:A 0 +20 *3249:24 *3488:26 0 +21 *3249:24 *3878:43 0 +22 *3249:24 *3913:48 0 +23 *3249:24 *3922:11 0 +24 *3249:24 *3923:46 0 +25 *3249:24 *4817:20 0 +26 *3249:24 *4827:11 0 +27 *3249:34 *3376:20 0 +28 *3249:34 *3900:24 0 +29 *3249:34 *3900:25 0 +30 *3249:34 *4827:11 0 +31 *3249:38 *3390:17 0 +32 *3249:38 *3466:19 0 +33 *3249:38 *3466:29 0 +34 *3249:38 *3877:38 0 +35 *3249:38 *4482:22 0 +36 *3249:49 *3390:17 0 +37 *3249:49 *3917:15 0 +38 *3249:49 *4791:35 0 +39 *3249:49 *4797:50 0 +40 *3249:50 *39556:A 0 +41 *3249:50 *41201:A 0 +42 *3249:50 *3862:54 0 +43 *3249:50 *3874:24 0 +44 *6640:DIODE *3249:18 0 +45 *6782:DIODE *3249:18 0 +46 *37504:B *3249:50 0 +47 *39509:B *3249:24 0 +48 *296:55 *3249:34 0 +49 *354:17 *3249:50 0 +50 *437:11 *3249:18 0 +51 *1283:20 *3249:50 0 +52 *2353:10 *3249:50 0 +53 *2949:20 *3249:38 0 +54 *3068:19 *3249:34 0 +55 *3093:15 *3249:50 0 +56 *3096:10 *3249:50 0 +57 *3204:22 *3249:50 0 +*RES +1 *38504:X *3249:18 40.5114 +2 *3249:18 *3249:24 48.0536 +3 *3249:24 *3249:34 30 +4 *3249:34 *3249:38 48.1875 +5 *3249:38 *3249:49 25.9748 +6 *3249:49 *3249:50 29.2679 +7 *3249:50 *37528:B 18.0321 +8 *3249:50 *5335:DIODE 14.7464 +*END + +*D_NET *3250 0.0217574 +*CONN +*I *8169:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40362:A I *D sky130_fd_sc_hd__buf_4 +*I *40363:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8169:DIODE 4.23535e-05 +2 *40362:A 8.55626e-05 +3 *40363:X 0.00100684 +4 *3250:68 0.000593187 +5 *3250:64 0.00210723 +6 *3250:49 0.00887029 +7 *3250:48 0.0076367 +8 *3250:39 0.00141521 +9 *8169:DIODE *3497:50 0 +10 *8169:DIODE *3511:85 0 +11 *40362:A *3511:85 0 +12 *40362:A *3529:66 0 +13 *40362:A *4233:71 0 +14 *3250:39 *3266:92 0 +15 *3250:48 *5179:144 0 +16 *3250:49 *3291:11 0 +17 *3250:49 *3430:65 0 +18 *3250:49 *5175:108 0 +19 *3250:49 *5177:23 0 +20 *3250:49 *5179:148 0 +21 *3250:49 *5184:29 0 +22 *3250:64 *5492:DIODE 0 +23 *3250:64 *39020:A 0 +24 *3250:64 *4149:8 0 +25 *3250:64 *4517:62 0 +26 *3250:64 *4890:10 0 +27 *3250:68 *3311:37 0 +28 *3250:68 *3497:50 0 +29 *3250:68 *4890:10 0 +30 la_data_in_mprj[104] *3250:64 0 +31 *6588:DIODE *3250:64 0 +32 *7596:DIODE *3250:64 0 +33 *37444:A *3250:39 0 +34 *38454:A *3250:64 0 +35 *38596:A *3250:64 0 +36 *393:8 *3250:64 0 +37 *409:29 *3250:48 0 +38 *525:17 *3250:49 0 +39 *534:43 *3250:49 0 +40 *537:22 *3250:48 0 +41 *789:59 *3250:39 0 +42 *790:29 *3250:49 0 +43 *791:50 *3250:39 0 +44 *1027:120 *3250:39 0 +45 *1220:37 *3250:49 0 +46 *1230:27 *3250:49 0 +47 *2408:40 *3250:64 0 +48 *2410:25 *3250:49 0 +49 *2445:35 *3250:48 0 +50 *2749:25 *3250:64 0 +51 *2782:14 *3250:39 0 +52 *2916:29 *3250:39 0 +53 *2927:33 *3250:39 0 +54 *2927:33 *3250:48 0 +55 *2984:11 *3250:49 0 +56 *2984:36 *3250:49 0 +57 *3105:62 *3250:64 0 +58 *3106:69 *3250:48 0 +59 *3141:33 *3250:49 0 +60 *3142:106 *3250:49 0 +*RES +1 *40363:X *3250:39 49.2936 +2 *3250:39 *3250:48 22.4732 +3 *3250:48 *3250:49 150.857 +4 *3250:49 *3250:64 48.7411 +5 *3250:64 *3250:68 15.0982 +6 *3250:68 *40362:A 11.0857 +7 *3250:68 *8169:DIODE 10.2464 +*END + +*D_NET *3251 0.0225411 +*CONN +*I *8170:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40363:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40364:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8170:DIODE 0 +2 *40363:A 0 +3 *40364:X 0.00129665 +4 *3251:67 0.0017527 +5 *3251:43 0.0023014 +6 *3251:35 0.00822119 +7 *3251:12 0.00896914 +8 *3251:12 *40243:A 0 +9 *3251:12 *3357:61 0 +10 *3251:12 *3419:28 0 +11 *3251:12 *3421:52 0 +12 *3251:12 *4529:16 0 +13 *3251:12 *4533:43 0 +14 *3251:12 *4545:48 0 +15 *3251:12 *5083:8 0 +16 *3251:12 *5169:18 0 +17 *3251:35 *3288:24 0 +18 *3251:35 *3292:48 0 +19 *3251:35 *3398:40 0 +20 *3251:35 *3402:102 0 +21 *3251:35 *3407:18 0 +22 *3251:35 *3407:52 0 +23 *3251:35 *3419:23 0 +24 *3251:35 *3419:28 0 +25 *3251:35 *3696:136 0 +26 *3251:35 *4244:43 0 +27 *3251:35 *4259:40 0 +28 *3251:35 *4427:70 0 +29 *3251:35 *5084:82 0 +30 *3251:35 *5176:9 0 +31 *3251:35 *5182:46 0 +32 *3251:35 *5189:22 0 +33 *3251:43 *5034:52 0 +34 *3251:67 *8172:DIODE 0 +35 *3251:67 *8195:DIODE 0 +36 *3251:67 *40366:A 0 +37 *3251:67 *3721:24 0 +38 *3251:67 *3722:69 0 +39 *3251:67 *4015:26 0 +40 *3251:67 *5034:52 0 +41 *6609:DIODE *3251:67 0 +42 *6613:DIODE *3251:43 0 +43 *6716:DIODE *3251:67 0 +44 *7535:DIODE *3251:12 0 +45 *7607:DIODE *3251:43 0 +46 *364:19 *3251:12 0 +47 *535:29 *3251:67 0 +48 *1019:42 *3251:12 0 +49 *1028:94 *3251:67 0 +50 *1752:16 *3251:12 0 +51 *2411:65 *3251:43 0 +52 *2442:16 *3251:35 0 +53 *3024:18 *3251:67 0 +54 *3089:38 *3251:12 0 +55 *3113:81 *3251:67 0 +56 *3203:30 *3251:43 0 +*RES +1 *40364:X *3251:12 47.6083 +2 *3251:12 *3251:35 44.233 +3 *3251:35 *3251:43 17.0089 +4 *3251:43 *3251:67 48.499 +5 *3251:67 *40363:A 9.3 +6 *3251:43 *8170:DIODE 9.3 +*END + +*D_NET *3252 0.0292848 +*CONN +*I *5631:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37782:B I *D sky130_fd_sc_hd__and2_1 +*I *40365:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *5631:DIODE 0 +2 *37782:B 0.000784995 +3 *40365:X 0.000799691 +4 *3252:69 0.0010809 +5 *3252:62 0.00225149 +6 *3252:55 0.00334215 +7 *3252:43 0.00408564 +8 *3252:41 0.00379513 +9 *3252:37 0.00288389 +10 *3252:34 0.00358605 +11 *3252:28 0.00280637 +12 *3252:25 0.0020385 +13 *3252:14 0.00183004 +14 *37782:B *3255:27 0 +15 *37782:B *3952:26 0 +16 *37782:B *3952:40 0 +17 *37782:B *3980:26 0 +18 *37782:B *4020:17 0 +19 *37782:B *4061:51 0 +20 *37782:B *4072:20 0 +21 *37782:B *4083:39 0 +22 *3252:14 *3319:76 0 +23 *3252:14 *3722:13 0 +24 *3252:14 *3933:64 0 +25 *3252:14 *4059:24 0 +26 *3252:14 *4264:54 0 +27 *3252:25 *3670:37 0 +28 *3252:28 *3691:37 0 +29 *3252:34 *3674:68 0 +30 *3252:34 *3733:72 0 +31 *3252:34 *4735:11 0 +32 *3252:37 *3968:23 0 +33 *3252:37 *5128:19 0 +34 *3252:41 *5128:19 0 +35 *3252:41 *5128:26 0 +36 *3252:55 *3354:12 0 +37 *3252:55 *3681:14 0 +38 *3252:55 *4332:25 0 +39 *3252:55 *5116:16 0 +40 *3252:55 *5124:17 0 +41 *3252:62 *4125:33 0 +42 *3252:62 *4359:38 0 +43 *3252:69 *3438:44 0 +44 *3252:69 *4020:17 0 +45 *5449:DIODE *3252:69 0 +46 *8731:DIODE *37782:B 0 +47 *37782:A *37782:B 0 +48 *40361:A *37782:B 0 +49 *40724:A *3252:62 0 +50 *1316:29 *3252:41 0 +51 *1413:35 *3252:25 0 +52 *1415:20 *3252:25 0 +53 *1439:31 *3252:25 0 +54 *1446:45 *3252:28 0 +55 *1557:45 *3252:37 0 +56 *1557:45 *3252:41 0 +57 *1559:26 *3252:43 0 +58 *1559:36 *3252:37 0 +59 *2139:78 *37782:B 0 +60 *2232:63 *3252:62 0 +61 *2266:65 *3252:43 0 +62 *2266:72 *3252:43 0 +63 *2292:68 *3252:43 0 +64 *2315:55 *3252:37 0 +65 *2325:32 *3252:55 0 +66 *2336:14 *3252:55 0 +67 *2347:16 *3252:55 0 +68 *2420:34 *3252:34 0 +69 *2580:16 *3252:43 0 +70 *2975:6 *3252:28 0 +71 *2998:10 *3252:25 0 +72 *2998:10 *3252:28 0 +73 *3153:45 *37782:B 0 +74 *3196:10 *3252:62 0 +75 *3197:38 *3252:34 0 +76 *3236:86 *37782:B 0 +*RES +1 *40365:X *3252:14 32.4161 +2 *3252:14 *3252:25 32.3482 +3 *3252:25 *3252:28 27.3929 +4 *3252:28 *3252:34 46.7857 +5 *3252:34 *3252:37 37.3125 +6 *3252:37 *3252:41 22.9375 +7 *3252:41 *3252:43 56.3929 +8 *3252:43 *3252:55 48.1607 +9 *3252:55 *3252:62 49 +10 *3252:62 *3252:69 11.0179 +11 *3252:69 *37782:B 35.3893 +12 *3252:69 *5631:DIODE 9.3 +*END + +*D_NET *3253 0.0196727 +*CONN +*I *40365:A I *D sky130_fd_sc_hd__buf_2 +*I *8171:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40366:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40365:A 0.000379761 +2 *8171:DIODE 6.50276e-05 +3 *40366:X 0.00123031 +4 *3253:33 0.00164823 +5 *3253:32 0.00195624 +6 *3253:15 0.0069578 +7 *3253:13 0.00743531 +8 *8171:DIODE *3285:29 0 +9 *40365:A *3256:23 0 +10 *40365:A *3933:64 0 +11 *40365:A *4264:54 0 +12 *3253:13 *3291:68 0 +13 *3253:13 *4238:59 0 +14 *3253:13 *5189:37 0 +15 *3253:13 *5193:51 0 +16 *3253:13 *5193:53 0 +17 *3253:15 *3285:29 0 +18 *3253:15 *4149:32 0 +19 *3253:15 *5189:37 0 +20 *3253:15 *5189:39 0 +21 *3253:15 *5193:53 0 +22 *3253:32 *8853:DIODE 0 +23 *3253:32 *38084:A_N 0 +24 *3253:32 *38084:C 0 +25 *3253:32 *3313:15 0 +26 *3253:32 *3532:24 0 +27 *3253:32 *3535:25 0 +28 *3253:32 *3722:25 0 +29 *3253:33 *3285:29 0 +30 *8295:DIODE *8171:DIODE 0 +31 *8295:DIODE *3253:33 0 +32 *40316:A *3253:32 0 +33 *3092:11 *3253:15 0 +34 *3167:59 *3253:32 0 +35 *3197:16 *3253:32 0 +36 *3220:9 *3253:15 0 +37 *3220:9 *3253:33 0 +38 *3239:9 *3253:15 0 +39 *3239:9 *3253:33 0 +*RES +1 *40366:X *3253:13 44.8357 +2 *3253:13 *3253:15 129.5 +3 *3253:15 *3253:32 38.675 +4 *3253:32 *3253:33 25.1786 +5 *3253:33 *8171:DIODE 10.6571 +6 *3253:33 *40365:A 35.4429 +*END + +*D_NET *3254 0.02111 +*CONN +*I *8172:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40366:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40367:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8172:DIODE 7.71991e-05 +2 *40366:A 0.00145643 +3 *40367:X 0.00169439 +4 *3254:33 0.00886062 +5 *3254:16 0.00902139 +6 *8172:DIODE *5034:52 0 +7 *40366:A *3402:26 0 +8 *40366:A *3721:24 0 +9 *40366:A *4015:26 0 +10 *40366:A *4149:121 0 +11 *3254:16 *4533:43 0 +12 *3254:16 *4595:11 0 +13 *3254:33 *3395:49 0 +14 *3254:33 *3721:24 0 +15 *3254:33 *3721:43 0 +16 *3254:33 *4015:26 0 +17 *3254:33 *4413:33 0 +18 *3254:33 *4563:58 0 +19 *3254:33 *4755:23 0 +20 *3254:33 *5172:30 0 +21 *3254:33 *5179:29 0 +22 mprj_dat_i_core[7] *3254:16 0 +23 *6939:DIODE *3254:16 0 +24 *412:43 *3254:33 0 +25 *544:49 *3254:33 0 +26 *1008:129 *3254:33 0 +27 *1240:44 *3254:16 0 +28 *1463:22 *3254:16 0 +29 *1833:17 *3254:16 0 +30 *1860:28 *3254:33 0 +31 *1874:11 *3254:16 0 +32 *2714:24 *3254:16 0 +33 *3077:20 *3254:33 0 +34 *3116:22 *3254:33 0 +35 *3205:68 *3254:33 0 +36 *3221:16 *3254:33 0 +37 *3235:22 *3254:33 0 +38 *3235:63 *40366:A 0 +39 *3245:21 *40366:A 0 +40 *3245:21 *3254:33 0 +41 *3251:67 *8172:DIODE 0 +42 *3251:67 *40366:A 0 +*RES +1 *40367:X *3254:16 41.2589 +2 *3254:16 *3254:33 43.9261 +3 *3254:33 *40366:A 34.3616 +4 *3254:33 *8172:DIODE 18.8439 +*END + +*D_NET *3255 0.0192884 +*CONN +*I *5628:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37780:B I *D sky130_fd_sc_hd__and2_1 +*I *40368:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *5628:DIODE 0 +2 *37780:B 0.00018396 +3 *40368:X 0.000794877 +4 *3255:49 0.00175465 +5 *3255:38 0.00371042 +6 *3255:32 0.00219202 +7 *3255:27 0.00238612 +8 *3255:13 0.00490264 +9 *3255:11 0.00336368 +10 *3255:11 *40425:A 0 +11 *3255:11 *3319:22 0 +12 *3255:11 *4083:63 0 +13 *3255:13 *8235:DIODE 0 +14 *3255:13 *41243:A 0 +15 *3255:13 *3354:10 0 +16 *3255:13 *3355:75 0 +17 *3255:13 *3438:44 0 +18 *3255:13 *3939:24 0 +19 *3255:13 *3980:26 0 +20 *3255:13 *4046:52 0 +21 *3255:13 *4062:38 0 +22 *3255:13 *4301:47 0 +23 *3255:27 *3355:69 0 +24 *3255:27 *3792:37 0 +25 *3255:27 *4090:49 0 +26 *3255:27 *4298:20 0 +27 *3255:38 *40381:A 0 +28 *3255:38 *3345:59 0 +29 *3255:38 *4053:24 0 +30 *3255:38 *4277:33 0 +31 *3255:49 *3373:83 0 +32 *3255:49 *4025:20 0 +33 *5646:DIODE *3255:38 0 +34 *8073:DIODE *3255:38 0 +35 *8629:DIODE *3255:13 0 +36 *37780:A *37780:B 0 +37 *37782:A *3255:27 0 +38 *37782:B *3255:27 0 +39 *37788:B *3255:38 0 +40 *40267:A *3255:13 0 +41 *40293:A *3255:27 0 +42 *40340:A *3255:13 0 +43 *41063:A *3255:49 0 +44 *41090:A *3255:38 0 +45 *41253:A *3255:49 0 +46 *1290:25 *3255:27 0 +47 *1519:19 *3255:49 0 +48 *1964:20 *3255:38 0 +49 *1966:31 *3255:49 0 +50 *1975:25 *3255:38 0 +51 *1988:12 *3255:49 0 +52 *1995:21 *3255:38 0 +53 *2001:8 *3255:49 0 +54 *2065:35 *3255:38 0 +55 *2073:42 *3255:27 0 +56 *2095:18 *3255:49 0 +57 *2132:28 *37780:B 0 +58 *2132:28 *3255:49 0 +59 *2132:42 *3255:49 0 +60 *2133:56 *3255:27 0 +61 *2133:72 *3255:27 0 +62 *2154:16 *3255:38 0 +63 *2155:14 *3255:49 0 +64 *3132:10 *3255:38 0 +65 *3132:10 *3255:49 0 +66 *3133:61 *3255:13 0 +67 *3147:19 *3255:13 0 +68 *3147:19 *3255:27 0 +69 *3147:37 *3255:38 0 +70 *3147:63 *37780:B 0 +71 *3147:63 *3255:49 0 +72 *3153:39 *3255:13 0 +73 *3153:45 *3255:13 0 +74 *3153:45 *3255:27 0 +75 *3153:45 *3255:38 0 +76 *3153:78 *3255:38 0 +77 *3157:5 *3255:49 0 +78 *3173:75 *3255:27 0 +79 *3219:12 *3255:11 0 +80 *3219:45 *3255:11 0 +81 *3236:38 *3255:11 0 +82 *3236:53 *3255:11 0 +83 *3236:53 *3255:13 0 +84 *3236:86 *3255:13 0 +*RES +1 *40368:X *3255:11 26.0143 +2 *3255:11 *3255:13 53.5179 +3 *3255:13 *3255:27 49.8715 +4 *3255:27 *3255:32 9.0975 +5 *3255:32 *3255:38 49.2768 +6 *3255:38 *3255:49 47.0804 +7 *3255:49 *37780:B 13.1393 +8 *3255:49 *5628:DIODE 9.3 +*END + +*D_NET *3256 0.019143 +*CONN +*I *8173:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40368:A I *D sky130_fd_sc_hd__buf_6 +*I *40369:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8173:DIODE 0 +2 *40368:A 0.000163425 +3 *40369:X 0.000799659 +4 *3256:46 0.000219248 +5 *3256:39 0.00346635 +6 *3256:38 0.00469905 +7 *3256:23 0.0036539 +8 *3256:20 0.00385356 +9 *3256:14 0.00228784 +10 *40368:A *4029:49 0 +11 *3256:14 *3507:19 0 +12 *3256:20 *3306:29 0 +13 *3256:20 *4272:39 0 +14 *3256:23 *6102:DIODE 0 +15 *3256:23 *8325:DIODE 0 +16 *3256:23 *40769:A 0 +17 *3256:23 *3319:76 0 +18 *3256:23 *4264:54 0 +19 *3256:23 *4279:55 0 +20 *3256:23 *4286:61 0 +21 *3256:38 *38094:A_N 0 +22 *3256:38 *38094:B 0 +23 *3256:38 *3446:52 0 +24 *3256:38 *3534:38 0 +25 *3256:38 *4112:134 0 +26 *3256:38 *4264:54 0 +27 *3256:39 *3317:29 0 +28 *3256:39 *3317:44 0 +29 *3256:39 *3442:92 0 +30 *3256:39 *3532:10 0 +31 *3256:39 *4029:49 0 +32 *3256:39 *4301:67 0 +33 *38094:C *3256:38 0 +34 *40164:A *3256:23 0 +35 *40251:A *3256:23 0 +36 *40253:A *3256:38 0 +37 *40365:A *3256:23 0 +38 *1235:38 *3256:38 0 +39 *1235:55 *3256:23 0 +40 *1235:55 *3256:38 0 +41 *1435:84 *3256:14 0 +42 *2431:15 *3256:38 0 +43 *2460:49 *3256:38 0 +44 *3129:21 *3256:23 0 +45 *3129:30 *3256:23 0 +46 *3129:45 *3256:38 0 +47 *3148:20 *3256:23 0 +48 *3187:13 *3256:20 0 +49 *3187:13 *3256:23 0 +50 *3202:48 *3256:38 0 +51 *3219:12 *40368:A 0 +52 *3219:12 *3256:39 0 +53 *3236:22 *3256:39 0 +*RES +1 *40369:X *3256:14 44.8714 +2 *3256:14 *3256:20 40.1429 +3 *3256:20 *3256:23 49.4286 +4 *3256:23 *3256:38 36.2143 +5 *3256:38 *3256:39 71.1786 +6 *3256:39 *3256:46 10.2679 +7 *3256:46 *40368:A 12.7107 +8 *3256:46 *8173:DIODE 9.3 +*END + +*D_NET *3257 0.0194199 +*CONN +*I *8174:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40369:A I *D sky130_fd_sc_hd__buf_4 +*I *40370:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8174:DIODE 0 +2 *40369:A 0.000776358 +3 *40370:X 0.00618906 +4 *3257:35 0.0035209 +5 *3257:23 0.00893361 +6 *40369:A *4261:87 0 +7 *40369:A *4754:12 0 +8 *3257:23 *8303:DIODE 0 +9 *3257:23 *8515:DIODE 0 +10 *3257:23 *40282:A 0 +11 *3257:23 *3266:134 0 +12 *3257:23 *4556:45 0 +13 *3257:35 *6122:DIODE 0 +14 *3257:35 *8303:DIODE 0 +15 *3257:35 *3272:14 0 +16 *3257:35 *3276:60 0 +17 *3257:35 *3300:65 0 +18 *3257:35 *3499:27 0 +19 *3257:35 *3777:65 0 +20 *3257:35 *4215:39 0 +21 *3257:35 *4215:74 0 +22 *5269:DIODE *3257:35 0 +23 *7990:DIODE *3257:23 0 +24 *7990:DIODE *3257:35 0 +25 *8013:DIODE *3257:23 0 +26 *39521:A *3257:23 0 +27 *40216:A *3257:35 0 +28 *40248:A *40369:A 0 +29 *40248:A *3257:35 0 +30 *40265:A *3257:23 0 +31 *40265:A *3257:35 0 +32 *40328:A *40369:A 0 +33 *40350:A *40369:A 0 +34 *40350:A *3257:35 0 +35 *901:62 *3257:23 0 +36 *1001:100 *3257:23 0 +37 *1840:93 *3257:23 0 +38 *2373:145 *3257:23 0 +39 *2381:35 *3257:35 0 +40 *3042:20 *3257:23 0 +41 *3074:18 *3257:35 0 +42 *3086:28 *3257:35 0 +43 *3130:20 *3257:23 0 +44 *3174:16 *3257:35 0 +45 *3208:37 *40369:A 0 +46 *3230:27 *3257:23 0 +47 *3240:25 *3257:23 0 +48 *3240:46 *3257:23 0 +49 *3245:21 *3257:23 0 +50 *3245:51 *3257:35 0 +*RES +1 *40370:X *3257:23 43.0081 +2 *3257:23 *3257:35 21.1203 +3 *3257:35 *40369:A 35.0679 +4 *3257:35 *8174:DIODE 9.3 +*END + +*D_NET *3258 0.0200978 +*CONN +*I *37778:B I *D sky130_fd_sc_hd__and2_1 +*I *5627:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40371:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *37778:B 0.000263533 +2 *5627:DIODE 0 +3 *40371:X 0.0021734 +4 *3258:62 0.00123371 +5 *3258:56 0.00224153 +6 *3258:39 0.00664179 +7 *3258:27 0.00754384 +8 *37778:B *4845:15 0 +9 *3258:27 *8748:DIODE 0 +10 *3258:27 *3269:19 0 +11 *3258:27 *3270:135 0 +12 *3258:27 *4072:20 0 +13 *3258:27 *4090:49 0 +14 *3258:27 *4277:33 0 +15 *3258:39 *3705:11 0 +16 *3258:39 *4122:31 0 +17 *3258:39 *4262:14 0 +18 *3258:39 *4287:15 0 +19 *3258:56 *40431:A 0 +20 *3258:56 *3934:14 0 +21 *3258:56 *4122:13 0 +22 *3258:56 *4143:15 0 +23 *3258:56 *4287:8 0 +24 *3258:56 *4481:25 0 +25 *8619:DIODE *3258:62 0 +26 *37800:A *3258:39 0 +27 *40293:A *3258:27 0 +28 *40952:A *3258:39 0 +29 *41174:A *3258:56 0 +30 *1282:17 *3258:39 0 +31 *1969:12 *3258:27 0 +32 *1971:20 *3258:56 0 +33 *2012:17 *3258:56 0 +34 *2055:10 *3258:56 0 +35 *2079:19 *3258:56 0 +36 *2080:12 *3258:39 0 +37 *2093:22 *3258:39 0 +38 *2107:28 *3258:62 0 +39 *2113:13 *3258:39 0 +40 *2133:56 *3258:27 0 +41 *2136:17 *3258:39 0 +42 *2136:45 *3258:27 0 +43 *2140:39 *3258:62 0 +44 *2210:21 *3258:39 0 +45 *3147:36 *3258:27 0 +46 *3156:47 *37778:B 0 +47 *3172:13 *3258:27 0 +48 *3214:13 *3258:62 0 +49 *3227:14 *3258:39 0 +50 *3242:11 *3258:39 0 +51 *3242:11 *3258:56 0 +*RES +1 *40371:X *3258:27 48.3341 +2 *3258:27 *3258:39 46.0023 +3 *3258:39 *3258:56 45.4286 +4 *3258:56 *3258:62 29.3571 +5 *3258:62 *5627:DIODE 9.3 +6 *3258:62 *37778:B 14.8 +*END + +*D_NET *3259 0.021231 +*CONN +*I *8176:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40371:A I *D sky130_fd_sc_hd__buf_6 +*I *40372:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8176:DIODE 0 +2 *40371:A 0.000495899 +3 *40372:X 0.0011597 +4 *3259:77 0.00281099 +5 *3259:44 0.00345986 +6 *3259:25 0.00301546 +7 *3259:19 0.00464997 +8 *3259:17 0.00362935 +9 *3259:13 0.00200976 +10 *40371:A *4277:33 0 +11 *40371:A *4284:21 0 +12 *3259:13 *4112:119 0 +13 *3259:13 *4242:51 0 +14 *3259:13 *4286:61 0 +15 *3259:17 *4264:30 0 +16 *3259:19 *4264:9 0 +17 *3259:19 *4264:30 0 +18 *3259:25 *40519:A 0 +19 *3259:25 *3270:72 0 +20 *3259:25 *3511:32 0 +21 *3259:25 *4029:29 0 +22 *3259:25 *4250:42 0 +23 *3259:44 *40427:A 0 +24 *3259:44 *3807:41 0 +25 *3259:44 *4489:43 0 +26 *3259:77 *40414:A 0 +27 *3259:77 *3322:18 0 +28 *3259:77 *3771:17 0 +29 *3259:77 *3809:30 0 +30 *3259:77 *3813:32 0 +31 *3259:77 *3816:53 0 +32 *3259:77 *4112:19 0 +33 *3259:77 *4122:74 0 +34 *3259:77 *4284:21 0 +35 *3259:77 *4296:50 0 +36 *3259:77 *5159:41 0 +37 *1238:38 *3259:13 0 +38 *2077:62 *3259:25 0 +39 *2077:62 *3259:44 0 +40 *2112:31 *40371:A 0 +41 *2118:39 *3259:77 0 +42 *2134:21 *40371:A 0 +43 *2383:48 *3259:25 0 +44 *2428:46 *3259:77 0 +45 *3055:89 *3259:17 0 +46 *3143:25 *40371:A 0 +47 *3144:50 *3259:25 0 +48 *3207:49 *3259:17 0 +49 *3207:64 *3259:17 0 +*RES +1 *40372:X *3259:13 42.6929 +2 *3259:13 *3259:17 17.8036 +3 *3259:17 *3259:19 58.0357 +4 *3259:19 *3259:25 48.3214 +5 *3259:25 *3259:44 47.4911 +6 *3259:44 *3259:77 45.9473 +7 *3259:77 *40371:A 34.5261 +8 *3259:77 *8176:DIODE 9.3 +*END + +*D_NET *3260 0.00576722 +*CONN +*I *37474:B I *D sky130_fd_sc_hd__and2_1 +*I *38505:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *37474:B 0.000192699 +2 *38505:X 0.000165957 +3 *3260:9 0.00271765 +4 *3260:8 0.00269091 +5 *37474:B *37474:A 0 +6 *37474:B *4249:24 0 +7 *3260:9 *4515:11 0 +8 *3260:9 *4693:9 0 +9 *6784:DIODE *3260:8 0 +10 *566:7 *3260:8 0 +11 *822:9 *3260:8 0 +12 *877:8 *37474:B 0 +*RES +1 *38505:X *3260:8 22.0679 +2 *3260:8 *3260:9 52.6964 +3 *3260:9 *37474:B 22.675 +*END + +*D_NET *3261 0.0197798 +*CONN +*I *8177:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40372:A I *D sky130_fd_sc_hd__buf_4 +*I *40373:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8177:DIODE 0 +2 *40372:A 0.000156294 +3 *40373:X 0.00141265 +4 *3261:59 0.00144098 +5 *3261:53 0.00302091 +6 *3261:27 0.00565842 +7 *3261:26 0.00530003 +8 *3261:15 0.00279049 +9 *40372:A *4297:91 0 +10 *3261:15 *40295:A 0 +11 *3261:15 *3285:31 0 +12 *3261:15 *3430:80 0 +13 *3261:15 *3682:15 0 +14 *3261:26 *3285:31 0 +15 *3261:26 *3348:15 0 +16 *3261:26 *5084:22 0 +17 *3261:53 *8271:DIODE 0 +18 *3261:53 *8692:DIODE 0 +19 *3261:53 *3265:70 0 +20 *3261:53 *3272:21 0 +21 *3261:53 *3272:51 0 +22 *3261:53 *3318:13 0 +23 *3261:53 *3433:20 0 +24 *3261:53 *3436:41 0 +25 *3261:53 *3440:59 0 +26 *3261:53 *3440:67 0 +27 *3261:53 *3507:18 0 +28 *3261:53 *3510:7 0 +29 *3261:53 *3515:28 0 +30 *3261:53 *4242:71 0 +31 *3261:53 *4242:73 0 +32 *3261:59 *40589:A 0 +33 *3261:59 *3265:70 0 +34 *3261:59 *3272:67 0 +35 *3261:59 *4297:91 0 +36 *39586:A *3261:15 0 +37 *1227:67 *40372:A 0 +38 *1238:38 *40372:A 0 +39 *1435:66 *3261:53 0 +40 *1449:17 *3261:15 0 +41 *2397:21 *3261:27 0 +42 *2397:21 *3261:53 0 +43 *2425:42 *3261:59 0 +44 *2712:125 *3261:15 0 +45 *3113:117 *3261:15 0 +46 *3122:43 *3261:26 0 +47 *3178:20 *3261:15 0 +48 *3178:20 *3261:26 0 +49 *3187:12 *3261:26 0 +50 *3192:13 *3261:27 0 +51 *3192:37 *3261:53 0 +52 *3198:37 *3261:26 0 +53 *3207:15 *3261:53 0 +54 *3224:9 *3261:59 0 +*RES +1 *40373:X *3261:15 49.0143 +2 *3261:15 *3261:26 47.0714 +3 *3261:26 *3261:27 81.8571 +4 *3261:27 *3261:53 49.9194 +5 *3261:53 *3261:59 34.7939 +6 *3261:59 *40372:A 21.6214 +7 *3261:59 *8177:DIODE 9.3 +*END + +*D_NET *3262 0.021833 +*CONN +*I *8178:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40373:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40374:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8178:DIODE 0 +2 *40373:A 0.000911242 +3 *40374:X 0.002103 +4 *3262:86 0.00237059 +5 *3262:69 0.00247442 +6 *3262:49 0.00421361 +7 *3262:48 0.0037659 +8 *3262:33 0.00222928 +9 *3262:20 0.00376492 +10 *40373:A *40295:A 0 +11 *3262:20 *3375:38 0 +12 *3262:20 *4761:9 0 +13 *3262:20 *5075:37 0 +14 *3262:20 *5166:53 0 +15 *3262:20 *5169:18 0 +16 *3262:33 *40241:A 0 +17 *3262:33 *4529:46 0 +18 *3262:33 *4554:17 0 +19 *3262:33 *4563:74 0 +20 *3262:33 *4599:18 0 +21 *3262:33 *4600:28 0 +22 *3262:33 *4780:63 0 +23 *3262:33 *5166:53 0 +24 *3262:33 *5169:18 0 +25 *3262:48 *4449:49 0 +26 *3262:48 *4590:46 0 +27 *3262:49 *5508:DIODE 0 +28 *3262:49 *8087:DIODE 0 +29 *3262:49 *3783:79 0 +30 *3262:49 *4554:77 0 +31 *3262:49 *4555:54 0 +32 *3262:49 *4555:80 0 +33 *3262:49 *4602:54 0 +34 *3262:49 *4780:63 0 +35 *3262:49 *4780:86 0 +36 *3262:49 *5167:67 0 +37 *3262:49 *5186:28 0 +38 *3262:69 *8149:DIODE 0 +39 *3262:69 *4555:80 0 +40 *3262:86 *4550:91 0 +41 *6617:DIODE *3262:49 0 +42 *6649:DIODE *40373:A 0 +43 *6716:DIODE *3262:69 0 +44 *6760:DIODE *3262:49 0 +45 *6805:DIODE *3262:49 0 +46 *7558:DIODE *3262:33 0 +47 *7978:DIODE *3262:86 0 +48 *38801:A *3262:20 0 +49 *39580:A *3262:86 0 +50 *40176:A *40373:A 0 +51 *411:68 *3262:69 0 +52 *539:48 *3262:49 0 +53 *539:48 *3262:69 0 +54 *789:49 *3262:86 0 +55 *801:36 *3262:49 0 +56 *1027:80 *3262:86 0 +57 *1028:94 *3262:69 0 +58 *1829:81 *3262:69 0 +59 *1840:93 *3262:69 0 +60 *1840:93 *3262:86 0 +61 *2373:145 *3262:69 0 +62 *2389:21 *3262:86 0 +63 *2712:110 *40373:A 0 +64 *2712:125 *40373:A 0 +65 *2849:48 *3262:48 0 +66 *3027:43 *3262:33 0 +67 *3077:70 *3262:49 0 +68 *3113:14 *3262:33 0 +69 *3130:35 *3262:86 0 +70 *3194:17 *3262:33 0 +*RES +1 *40374:X *3262:20 49.6759 +2 *3262:20 *3262:33 47.5106 +3 *3262:33 *3262:48 30.6429 +4 *3262:48 *3262:49 66.6607 +5 *3262:49 *3262:69 39.5179 +6 *3262:69 *3262:86 48.7857 +7 *3262:86 *40373:A 28.3179 +8 *3262:69 *8178:DIODE 9.3 +*END + +*D_NET *3263 0.0204589 +*CONN +*I *5625:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37776:B I *D sky130_fd_sc_hd__and2_2 +*I *40375:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5625:DIODE 0 +2 *37776:B 0.00043411 +3 *40375:X 0.000846745 +4 *3263:55 0.000515822 +5 *3263:52 0.00169228 +6 *3263:30 0.00297824 +7 *3263:11 0.0072563 +8 *3263:10 0.00673538 +9 *37776:B *5624:DIODE 0 +10 *37776:B *37776:A 0 +11 *37776:B *3283:21 0 +12 *37776:B *3446:89 0 +13 *37776:B *4078:26 0 +14 *37776:B *4092:38 0 +15 *3263:10 *8303:DIODE 0 +16 *3263:10 *3264:128 0 +17 *3263:10 *3406:16 0 +18 *3263:10 *3697:99 0 +19 *3263:10 *3722:25 0 +20 *3263:10 *3783:56 0 +21 *3263:10 *4232:15 0 +22 *3263:11 *3313:15 0 +23 *3263:11 *3313:17 0 +24 *3263:11 *3423:11 0 +25 *3263:11 *4019:36 0 +26 *3263:11 *4261:62 0 +27 *3263:11 *4268:14 0 +28 *3263:11 *4276:34 0 +29 *3263:11 *4278:27 0 +30 *3263:30 *40521:A 0 +31 *3263:30 *41262:A 0 +32 *3263:30 *3313:15 0 +33 *3263:30 *3318:28 0 +34 *3263:30 *3534:5 0 +35 *3263:30 *4261:51 0 +36 *3263:52 *3321:112 0 +37 *3263:52 *4001:74 0 +38 *3263:52 *4006:69 0 +39 *3263:52 *4012:105 0 +40 *3263:52 *4059:24 0 +41 *3263:52 *4119:89 0 +42 *3263:52 *4269:61 0 +43 *3263:52 *4294:77 0 +44 *3263:52 *4294:103 0 +45 *3263:52 *4297:122 0 +46 *3263:55 *4092:38 0 +47 *404:58 *3263:10 0 +48 *1440:19 *3263:30 0 +49 *1441:19 *3263:11 0 +50 *2987:16 *3263:52 0 +51 *3113:100 *3263:10 0 +52 *3150:35 *3263:11 0 +53 *3221:36 *3263:10 0 +54 *3235:80 *3263:10 0 +*RES +1 *40375:X *3263:10 37.4786 +2 *3263:10 *3263:11 122.929 +3 *3263:11 *3263:30 47.0714 +4 *3263:30 *3263:52 49.125 +5 *3263:52 *3263:55 6.26786 +6 *3263:55 *37776:B 27.8 +7 *3263:55 *5625:DIODE 9.3 +*END + +*D_NET *3264 0.0207839 +*CONN +*I *40375:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8179:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40376:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40375:A 0 +2 *8179:DIODE 0 +3 *40376:X 0.000847377 +4 *3264:128 0.00203557 +5 *3264:105 0.00273647 +6 *3264:93 0.0023807 +7 *3264:66 0.00320161 +8 *3264:37 0.00390173 +9 *3264:34 0.00360648 +10 *3264:17 0.00207394 +11 *3264:17 *4539:68 0 +12 *3264:17 *5074:49 0 +13 *3264:34 *3403:39 0 +14 *3264:34 *3696:136 0 +15 *3264:34 *3724:62 0 +16 *3264:34 *4529:32 0 +17 *3264:34 *4529:46 0 +18 *3264:34 *5173:36 0 +19 *3264:37 *3496:21 0 +20 *3264:37 *4758:38 0 +21 *3264:37 *5167:36 0 +22 *3264:66 *40505:A 0 +23 *3264:66 *3479:20 0 +24 *3264:66 *4427:70 0 +25 *3264:66 *4603:30 0 +26 *3264:66 *5169:40 0 +27 *3264:93 *4015:55 0 +28 *3264:93 *4590:93 0 +29 *3264:93 *4591:34 0 +30 *3264:93 *5172:65 0 +31 *3264:105 *4251:41 0 +32 *3264:128 *8515:DIODE 0 +33 *3264:128 *8714:DIODE 0 +34 *3264:128 *3721:24 0 +35 *3264:128 *3793:42 0 +36 *3264:128 *4045:53 0 +37 *7576:DIODE *3264:128 0 +38 *7624:DIODE *3264:93 0 +39 *39608:A *3264:105 0 +40 *40142:A *3264:105 0 +41 *40285:A *3264:66 0 +42 *40317:A *3264:93 0 +43 *535:21 *3264:128 0 +44 *1011:273 *3264:128 0 +45 *1248:51 *3264:17 0 +46 *1261:30 *3264:66 0 +47 *1261:31 *3264:34 0 +48 *1828:47 *3264:93 0 +49 *1840:20 *3264:34 0 +50 *1840:72 *3264:93 0 +51 *2373:20 *3264:17 0 +52 *2373:67 *3264:37 0 +53 *2373:99 *3264:66 0 +54 *2377:14 *3264:128 0 +55 *2411:65 *3264:93 0 +56 *2411:93 *3264:93 0 +57 *2411:93 *3264:105 0 +58 *2967:15 *3264:17 0 +59 *2970:29 *3264:105 0 +60 *2982:62 *3264:34 0 +61 *2993:64 *3264:66 0 +62 *3003:24 *3264:105 0 +63 *3079:16 *3264:93 0 +64 *3099:15 *3264:37 0 +65 *3113:35 *3264:37 0 +66 *3113:35 *3264:66 0 +67 *3150:18 *3264:105 0 +68 *3163:19 *3264:66 0 +69 *3179:23 *3264:34 0 +70 *3179:64 *3264:105 0 +71 *3184:35 *3264:37 0 +72 *3184:45 *3264:37 0 +73 *3184:45 *3264:66 0 +74 *3188:66 *3264:93 0 +75 *3194:36 *3264:66 0 +76 *3194:63 *3264:93 0 +77 *3194:63 *3264:105 0 +78 *3194:93 *3264:105 0 +79 *3194:93 *3264:128 0 +80 *3198:18 *3264:93 0 +81 *3198:18 *3264:105 0 +82 *3211:48 *3264:128 0 +83 *3211:49 *3264:128 0 +84 *3221:36 *3264:93 0 +85 *3245:21 *3264:128 0 +86 *3263:10 *3264:128 0 +*RES +1 *40376:X *3264:17 45.675 +2 *3264:17 *3264:34 45.5736 +3 *3264:34 *3264:37 49.7321 +4 *3264:37 *3264:66 49.5796 +5 *3264:66 *3264:93 49.8608 +6 *3264:93 *3264:105 23.7679 +7 *3264:105 *8179:DIODE 9.3 +8 *3264:105 *3264:128 43.4493 +9 *3264:128 *40375:A 9.3 +*END + +*D_NET *3265 0.0222315 +*CONN +*I *5622:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37774:B I *D sky130_fd_sc_hd__and2_1 +*I *40377:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5622:DIODE 0.00013788 +2 *37774:B 0.000485155 +3 *40377:X 0.000947696 +4 *3265:86 0.00291413 +5 *3265:70 0.00475748 +6 *3265:57 0.00485991 +7 *3265:23 0.00478756 +8 *3265:18 0.00334174 +9 *5622:DIODE *4092:19 0 +10 *5622:DIODE *4135:96 0 +11 *37774:B *38040:B 0 +12 *37774:B *3702:76 0 +13 *37774:B *3702:100 0 +14 *37774:B *3784:59 0 +15 *37774:B *4085:11 0 +16 *37774:B *4085:22 0 +17 *37774:B *4092:19 0 +18 *37774:B *4119:70 0 +19 *3265:18 *8201:DIODE 0 +20 *3265:18 *8213:DIODE 0 +21 *3265:18 *3504:48 0 +22 *3265:23 *5506:DIODE 0 +23 *3265:23 *8265:DIODE 0 +24 *3265:23 *8486:DIODE 0 +25 *3265:23 *8499:DIODE 0 +26 *3265:23 *3785:71 0 +27 *3265:57 *3289:19 0 +28 *3265:57 *3302:11 0 +29 *3265:57 *3302:28 0 +30 *3265:57 *3497:59 0 +31 *3265:57 *3515:34 0 +32 *3265:57 *3759:45 0 +33 *3265:57 *3777:65 0 +34 *3265:57 *4275:81 0 +35 *3265:70 *3272:67 0 +36 *3265:70 *3318:13 0 +37 *3265:70 *3440:59 0 +38 *3265:70 *3515:34 0 +39 *3265:70 *4233:54 0 +40 *3265:70 *4233:71 0 +41 *3265:70 *4252:37 0 +42 *3265:70 *4297:91 0 +43 *3265:86 *3520:20 0 +44 *3265:86 *3532:24 0 +45 *3265:86 *3777:46 0 +46 *3265:86 *3784:59 0 +47 *3265:86 *4001:74 0 +48 *3265:86 *4166:60 0 +49 *3265:86 *4242:44 0 +50 *5252:DIODE *3265:70 0 +51 *6594:DIODE *3265:23 0 +52 *6627:DIODE *3265:18 0 +53 *7592:DIODE *3265:57 0 +54 *8131:DIODE *3265:57 0 +55 *37774:A *37774:B 0 +56 *40205:A *3265:18 0 +57 *40345:A *3265:23 0 +58 *396:25 *3265:23 0 +59 *400:29 *3265:18 0 +60 *780:30 *3265:23 0 +61 *1227:67 *3265:70 0 +62 *1435:78 *3265:57 0 +63 *1880:22 *3265:23 0 +64 *3015:12 *3265:18 0 +65 *3105:23 *3265:23 0 +66 *3121:7 *3265:23 0 +67 *3135:59 *3265:18 0 +68 *3202:8 *3265:57 0 +69 *3203:79 *3265:57 0 +70 *3230:39 *3265:23 0 +71 *3235:111 *3265:23 0 +72 *3235:119 *3265:23 0 +73 *3261:53 *3265:70 0 +74 *3261:59 *3265:70 0 +*RES +1 *40377:X *3265:18 38.5143 +2 *3265:18 *3265:23 49.9643 +3 *3265:23 *3265:57 49.831 +4 *3265:57 *3265:70 48.3492 +5 *3265:70 *3265:86 35.6262 +6 *3265:86 *37774:B 33.9875 +7 *3265:86 *5622:DIODE 17.3089 +*END + +*D_NET *3266 0.0214674 +*CONN +*I *8180:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40377:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40378:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8180:DIODE 0 +2 *40377:A 0.00106885 +3 *40378:X 0.00270168 +4 *3266:134 0.00280685 +5 *3266:113 0.00272559 +6 *3266:92 0.00264301 +7 *3266:62 0.00273178 +8 *3266:46 0.00258215 +9 *3266:20 0.00420747 +10 *40377:A *8272:DIODE 0 +11 *40377:A *3435:98 0 +12 *40377:A *4149:88 0 +13 *40377:A *4238:41 0 +14 *3266:20 *4348:43 0 +15 *3266:20 *4535:51 0 +16 *3266:20 *4761:31 0 +17 *3266:20 *5165:61 0 +18 *3266:20 *5184:16 0 +19 *3266:20 *5184:26 0 +20 *3266:46 *4532:38 0 +21 *3266:46 *4774:35 0 +22 *3266:46 *4780:120 0 +23 *3266:46 *5191:27 0 +24 *3266:62 *40269:A 0 +25 *3266:62 *4427:42 0 +26 *3266:62 *4774:57 0 +27 *3266:92 *5103:18 0 +28 *3266:113 *4756:19 0 +29 *3266:134 *8303:DIODE 0 +30 *3266:134 *3287:103 0 +31 *3266:134 *3682:15 0 +32 *6599:DIODE *40377:A 0 +33 *6604:DIODE *3266:92 0 +34 *6727:DIODE *3266:62 0 +35 *6747:DIODE *3266:92 0 +36 *6748:DIODE *3266:62 0 +37 *6756:DIODE *3266:46 0 +38 *7508:DIODE *3266:46 0 +39 *7518:DIODE *3266:92 0 +40 *7978:DIODE *3266:113 0 +41 *7990:DIODE *3266:134 0 +42 *38482:A *3266:20 0 +43 *39640:A *3266:92 0 +44 *40353:A *40377:A 0 +45 *407:36 *3266:113 0 +46 *408:52 *3266:92 0 +47 *409:29 *3266:92 0 +48 *413:32 *3266:62 0 +49 *416:21 *3266:46 0 +50 *417:13 *3266:46 0 +51 *537:22 *3266:92 0 +52 *539:29 *3266:92 0 +53 *544:49 *3266:46 0 +54 *790:51 *3266:92 0 +55 *792:36 *3266:113 0 +56 *792:83 *3266:92 0 +57 *901:62 *3266:134 0 +58 *1000:78 *3266:92 0 +59 *1000:78 *3266:113 0 +60 *1001:72 *3266:92 0 +61 *1834:23 *3266:20 0 +62 *1879:21 *3266:62 0 +63 *2373:47 *3266:20 0 +64 *2426:84 *40377:A 0 +65 *2426:84 *3266:134 0 +66 *2712:75 *3266:92 0 +67 *2712:83 *3266:92 0 +68 *2712:110 *3266:113 0 +69 *2712:110 *3266:134 0 +70 *2849:23 *3266:20 0 +71 *2882:46 *3266:20 0 +72 *2983:26 *3266:20 0 +73 *2984:58 *3266:46 0 +74 *3004:24 *3266:46 0 +75 *3018:95 *3266:62 0 +76 *3024:18 *3266:92 0 +77 *3041:55 *3266:113 0 +78 *3042:20 *3266:134 0 +79 *3043:75 *3266:113 0 +80 *3077:108 *3266:92 0 +81 *3087:5 *3266:62 0 +82 *3087:74 *3266:113 0 +83 *3106:23 *3266:46 0 +84 *3129:14 *3266:134 0 +85 *3135:39 *40377:A 0 +86 *3135:59 *40377:A 0 +87 *3145:24 *3266:92 0 +88 *3208:29 *3266:134 0 +89 *3209:17 *3266:46 0 +90 *3235:80 *3266:134 0 +91 *3240:46 *3266:134 0 +92 *3240:63 *40377:A 0 +93 *3245:21 *3266:62 0 +94 *3250:39 *3266:92 0 +95 *3257:23 *3266:134 0 +*RES +1 *40378:X *3266:20 46.854 +2 *3266:20 *3266:46 47.6396 +3 *3266:46 *3266:62 40.9643 +4 *3266:62 *3266:92 49.0089 +5 *3266:92 *3266:113 26.5488 +6 *3266:113 *3266:134 46.4432 +7 *3266:134 *40377:A 45.2643 +8 *3266:113 *8180:DIODE 9.3 +*END + +*D_NET *3267 0.0214802 +*CONN +*I *37754:B I *D sky130_fd_sc_hd__and2_1 +*I *5594:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40379:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *37754:B 0 +2 *5594:DIODE 0.000150739 +3 *40379:X 0.00149457 +4 *3267:48 0.00393106 +5 *3267:40 0.00909479 +6 *3267:19 0.00680904 +7 *5594:DIODE *3637:23 0 +8 *3267:19 *3343:22 0 +9 *3267:19 *3868:44 0 +10 *3267:19 *3897:48 0 +11 *3267:19 *4206:27 0 +12 *3267:19 *4384:56 0 +13 *3267:40 *39691:A 0 +14 *3267:40 *3353:42 0 +15 *3267:40 *3361:36 0 +16 *3267:40 *3564:20 0 +17 *3267:40 *3837:43 0 +18 *3267:40 *3845:114 0 +19 *3267:40 *4361:30 0 +20 *1482:26 *3267:48 0 +21 *1484:10 *5594:DIODE 0 +22 *1484:10 *3267:48 0 +23 *2762:19 *3267:40 0 +24 *2762:19 *3267:48 0 +25 *2773:12 *5594:DIODE 0 +26 *2773:12 *3267:48 0 +27 *2869:14 *3267:40 0 +28 *2951:28 *3267:40 0 +29 *2951:28 *3267:48 0 +*RES +1 *40379:X *3267:19 30.6826 +2 *3267:19 *3267:40 48.5104 +3 *3267:40 *3267:48 20.9401 +4 *3267:48 *5594:DIODE 17.1036 +5 *3267:48 *37754:B 13.8 +*END + +*D_NET *3268 0.019976 +*CONN +*I *40379:A I *D sky130_fd_sc_hd__buf_4 +*I *8181:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40380:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *40379:A 0.000201451 +2 *8181:DIODE 0 +3 *40380:X 0.00312803 +4 *3268:30 0.000988647 +5 *3268:29 0.00665852 +6 *3268:16 0.00899935 +7 *40379:A *3886:19 0 +8 *40379:A *4146:21 0 +9 *3268:16 *3907:36 0 +10 *3268:16 *4143:36 0 +11 *3268:16 *4170:19 0 +12 *3268:16 *4209:19 0 +13 *3268:16 *4447:69 0 +14 *3268:29 *4132:28 0 +15 *3268:29 *4170:30 0 +16 *3268:29 *4209:19 0 +17 *3268:29 *4915:35 0 +18 *3268:30 *3338:25 0 +19 *3268:30 *3352:41 0 +20 *3268:30 *3873:53 0 +21 *1402:32 *3268:16 0 +22 *1492:42 *3268:30 0 +23 *1504:27 *3268:30 0 +24 *1798:57 *3268:30 0 +25 *1800:18 *3268:29 0 +26 *1961:19 *3268:16 0 +27 *2006:25 *3268:16 0 +28 *2009:31 *3268:29 0 +29 *2043:27 *3268:29 0 +30 *2061:21 *3268:16 0 +31 *2066:23 *3268:29 0 +32 *2086:21 *3268:16 0 +33 *2142:17 *3268:16 0 +34 *3056:20 *3268:29 0 +35 *3063:14 *3268:29 0 +36 *3065:17 *3268:29 0 +37 *3170:15 *3268:29 0 +*RES +1 *40380:X *3268:16 39.7499 +2 *3268:16 *3268:29 33.1541 +3 *3268:29 *3268:30 17.8839 +4 *3268:30 *8181:DIODE 13.8 +5 *3268:30 *40379:A 18.3179 +*END + +*D_NET *3269 0.0201552 +*CONN +*I *40380:A I *D sky130_fd_sc_hd__buf_6 +*I *8182:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40381:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *40380:A 0.000114559 +2 *8182:DIODE 0.000307817 +3 *40381:X 0.00388066 +4 *3269:39 0.00619695 +5 *3269:19 0.00965524 +6 *8182:DIODE *4139:10 0 +7 *8182:DIODE *4148:5 0 +8 *3269:19 *3907:21 0 +9 *3269:19 *3989:14 0 +10 *3269:19 *4090:21 0 +11 *3269:19 *4090:49 0 +12 *3269:19 *4298:20 0 +13 *3269:39 *3907:21 0 +14 *3269:39 *3907:36 0 +15 *3269:39 *3919:19 0 +16 *3269:39 *4047:14 0 +17 *3269:39 *4090:21 0 +18 *3269:39 *4170:19 0 +19 *3269:39 *4292:16 0 +20 *3269:39 *5137:11 0 +21 *40942:A *40380:A 0 +22 *41094:A *3269:39 0 +23 *41143:A *8182:DIODE 0 +24 *41143:A *40380:A 0 +25 *1289:20 *3269:19 0 +26 *1299:21 *3269:39 0 +27 *1815:42 *3269:39 0 +28 *1956:27 *3269:39 0 +29 *1957:20 *3269:39 0 +30 *1962:13 *8182:DIODE 0 +31 *1973:13 *40380:A 0 +32 *1986:9 *3269:39 0 +33 *2006:25 *3269:39 0 +34 *2020:24 *3269:39 0 +35 *2071:11 *3269:39 0 +36 *2123:24 *3269:19 0 +37 *2128:12 *3269:39 0 +38 *2135:19 *3269:39 0 +39 *2136:17 *3269:39 0 +40 *2136:45 *3269:19 0 +41 *2145:58 *3269:39 0 +42 *3132:23 *8182:DIODE 0 +43 *3172:33 *3269:39 0 +44 *3258:27 *3269:19 0 +*RES +1 *40381:X *3269:19 40.4048 +2 *3269:19 *3269:39 42.8161 +3 *3269:39 *8182:DIODE 20.3446 +4 *3269:39 *40380:A 16.3446 +*END + +*D_NET *3270 0.0211375 +*CONN +*I *40381:A I *D sky130_fd_sc_hd__buf_6 +*I *8183:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40382:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *40381:A 0.000181037 +2 *8183:DIODE 0.000272866 +3 *40382:X 0.00024119 +4 *3270:135 0.00126559 +5 *3270:118 0.00206668 +6 *3270:93 0.0028357 +7 *3270:72 0.00321154 +8 *3270:62 0.00285707 +9 *3270:42 0.00243649 +10 *3270:16 0.00336923 +11 *3270:12 0.00240017 +12 *8183:DIODE *4234:11 0 +13 *3270:12 *4284:94 0 +14 *3270:12 *4297:66 0 +15 *3270:12 *4521:41 0 +16 *3270:16 *3312:35 0 +17 *3270:16 *3312:45 0 +18 *3270:42 *3312:35 0 +19 *3270:42 *3442:66 0 +20 *3270:42 *3530:62 0 +21 *3270:42 *3949:44 0 +22 *3270:42 *4061:59 0 +23 *3270:42 *4112:60 0 +24 *3270:42 *4281:42 0 +25 *3270:42 *4303:140 0 +26 *3270:42 *4510:22 0 +27 *3270:42 *4510:30 0 +28 *3270:62 *4029:29 0 +29 *3270:62 *4112:47 0 +30 *3270:62 *4250:42 0 +31 *3270:62 *4286:20 0 +32 *3270:62 *4300:15 0 +33 *3270:72 *8222:DIODE 0 +34 *3270:72 *3530:20 0 +35 *3270:72 *4029:29 0 +36 *3270:72 *4286:20 0 +37 *3270:72 *4300:15 0 +38 *3270:72 *4485:45 0 +39 *3270:72 *4489:43 0 +40 *3270:93 *3335:27 0 +41 *3270:93 *3933:16 0 +42 *3270:93 *4029:16 0 +43 *3270:93 *4032:13 0 +44 *3270:93 *4032:37 0 +45 *3270:93 *4135:21 0 +46 *3270:93 *4284:51 0 +47 *3270:93 *4300:15 0 +48 *3270:93 *4303:81 0 +49 *3270:93 *4489:41 0 +50 *3270:118 *3992:14 0 +51 *3270:118 *4076:63 0 +52 *3270:118 *4243:32 0 +53 *3270:118 *4246:27 0 +54 *3270:118 *4296:50 0 +55 *3270:135 *4076:63 0 +56 *5250:DIODE *3270:62 0 +57 *41237:A *8183:DIODE 0 +58 *1987:61 *3270:42 0 +59 *2008:13 *8183:DIODE 0 +60 *2008:13 *40381:A 0 +61 *2065:35 *40381:A 0 +62 *2065:50 *3270:135 0 +63 *2073:42 *3270:93 0 +64 *2077:62 *3270:72 0 +65 *2102:16 *3270:135 0 +66 *2121:30 *3270:118 0 +67 *2136:45 *3270:135 0 +68 *2141:57 *3270:62 0 +69 *3172:13 *3270:135 0 +70 *3223:19 *3270:135 0 +71 *3224:46 *3270:42 0 +72 *3224:63 *3270:42 0 +73 *3247:17 *3270:118 0 +74 *3247:22 *3270:118 0 +75 *3255:38 *40381:A 0 +76 *3258:27 *3270:135 0 +77 *3259:25 *3270:72 0 +*RES +1 *40382:X *3270:12 23.6571 +2 *3270:12 *3270:16 49.7589 +3 *3270:16 *3270:42 49.0982 +4 *3270:42 *3270:62 44.2321 +5 *3270:62 *3270:72 47.9107 +6 *3270:72 *3270:93 47.75 +7 *3270:93 *3270:118 49.2369 +8 *3270:118 *3270:135 26.6964 +9 *3270:135 *8183:DIODE 19.9964 +10 *3270:135 *40381:A 17.8179 +*END + +*D_NET *3271 0.0138187 +*CONN +*I *5337:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37530:B I *D sky130_fd_sc_hd__and2_1 +*I *38506:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *5337:DIODE 0.000627157 +2 *37530:B 2.56688e-05 +3 *38506:X 0.0011476 +4 *3271:30 0.00399401 +5 *3271:20 0.00510891 +6 *3271:14 0.00291533 +7 *5337:DIODE *5336:DIODE 0 +8 *5337:DIODE *5943:DIODE 0 +9 *5337:DIODE *8819:DIODE 0 +10 *5337:DIODE *3576:60 0 +11 *5337:DIODE *3606:59 0 +12 *5337:DIODE *3628:15 0 +13 *5337:DIODE *4191:28 0 +14 *5337:DIODE *4431:34 0 +15 *3271:14 *38885:A 0 +16 *3271:14 *4430:96 0 +17 *3271:14 *4437:5 0 +18 *3271:14 *4796:18 0 +19 *3271:20 *39066:A 0 +20 *3271:20 *3879:37 0 +21 *3271:20 *4802:29 0 +22 *3271:20 *4891:9 0 +23 *3271:30 *5336:DIODE 0 +24 *3271:30 *3463:21 0 +25 *3271:30 *3867:18 0 +26 *3271:30 *4432:12 0 +27 *3271:30 *4436:14 0 +28 *3271:30 *4626:14 0 +29 *6779:DIODE *3271:20 0 +30 *6958:DIODE *3271:20 0 +31 *37329:A *3271:14 0 +32 *38506:A *3271:14 0 +33 *38646:A *3271:14 0 +34 *295:24 *3271:30 0 +35 *376:71 *3271:20 0 +36 *436:5 *3271:14 0 +37 *564:8 *3271:14 0 +38 *565:8 *3271:14 0 +39 *3063:22 *5337:DIODE 0 +*RES +1 *38506:X *3271:14 42.3893 +2 *3271:14 *3271:20 46.5975 +3 *3271:20 *3271:30 23.2873 +4 *3271:30 *37530:B 14.3357 +5 *3271:30 *5337:DIODE 27.55 +*END + +*D_NET *3272 0.0198144 +*CONN +*I *8184:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40382:A I *D sky130_fd_sc_hd__buf_4 +*I *40383:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8184:DIODE 0 +2 *40382:A 0.000104386 +3 *40383:X 0.000979499 +4 *3272:72 0.000116578 +5 *3272:67 0.00259964 +6 *3272:51 0.00402265 +7 *3272:21 0.00452935 +8 *3272:20 0.00478846 +9 *3272:14 0.0026738 +10 *40382:A *4284:94 0 +11 *40382:A *4297:66 0 +12 *3272:14 *8203:DIODE 0 +13 *3272:14 *40409:A 0 +14 *3272:14 *40517:A 0 +15 *3272:14 *3435:76 0 +16 *3272:14 *3503:16 0 +17 *3272:14 *3777:65 0 +18 *3272:14 *4238:18 0 +19 *3272:14 *4251:8 0 +20 *3272:20 *3285:31 0 +21 *3272:20 *3423:10 0 +22 *3272:51 *8271:DIODE 0 +23 *3272:51 *3318:28 0 +24 *3272:51 *3436:41 0 +25 *3272:51 *3440:59 0 +26 *3272:51 *4242:71 0 +27 *3272:51 *4890:10 0 +28 *3272:67 *40589:A 0 +29 *3272:67 *3314:62 0 +30 *3272:67 *3318:13 0 +31 *3272:67 *3933:49 0 +32 *3272:67 *4252:37 0 +33 *7997:DIODE *3272:51 0 +34 *40341:A *3272:51 0 +35 *1227:67 *3272:67 0 +36 *1238:38 *3272:67 0 +37 *1441:51 *3272:14 0 +38 *2397:21 *3272:21 0 +39 *2397:33 *3272:51 0 +40 *3122:43 *3272:20 0 +41 *3135:59 *3272:14 0 +42 *3148:20 *3272:51 0 +43 *3178:21 *3272:21 0 +44 *3178:21 *3272:51 0 +45 *3207:15 *3272:51 0 +46 *3207:35 *3272:51 0 +47 *3225:59 *3272:51 0 +48 *3257:35 *3272:14 0 +49 *3261:53 *3272:21 0 +50 *3261:53 *3272:51 0 +51 *3261:59 *3272:67 0 +52 *3265:70 *3272:67 0 +*RES +1 *40383:X *3272:14 39.8398 +2 *3272:14 *3272:20 44.7143 +3 *3272:20 *3272:21 64.6071 +4 *3272:21 *3272:51 48.9286 +5 *3272:51 *3272:67 48.045 +6 *3272:67 *3272:72 8.18679 +7 *3272:72 *40382:A 11.4786 +8 *3272:72 *8184:DIODE 9.3 +*END + +*D_NET *3273 0.0274918 +*CONN +*I *38336:A I *D sky130_fd_sc_hd__and2_1 +*I *6480:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40384:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38336:A 0.00018153 +2 *6480:DIODE 0 +3 *40384:X 0 +4 *3273:27 0.000325275 +5 *3273:24 0.00276918 +6 *3273:23 0.00262543 +7 *3273:21 0.00854685 +8 *3273:20 0.00918573 +9 *3273:8 0.00224835 +10 *3273:5 0.00160947 +11 *38336:A *3481:68 0 +12 *38336:A *3498:51 0 +13 *38336:A *4036:18 0 +14 *3273:8 *3275:65 0 +15 *3273:8 *4074:47 0 +16 *3273:20 *3299:19 0 +17 *3273:27 *3481:57 0 +18 *3273:27 *3498:51 0 +19 *268:7 *3273:24 0 +20 *363:8 *3273:20 0 +21 *373:22 *3273:21 0 +22 *1020:13 *3273:24 0 +23 *1020:15 *3273:24 0 +24 *2378:10 *3273:24 0 +25 *2380:14 *3273:24 0 +26 *2434:15 *3273:8 0 +27 *3014:41 *3273:20 0 +*RES +1 *40384:X *3273:5 13.8 +2 *3273:5 *3273:8 41.0536 +3 *3273:8 *3273:20 27.7088 +4 *3273:20 *3273:21 178.375 +5 *3273:21 *3273:23 4.5 +6 *3273:23 *3273:24 59.625 +7 *3273:24 *3273:27 7.5 +8 *3273:27 *6480:DIODE 9.3 +9 *3273:27 *38336:A 22.4071 +*END + +*D_NET *3274 0.0243742 +*CONN +*I *8186:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40384:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6098:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38080:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *40385:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8186:DIODE 0.000186591 +2 *40384:A 7.75762e-05 +3 *6098:DIODE 0.000307434 +4 *38080:A_N 2.56688e-05 +5 *40385:X 0.00147953 +6 *3274:97 0.000615472 +7 *3274:91 0.00189425 +8 *3274:79 0.00601467 +9 *3274:78 0.0048368 +10 *3274:74 0.00202718 +11 *3274:37 0.000513212 +12 *3274:36 0.00337925 +13 *3274:17 0.00301658 +14 *8186:DIODE *3990:19 0 +15 *40384:A *4051:34 0 +16 *3274:17 *3430:48 0 +17 *3274:17 *3744:63 0 +18 *3274:17 *4507:38 0 +19 *3274:36 *41262:A 0 +20 *3274:36 *3319:103 0 +21 *3274:36 *3436:64 0 +22 *3274:36 *3717:31 0 +23 *3274:36 *3973:56 0 +24 *3274:37 *3964:15 0 +25 *3274:37 *4230:99 0 +26 *3274:74 *3279:94 0 +27 *3274:74 *3964:26 0 +28 *3274:74 *4000:10 0 +29 *3274:74 *4014:15 0 +30 *3274:74 *4028:19 0 +31 *3274:74 *4028:28 0 +32 *3274:74 *4037:24 0 +33 *3274:74 *4059:51 0 +34 *3274:74 *4520:107 0 +35 *3274:79 *3279:95 0 +36 *3274:79 *3279:103 0 +37 *3274:79 *3522:35 0 +38 *3274:79 *3667:45 0 +39 *3274:79 *3750:55 0 +40 *3274:79 *4008:120 0 +41 *3274:79 *4078:89 0 +42 *3274:79 *4328:30 0 +43 *3274:79 *4355:50 0 +44 *3274:91 *3275:39 0 +45 *3274:91 *3658:73 0 +46 *3274:91 *3740:78 0 +47 *3274:91 *4084:31 0 +48 *37408:A *3274:17 0 +49 *37670:B *3274:17 0 +50 *774:11 *3274:17 0 +51 *1414:38 *3274:91 0 +52 *1414:44 *3274:79 0 +53 *2384:118 *3274:74 0 +54 *2410:34 *3274:17 0 +55 *2419:88 *3274:74 0 +56 *2445:48 *3274:17 0 +57 *2683:27 *3274:17 0 +58 *2738:7 *3274:17 0 +59 *2760:28 *3274:17 0 +60 *2919:43 *3274:79 0 +61 *2919:43 *3274:91 0 +62 *2928:21 *3274:79 0 +63 *2928:28 *3274:79 0 +64 *3070:108 *3274:79 0 +65 *3090:25 *3274:79 0 +66 *3090:25 *3274:91 0 +67 *3098:43 *3274:79 0 +68 *3183:61 *38080:A_N 0 +69 *3183:61 *3274:37 0 +*RES +1 *40385:X *3274:17 48.5755 +2 *3274:17 *3274:36 22.9197 +3 *3274:36 *3274:37 3.82143 +4 *3274:37 *38080:A_N 9.83571 +5 *3274:37 *6098:DIODE 25.2821 +6 *3274:36 *3274:74 46.9544 +7 *3274:74 *3274:78 12.8214 +8 *3274:78 *3274:79 93.3571 +9 *3274:79 *3274:91 46.1607 +10 *3274:91 *3274:97 16.8571 +11 *3274:97 *40384:A 15.4786 +12 *3274:97 *8186:DIODE 17.8357 +*END + +*D_NET *3275 0.0269083 +*CONN +*I *6477:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38334:A I *D sky130_fd_sc_hd__and2_1 +*I *40386:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6477:DIODE 0.000124066 +2 *38334:A 0.000217575 +3 *40386:X 0.00273972 +4 *3275:86 0.001688 +5 *3275:85 0.00560442 +6 *3275:65 0.00730888 +7 *3275:39 0.00476838 +8 *3275:32 0.00445728 +9 *6477:DIODE *3498:40 0 +10 *38334:A *3481:48 0 +11 *3275:32 *8870:DIODE 0 +12 *3275:32 *3388:55 0 +13 *3275:32 *3410:14 0 +14 *3275:32 *3490:14 0 +15 *3275:32 *3675:74 0 +16 *3275:32 *3743:16 0 +17 *3275:32 *4034:88 0 +18 *3275:32 *4045:53 0 +19 *3275:32 *4344:25 0 +20 *3275:39 *3658:73 0 +21 *3275:39 *3740:81 0 +22 *3275:39 *3946:48 0 +23 *3275:39 *4048:52 0 +24 *3275:65 *3678:45 0 +25 *3275:85 *5028:6 0 +26 *3275:86 *37690:B 0 +27 *3275:86 *3470:40 0 +28 *3275:86 *3485:62 0 +29 *359:29 *3275:86 0 +30 *370:24 *3275:65 0 +31 *380:22 *3275:85 0 +32 *1004:23 *3275:86 0 +33 *1244:24 *3275:86 0 +34 *1414:38 *3275:39 0 +35 *1824:88 *3275:32 0 +36 *2375:48 *3275:32 0 +37 *2391:59 *3275:65 0 +38 *2391:59 *3275:85 0 +39 *2395:24 *3275:85 0 +40 *2400:20 *3275:85 0 +41 *2417:63 *3275:39 0 +42 *2441:29 *3275:85 0 +43 *2682:45 *3275:65 0 +44 *2813:16 *3275:86 0 +45 *2816:39 *3275:65 0 +46 *2831:55 *3275:65 0 +47 *2831:63 *3275:85 0 +48 *2835:31 *3275:32 0 +49 *2905:51 *3275:65 0 +50 *2974:28 *3275:85 0 +51 *3000:37 *3275:65 0 +52 *3024:49 *3275:65 0 +53 *3024:49 *3275:85 0 +54 *3036:57 *3275:65 0 +55 *3036:57 *3275:85 0 +56 *3042:48 *3275:65 0 +57 *3072:23 *3275:85 0 +58 *3073:37 *3275:39 0 +59 *3075:45 *3275:85 0 +60 *3273:8 *3275:65 0 +61 *3274:91 *3275:39 0 +*RES +1 *40386:X *3275:32 48.5315 +2 *3275:32 *3275:39 46.2857 +3 *3275:39 *3275:65 47.0558 +4 *3275:65 *3275:85 34.7266 +5 *3275:85 *3275:86 30.6339 +6 *3275:86 *38334:A 18.6214 +7 *3275:86 *6477:DIODE 16.3893 +*END + +*D_NET *3276 0.021561 +*CONN +*I *8187:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40386:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38876:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8187:DIODE 0 +2 *40386:A 2.56688e-05 +3 *38876:X 0.00505067 +4 *3276:83 0.00208953 +5 *3276:74 0.00263406 +6 *3276:60 0.00151144 +7 *3276:53 0.00307011 +8 *3276:26 0.00717954 +9 *3276:26 *7122:DIODE 0 +10 *3276:26 *3287:66 0 +11 *3276:26 *3420:20 0 +12 *3276:26 *4182:20 0 +13 *3276:26 *4507:38 0 +14 *3276:26 *4981:23 0 +15 *3276:26 *5196:39 0 +16 *3276:53 *8300:DIODE 0 +17 *3276:53 *8886:DIODE 0 +18 *3276:53 *3287:66 0 +19 *3276:60 *3410:14 0 +20 *3276:60 *3430:71 0 +21 *3276:60 *3492:14 0 +22 *3276:60 *5188:44 0 +23 *3276:60 *5188:60 0 +24 *3276:74 *3302:59 0 +25 *3276:74 *3783:41 0 +26 *3276:74 *5188:44 0 +27 *3276:83 *3430:104 0 +28 *3276:83 *5173:66 0 +29 *6605:DIODE *3276:53 0 +30 *6866:DIODE *3276:26 0 +31 *7540:DIODE *3276:53 0 +32 *7990:DIODE *3276:60 0 +33 *38096:C *3276:26 0 +34 *400:29 *3276:53 0 +35 *401:34 *3276:53 0 +36 *526:29 *3276:53 0 +37 *528:31 *3276:60 0 +38 *529:19 *3276:53 0 +39 *781:30 *3276:53 0 +40 *784:10 *3276:53 0 +41 *784:31 *3276:53 0 +42 *785:43 *3276:53 0 +43 *785:43 *3276:60 0 +44 *1030:54 *3276:53 0 +45 *1030:54 *3276:60 0 +46 *2397:21 *3276:74 0 +47 *2397:21 *3276:83 0 +48 *2794:25 *3276:53 0 +49 *3025:21 *3276:26 0 +50 *3032:36 *3276:26 0 +51 *3032:39 *3276:26 0 +52 *3032:65 *3276:26 0 +53 *3034:11 *3276:26 0 +54 *3037:48 *3276:83 0 +55 *3041:11 *3276:26 0 +56 *3041:11 *3276:53 0 +57 *3105:62 *3276:26 0 +58 *3125:46 *3276:26 0 +59 *3125:46 *3276:53 0 +60 *3188:111 *3276:74 0 +61 *3257:35 *3276:60 0 +*RES +1 *38876:X *3276:26 49.0251 +2 *3276:26 *3276:53 47.3657 +3 *3276:53 *3276:60 15.7981 +4 *3276:60 *40386:A 14.3357 +5 *3276:60 *3276:74 16.3036 +6 *3276:74 *3276:83 49.1071 +7 *3276:83 *8187:DIODE 13.8 +*END + +*D_NET *3277 0.0293995 +*CONN +*I *6474:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38332:A I *D sky130_fd_sc_hd__and2_1 +*I *40387:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6474:DIODE 0 +2 *38332:A 0.000246046 +3 *40387:X 0.000797544 +4 *3277:52 0.00254762 +5 *3277:51 0.00287827 +6 *3277:48 0.00179565 +7 *3277:33 0.00683058 +8 *3277:31 0.00578617 +9 *3277:25 0.00285394 +10 *3277:24 0.00377277 +11 *3277:20 0.00189092 +12 *3277:20 *3312:133 0 +13 *3277:20 *3647:34 0 +14 *3277:20 *3720:60 0 +15 *3277:20 *3942:125 0 +16 *3277:24 *3720:60 0 +17 *3277:25 *3519:13 0 +18 *3277:25 *3655:41 0 +19 *3277:25 *3979:33 0 +20 *3277:31 *3519:13 0 +21 *3277:33 *3519:13 0 +22 *3277:33 *3652:41 0 +23 *3277:48 *4021:22 0 +24 *3277:51 *3652:41 0 +25 *3277:52 *4895:20 0 +26 *3277:52 *5029:8 0 +27 *6412:DIODE *3277:48 0 +28 *7876:DIODE *3277:20 0 +29 *368:28 *3277:48 0 +30 *368:32 *3277:48 0 +31 *1325:44 *3277:20 0 +32 *1538:20 *3277:24 0 +33 *1572:21 *3277:48 0 +34 *2440:16 *3277:52 0 +35 *2440:31 *3277:52 0 +36 *2465:7 *3277:33 0 +37 *2474:5 *3277:25 0 +38 *2474:5 *3277:31 0 +39 *2474:5 *3277:33 0 +40 *2954:14 *3277:52 0 +41 *3010:28 *3277:33 0 +42 *3097:35 *3277:33 0 +43 *3108:36 *3277:48 0 +44 *3108:37 *3277:33 0 +45 *3118:21 *3277:51 0 +46 *3162:23 *3277:25 0 +*RES +1 *40387:X *3277:20 37.3921 +2 *3277:20 *3277:24 29.3661 +3 *3277:24 *3277:25 55.9821 +4 *3277:25 *3277:31 3.85714 +5 *3277:31 *3277:33 117.179 +6 *3277:33 *3277:48 44.125 +7 *3277:48 *3277:51 16.5357 +8 *3277:51 *3277:52 52.3393 +9 *3277:52 *38332:A 19.3893 +10 *3277:52 *6474:DIODE 13.8 +*END + +*D_NET *3278 0.0274129 +*CONN +*I *38330:A I *D sky130_fd_sc_hd__and2_1 +*I *6472:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40388:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38330:A 3.61606e-05 +2 *6472:DIODE 0.000145783 +3 *40388:X 4.53482e-05 +4 *3278:47 0.00283776 +5 *3278:35 0.00450867 +6 *3278:31 0.00348967 +7 *3278:21 0.00581184 +8 *3278:19 0.00569747 +9 *3278:10 0.00315866 +10 *3278:7 0.00168156 +11 *6472:DIODE *6473:DIODE 0 +12 *38330:A *6473:DIODE 0 +13 *3278:10 *8189:DIODE 0 +14 *3278:10 *3991:108 0 +15 *3278:10 *4102:24 0 +16 *3278:10 *4355:27 0 +17 *3278:19 *3951:23 0 +18 *3278:19 *4031:21 0 +19 *3278:19 *4102:34 0 +20 *3278:21 *3652:40 0 +21 *3278:31 *4102:71 0 +22 *3278:31 *5027:21 0 +23 *3278:35 *5027:21 0 +24 *3278:47 *3490:20 0 +25 *3278:47 *4600:57 0 +26 *375:23 *3278:47 0 +27 *1208:19 *3278:7 0 +28 *1449:55 *3278:47 0 +29 *1864:51 *3278:21 0 +30 *1864:51 *3278:31 0 +31 *1864:51 *3278:35 0 +32 *1864:57 *3278:31 0 +33 *1864:57 *3278:35 0 +34 *1864:59 *3278:35 0 +35 *2365:32 *3278:21 0 +36 *2475:15 *3278:35 0 +37 *2479:39 *3278:21 0 +38 *2842:14 *3278:47 0 +39 *2924:20 *3278:10 0 +40 *2958:34 *3278:10 0 +41 *2989:12 *3278:10 0 +42 *3001:38 *3278:19 0 +43 *3001:38 *3278:21 0 +44 *3007:24 *3278:21 0 +45 *3007:31 *3278:21 0 +46 *3010:29 *3278:21 0 +47 *3010:29 *3278:31 0 +48 *3024:61 *3278:47 0 +49 *3050:17 *3278:19 0 +50 *3050:17 *3278:21 0 +51 *3050:19 *3278:21 0 +52 *3079:51 *3278:19 0 +53 *3108:40 *3278:10 0 +54 *3117:21 *3278:35 0 +55 *3159:31 *3278:19 0 +*RES +1 *40388:X *3278:7 14.7464 +2 *3278:7 *3278:10 41.6607 +3 *3278:10 *3278:19 40.9821 +4 *3278:19 *3278:21 87.1964 +5 *3278:21 *3278:31 34.2857 +6 *3278:31 *3278:35 43.2321 +7 *3278:35 *3278:47 42.8298 +8 *3278:47 *6472:DIODE 17.1125 +9 *3278:47 *38330:A 14.6839 +*END + +*D_NET *3279 0.0261988 +*CONN +*I *6089:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38074:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *40388:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8189:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40389:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6089:DIODE 0 +2 *38074:A_N 0.000621788 +3 *40388:A 0 +4 *8189:DIODE 0.000524888 +5 *40389:X 0.0013984 +6 *3279:133 0.000790282 +7 *3279:107 0.00086485 +8 *3279:103 0.00195255 +9 *3279:95 0.00437369 +10 *3279:94 0.0043077 +11 *3279:81 0.00265242 +12 *3279:51 0.00412556 +13 *3279:12 0.00458663 +14 *8189:DIODE *3780:26 0 +15 *8189:DIODE *4040:11 0 +16 *8189:DIODE *4051:24 0 +17 *38074:A_N *3441:10 0 +18 *38074:A_N *4276:20 0 +19 *38074:A_N *4516:58 0 +20 *3279:12 *4104:27 0 +21 *3279:12 *4518:33 0 +22 *3279:12 *4880:27 0 +23 *3279:51 *3532:24 0 +24 *3279:51 *3952:122 0 +25 *3279:51 *3956:80 0 +26 *3279:51 *4052:27 0 +27 *3279:51 *4117:51 0 +28 *3279:51 *4166:60 0 +29 *3279:51 *4221:48 0 +30 *3279:51 *4272:28 0 +31 *3279:51 *4276:20 0 +32 *3279:51 *4408:108 0 +33 *3279:81 *38090:A_N 0 +34 *3279:81 *41050:A 0 +35 *3279:81 *3436:64 0 +36 *3279:81 *3443:53 0 +37 *3279:81 *4008:99 0 +38 *3279:81 *4019:28 0 +39 *3279:81 *4059:42 0 +40 *3279:81 *4261:51 0 +41 *3279:81 *4267:62 0 +42 *3279:81 *4272:38 0 +43 *3279:81 *4276:20 0 +44 *3279:81 *4297:108 0 +45 *3279:94 *38086:A_N 0 +46 *3279:94 *3427:44 0 +47 *3279:94 *3964:26 0 +48 *3279:94 *4008:102 0 +49 *3279:94 *4011:24 0 +50 *3279:94 *4041:54 0 +51 *3279:94 *4055:82 0 +52 *3279:94 *4059:51 0 +53 *3279:94 *4078:41 0 +54 *3279:94 *4078:58 0 +55 *3279:94 *4119:109 0 +56 *3279:94 *4229:28 0 +57 *3279:95 *3658:65 0 +58 *3279:95 *3658:71 0 +59 *3279:95 *3658:73 0 +60 *3279:95 *4119:122 0 +61 *3279:95 *4171:26 0 +62 *3279:95 *4267:80 0 +63 *3279:103 *3667:45 0 +64 *3279:103 *4078:89 0 +65 *3279:103 *4225:59 0 +66 *3279:103 *4225:66 0 +67 *3279:107 *41014:A 0 +68 *3279:107 *3941:19 0 +69 *3279:107 *3991:108 0 +70 *5263:DIODE *3279:51 0 +71 *38076:C *3279:12 0 +72 *39992:A *3279:81 0 +73 *639:13 *3279:12 0 +74 *1213:7 *3279:12 0 +75 *1218:36 *3279:51 0 +76 *1218:48 *3279:51 0 +77 *1327:19 *8189:DIODE 0 +78 *1414:44 *3279:107 0 +79 *1432:41 *38074:A_N 0 +80 *1442:10 *3279:94 0 +81 *2419:88 *3279:94 0 +82 *2419:97 *3279:94 0 +83 *2421:73 *3279:51 0 +84 *2431:24 *3279:12 0 +85 *2649:11 *38074:A_N 0 +86 *2671:44 *3279:51 0 +87 *2919:43 *3279:95 0 +88 *2919:62 *3279:95 0 +89 *2928:28 *3279:95 0 +90 *3070:108 *3279:95 0 +91 *3084:34 *3279:81 0 +92 *3098:43 *3279:103 0 +93 *3166:17 *3279:94 0 +94 *3166:24 *3279:94 0 +95 *3167:59 *3279:94 0 +96 *3274:74 *3279:94 0 +97 *3274:79 *3279:95 0 +98 *3274:79 *3279:103 0 +99 *3278:10 *8189:DIODE 0 +*RES +1 *40389:X *3279:12 45.1839 +2 *3279:12 *3279:51 49.0729 +3 *3279:51 *3279:81 46.1473 +4 *3279:81 *3279:94 47.5625 +5 *3279:94 *3279:95 57.625 +6 *3279:95 *3279:103 47.2143 +7 *3279:103 *3279:107 12.25 +8 *3279:107 *8189:DIODE 39.0679 +9 *3279:107 *40388:A 9.3 +10 *3279:12 *3279:133 3.91964 +11 *3279:133 *38074:A_N 36.4607 +12 *3279:133 *6089:DIODE 13.8 +*END + +*D_NET *3280 0.0324654 +*CONN +*I *6470:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38328:A I *D sky130_fd_sc_hd__and2_1 +*I *40390:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6470:DIODE 2.56688e-05 +2 *38328:A 0.000204938 +3 *40390:X 0.000213292 +4 *3280:64 0.00129479 +5 *3280:57 0.00251751 +6 *3280:43 0.00313355 +7 *3280:34 0.00258712 +8 *3280:15 0.00900112 +9 *3280:14 0.00809422 +10 *3280:12 0.00258996 +11 *3280:10 0.00280325 +12 *38328:A *4360:66 0 +13 *3280:10 *4269:88 0 +14 *3280:10 *4294:103 0 +15 *3280:12 *3427:48 0 +16 *3280:12 *3664:6 0 +17 *3280:12 *3753:22 0 +18 *3280:15 *3652:40 0 +19 *3280:15 *4102:24 0 +20 *3280:15 *4241:81 0 +21 *3280:43 *3414:6 0 +22 *3280:43 *3414:14 0 +23 *3280:43 *4102:62 0 +24 *3280:43 *5016:25 0 +25 *3280:57 *3310:59 0 +26 *3280:57 *4048:74 0 +27 *3280:64 *4010:48 0 +28 *5696:DIODE *3280:64 0 +29 *1006:28 *3280:43 0 +30 *1006:28 *3280:57 0 +31 *1008:100 *3280:57 0 +32 *1019:20 *3280:43 0 +33 *1545:27 *3280:57 0 +34 *1545:39 *3280:34 0 +35 *2370:38 *3280:64 0 +36 *2380:34 *3280:43 0 +37 *2479:26 *3280:34 0 +38 *2715:9 *3280:15 0 +39 *2816:61 *3280:64 0 +40 *2822:19 *3280:10 0 +41 *2837:30 *3280:15 0 +42 *2842:23 *3280:15 0 +43 *2924:19 *3280:15 0 +44 *2958:28 *3280:15 0 +45 *2958:34 *3280:15 0 +46 *2991:33 *3280:15 0 +47 *2991:33 *3280:43 0 +48 *2995:42 *3280:57 0 +49 *3023:40 *3280:64 0 +50 *3031:43 *3280:57 0 +51 *3040:25 *3280:43 0 +52 *3094:24 *3280:43 0 +53 *3159:39 *3280:15 0 +*RES +1 *40390:X *3280:10 18.5946 +2 *3280:10 *3280:12 58.8661 +3 *3280:12 *3280:14 4.5 +4 *3280:14 *3280:15 168.929 +5 *3280:15 *3280:34 38.2679 +6 *3280:34 *3280:43 37.6068 +7 *3280:43 *3280:57 37.6923 +8 *3280:57 *3280:64 32.9375 +9 *3280:64 *38328:A 18.4071 +10 *3280:64 *6470:DIODE 14.3357 +*END + +*D_NET *3281 0.0206853 +*CONN +*I *6467:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38326:A I *D sky130_fd_sc_hd__and2_1 +*I *40391:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6467:DIODE 0 +2 *38326:A 0.000241641 +3 *40391:X 0.000291692 +4 *3281:33 0.000602378 +5 *3281:24 0.00980933 +6 *3281:10 0.00974028 +7 *38326:A *3954:34 0 +8 *38326:A *3999:26 0 +9 *38326:A *4026:45 0 +10 *3281:10 *3520:45 0 +11 *3281:10 *4281:83 0 +12 *3281:24 *3288:24 0 +13 *3281:24 *3296:62 0 +14 *3281:24 *3308:15 0 +15 *3281:24 *3422:46 0 +16 *3281:24 *3460:73 0 +17 *3281:24 *3497:59 0 +18 *3281:24 *3513:68 0 +19 *3281:24 *3515:34 0 +20 *3281:24 *3536:44 0 +21 *3281:24 *4251:41 0 +22 *3281:24 *4251:69 0 +23 *3281:24 *4274:26 0 +24 *3281:24 *5169:40 0 +25 *3281:24 *5172:65 0 +26 *3281:24 *5173:39 0 +27 *3281:24 *5173:66 0 +28 *3281:24 *5175:74 0 +29 *3281:24 *5188:20 0 +30 *3281:33 *3413:132 0 +31 *3281:33 *3417:94 0 +32 *3281:33 *3976:67 0 +33 *3281:33 *4219:19 0 +34 *3281:33 *4411:42 0 +35 *3281:33 *4563:89 0 +36 *7996:DIODE *3281:24 0 +37 *1840:72 *3281:24 0 +38 *2368:40 *3281:24 0 +39 *2403:52 *3281:24 0 +40 *3070:32 *3281:24 0 +41 *3113:70 *3281:24 0 +42 *3116:71 *3281:33 0 +43 *3194:63 *3281:24 0 +*RES +1 *40391:X *3281:10 23.7904 +2 *3281:10 *3281:24 45.912 +3 *3281:24 *3281:33 21.4107 +4 *3281:33 *38326:A 23.8179 +5 *3281:33 *6467:DIODE 9.3 +*END + +*D_NET *3282 0.00740959 +*CONN +*I *37532:B I *D sky130_fd_sc_hd__and2_1 +*I *38507:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *37532:B 0 +2 *38507:X 0.00146914 +3 *3282:15 0.00223566 +4 *3282:6 0.00370479 +5 *3282:6 *3457:36 0 +6 *3282:6 *4440:8 0 +7 *3282:6 *4440:44 0 +8 *3282:15 *5636:DIODE 0 +9 *3282:15 *6298:DIODE 0 +10 *3282:15 *37532:A 0 +11 *3282:15 *3344:17 0 +12 *3282:15 *3845:121 0 +13 *3282:15 *4123:32 0 +14 *3282:15 *4133:76 0 +15 *3282:15 *4150:13 0 +16 *3282:15 *4153:50 0 +17 *3282:15 *4443:11 0 +18 *3282:15 *4443:18 0 +19 *3282:15 *4443:40 0 +20 *3282:15 *5152:15 0 +21 la_data_in_mprj[31] *3282:6 0 +22 *6643:DIODE *3282:6 0 +23 *376:59 *3282:6 0 +24 *568:11 *3282:6 0 +25 *823:11 *3282:6 0 +26 *1292:14 *3282:15 0 +27 *1608:31 *3282:6 0 +28 *1736:11 *3282:6 0 +29 *3059:32 *3282:6 0 +*RES +1 *38507:X *3282:6 47.1661 +2 *3282:6 *3282:15 41.4276 +3 *3282:15 *37532:B 9.3 +*END + +*D_NET *3283 0.0310306 +*CONN +*I *6464:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38324:A I *D sky130_fd_sc_hd__and2_1 +*I *40392:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6464:DIODE 0 +2 *38324:A 0 +3 *40392:X 0.00102254 +4 *3283:110 0.00137953 +5 *3283:81 0.00126868 +6 *3283:58 0.00323925 +7 *3283:57 0.00275876 +8 *3283:53 0.0023193 +9 *3283:45 0.00553004 +10 *3283:44 0.00553477 +11 *3283:37 0.00185211 +12 *3283:27 0.00339945 +13 *3283:21 0.00272619 +14 *3283:21 *8192:DIODE 0 +15 *3283:21 *3307:85 0 +16 *3283:21 *4107:63 0 +17 *3283:21 *4336:54 0 +18 *3283:27 *3662:14 0 +19 *3283:37 *3968:23 0 +20 *3283:37 *4055:39 0 +21 *3283:37 *4735:11 0 +22 *3283:53 *4229:33 0 +23 *3283:57 *4229:33 0 +24 *3283:81 *40790:A 0 +25 *3283:81 *3285:112 0 +26 *3283:81 *3758:53 0 +27 *3283:81 *3941:34 0 +28 *3283:81 *4059:53 0 +29 *3283:81 *4364:19 0 +30 *3283:110 *3285:139 0 +31 *3283:110 *3582:12 0 +32 *3283:110 *3979:52 0 +33 *3283:110 *4091:55 0 +34 *3283:110 *4097:60 0 +35 *3283:110 *4235:41 0 +36 *3283:110 *4266:18 0 +37 *37776:B *3283:21 0 +38 *1232:23 *3283:21 0 +39 *1325:17 *3283:110 0 +40 *1328:53 *3283:57 0 +41 *1536:13 *3283:27 0 +42 *1560:45 *3283:37 0 +43 *2336:34 *3283:27 0 +44 *2336:34 *3283:37 0 +45 *2380:60 *3283:81 0 +46 *2434:37 *3283:37 0 +47 *2436:13 *3283:45 0 +48 *2436:15 *3283:45 0 +49 *2478:18 *3283:57 0 +50 *2814:36 *3283:53 0 +51 *2814:36 *3283:57 0 +52 *2817:16 *3283:45 0 +53 *2818:38 *3283:57 0 +54 *2822:123 *3283:81 0 +55 *2834:25 *3283:57 0 +56 *2919:24 *3283:81 0 +57 *2928:55 *3283:21 0 +58 *2933:18 *3283:44 0 +59 *2945:11 *3283:27 0 +60 *2972:51 *3283:45 0 +61 *3005:52 *3283:45 0 +62 *3005:66 *3283:57 0 +63 *3021:15 *3283:37 0 +64 *3090:23 *3283:110 0 +65 *3094:31 *3283:81 0 +66 *3098:41 *3283:110 0 +*RES +1 *40392:X *3283:21 45.925 +2 *3283:21 *3283:27 42.6071 +3 *3283:27 *3283:37 49.1607 +4 *3283:37 *3283:44 8.42857 +5 *3283:44 *3283:45 112.25 +6 *3283:45 *3283:53 12.3214 +7 *3283:53 *3283:57 49.8036 +8 *3283:57 *3283:58 13.4821 +9 *3283:58 *3283:81 46.7609 +10 *3283:81 *38324:A 9.3 +11 *3283:58 *3283:110 46.1253 +12 *3283:110 *6464:DIODE 9.3 +*END + +*D_NET *3284 0.0209522 +*CONN +*I *6451:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38314:A I *D sky130_fd_sc_hd__and2_1 +*I *40393:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6451:DIODE 0.000337544 +2 *38314:A 2.0535e-05 +3 *40393:X 0.00033853 +4 *3284:37 0.00129523 +5 *3284:33 0.00242743 +6 *3284:29 0.00285381 +7 *3284:11 0.00735205 +8 *3284:10 0.00632706 +9 *6451:DIODE *3288:69 0 +10 *3284:11 *3540:13 0 +11 *3284:11 *3582:13 0 +12 *3284:11 *3684:86 0 +13 *3284:11 *3802:21 0 +14 *3284:11 *3802:35 0 +15 *3284:11 *3941:57 0 +16 *3284:11 *4069:41 0 +17 *3284:11 *4077:33 0 +18 *3284:29 *3400:84 0 +19 *3284:29 *3470:67 0 +20 *3284:29 *3540:13 0 +21 *3284:29 *3540:33 0 +22 *3284:29 *3945:38 0 +23 *3284:33 *3474:39 0 +24 *3284:37 *4065:57 0 +25 *3284:37 *5019:14 0 +26 *7459:DIODE *3284:11 0 +27 *38315:A *3284:37 0 +28 *39493:A *3284:29 0 +29 *39493:B *3284:29 0 +30 *40150:A *3284:37 0 +31 *287:17 *3284:37 0 +32 *1697:14 *3284:33 0 +33 *2929:44 *3284:10 0 +34 *2963:17 *3284:37 0 +35 *2973:23 *3284:37 0 +36 *3019:9 *6451:DIODE 0 +37 *3019:11 *6451:DIODE 0 +38 *3020:59 *6451:DIODE 0 +39 *3044:19 *6451:DIODE 0 +*RES +1 *40393:X *3284:10 25.9429 +2 *3284:10 *3284:11 124.982 +3 *3284:11 *3284:29 46.7768 +4 *3284:29 *3284:33 35.6339 +5 *3284:33 *3284:37 25.4464 +6 *3284:37 *38314:A 9.72857 +7 *3284:37 *6451:DIODE 16.4071 +*END + +*D_NET *3285 0.0291146 +*CONN +*I *6065:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38058:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *8193:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40393:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40394:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *6065:DIODE 0.000826874 +2 *38058:A_N 6.50276e-05 +3 *8193:DIODE 2.26741e-05 +4 *40393:A 0.000246676 +5 *40394:X 0.000353709 +6 *3285:167 0.00102654 +7 *3285:139 0.00163941 +8 *3285:112 0.00278866 +9 *3285:78 0.00236435 +10 *3285:60 0.00340652 +11 *3285:31 0.00722245 +12 *3285:29 0.00684715 +13 *3285:14 0.00230454 +14 *6065:DIODE *3997:87 0 +15 *6065:DIODE *4034:95 0 +16 *6065:DIODE *4084:31 0 +17 *38058:A_N *4084:31 0 +18 *40393:A *4330:11 0 +19 *3285:14 *3430:21 0 +20 *3285:14 *3933:64 0 +21 *3285:29 *8983:DIODE 0 +22 *3285:29 *40600:A 0 +23 *3285:29 *4149:32 0 +24 *3285:31 *3348:15 0 +25 *3285:31 *4149:32 0 +26 *3285:31 *4255:21 0 +27 *3285:31 *4261:87 0 +28 *3285:60 *3290:21 0 +29 *3285:60 *3348:21 0 +30 *3285:60 *3513:68 0 +31 *3285:60 *3722:25 0 +32 *3285:60 *4274:26 0 +33 *3285:60 *5084:22 0 +34 *3285:78 *3756:25 0 +35 *3285:112 *3388:55 0 +36 *3285:112 *3433:30 0 +37 *3285:112 *3750:98 0 +38 *3285:112 *3756:37 0 +39 *3285:112 *3774:25 0 +40 *3285:112 *3776:17 0 +41 *3285:112 *4087:26 0 +42 *3285:112 *4247:51 0 +43 *3285:139 *3658:98 0 +44 *3285:139 *3732:35 0 +45 *3285:139 *4330:11 0 +46 *3285:139 *4354:27 0 +47 *3285:167 *38058:B 0 +48 *7609:DIODE *6065:DIODE 0 +49 *7975:DIODE *3285:139 0 +50 *8171:DIODE *3285:29 0 +51 *39618:A *6065:DIODE 0 +52 *39630:A *3285:139 0 +53 *39993:A *6065:DIODE 0 +54 *1211:68 *6065:DIODE 0 +55 *1339:16 *6065:DIODE 0 +56 *1414:16 *3285:139 0 +57 *1435:44 *3285:14 0 +58 *1435:84 *3285:31 0 +59 *1824:54 *3285:139 0 +60 *1824:69 *3285:112 0 +61 *2384:28 *40393:A 0 +62 *2549:45 *3285:14 0 +63 *2929:44 *40393:A 0 +64 *2929:51 *3285:78 0 +65 *3000:32 *40393:A 0 +66 *3015:38 *3285:60 0 +67 *3040:21 *3285:60 0 +68 *3070:68 *3285:78 0 +69 *3092:11 *3285:31 0 +70 *3098:43 *3285:78 0 +71 *3110:24 *3285:112 0 +72 *3122:43 *3285:31 0 +73 *3148:20 *3285:14 0 +74 *3178:20 *3285:31 0 +75 *3210:11 *3285:29 0 +76 *3210:11 *3285:31 0 +77 *3210:15 *3285:29 0 +78 *3220:9 *3285:29 0 +79 *3253:15 *3285:29 0 +80 *3253:33 *3285:29 0 +81 *3261:15 *3285:31 0 +82 *3261:26 *3285:31 0 +83 *3272:20 *3285:31 0 +84 *3283:81 *3285:112 0 +85 *3283:110 *3285:139 0 +*RES +1 *40394:X *3285:14 26.3714 +2 *3285:14 *3285:29 41.0268 +3 *3285:29 *3285:31 102.188 +4 *3285:31 *3285:60 46.53 +5 *3285:60 *3285:78 47.5089 +6 *3285:78 *3285:112 47.1866 +7 *3285:112 *3285:139 48.4493 +8 *3285:139 *40393:A 23.7821 +9 *3285:139 *8193:DIODE 9.83571 +10 *3285:60 *3285:167 7.71429 +11 *3285:167 *38058:A_N 10.6571 +12 *3285:167 *6065:DIODE 37.0321 +*END + +*D_NET *3286 0.0400955 +*CONN +*I *38312:A I *D sky130_fd_sc_hd__and2_1 +*I *38056:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *6061:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6449:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40395:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *38312:A 0 +2 *38056:A_N 6.20329e-05 +3 *6061:DIODE 0.000125844 +4 *6449:DIODE 4.53482e-05 +5 *40395:X 0.00108637 +6 *3286:132 0.0036968 +7 *3286:131 0.00565685 +8 *3286:114 0.00573259 +9 *3286:90 0.00395827 +10 *3286:79 0.000511522 +11 *3286:72 0.00120653 +12 *3286:49 0.0027829 +13 *3286:34 0.00795186 +14 *3286:11 0.00727859 +15 *6061:DIODE *3476:58 0 +16 *6449:DIODE *3509:110 0 +17 *6449:DIODE *3963:84 0 +18 *38056:A_N *3476:59 0 +19 *3286:11 *5193:51 0 +20 *3286:34 *3288:24 0 +21 *3286:34 *3297:22 0 +22 *3286:34 *3389:28 0 +23 *3286:34 *3417:47 0 +24 *3286:34 *3481:22 0 +25 *3286:34 *3513:68 0 +26 *3286:34 *3535:18 0 +27 *3286:34 *4044:49 0 +28 *3286:34 *5189:34 0 +29 *3286:49 *3389:28 0 +30 *3286:49 *3484:14 0 +31 *3286:49 *4244:29 0 +32 *3286:49 *4572:44 0 +33 *3286:49 *4573:38 0 +34 *3286:49 *5167:19 0 +35 *3286:72 *3402:157 0 +36 *3286:72 *3950:75 0 +37 *3286:72 *3990:52 0 +38 *3286:72 *4003:42 0 +39 *3286:72 *4018:95 0 +40 *3286:72 *4216:65 0 +41 *3286:72 *4223:35 0 +42 *3286:79 *3481:57 0 +43 *3286:79 *4013:67 0 +44 *3286:90 *38312:B 0 +45 *3286:90 *3481:57 0 +46 *3286:90 *3945:52 0 +47 *3286:114 *5517:DIODE 0 +48 *3286:114 *6452:DIODE 0 +49 *3286:114 *3288:69 0 +50 *3286:114 *3401:27 0 +51 *3286:114 *3401:36 0 +52 *3286:114 *3484:46 0 +53 *3286:114 *3495:19 0 +54 *3286:114 *3779:82 0 +55 *3286:114 *3945:52 0 +56 *3286:114 *4223:62 0 +57 *3286:114 *4334:86 0 +58 *3286:114 *4580:30 0 +59 *3286:114 *4908:12 0 +60 *3286:131 *4906:8 0 +61 *3286:132 *38056:B 0 +62 *3286:132 *4405:19 0 +63 *7574:DIODE *3286:34 0 +64 *38129:A *6061:DIODE 0 +65 *38313:A *3286:90 0 +66 *38363:A *3286:114 0 +67 *39620:A *6061:DIODE 0 +68 *1214:31 *3286:79 0 +69 *1244:30 *3286:114 0 +70 *1244:30 *3286:131 0 +71 *1427:10 *3286:132 0 +72 *1456:46 *3286:114 0 +73 *1457:42 *3286:34 0 +74 *1458:37 *3286:72 0 +75 *1458:37 *3286:79 0 +76 *1570:26 *3286:114 0 +77 *1574:17 *3286:114 0 +78 *1575:15 *3286:114 0 +79 *1601:24 *3286:132 0 +80 *1748:8 *6061:DIODE 0 +81 *1840:52 *3286:34 0 +82 *2376:15 *3286:114 0 +83 *2376:15 *3286:131 0 +84 *2414:55 *3286:72 0 +85 *2800:48 *3286:114 0 +86 *2806:108 *3286:131 0 +87 *2809:11 *3286:90 0 +88 *2811:69 *3286:132 0 +89 *2815:70 *3286:90 0 +90 *2830:56 *3286:131 0 +91 *2833:20 *3286:114 0 +92 *2833:43 *3286:131 0 +93 *2833:48 *3286:131 0 +94 *2973:37 *38056:A_N 0 +95 *2973:37 *3286:132 0 +96 *3009:42 *3286:114 0 +97 *3009:52 *3286:114 0 +98 *3015:44 *3286:11 0 +99 *3022:13 *3286:49 0 +100 *3022:19 *3286:49 0 +101 *3091:72 *3286:49 0 +102 *3091:90 *3286:34 0 +103 *3092:9 *3286:11 0 +104 *3100:17 *3286:34 0 +105 *3100:31 *3286:34 0 +106 *3108:27 *3286:34 0 +107 *3118:6 *3286:49 0 +108 *3168:43 *3286:49 0 +109 *3237:37 *3286:11 0 +*RES +1 *40395:X *3286:11 46.05 +2 *3286:11 *3286:34 41.7363 +3 *3286:34 *3286:49 45.9821 +4 *3286:49 *3286:72 49.853 +5 *3286:72 *3286:79 8.64286 +6 *3286:79 *3286:90 11.8571 +7 *3286:90 *6449:DIODE 14.7464 +8 *3286:90 *3286:114 46.9567 +9 *3286:114 *3286:131 41.1405 +10 *3286:131 *3286:132 73.2321 +11 *3286:132 *6061:DIODE 21.1571 +12 *3286:132 *38056:A_N 10.6571 +13 *3286:79 *38312:A 9.3 +*END + +*D_NET *3287 0.0234917 +*CONN +*I *8195:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40395:A I *D sky130_fd_sc_hd__buf_6 +*I *40396:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8195:DIODE 0.0014183 +2 *40395:A 5.22648e-05 +3 *40396:X 0.00144724 +4 *3287:103 0.00328517 +5 *3287:91 0.00510648 +6 *3287:66 0.00524637 +7 *3287:47 0.00372156 +8 *3287:25 0.00321428 +9 *8195:DIODE *6130:DIODE 0 +10 *8195:DIODE *8714:DIODE 0 +11 *3287:25 *3289:19 0 +12 *3287:25 *3309:39 0 +13 *3287:25 *3311:37 0 +14 *3287:25 *3311:49 0 +15 *3287:25 *4275:67 0 +16 *3287:47 *3296:49 0 +17 *3287:47 *3302:11 0 +18 *3287:47 *3309:39 0 +19 *3287:47 *3311:61 0 +20 *3287:47 *3435:45 0 +21 *3287:47 *4275:67 0 +22 *3287:47 *4275:81 0 +23 *3287:47 *4517:81 0 +24 *3287:66 *3435:45 0 +25 *3287:66 *3435:52 0 +26 *3287:66 *5196:39 0 +27 *3287:91 *8203:DIODE 0 +28 *3287:91 *3291:52 0 +29 *3287:91 *3435:76 0 +30 *3287:91 *3500:55 0 +31 *3287:91 *3503:16 0 +32 *3287:91 *3515:34 0 +33 *3287:91 *3783:24 0 +34 *3287:91 *4045:53 0 +35 *3287:91 *4233:71 0 +36 *3287:91 *4238:18 0 +37 *3287:91 *4252:50 0 +38 *3287:91 *4270:13 0 +39 *3287:91 *4276:37 0 +40 *3287:103 *6130:DIODE 0 +41 *3287:103 *8855:DIODE 0 +42 *3287:103 *3460:22 0 +43 *3287:103 *4045:53 0 +44 *3287:103 *4233:71 0 +45 *3287:103 *4276:37 0 +46 *6583:DIODE *3287:91 0 +47 *6595:DIODE *3287:91 0 +48 *6605:DIODE *3287:91 0 +49 *7590:DIODE *3287:66 0 +50 *7604:DIODE *3287:25 0 +51 *39603:A *3287:47 0 +52 *39613:A *3287:25 0 +53 *39976:A *3287:91 0 +54 *40233:A *3287:91 0 +55 *407:36 *8195:DIODE 0 +56 *2381:49 *3287:91 0 +57 *2401:10 *3287:47 0 +58 *2406:17 *3287:47 0 +59 *2415:10 *3287:25 0 +60 *2426:84 *3287:103 0 +61 *2794:13 *3287:66 0 +62 *2794:13 *3287:91 0 +63 *2820:22 *3287:91 0 +64 *2829:14 *3287:91 0 +65 *3025:21 *3287:66 0 +66 *3034:11 *3287:66 0 +67 *3105:42 *3287:66 0 +68 *3113:81 *8195:DIODE 0 +69 *3154:14 *3287:47 0 +70 *3154:47 *3287:25 0 +71 *3220:8 *3287:91 0 +72 *3221:36 *8195:DIODE 0 +73 *3221:36 *3287:103 0 +74 *3230:39 *3287:91 0 +75 *3244:10 *3287:47 0 +76 *3251:67 *8195:DIODE 0 +77 *3266:134 *3287:103 0 +78 *3276:26 *3287:66 0 +79 *3276:53 *3287:66 0 +*RES +1 *40396:X *3287:25 48.7821 +2 *3287:25 *3287:47 46.8036 +3 *3287:47 *3287:66 46.9652 +4 *3287:66 *3287:91 48.6147 +5 *3287:91 *3287:103 14.5435 +6 *3287:103 *40395:A 18.3975 +7 *3287:103 *8195:DIODE 23.1135 +*END + +*D_NET *3288 0.0296464 +*CONN +*I *6446:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6057:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38054:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *38310:A I *D sky130_fd_sc_hd__and2_1 +*I *40397:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6446:DIODE 6.95487e-05 +2 *6057:DIODE 6.95291e-05 +3 *38054:A_N 0.00014257 +4 *38310:A 0 +5 *40397:X 0.00123199 +6 *3288:86 0.000212099 +7 *3288:84 0.00203297 +8 *3288:81 0.00335429 +9 *3288:69 0.00301464 +10 *3288:47 0.00191223 +11 *3288:36 0.00132367 +12 *3288:24 0.00811261 +13 *3288:10 0.0081703 +14 *38054:A_N *3962:11 0 +15 *38054:A_N *3962:15 0 +16 *3288:10 *8197:DIODE 0 +17 *3288:10 *3423:21 0 +18 *3288:10 *4014:66 0 +19 *3288:10 *4270:45 0 +20 *3288:24 *3297:22 0 +21 *3288:24 *3348:121 0 +22 *3288:24 *3398:40 0 +23 *3288:24 *3405:41 0 +24 *3288:24 *3407:52 0 +25 *3288:24 *3419:28 0 +26 *3288:24 *3478:48 0 +27 *3288:24 *3999:52 0 +28 *3288:24 *4219:76 0 +29 *3288:24 *4244:43 0 +30 *3288:24 *4254:105 0 +31 *3288:24 *4274:26 0 +32 *3288:24 *4404:113 0 +33 *3288:24 *4572:44 0 +34 *3288:24 *4573:28 0 +35 *3288:24 *5176:9 0 +36 *3288:36 *6485:DIODE 0 +37 *3288:36 *38310:B 0 +38 *3288:36 *40983:A 0 +39 *3288:36 *3400:108 0 +40 *3288:36 *4033:83 0 +41 *3288:69 *40991:A 0 +42 *3288:69 *3495:19 0 +43 *3288:69 *3498:51 0 +44 *3288:84 *38054:B 0 +45 *3288:84 *3962:11 0 +46 *6451:DIODE *3288:69 0 +47 *7565:DIODE *3288:10 0 +48 *39567:A *3288:10 0 +49 *380:31 *3288:81 0 +50 *1005:91 *3288:81 0 +51 *1426:6 *6057:DIODE 0 +52 *1457:42 *3288:24 0 +53 *1458:37 *3288:36 0 +54 *1463:18 *3288:24 0 +55 *1569:12 *3288:36 0 +56 *1575:15 *3288:69 0 +57 *1839:23 *38054:A_N 0 +58 *1840:72 *3288:24 0 +59 *2365:24 *3288:10 0 +60 *2380:13 *3288:36 0 +61 *2388:23 *3288:84 0 +62 *2388:48 *3288:69 0 +63 *2414:41 *3288:36 0 +64 *2800:53 *3288:69 0 +65 *2800:53 *3288:81 0 +66 *2800:75 *6057:DIODE 0 +67 *2806:71 *3288:36 0 +68 *2806:92 *3288:69 0 +69 *2809:24 *3288:69 0 +70 *2815:70 *3288:69 0 +71 *2963:17 *3288:81 0 +72 *2963:25 *3288:81 0 +73 *2968:53 *3288:24 0 +74 *2990:13 *3288:81 0 +75 *3015:58 *3288:10 0 +76 *3019:11 *3288:81 0 +77 *3020:59 *3288:69 0 +78 *3042:70 *3288:24 0 +79 *3044:16 *3288:69 0 +80 *3095:27 *3288:36 0 +81 *3116:71 *3288:10 0 +82 *3251:35 *3288:24 0 +83 *3281:24 *3288:24 0 +84 *3286:34 *3288:24 0 +85 *3286:114 *3288:69 0 +*RES +1 *40397:X *3288:10 42.9689 +2 *3288:10 *3288:24 39.3783 +3 *3288:24 *3288:36 34.8125 +4 *3288:36 *38310:A 13.8 +5 *3288:36 *3288:47 3.51786 +6 *3288:47 *3288:69 45.8766 +7 *3288:69 *3288:81 46.2143 +8 *3288:81 *3288:84 46.9286 +9 *3288:84 *3288:86 4.5 +10 *3288:86 *38054:A_N 16.9786 +11 *3288:86 *6057:DIODE 15.4429 +12 *3288:47 *6446:DIODE 15.4429 +*END + +*D_NET *3289 0.020844 +*CONN +*I *8197:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40397:A I *D sky130_fd_sc_hd__buf_4 +*I *40398:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8197:DIODE 0.000812579 +2 *40397:A 0 +3 *40398:X 0.00160763 +4 *3289:37 0.00198046 +5 *3289:29 0.00631019 +6 *3289:28 0.00683391 +7 *3289:19 0.00329922 +8 *8197:DIODE *40588:A 0 +9 *8197:DIODE *4014:66 0 +10 *3289:19 *3309:39 0 +11 *3289:19 *3319:103 0 +12 *3289:19 *3440:67 0 +13 *3289:19 *3497:50 0 +14 *3289:19 *3497:59 0 +15 *3289:19 *3508:28 0 +16 *3289:19 *3515:34 0 +17 *3289:28 *3441:55 0 +18 *3289:29 *3306:29 0 +19 *3289:29 *3410:15 0 +20 *3289:29 *3510:18 0 +21 *3289:29 *4270:17 0 +22 *3289:29 *4272:39 0 +23 *3289:37 *3535:19 0 +24 *3289:37 *4270:32 0 +25 *40303:A *8197:DIODE 0 +26 *40303:A *3289:37 0 +27 *40316:A *3289:28 0 +28 *2365:76 *3289:19 0 +29 *3015:25 *3289:29 0 +30 *3084:11 *3289:29 0 +31 *3129:15 *3289:28 0 +32 *3129:21 *3289:28 0 +33 *3155:59 *8197:DIODE 0 +34 *3155:59 *3289:37 0 +35 *3155:61 *8197:DIODE 0 +36 *3155:61 *3289:37 0 +37 *3178:43 *3289:19 0 +38 *3187:13 *3289:29 0 +39 *3194:135 *3289:29 0 +40 *3202:24 *3289:28 0 +41 *3203:73 *3289:28 0 +42 *3225:35 *3289:19 0 +43 *3239:9 *3289:28 0 +44 *3265:57 *3289:19 0 +45 *3287:25 *3289:19 0 +46 *3288:10 *8197:DIODE 0 +*RES +1 *40398:X *3289:19 49.3277 +2 *3289:19 *3289:28 49.3482 +3 *3289:28 *3289:29 107.321 +4 *3289:29 *3289:37 33.4821 +5 *3289:37 *40397:A 9.3 +6 *3289:37 *8197:DIODE 35.4429 +*END + +*D_NET *3290 0.0375152 +*CONN +*I *6053:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38052:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *38308:A I *D sky130_fd_sc_hd__and2_1 +*I *6443:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40399:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6053:DIODE 2.26741e-05 +2 *38052:A_N 0.000330848 +3 *38308:A 0 +4 *6443:DIODE 0.000242354 +5 *40399:X 0.00646571 +6 *3290:75 0.00106059 +7 *3290:69 0.00401087 +8 *3290:42 0.00175392 +9 *3290:30 0.0109889 +10 *3290:21 0.0126393 +11 *6053:DIODE *3394:61 0 +12 *6443:DIODE *3501:87 0 +13 *38052:A_N *38052:B 0 +14 *38052:A_N *3962:7 0 +15 *3290:21 *3696:27 0 +16 *3290:21 *4045:53 0 +17 *3290:21 *4323:77 0 +18 *3290:30 *3413:132 0 +19 *3290:30 *3506:37 0 +20 *3290:42 *3479:98 0 +21 *3290:42 *3764:34 0 +22 *3290:69 *3401:27 0 +23 *3290:69 *3506:41 0 +24 *3290:69 *3666:80 0 +25 *3290:69 *3718:33 0 +26 *3290:69 *3748:20 0 +27 *3290:69 *3940:26 0 +28 *3290:75 *38046:C 0 +29 *3290:75 *3394:61 0 +30 *38109:A *3290:75 0 +31 *39988:A *3290:42 0 +32 *360:20 *3290:69 0 +33 *371:15 *3290:69 0 +34 *380:26 *3290:69 0 +35 *1002:26 *3290:30 0 +36 *1018:62 *3290:30 0 +37 *1214:31 *6443:DIODE 0 +38 *1423:21 *3290:69 0 +39 *1428:19 *3290:21 0 +40 *1449:17 *3290:21 0 +41 *1460:14 *3290:69 0 +42 *1567:22 *3290:69 0 +43 *2366:40 *3290:42 0 +44 *2388:48 *38052:A_N 0 +45 *2829:28 *3290:21 0 +46 *2829:28 *3290:30 0 +47 *2834:44 *3290:30 0 +48 *2871:39 *3290:21 0 +49 *2871:39 *3290:30 0 +50 *2905:28 *3290:21 0 +51 *2980:44 *38052:A_N 0 +52 *3000:57 *38052:A_N 0 +53 *3028:29 *3290:69 0 +54 *3036:72 *3290:42 0 +55 *3040:21 *3290:21 0 +56 *3042:70 *3290:69 0 +57 *3045:52 *3290:69 0 +58 *3088:18 *3290:30 0 +59 *3088:18 *3290:42 0 +60 *3097:18 *3290:30 0 +61 *3117:12 *3290:75 0 +62 *3285:60 *3290:21 0 +*RES +1 *40399:X *3290:21 49.1839 +2 *3290:21 *3290:30 34.6069 +3 *3290:30 *3290:42 43.2054 +4 *3290:42 *6443:DIODE 19.2821 +5 *3290:42 *38308:A 13.8 +6 *3290:30 *3290:69 46.7985 +7 *3290:69 *3290:75 23.3832 +8 *3290:75 *38052:A_N 25.6214 +9 *3290:75 *6053:DIODE 9.83571 +*END + +*D_NET *3291 0.0250065 +*CONN +*I *8199:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40399:A I *D sky130_fd_sc_hd__buf_4 +*I *40400:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8199:DIODE 0 +2 *40399:A 8.4707e-05 +3 *40400:X 0.000735055 +4 *3291:68 0.00194189 +5 *3291:52 0.00417594 +6 *3291:37 0.00380534 +7 *3291:21 0.00306003 +8 *3291:11 0.00593628 +9 *3291:10 0.00526731 +10 *40399:A *4149:94 0 +11 *3291:10 *4878:33 0 +12 *3291:10 *4888:26 0 +13 *3291:10 *4889:22 0 +14 *3291:21 *3500:44 0 +15 *3291:21 *4754:15 0 +16 *3291:21 *5188:81 0 +17 *3291:21 *5193:83 0 +18 *3291:37 *40498:A 0 +19 *3291:37 *40499:A 0 +20 *3291:37 *3417:7 0 +21 *3291:37 *3417:18 0 +22 *3291:37 *5165:10 0 +23 *3291:37 *5189:66 0 +24 *3291:52 *8515:DIODE 0 +25 *3291:52 *3348:15 0 +26 *3291:52 *3434:19 0 +27 *3291:52 *3469:14 0 +28 *3291:52 *3776:17 0 +29 *3291:52 *4045:53 0 +30 *3291:52 *5101:13 0 +31 *3291:68 *6130:DIODE 0 +32 *3291:68 *3697:99 0 +33 *3291:68 *4149:121 0 +34 *3291:68 *4238:59 0 +35 *3291:68 *4238:98 0 +36 *3291:68 *5193:48 0 +37 la_data_in_mprj[111] *3291:37 0 +38 *6745:DIODE *3291:68 0 +39 *7540:DIODE *3291:37 0 +40 *402:35 *3291:37 0 +41 *528:31 *3291:52 0 +42 *529:19 *3291:52 0 +43 *641:5 *3291:10 0 +44 *784:10 *3291:37 0 +45 *1030:54 *3291:52 0 +46 *1220:37 *3291:11 0 +47 *1230:21 *3291:11 0 +48 *1230:27 *3291:21 0 +49 *2390:15 *3291:11 0 +50 *2442:79 *3291:52 0 +51 *2838:8 *3291:37 0 +52 *2838:9 *3291:21 0 +53 *2984:11 *3291:11 0 +54 *2984:20 *3291:21 0 +55 *3018:21 *3291:21 0 +56 *3034:20 *3291:37 0 +57 *3113:117 *40399:A 0 +58 *3141:30 *3291:37 0 +59 *3154:47 *3291:10 0 +60 *3235:80 *3291:68 0 +61 *3239:8 *3291:52 0 +62 *3250:49 *3291:11 0 +63 *3253:13 *3291:68 0 +64 *3287:91 *3291:52 0 +*RES +1 *40400:X *3291:10 34.8536 +2 *3291:10 *3291:11 94.5893 +3 *3291:11 *3291:21 42.9107 +4 *3291:21 *3291:37 48.4161 +5 *3291:37 *3291:52 38.7449 +6 *3291:52 *40399:A 15.5679 +7 *3291:52 *3291:68 45.2321 +8 *3291:68 *8199:DIODE 9.3 +*END + +*D_NET *3292 0.0287906 +*CONN +*I *38306:A I *D sky130_fd_sc_hd__and2_2 +*I *6440:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38050:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *6049:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40401:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *38306:A 0.000158171 +2 *6440:DIODE 0 +3 *38050:A_N 0.000166388 +4 *6049:DIODE 0 +5 *40401:X 0.00555105 +6 *3292:94 0.00066031 +7 *3292:85 0.00271222 +8 *3292:84 0.00333867 +9 *3292:55 0.00132457 +10 *3292:48 0.00467888 +11 *3292:13 0.0102003 +12 *38050:A_N *38050:C 0 +13 *38050:A_N *4334:42 0 +14 *38050:A_N *4343:32 0 +15 *38050:A_N *4343:53 0 +16 *3292:13 *8201:DIODE 0 +17 *3292:13 *3405:22 0 +18 *3292:13 *3407:18 0 +19 *3292:13 *3675:67 0 +20 *3292:13 *3696:62 0 +21 *3292:13 *4149:47 0 +22 *3292:13 *4259:40 0 +23 *3292:13 *5189:46 0 +24 *3292:48 *3405:41 0 +25 *3292:48 *3407:52 0 +26 *3292:48 *3987:43 0 +27 *3292:48 *4010:69 0 +28 *3292:48 *4023:54 0 +29 *3292:48 *4094:60 0 +30 *3292:48 *4232:40 0 +31 *3292:48 *4259:40 0 +32 *3292:48 *4274:26 0 +33 *3292:48 *4318:21 0 +34 *3292:48 *4318:38 0 +35 *3292:48 *4596:48 0 +36 *3292:55 *3978:67 0 +37 *3292:55 *4318:38 0 +38 *3292:84 *3297:75 0 +39 *3292:84 *3297:81 0 +40 *3292:84 *3776:71 0 +41 *3292:84 *4010:89 0 +42 *3292:84 *4051:58 0 +43 *3292:84 *4318:38 0 +44 *3292:84 *4334:42 0 +45 *3292:85 *3582:13 0 +46 *3292:94 *3474:18 0 +47 *1009:155 *38050:A_N 0 +48 *1009:155 *3292:55 0 +49 *1009:155 *3292:84 0 +50 *1011:273 *3292:13 0 +51 *1454:58 *3292:48 0 +52 *1548:10 *3292:84 0 +53 *1549:25 *38050:A_N 0 +54 *2819:17 *3292:84 0 +55 *3013:47 *38050:A_N 0 +56 *3037:20 *3292:13 0 +57 *3045:47 *38050:A_N 0 +58 *3046:13 *3292:84 0 +59 *3046:26 *3292:94 0 +60 *3251:35 *3292:48 0 +*RES +1 *40401:X *3292:13 47.7033 +2 *3292:13 *3292:48 49.919 +3 *3292:48 *6049:DIODE 13.8 +4 *3292:48 *3292:55 0.776786 +5 *3292:55 *38050:A_N 17.7196 +6 *3292:55 *3292:84 38.5982 +7 *3292:84 *3292:85 46.125 +8 *3292:85 *3292:94 18.7127 +9 *3292:94 *6440:DIODE 13.8 +10 *3292:94 *38306:A 17.55 +*END + +*D_NET *3293 0.00504221 +*CONN +*I *37534:B I *D sky130_fd_sc_hd__and2_1 +*I *38508:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *37534:B 0.00135018 +2 *38508:X 0.00117092 +3 *3293:11 0.0025211 +4 *37534:B *40543:A 0 +5 *37534:B *3463:21 0 +6 *37534:B *3872:11 0 +7 *37534:B *3918:25 0 +8 *37534:B *4154:50 0 +9 *37534:B *4828:11 0 +10 *3293:11 *39076:A 0 +11 *3293:11 *3377:9 0 +12 la_data_in_mprj[33] *3293:11 0 +13 la_data_in_mprj[34] *3293:11 0 +14 *39073:A *3293:11 0 +15 *39074:A *3293:11 0 +16 *39075:A *37534:B 0 +17 *1985:13 *37534:B 0 +*RES +1 *38508:X *3293:11 38.3 +2 *3293:11 *37534:B 43.9786 +*END + +*D_NET *3294 0.0197142 +*CONN +*I *8201:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40401:A I *D sky130_fd_sc_hd__buf_4 +*I *40402:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8201:DIODE 0.0011108 +2 *40401:A 0 +3 *40402:X 7.23016e-05 +4 *3294:59 0.00150502 +5 *3294:53 0.00162903 +6 *3294:24 0.0040639 +7 *3294:9 0.00704498 +8 *3294:8 0.00428818 +9 *8201:DIODE *40578:A 0 +10 *8201:DIODE *3435:76 0 +11 *8201:DIODE *3783:41 0 +12 *3294:9 *3300:29 0 +13 *3294:9 *3300:40 0 +14 *3294:9 *3311:20 0 +15 *3294:9 *3785:67 0 +16 *3294:24 *8215:DIODE 0 +17 *3294:24 *3300:40 0 +18 *3294:24 *3311:49 0 +19 *3294:24 *3311:61 0 +20 *3294:24 *3520:45 0 +21 *3294:24 *3759:45 0 +22 *3294:24 *3777:65 0 +23 *3294:53 *8213:DIODE 0 +24 *3294:53 *3299:16 0 +25 *3294:53 *3300:65 0 +26 *3294:53 *3309:61 0 +27 *3294:53 *3422:46 0 +28 *3294:53 *4238:18 0 +29 *3294:59 *3783:41 0 +30 *6627:DIODE *8201:DIODE 0 +31 *7585:DIODE *3294:53 0 +32 *8067:DIODE *3294:53 0 +33 *8157:DIODE *3294:53 0 +34 *8165:DIODE *3294:24 0 +35 *39976:A *3294:59 0 +36 *40248:A *3294:24 0 +37 *40350:A *3294:53 0 +38 *400:29 *8201:DIODE 0 +39 *1239:34 *8201:DIODE 0 +40 *1239:34 *3294:53 0 +41 *1239:34 *3294:59 0 +42 *2425:13 *3294:59 0 +43 *2425:24 *3294:53 0 +44 *2425:24 *3294:59 0 +45 *2440:58 *3294:53 0 +46 *3135:59 *8201:DIODE 0 +47 *3234:27 *3294:24 0 +48 *3237:22 *8201:DIODE 0 +49 *3244:11 *3294:9 0 +50 *3265:18 *8201:DIODE 0 +51 *3292:13 *8201:DIODE 0 +*RES +1 *40402:X *3294:8 19.9429 +2 *3294:8 *3294:9 88.0179 +3 *3294:9 *3294:24 47.5705 +4 *3294:24 *3294:53 49.6786 +5 *3294:53 *3294:59 8.48214 +6 *3294:59 *40401:A 9.3 +7 *3294:59 *8201:DIODE 41.9964 +*END + +*D_NET *3295 0.0403704 +*CONN +*I *38048:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *6046:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6437:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38304:A I *D sky130_fd_sc_hd__and2_1 +*I *40403:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *38048:A_N 0.000412485 +2 *6046:DIODE 0.000431989 +3 *6437:DIODE 4.23535e-05 +4 *38304:A 6.50276e-05 +5 *40403:X 0.00377138 +6 *3295:102 0.00195027 +7 *3295:90 0.00270329 +8 *3295:74 0.0041003 +9 *3295:50 0.000741618 +10 *3295:40 0.00450328 +11 *3295:33 0.00962163 +12 *3295:20 0.0120268 +13 *6046:DIODE *3963:88 0 +14 *6437:DIODE *3479:73 0 +15 *6437:DIODE *3776:50 0 +16 *38048:A_N *37870:A 0 +17 *38048:A_N *3470:67 0 +18 *38048:A_N *3764:45 0 +19 *38304:A *3479:73 0 +20 *38304:A *3776:50 0 +21 *3295:20 *3296:62 0 +22 *3295:20 *3443:88 0 +23 *3295:20 *3499:48 0 +24 *3295:20 *3543:21 0 +25 *3295:20 *4149:47 0 +26 *3295:20 *4233:71 0 +27 *3295:33 *3499:67 0 +28 *3295:33 *3521:26 0 +29 *3295:33 *4021:22 0 +30 *3295:40 *3469:30 0 +31 *3295:40 *3732:49 0 +32 *3295:40 *3768:47 0 +33 *3295:40 *5027:21 0 +34 *3295:50 *3657:42 0 +35 *3295:50 *3732:49 0 +36 *3295:74 *3625:50 0 +37 *3295:74 *3657:58 0 +38 *3295:74 *4566:49 0 +39 *3295:74 *4902:6 0 +40 *3295:90 *3479:82 0 +41 *3295:90 *4080:29 0 +42 *3295:102 *3470:67 0 +43 *3295:102 *3755:33 0 +44 *3295:102 *4566:34 0 +45 *371:15 *3295:102 0 +46 *1000:33 *3295:33 0 +47 *1006:28 *3295:33 0 +48 *1012:34 *3295:33 0 +49 *1019:20 *3295:33 0 +50 *1019:23 *38048:A_N 0 +51 *1423:21 *6046:DIODE 0 +52 *1553:39 *3295:40 0 +53 *1554:6 *3295:102 0 +54 *1572:21 *3295:33 0 +55 *1696:30 *3295:50 0 +56 *2380:34 *3295:33 0 +57 *2402:30 *3295:33 0 +58 *2402:55 *3295:20 0 +59 *2469:21 *3295:33 0 +60 *2475:25 *3295:40 0 +61 *2812:20 *3295:20 0 +62 *2813:46 *3295:90 0 +63 *2813:46 *3295:102 0 +64 *2820:22 *3295:20 0 +65 *2833:30 *38048:A_N 0 +66 *2833:30 *3295:102 0 +67 *2839:40 *3295:74 0 +68 *2921:10 *3295:40 0 +69 *2924:10 *3295:74 0 +70 *2970:45 *3295:74 0 +71 *3047:24 *3295:20 0 +72 *3074:18 *3295:20 0 +73 *3097:18 *3295:90 0 +74 *3108:30 *3295:40 0 +75 *3114:15 *3295:33 0 +*RES +1 *40403:X *3295:20 49.6679 +2 *3295:20 *3295:33 42.2996 +3 *3295:33 *3295:40 39.9643 +4 *3295:40 *3295:50 19.0268 +5 *3295:50 *38304:A 10.6571 +6 *3295:50 *6437:DIODE 10.2464 +7 *3295:40 *3295:74 49.5367 +8 *3295:74 *3295:90 47.4643 +9 *3295:90 *3295:102 32.5536 +10 *3295:102 *6046:DIODE 28.0679 +11 *3295:102 *38048:A_N 27.425 +*END + +*D_NET *3296 0.0209551 +*CONN +*I *40403:A I *D sky130_fd_sc_hd__buf_4 +*I *8203:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40404:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40403:A 0 +2 *8203:DIODE 0.00105108 +3 *40404:X 0.000165918 +4 *3296:62 0.0035885 +5 *3296:49 0.00481916 +6 *3296:29 0.00374255 +7 *3296:9 0.00444138 +8 *3296:8 0.00314649 +9 *8203:DIODE *3435:76 0 +10 *8203:DIODE *4252:50 0 +11 *3296:8 *3444:37 0 +12 *3296:8 *4082:24 0 +13 *3296:8 *4513:109 0 +14 *3296:9 *37662:B 0 +15 *3296:9 *3321:90 0 +16 *3296:9 *3435:37 0 +17 *3296:9 *3508:19 0 +18 *3296:9 *3977:108 0 +19 *3296:9 *4502:45 0 +20 *3296:9 *4505:30 0 +21 *3296:9 *4506:62 0 +22 *3296:29 *3435:37 0 +23 *3296:29 *3435:41 0 +24 *3296:29 *3435:45 0 +25 *3296:29 *3497:27 0 +26 *3296:29 *4502:45 0 +27 *3296:29 *4981:23 0 +28 *3296:49 *8317:DIODE 0 +29 *3296:49 *3435:45 0 +30 *3296:49 *3525:29 0 +31 *3296:49 *4193:27 0 +32 *3296:49 *4981:23 0 +33 *3296:62 *8660:DIODE 0 +34 *3296:62 *41259:A 0 +35 *3296:62 *3502:28 0 +36 *3296:62 *3510:9 0 +37 *3296:62 *3515:34 0 +38 *3296:62 *4149:47 0 +39 *5267:DIODE *3296:49 0 +40 *6561:DIODE *3296:49 0 +41 *6627:DIODE *8203:DIODE 0 +42 *6732:DIODE *3296:49 0 +43 *7001:DIODE *3296:29 0 +44 *7548:DIODE *3296:49 0 +45 *7596:DIODE *3296:49 0 +46 *37398:A *3296:9 0 +47 *37408:A *3296:29 0 +48 *38414:A *3296:49 0 +49 *38853:A *3296:29 0 +50 *39603:A *3296:49 0 +51 *1230:20 *3296:9 0 +52 *1441:51 *8203:DIODE 0 +53 *2381:35 *8203:DIODE 0 +54 *2381:49 *3296:29 0 +55 *2386:67 *3296:9 0 +56 *2393:63 *3296:9 0 +57 *2404:10 *3296:49 0 +58 *2415:10 *3296:29 0 +59 *3015:12 *8203:DIODE 0 +60 *3015:12 *3296:62 0 +61 *3086:51 *3296:49 0 +62 *3105:23 *3296:62 0 +63 *3135:59 *8203:DIODE 0 +64 *3154:35 *3296:29 0 +65 *3154:35 *3296:49 0 +66 *3174:16 *3296:49 0 +67 *3174:40 *3296:29 0 +68 *3211:49 *3296:62 0 +69 *3235:111 *8203:DIODE 0 +70 *3272:14 *8203:DIODE 0 +71 *3281:24 *3296:62 0 +72 *3287:47 *3296:49 0 +73 *3287:91 *8203:DIODE 0 +74 *3295:20 *3296:62 0 +*RES +1 *40404:X *3296:8 22.0679 +2 *3296:8 *3296:9 62.1429 +3 *3296:9 *3296:29 39.9732 +4 *3296:29 *3296:49 40.1007 +5 *3296:49 *3296:62 48.9327 +6 *3296:62 *8203:DIODE 36.9642 +7 *3296:62 *40403:A 13.8 +*END + +*D_NET *3297 0.024173 +*CONN +*I *6434:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38302:A I *D sky130_fd_sc_hd__and2_1 +*I *6043:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38046:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *40405:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6434:DIODE 0 +2 *38302:A 0.000104386 +3 *6043:DIODE 0.000470594 +4 *38046:A_N 0 +5 *40405:X 0.00197021 +6 *3297:123 0.00126073 +7 *3297:108 0.000937386 +8 *3297:106 0.00111442 +9 *3297:100 0.00214789 +10 *3297:81 0.00327058 +11 *3297:75 0.00349911 +12 *3297:51 0.00269102 +13 *3297:35 0.0030613 +14 *3297:22 0.00364534 +15 *6043:DIODE *38046:B 0 +16 *6043:DIODE *3764:45 0 +17 *38302:A *3406:130 0 +18 *38302:A *4065:57 0 +19 *3297:22 *3417:47 0 +20 *3297:22 *4014:40 0 +21 *3297:22 *4268:24 0 +22 *3297:22 *4274:26 0 +23 *3297:35 *38112:B 0 +24 *3297:35 *3416:57 0 +25 *3297:35 *3477:23 0 +26 *3297:35 *3489:7 0 +27 *3297:35 *5084:23 0 +28 *3297:35 *5084:43 0 +29 *3297:51 *38112:B 0 +30 *3297:51 *3348:112 0 +31 *3297:51 *4563:89 0 +32 *3297:51 *5084:43 0 +33 *3297:75 *3301:68 0 +34 *3297:75 *3411:121 0 +35 *3297:75 *3424:50 0 +36 *3297:75 *3434:56 0 +37 *3297:75 *3700:65 0 +38 *3297:75 *3904:80 0 +39 *3297:75 *4003:26 0 +40 *3297:75 *4010:89 0 +41 *3297:81 *3509:98 0 +42 *3297:81 *3696:121 0 +43 *3297:81 *3963:56 0 +44 *3297:81 *3978:77 0 +45 *3297:81 *3978:99 0 +46 *3297:81 *4010:89 0 +47 *3297:81 *4010:96 0 +48 *3297:81 *4318:45 0 +49 *3297:81 *4318:63 0 +50 *3297:100 *3406:112 0 +51 *3297:100 *4330:62 0 +52 *3297:106 *3479:105 0 +53 *3297:106 *3718:41 0 +54 *3297:106 *3761:69 0 +55 *3297:106 *4087:93 0 +56 *3297:106 *4312:19 0 +57 *3297:108 *3400:93 0 +58 *3297:108 *4036:18 0 +59 *3297:123 *38046:B 0 +60 *3297:123 *3764:45 0 +61 *3297:123 *4065:57 0 +62 *7613:DIODE *3297:81 0 +63 *8293:DIODE *3297:35 0 +64 *38325:A *3297:81 0 +65 *39623:A *3297:81 0 +66 *40135:A *6043:DIODE 0 +67 *40147:A *3297:81 0 +68 *40565:A *3297:35 0 +69 *1002:53 *3297:35 0 +70 *1422:30 *6043:DIODE 0 +71 *1448:64 *3297:81 0 +72 *1450:25 *3297:51 0 +73 *1459:21 *3297:51 0 +74 *1462:33 *3297:51 0 +75 *1564:35 *3297:75 0 +76 *1571:37 *3297:75 0 +77 *2375:27 *3297:81 0 +78 *2426:12 *3297:81 0 +79 *2830:33 *3297:100 0 +80 *2996:13 *6043:DIODE 0 +81 *3009:22 *3297:81 0 +82 *3013:72 *3297:100 0 +83 *3020:59 *6043:DIODE 0 +84 *3107:53 *3297:51 0 +85 *3111:69 *3297:35 0 +86 *3116:63 *3297:51 0 +87 *3168:63 *3297:35 0 +88 *3286:34 *3297:22 0 +89 *3288:24 *3297:22 0 +90 *3292:84 *3297:75 0 +91 *3292:84 *3297:81 0 +*RES +1 *40405:X *3297:22 38.7209 +2 *3297:22 *3297:35 48.6071 +3 *3297:35 *3297:51 48.25 +4 *3297:51 *3297:75 40.9585 +5 *3297:75 *3297:81 45.8571 +6 *3297:81 *3297:100 45.8214 +7 *3297:100 *3297:106 32.125 +8 *3297:106 *3297:108 1.03571 +9 *3297:108 *3297:123 30.3214 +10 *3297:123 *38046:A_N 9.3 +11 *3297:123 *6043:DIODE 19.4607 +12 *3297:108 *38302:A 15.9786 +13 *3297:106 *6434:DIODE 13.8 +*END + +*D_NET *3298 0.0322046 +*CONN +*I *40405:A I *D sky130_fd_sc_hd__buf_4 +*I *8205:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40406:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40405:A 2.26741e-05 +2 *8205:DIODE 0 +3 *40406:X 0.00153907 +4 *3298:79 0.00126517 +5 *3298:63 0.00275625 +6 *3298:59 0.00484858 +7 *3298:40 0.011807 +8 *3298:24 0.00996588 +9 *40405:A *4044:25 0 +10 *3298:24 *3433:20 0 +11 *3298:24 *3511:85 0 +12 *3298:24 *4286:61 0 +13 *3298:40 *3310:40 0 +14 *3298:40 *3531:36 0 +15 *3298:40 *3678:19 0 +16 *3298:40 *3678:32 0 +17 *3298:40 *3678:45 0 +18 *3298:40 *3708:8 0 +19 *3298:40 *3733:72 0 +20 *3298:40 *3820:61 0 +21 *3298:40 *3968:35 0 +22 *3298:40 *4067:39 0 +23 *3298:59 *3429:22 0 +24 *3298:59 *3524:25 0 +25 *3298:59 *3750:98 0 +26 *3298:59 *4033:44 0 +27 *3298:59 *4354:27 0 +28 *3298:63 *3406:32 0 +29 *3298:63 *3406:45 0 +30 *3298:63 *3433:30 0 +31 *3298:63 *4044:25 0 +32 *3298:63 *4232:40 0 +33 *3298:63 *4278:27 0 +34 *3298:79 *40204:A 0 +35 *3298:79 *3501:18 0 +36 *3298:79 *3502:54 0 +37 *3298:79 *3509:25 0 +38 *3298:79 *3510:33 0 +39 *3298:79 *4278:37 0 +40 *1016:211 *3298:59 0 +41 *1029:84 *3298:40 0 +42 *1227:67 *3298:24 0 +43 *1419:41 *3298:40 0 +44 *2369:34 *3298:40 0 +45 *2378:94 *3298:63 0 +46 *2391:59 *3298:40 0 +47 *2436:26 *3298:40 0 +48 *2682:21 *3298:40 0 +49 *2814:26 *3298:40 0 +50 *2919:91 *3298:40 0 +51 *2925:25 *3298:40 0 +52 *2972:23 *3298:24 0 +53 *2972:84 *3298:79 0 +54 *2981:18 *3298:24 0 +55 *2981:92 *3298:59 0 +56 *2981:128 *3298:79 0 +57 *3008:54 *3298:59 0 +58 *3014:19 *3298:40 0 +59 *3031:43 *3298:59 0 +60 *3081:38 *3298:59 0 +61 *3110:7 *3298:79 0 +62 *3110:16 *3298:63 0 +63 *3183:14 *3298:79 0 +64 *3184:84 *3298:79 0 +65 *3192:54 *3298:24 0 +*RES +1 *40406:X *3298:24 49.4339 +2 *3298:24 *3298:40 49.538 +3 *3298:40 *3298:59 26.5744 +4 *3298:59 *3298:63 37.1875 +5 *3298:63 *3298:79 45.1429 +6 *3298:79 *8205:DIODE 9.3 +7 *3298:63 *40405:A 9.83571 +*END + +*D_NET *3299 0.04063 +*CONN +*I *6040:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38044:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *6431:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38300:A I *D sky130_fd_sc_hd__and2_1 +*I *40407:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6040:DIODE 0.000101392 +2 *38044:A_N 0 +3 *6431:DIODE 2.56688e-05 +4 *38300:A 9.80097e-05 +5 *40407:X 0.00390161 +6 *3299:75 0.00540458 +7 *3299:22 0.0015899 +8 *3299:20 0.00778808 +9 *3299:19 0.00941892 +10 *3299:16 0.0123019 +11 *6040:DIODE *3978:99 0 +12 *6040:DIODE *4010:139 0 +13 *3299:16 *3499:27 0 +14 *3299:16 *3499:48 0 +15 *3299:16 *3522:24 0 +16 *3299:16 *4045:53 0 +17 *3299:19 *3303:17 0 +18 *3299:19 *3303:39 0 +19 *3299:19 *3427:87 0 +20 *3299:19 *3469:27 0 +21 *3299:19 *3492:17 0 +22 *3299:19 *3584:37 0 +23 *3299:20 *3499:70 0 +24 *3299:20 *4102:72 0 +25 *3299:20 *5027:24 0 +26 *3299:22 *3308:99 0 +27 *3299:75 *6041:DIODE 0 +28 *3299:75 *38044:B 0 +29 *3299:75 *3301:114 0 +30 *3299:75 *3700:65 0 +31 *3299:75 *3700:82 0 +32 *3299:75 *3745:27 0 +33 *3299:75 *3768:47 0 +34 *3299:75 *3940:19 0 +35 *3299:75 *3978:99 0 +36 *3299:75 *4739:21 0 +37 *6076:DIODE *3299:75 0 +38 *38065:A *3299:75 0 +39 *363:19 *3299:19 0 +40 *373:16 *3299:19 0 +41 *1015:121 *3299:19 0 +42 *1421:17 *3299:75 0 +43 *1448:38 *38300:A 0 +44 *1451:24 *3299:75 0 +45 *1545:8 *3299:20 0 +46 *1545:8 *3299:22 0 +47 *1551:23 *3299:20 0 +48 *1551:23 *3299:22 0 +49 *1562:29 *3299:22 0 +50 *1564:16 *3299:75 0 +51 *1564:35 *6431:DIODE 0 +52 *2422:26 *3299:75 0 +53 *2425:24 *3299:16 0 +54 *2812:20 *3299:16 0 +55 *2812:27 *3299:75 0 +56 *2829:14 *3299:16 0 +57 *2871:54 *3299:75 0 +58 *3010:34 *3299:20 0 +59 *3014:41 *3299:19 0 +60 *3028:29 *3299:75 0 +61 *3045:47 *3299:75 0 +62 *3047:63 *3299:20 0 +63 *3097:44 *3299:19 0 +64 *3273:20 *3299:19 0 +65 *3294:53 *3299:16 0 +*RES +1 *40407:X *3299:16 40.6329 +2 *3299:16 *3299:19 33.8331 +3 *3299:19 *3299:20 23.1964 +4 *3299:20 *3299:22 33.3661 +5 *3299:22 *38300:A 15.9786 +6 *3299:22 *6431:DIODE 14.3357 +7 *3299:20 *3299:75 47.5096 +8 *3299:75 *38044:A_N 9.3 +9 *3299:75 *6040:DIODE 11.4786 +*END + +*D_NET *3300 0.020067 +*CONN +*I *40407:A I *D sky130_fd_sc_hd__buf_4 +*I *8207:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40408:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40407:A 7.23016e-05 +2 *8207:DIODE 0.000682759 +3 *40408:X 0.00119798 +4 *3300:65 0.00177516 +5 *3300:55 0.00249086 +6 *3300:40 0.00293213 +7 *3300:29 0.00384857 +8 *3300:28 0.00412822 +9 *3300:21 0.002939 +10 *8207:DIODE *8213:DIODE 0 +11 *8207:DIODE *5189:46 0 +12 *3300:21 *3321:39 0 +13 *3300:21 *4881:73 0 +14 *3300:28 *40518:A 0 +15 *3300:28 *3744:63 0 +16 *3300:28 *3785:59 0 +17 *3300:28 *3882:53 0 +18 *3300:40 *8215:DIODE 0 +19 *3300:40 *38084:C 0 +20 *3300:40 *3311:49 0 +21 *3300:40 *3311:61 0 +22 *3300:40 *3785:67 0 +23 *3300:55 *6123:DIODE 0 +24 *3300:55 *8889:DIODE 0 +25 *3300:55 *3302:28 0 +26 *3300:55 *3309:61 0 +27 *3300:55 *4275:81 0 +28 *3300:55 *4281:83 0 +29 *3300:55 *4517:93 0 +30 *3300:65 *6123:DIODE 0 +31 *3300:65 *3309:61 0 +32 *3300:65 *3777:65 0 +33 *3300:65 *4238:18 0 +34 *8091:DIODE *3300:55 0 +35 *8136:DIODE *3300:65 0 +36 *8165:DIODE *3300:40 0 +37 *40216:A *3300:65 0 +38 *40334:A *3300:55 0 +39 *40579:A *3300:21 0 +40 *1239:34 *3300:65 0 +41 *1447:9 *8207:DIODE 0 +42 *2505:37 *3300:21 0 +43 *2516:30 *3300:40 0 +44 *2771:23 *3300:55 0 +45 *3121:9 *3300:55 0 +46 *3134:59 *3300:21 0 +47 *3135:59 *8207:DIODE 0 +48 *3154:76 *3300:21 0 +49 *3217:69 *3300:21 0 +50 *3229:18 *3300:55 0 +51 *3244:11 *3300:21 0 +52 *3244:11 *3300:28 0 +53 *3257:35 *3300:65 0 +54 *3294:9 *3300:29 0 +55 *3294:9 *3300:40 0 +56 *3294:24 *3300:40 0 +57 *3294:53 *3300:65 0 +*RES +1 *40408:X *3300:21 44.2821 +2 *3300:21 *3300:28 45.4821 +3 *3300:28 *3300:29 49.8214 +4 *3300:29 *3300:40 48.6429 +5 *3300:40 *3300:55 49.1607 +6 *3300:55 *3300:65 25.6208 +7 *3300:65 *8207:DIODE 37.2821 +8 *3300:65 *40407:A 15.4429 +*END + +*D_NET *3301 0.0278236 +*CONN +*I *6037:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38042:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *6428:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38298:A I *D sky130_fd_sc_hd__and2_1 +*I *40409:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6037:DIODE 0.000257543 +2 *38042:A_N 0 +3 *6428:DIODE 0 +4 *38298:A 1.38932e-05 +5 *40409:X 0.00153427 +6 *3301:127 0.00224517 +7 *3301:114 0.00308149 +8 *3301:89 0.00121344 +9 *3301:81 0.000805438 +10 *3301:68 0.00601862 +11 *3301:42 0.00823305 +12 *3301:18 0.00442068 +13 *6037:DIODE *3773:55 0 +14 *6037:DIODE *3773:57 0 +15 *6037:DIODE *3779:71 0 +16 *3301:18 *3409:21 0 +17 *3301:18 *3434:19 0 +18 *3301:18 *3653:47 0 +19 *3301:18 *3743:16 0 +20 *3301:42 *3313:46 0 +21 *3301:42 *3513:68 0 +22 *3301:42 *3696:62 0 +23 *3301:42 *3959:54 0 +24 *3301:42 *4014:40 0 +25 *3301:42 *4256:77 0 +26 *3301:42 *4268:24 0 +27 *3301:42 *5188:44 0 +28 *3301:42 *5189:34 0 +29 *3301:68 *6490:DIODE 0 +30 *3301:68 *3308:39 0 +31 *3301:68 *3409:138 0 +32 *3301:68 *3411:121 0 +33 *3301:68 *3481:48 0 +34 *3301:68 *3487:45 0 +35 *3301:68 *3976:60 0 +36 *3301:68 *3987:43 0 +37 *3301:68 *4057:24 0 +38 *3301:68 *4094:60 0 +39 *3301:68 *4266:38 0 +40 *3301:81 *3734:21 0 +41 *3301:81 *3745:27 0 +42 *3301:81 *4065:19 0 +43 *3301:89 *4065:19 0 +44 *3301:114 *3490:20 0 +45 *3301:114 *3810:35 0 +46 *3301:114 *3941:63 0 +47 *3301:127 *38042:B 0 +48 *3301:127 *3542:56 0 +49 *3301:127 *3773:55 0 +50 *3301:127 *4313:24 0 +51 *3301:127 *4600:57 0 +52 *7870:DIODE *3301:68 0 +53 *8028:DIODE *3301:68 0 +54 *1011:273 *3301:42 0 +55 *1211:113 *3301:68 0 +56 *1244:30 *3301:127 0 +57 *1441:51 *3301:18 0 +58 *1456:36 *6037:DIODE 0 +59 *1548:8 *3301:81 0 +60 *1564:16 *3301:114 0 +61 *1581:44 *3301:127 0 +62 *2370:19 *3301:81 0 +63 *2426:65 *3301:42 0 +64 *2811:59 *6037:DIODE 0 +65 *2811:59 *3301:127 0 +66 *2815:14 *3301:81 0 +67 *2815:14 *3301:89 0 +68 *2826:43 *3301:81 0 +69 *3013:54 *3301:114 0 +70 *3073:29 *3301:42 0 +71 *3100:31 *3301:42 0 +72 *3110:16 *3301:42 0 +73 *3129:14 *3301:18 0 +74 *3129:15 *3301:18 0 +75 *3163:40 *3301:42 0 +76 *3203:73 *3301:18 0 +77 *3239:8 *3301:18 0 +78 *3297:75 *3301:68 0 +79 *3299:75 *3301:114 0 +*RES +1 *40409:X *3301:18 47.792 +2 *3301:18 *3301:42 49.1351 +3 *3301:42 *3301:68 49.6231 +4 *3301:68 *3301:81 18.2286 +5 *3301:81 *38298:A 9.72857 +6 *3301:81 *3301:89 2.58929 +7 *3301:89 *6428:DIODE 9.3 +8 *3301:89 *3301:114 40.631 +9 *3301:114 *3301:127 42.7867 +10 *3301:127 *38042:A_N 9.3 +11 *3301:127 *6037:DIODE 14.8 +*END + +*D_NET *3302 0.0208833 +*CONN +*I *8209:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40409:A I *D sky130_fd_sc_hd__buf_4 +*I *40410:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8209:DIODE 0 +2 *40409:A 8.56922e-05 +3 *40410:X 0.000635682 +4 *3302:59 0.00133141 +5 *3302:37 0.00268221 +6 *3302:28 0.00332699 +7 *3302:24 0.00217823 +8 *3302:11 0.00506187 +9 *3302:10 0.0055812 +10 *3302:10 *3436:21 0 +11 *3302:10 *3436:28 0 +12 *3302:10 *3517:40 0 +13 *3302:11 *3309:39 0 +14 *3302:11 *3311:37 0 +15 *3302:11 *3517:50 0 +16 *3302:11 *3517:65 0 +17 *3302:11 *4275:81 0 +18 *3302:11 *4517:81 0 +19 *3302:28 *8499:DIODE 0 +20 *3302:28 *4275:81 0 +21 *3302:28 *5193:68 0 +22 *7880:DIODE *3302:37 0 +23 *40205:A *3302:59 0 +24 *40334:A *3302:28 0 +25 *40350:A *3302:28 0 +26 *40353:A *3302:59 0 +27 *40572:A *3302:11 0 +28 *1441:51 *40409:A 0 +29 *1447:9 *3302:28 0 +30 *2397:21 *3302:37 0 +31 *2397:21 *3302:59 0 +32 *2426:84 *3302:59 0 +33 *2712:190 *3302:28 0 +34 *3075:77 *3302:59 0 +35 *3121:42 *3302:11 0 +36 *3144:29 *3302:10 0 +37 *3154:14 *3302:11 0 +38 *3178:21 *3302:37 0 +39 *3178:21 *3302:59 0 +40 *3188:111 *3302:59 0 +41 *3208:29 *3302:59 0 +42 *3217:33 *3302:11 0 +43 *3265:57 *3302:11 0 +44 *3265:57 *3302:28 0 +45 *3272:14 *40409:A 0 +46 *3276:74 *3302:59 0 +47 *3287:47 *3302:11 0 +48 *3300:55 *3302:28 0 +*RES +1 *40410:X *3302:10 31.675 +2 *3302:10 *3302:11 103.214 +3 *3302:11 *3302:24 12.0714 +4 *3302:24 *3302:28 47.9107 +5 *3302:28 *3302:37 40.0357 +6 *3302:37 *40409:A 20.2464 +7 *3302:37 *3302:59 46.1786 +8 *3302:59 *8209:DIODE 9.3 +*END + +*D_NET *3303 0.0287123 +*CONN +*I *6425:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38296:A I *D sky130_fd_sc_hd__and2_1 +*I *40411:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6425:DIODE 0 +2 *38296:A 0.000139156 +3 *40411:X 2.56688e-05 +4 *3303:59 0.000204184 +5 *3303:56 0.00223044 +6 *3303:47 0.00276463 +7 *3303:39 0.00786562 +8 *3303:17 0.0113617 +9 *3303:7 0.00412093 +10 *3303:17 *3584:37 0 +11 *3303:17 *3654:14 0 +12 *3303:17 *3983:20 0 +13 *3303:39 *3425:17 0 +14 *3303:39 *3469:27 0 +15 *3303:39 *3519:32 0 +16 *3303:39 *3708:19 0 +17 *3303:47 *3521:45 0 +18 *3303:47 *3654:29 0 +19 *3303:47 *4217:26 0 +20 *3303:56 *6030:DIODE 0 +21 *3303:56 *3388:24 0 +22 *3303:56 *3542:20 0 +23 *3303:56 *3729:30 0 +24 *3303:56 *3735:46 0 +25 *3303:59 *4065:18 0 +26 *378:13 *3303:39 0 +27 *1002:26 *3303:39 0 +28 *1007:186 *3303:39 0 +29 *1012:34 *3303:47 0 +30 *1015:109 *3303:17 0 +31 *1031:80 *3303:17 0 +32 *1031:80 *3303:39 0 +33 *1545:20 *3303:47 0 +34 *1551:33 *3303:47 0 +35 *2443:59 *3303:17 0 +36 *2822:46 *3303:7 0 +37 *3162:22 *3303:17 0 +38 *3299:19 *3303:17 0 +39 *3299:19 *3303:39 0 +*RES +1 *40411:X *3303:7 14.3357 +2 *3303:7 *3303:17 49.2005 +3 *3303:17 *3303:39 46.7511 +4 *3303:39 *3303:47 21.6909 +5 *3303:47 *3303:56 49.3661 +6 *3303:56 *3303:59 5.85714 +7 *3303:59 *38296:A 21.4607 +8 *3303:59 *6425:DIODE 9.3 +*END + +*D_NET *3304 0.0185148 +*CONN +*I *5343:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37536:B I *D sky130_fd_sc_hd__and2_1 +*I *38509:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5343:DIODE 0 +2 *37536:B 0.000242783 +3 *38509:X 0.000518509 +4 *3304:48 0.00135928 +5 *3304:45 0.00249159 +6 *3304:34 0.00308655 +7 *3304:22 0.00324491 +8 *3304:9 0.00429305 +9 *3304:7 0.00327812 +10 *37536:B *37536:A 0 +11 *37536:B *3465:32 0 +12 *37536:B *4153:83 0 +13 *3304:7 *3367:5 0 +14 *3304:9 *41397:A 0 +15 *3304:9 *3367:5 0 +16 *3304:9 *4430:91 0 +17 *3304:9 *4441:9 0 +18 *3304:22 *4430:82 0 +19 *3304:22 *4433:11 0 +20 *3304:34 *3378:20 0 +21 *3304:34 *3488:13 0 +22 *3304:34 *3872:37 0 +23 *3304:34 *3911:18 0 +24 *3304:34 *4429:15 0 +25 *3304:34 *4433:11 0 +26 *3304:34 *4433:25 0 +27 *3304:34 *4604:7 0 +28 *3304:45 *3465:25 0 +29 *3304:45 *3468:13 0 +30 *3304:45 *3874:18 0 +31 *3304:45 *3911:18 0 +32 *3304:45 *4821:22 0 +33 *3304:48 *5871:DIODE 0 +34 *3304:48 *37914:A_N 0 +35 *3304:48 *3465:32 0 +36 *3304:48 *4737:18 0 +37 *3304:48 *4802:16 0 +38 *6633:DIODE *3304:22 0 +39 *6639:DIODE *3304:9 0 +40 *6642:DIODE *3304:9 0 +41 *6644:DIODE *3304:9 0 +42 *6645:DIODE *3304:7 0 +43 *6773:DIODE *3304:34 0 +44 *6777:DIODE *3304:22 0 +45 *6785:DIODE *3304:9 0 +46 *6953:DIODE *3304:34 0 +47 *6959:DIODE *3304:9 0 +48 *37352:A *3304:9 0 +49 *38405:A *3304:9 0 +50 *38509:A *3304:7 0 +51 *38639:A *3304:34 0 +52 *38645:A *3304:9 0 +53 *38650:A *3304:9 0 +54 *38651:A *3304:7 0 +55 *38813:A *3304:34 0 +56 *38817:A *3304:34 0 +57 *38819:A *3304:22 0 +58 *38822:A *3304:9 0 +59 *38827:A *3304:9 0 +60 *343:52 *3304:34 0 +61 *365:17 *3304:45 0 +62 *564:8 *3304:9 0 +63 *814:8 *3304:34 0 +64 *1782:36 *3304:34 0 +65 *1782:36 *3304:45 0 +66 *1783:10 *3304:48 0 +67 *2355:20 *3304:45 0 +68 *2363:22 *3304:48 0 +69 *2797:75 *37536:B 0 +70 *2907:10 *3304:48 0 +71 *3127:17 *3304:45 0 +72 *3171:26 *3304:34 0 +73 *3238:12 *3304:9 0 +74 *3238:12 *3304:22 0 +*RES +1 *38509:X *3304:7 20.1214 +2 *3304:7 *3304:9 57.625 +3 *3304:9 *3304:22 41.125 +4 *3304:22 *3304:34 45.9856 +5 *3304:34 *3304:45 39.1875 +6 *3304:45 *3304:48 29.8214 +7 *3304:48 *37536:B 23.7286 +8 *3304:48 *5343:DIODE 9.3 +*END + +*D_NET *3305 0.0334355 +*CONN +*I *40411:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8211:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6033:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38040:A_N I *D sky130_fd_sc_hd__and3b_2 +*I *40412:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *40411:A 0 +2 *8211:DIODE 0.000325061 +3 *6033:DIODE 0 +4 *38040:A_N 0 +5 *40412:X 0.00141191 +6 *3305:171 0.000390088 +7 *3305:168 0.00271209 +8 *3305:142 0.00413147 +9 *3305:127 0.00256661 +10 *3305:105 0.00257978 +11 *3305:83 0.00171662 +12 *3305:71 0.000847341 +13 *3305:62 0.00237855 +14 *3305:55 0.0034514 +15 *3305:35 0.00505114 +16 *3305:34 0.00390576 +17 *3305:15 0.00196768 +18 *3305:15 *4492:19 0 +19 *3305:34 *3814:31 0 +20 *3305:34 *3882:23 0 +21 *3305:35 *3320:72 0 +22 *3305:35 *3529:13 0 +23 *3305:35 *3814:31 0 +24 *3305:35 *3882:23 0 +25 *3305:35 *3882:30 0 +26 *3305:35 *4503:9 0 +27 *3305:35 *4503:16 0 +28 *3305:35 *4505:22 0 +29 *3305:55 *39137:A 0 +30 *3305:55 *3321:63 0 +31 *3305:55 *4503:16 0 +32 *3305:55 *4881:73 0 +33 *3305:62 *40410:A 0 +34 *3305:62 *3309:10 0 +35 *3305:62 *3327:92 0 +36 *3305:62 *4039:112 0 +37 *3305:62 *4082:24 0 +38 *3305:62 *4517:15 0 +39 *3305:71 *4092:19 0 +40 *3305:83 *3702:100 0 +41 *3305:83 *3747:72 0 +42 *3305:83 *4085:22 0 +43 *3305:105 *8940:DIODE 0 +44 *3305:105 *3747:72 0 +45 *3305:105 *4113:99 0 +46 *3305:105 *4128:80 0 +47 *3305:127 *3546:67 0 +48 *3305:127 *3811:33 0 +49 *3305:142 *8513:DIODE 0 +50 *3305:142 *3321:152 0 +51 *3305:142 *3546:97 0 +52 *3305:142 *3775:66 0 +53 *3305:142 *3815:106 0 +54 *3305:142 *4113:99 0 +55 *3305:168 *8333:DIODE 0 +56 *3305:168 *8666:DIODE 0 +57 *3305:168 *3670:68 0 +58 *3305:168 *3677:17 0 +59 *3305:168 *3685:40 0 +60 *3305:168 *3763:36 0 +61 *3305:168 *3984:125 0 +62 *3305:168 *4106:21 0 +63 *3305:168 *4109:65 0 +64 *3305:168 *4328:24 0 +65 *37393:A *3305:35 0 +66 *37848:A *3305:105 0 +67 *37848:B *3305:105 0 +68 *38027:A *3305:142 0 +69 *1206:41 *3305:168 0 +70 *1229:65 *3305:127 0 +71 *1323:17 *3305:105 0 +72 *1331:31 *3305:168 0 +73 *1536:13 *3305:127 0 +74 *2118:39 *3305:15 0 +75 *2372:22 *3305:15 0 +76 *2384:118 *3305:142 0 +77 *2393:82 *3305:55 0 +78 *2424:69 *3305:15 0 +79 *2424:69 *3305:34 0 +80 *2424:74 *3305:15 0 +81 *2505:37 *3305:55 0 +82 *2841:61 *3305:171 0 +83 *2919:91 *3305:168 0 +84 *2928:39 *3305:142 0 +85 *2928:55 *3305:105 0 +86 *2998:7 *3305:127 0 +87 *3055:33 *3305:34 0 +88 *3055:55 *3305:35 0 +89 *3055:71 *3305:35 0 +90 *3055:71 *3305:55 0 +91 *3140:84 *3305:35 0 +92 *3144:36 *3305:62 0 +93 *3154:76 *3305:55 0 +94 *3217:59 *3305:55 0 +*RES +1 *40412:X *3305:15 48.4964 +2 *3305:15 *3305:34 30.0357 +3 *3305:34 *3305:35 69.9464 +4 *3305:35 *3305:55 49.4821 +5 *3305:55 *3305:62 48.3036 +6 *3305:62 *3305:71 26.6964 +7 *3305:71 *38040:A_N 9.3 +8 *3305:71 *3305:83 13.7679 +9 *3305:83 *6033:DIODE 9.3 +10 *3305:83 *3305:105 49.8929 +11 *3305:105 *3305:127 41.2679 +12 *3305:127 *3305:142 48.5796 +13 *3305:142 *3305:168 47.8106 +14 *3305:168 *3305:171 5.85714 +15 *3305:171 *8211:DIODE 25.6393 +16 *3305:171 *40411:A 9.3 +*END + +*D_NET *3306 0.0347878 +*CONN +*I *38038:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *6029:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38294:A I *D sky130_fd_sc_hd__and2_1 +*I *6423:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40413:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *38038:A_N 0 +2 *6029:DIODE 0.000164825 +3 *38294:A 0.000269913 +4 *6423:DIODE 0 +5 *40413:X 0.00123392 +6 *3306:96 0.0058296 +7 *3306:68 0.00647977 +8 *3306:47 0.00370703 +9 *3306:29 0.00849956 +10 *3306:27 0.00635345 +11 *3306:20 0.00224976 +12 *6029:DIODE *3479:61 0 +13 *38294:A *4077:19 0 +14 *38294:A *4224:27 0 +15 *3306:20 *3312:96 0 +16 *3306:20 *3319:76 0 +17 *3306:20 *3532:24 0 +18 *3306:20 *3534:38 0 +19 *3306:20 *4012:105 0 +20 *3306:20 *4288:94 0 +21 *3306:20 *4520:79 0 +22 *3306:27 *8880:DIODE 0 +23 *3306:27 *3313:15 0 +24 *3306:27 *4029:89 0 +25 *3306:27 *4264:55 0 +26 *3306:29 *38086:C 0 +27 *3306:29 *3313:15 0 +28 *3306:29 *3535:19 0 +29 *3306:29 *3535:25 0 +30 *3306:29 *4270:17 0 +31 *3306:29 *4272:39 0 +32 *3306:29 *5153:16 0 +33 *3306:47 *3411:17 0 +34 *3306:47 *3722:25 0 +35 *3306:47 *4259:40 0 +36 *3306:47 *4274:26 0 +37 *3306:68 *8529:DIODE 0 +38 *3306:68 *8936:DIODE 0 +39 *3306:68 *3647:63 0 +40 *3306:96 *4036:11 0 +41 *3306:96 *4077:19 0 +42 *3306:96 *4091:55 0 +43 *3306:96 *4224:27 0 +44 *3306:96 *4266:38 0 +45 *1235:55 *3306:20 0 +46 *1320:21 *3306:47 0 +47 *1320:21 *3306:68 0 +48 *1418:25 *3306:68 0 +49 *2370:66 *3306:96 0 +50 *2385:41 *3306:96 0 +51 *2433:16 *3306:20 0 +52 *3015:25 *3306:29 0 +53 *3037:15 *3306:20 0 +54 *3075:73 *3306:47 0 +55 *3076:26 *3306:47 0 +56 *3084:34 *3306:20 0 +57 *3112:24 *3306:47 0 +58 *3155:65 *3306:29 0 +59 *3218:60 *3306:47 0 +60 *3256:20 *3306:29 0 +61 *3289:29 *3306:29 0 +*RES +1 *40413:X *3306:20 49.909 +2 *3306:20 *3306:27 21.2321 +3 *3306:27 *3306:29 111.429 +4 *3306:29 *3306:47 41.3194 +5 *3306:47 *6423:DIODE 9.3 +6 *3306:47 *3306:68 29.2046 +7 *3306:68 *38294:A 19.6288 +8 *3306:68 *3306:96 29.1664 +9 *3306:96 *6029:DIODE 17.4964 +10 *3306:96 *38038:A_N 13.8 +*END + +*D_NET *3307 0.0274132 +*CONN +*I *8212:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40413:A I *D sky130_fd_sc_hd__buf_4 +*I *40414:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8212:DIODE 0.000199789 +2 *40413:A 0 +3 *40414:X 0.00485507 +4 *3307:85 0.0017517 +5 *3307:66 0.00381095 +6 *3307:46 0.00709982 +7 *3307:21 0.00969586 +8 *8212:DIODE *4017:97 0 +9 *8212:DIODE *4236:79 0 +10 *3307:21 *3438:25 0 +11 *3307:21 *4002:23 0 +12 *3307:21 *4067:17 0 +13 *3307:21 *4112:40 0 +14 *3307:21 *4341:22 0 +15 *3307:46 *3659:32 0 +16 *3307:46 *3789:98 0 +17 *3307:46 *4103:44 0 +18 *3307:66 *3689:28 0 +19 *3307:66 *3763:21 0 +20 *3307:66 *4100:31 0 +21 *3307:66 *4374:21 0 +22 *3307:85 *41246:A 0 +23 *3307:85 *3334:53 0 +24 *3307:85 *3742:40 0 +25 *3307:85 *3763:21 0 +26 *3307:85 *3815:106 0 +27 *3307:85 *3820:34 0 +28 *3307:85 *4017:97 0 +29 *3307:85 *4022:110 0 +30 *3307:85 *4236:79 0 +31 *3307:85 *4246:50 0 +32 *3307:85 *4365:82 0 +33 *2267:57 *3307:21 0 +34 *2267:57 *3307:46 0 +35 *2369:53 *3307:66 0 +36 *2412:31 *3307:46 0 +37 *2412:31 *3307:66 0 +38 *2412:44 *3307:21 0 +39 *2429:34 *3307:85 0 +40 *2431:112 *3307:21 0 +41 *2432:54 *3307:66 0 +42 *2435:68 *3307:21 0 +43 *2930:40 *3307:46 0 +44 *2930:40 *3307:66 0 +45 *2941:33 *3307:46 0 +46 *2941:47 *3307:21 0 +47 *2941:47 *3307:46 0 +48 *3055:33 *3307:21 0 +49 *3283:21 *3307:85 0 +*RES +1 *40414:X *3307:21 47.2577 +2 *3307:21 *3307:46 46.177 +3 *3307:46 *3307:66 44.6677 +4 *3307:66 *3307:85 48.4773 +5 *3307:85 *40413:A 9.3 +6 *3307:85 *8212:DIODE 13.5321 +*END + +*D_NET *3308 0.0294697 +*CONN +*I *38036:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *6025:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38292:A I *D sky130_fd_sc_hd__and2_2 +*I *6420:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40415:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *38036:A_N 0.000299527 +2 *6025:DIODE 0 +3 *38292:A 0.000184862 +4 *6420:DIODE 0 +5 *40415:X 0.00527092 +6 *3308:118 0.00232837 +7 *3308:99 0.00304863 +8 *3308:69 0.00175371 +9 *3308:56 0.00150023 +10 *3308:39 0.00538183 +11 *3308:15 0.00970158 +12 *3308:15 *8499:DIODE 0 +13 *3308:15 *8873:DIODE 0 +14 *3308:15 *3439:51 0 +15 *3308:15 *3441:55 0 +16 *3308:15 *3515:34 0 +17 *3308:15 *3776:17 0 +18 *3308:15 *4340:40 0 +19 *3308:39 *3388:55 0 +20 *3308:39 *3433:30 0 +21 *3308:39 *3509:52 0 +22 *3308:39 *3700:36 0 +23 *3308:39 *3725:80 0 +24 *3308:39 *3743:32 0 +25 *3308:39 *3776:17 0 +26 *3308:39 *3776:50 0 +27 *3308:39 *3987:43 0 +28 *3308:39 *4057:24 0 +29 *3308:39 *4235:41 0 +30 *3308:39 *4319:30 0 +31 *3308:39 *4319:41 0 +32 *3308:39 *4360:66 0 +33 *3308:56 *3745:27 0 +34 *3308:56 *3987:43 0 +35 *3308:69 *3666:60 0 +36 *3308:69 *4343:32 0 +37 *3308:99 *3432:75 0 +38 *3308:99 *3469:30 0 +39 *3308:99 *3755:13 0 +40 *3308:118 *3544:14 0 +41 *3308:118 *3661:25 0 +42 *3308:118 *3755:13 0 +43 *3308:118 *4036:11 0 +44 *3308:118 *4077:19 0 +45 *40070:A *3308:118 0 +46 *1273:21 *3308:118 0 +47 *1332:41 *3308:99 0 +48 *1336:5 *38036:A_N 0 +49 *1336:5 *3308:118 0 +50 *1448:22 *3308:39 0 +51 *1562:23 *3308:56 0 +52 *1824:11 *3308:99 0 +53 *2370:19 *3308:39 0 +54 *2370:19 *3308:56 0 +55 *2413:25 *3308:39 0 +56 *2422:48 *3308:69 0 +57 *2422:66 *3308:39 0 +58 *2430:11 *3308:99 0 +59 *2813:28 *38036:A_N 0 +60 *2813:28 *3308:118 0 +61 *2817:54 *3308:99 0 +62 *2871:54 *3308:118 0 +63 *2919:24 *3308:15 0 +64 *2924:10 *3308:118 0 +65 *2977:76 *38036:A_N 0 +66 *3008:33 *3308:15 0 +67 *3010:38 *38036:A_N 0 +68 *3013:19 *38292:A 0 +69 *3013:19 *3308:69 0 +70 *3045:21 *3308:39 0 +71 *3074:18 *3308:15 0 +72 *3098:12 *3308:56 0 +73 *3281:24 *3308:15 0 +74 *3299:22 *3308:99 0 +75 *3301:68 *3308:39 0 +*RES +1 *40415:X *3308:15 48.1018 +2 *3308:15 *3308:39 48.5928 +3 *3308:39 *3308:56 29.7006 +4 *3308:56 *6420:DIODE 9.3 +5 *3308:56 *3308:69 17.7248 +6 *3308:69 *38292:A 17.9518 +7 *3308:69 *3308:99 49.2143 +8 *3308:99 *3308:118 43.6702 +9 *3308:118 *6025:DIODE 9.3 +10 *3308:118 *38036:A_N 24.8714 +*END + +*D_NET *3309 0.0195253 +*CONN +*I *40415:A I *D sky130_fd_sc_hd__buf_4 +*I *8213:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40416:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40415:A 0 +2 *8213:DIODE 0.000852182 +3 *40416:X 0.000852155 +4 *3309:61 0.00245192 +5 *3309:49 0.00327648 +6 *3309:39 0.0033586 +7 *3309:23 0.00387696 +8 *3309:16 0.00309999 +9 *3309:10 0.00175704 +10 *8213:DIODE *40794:A 0 +11 *8213:DIODE *3743:16 0 +12 *3309:10 *3316:29 0 +13 *3309:16 *3440:39 0 +14 *3309:16 *3523:54 0 +15 *3309:23 *3517:65 0 +16 *3309:39 *3311:37 0 +17 *3309:49 *3513:52 0 +18 *3309:49 *3977:151 0 +19 *3309:49 *4281:83 0 +20 *3309:49 *4517:93 0 +21 *3309:61 *6123:DIODE 0 +22 *3309:61 *8191:DIODE 0 +23 *3309:61 *4281:83 0 +24 *7604:DIODE *3309:39 0 +25 *8207:DIODE *8213:DIODE 0 +26 *8298:DIODE *3309:23 0 +27 *38096:C *3309:61 0 +28 *39607:A *3309:39 0 +29 *39613:A *3309:39 0 +30 *40216:A *3309:61 0 +31 *400:29 *8213:DIODE 0 +32 *1239:34 *8213:DIODE 0 +33 *1239:34 *3309:61 0 +34 *1447:9 *8213:DIODE 0 +35 *2124:66 *3309:16 0 +36 *2381:35 *8213:DIODE 0 +37 *2386:63 *3309:23 0 +38 *2393:28 *3309:49 0 +39 *2425:24 *3309:61 0 +40 *3015:12 *8213:DIODE 0 +41 *3135:59 *8213:DIODE 0 +42 *3144:17 *3309:49 0 +43 *3144:21 *3309:23 0 +44 *3144:29 *3309:23 0 +45 *3144:36 *3309:10 0 +46 *3229:18 *3309:61 0 +47 *3265:18 *8213:DIODE 0 +48 *3287:25 *3309:39 0 +49 *3287:47 *3309:39 0 +50 *3289:19 *3309:39 0 +51 *3294:53 *8213:DIODE 0 +52 *3294:53 *3309:61 0 +53 *3300:55 *3309:61 0 +54 *3300:65 *3309:61 0 +55 *3302:11 *3309:39 0 +56 *3305:62 *3309:10 0 +*RES +1 *40416:X *3309:10 36.1929 +2 *3309:10 *3309:16 28.0179 +3 *3309:16 *3309:23 45.875 +4 *3309:23 *3309:39 48.9286 +5 *3309:39 *3309:49 39.6964 +6 *3309:49 *3309:61 42.5714 +7 *3309:61 *8213:DIODE 45.5679 +8 *3309:61 *40415:A 9.3 +*END + +*D_NET *3310 0.0308431 +*CONN +*I *6022:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6417:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38290:A I *D sky130_fd_sc_hd__and2_1 +*I *38034:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *40417:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6022:DIODE 0 +2 *6417:DIODE 0 +3 *38290:A 0.000192445 +4 *38034:A_N 0.000223318 +5 *40417:X 0.00411265 +6 *3310:87 0.00127154 +7 *3310:64 0.00137473 +8 *3310:60 0.000351794 +9 *3310:59 0.00317831 +10 *3310:40 0.00946224 +11 *3310:20 0.0106761 +12 *38034:A_N *3931:9 0 +13 *38290:A *38290:B 0 +14 *38290:A *3481:43 0 +15 *38290:A *4057:32 0 +16 *38290:A *4247:60 0 +17 *3310:20 *3318:66 0 +18 *3310:20 *3524:14 0 +19 *3310:20 *3692:34 0 +20 *3310:20 *3729:18 0 +21 *3310:20 *3777:65 0 +22 *3310:20 *3995:36 0 +23 *3310:40 *3387:14 0 +24 *3310:40 *3420:40 0 +25 *3310:40 *3429:39 0 +26 *3310:40 *3678:32 0 +27 *3310:40 *3678:45 0 +28 *3310:40 *3968:35 0 +29 *3310:87 *6471:DIODE 0 +30 *3310:87 *3423:37 0 +31 *3310:87 *3501:64 0 +32 *3310:87 *3931:9 0 +33 *3310:87 *3963:19 0 +34 *3310:87 *4018:38 0 +35 *3310:87 *4073:25 0 +36 *3310:87 *4106:47 0 +37 *3310:87 *4106:55 0 +38 *3310:87 *4322:68 0 +39 *3310:87 *4360:66 0 +40 *5696:DIODE *3310:59 0 +41 *1006:38 *3310:59 0 +42 *1025:127 *3310:59 0 +43 *1562:23 *38290:A 0 +44 *1572:12 *3310:87 0 +45 *2375:48 *3310:59 0 +46 *2391:59 *3310:59 0 +47 *2426:40 *3310:59 0 +48 *2429:14 *3310:40 0 +49 *2443:36 *3310:59 0 +50 *2443:59 *3310:20 0 +51 *2443:67 *3310:20 0 +52 *2812:27 *3310:40 0 +53 *2817:32 *3310:40 0 +54 *2818:38 *3310:40 0 +55 *2820:29 *3310:40 0 +56 *2905:51 *3310:59 0 +57 *2935:20 *3310:20 0 +58 *2935:20 *3310:40 0 +59 *2937:26 *3310:20 0 +60 *3028:25 *3310:59 0 +61 *3031:17 *3310:40 0 +62 *3050:10 *3310:40 0 +63 *3074:18 *3310:40 0 +64 *3098:12 *38290:A 0 +65 *3280:57 *3310:59 0 +66 *3298:40 *3310:40 0 +*RES +1 *40417:X *3310:20 48.4993 +2 *3310:20 *3310:40 48.1044 +3 *3310:40 *3310:59 45.2113 +4 *3310:59 *3310:60 6.34821 +5 *3310:60 *3310:64 6.14286 +6 *3310:64 *38034:A_N 13.9607 +7 *3310:64 *3310:87 40.875 +8 *3310:87 *38290:A 31.55 +9 *3310:87 *6417:DIODE 9.3 +10 *3310:60 *6022:DIODE 13.8 +*END + +*D_NET *3311 0.0187877 +*CONN +*I *8215:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40417:A I *D sky130_fd_sc_hd__buf_4 +*I *40418:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8215:DIODE 4.23535e-05 +2 *40417:A 0.000299819 +3 *40418:X 0 +4 *3311:61 0.00102313 +5 *3311:49 0.0022007 +6 *3311:37 0.00335802 +7 *3311:20 0.00390421 +8 *3311:16 0.00282231 +9 *3311:5 0.00294679 +10 *3311:4 0.0021904 +11 *40417:A *4281:83 0 +12 *3311:5 *3321:29 0 +13 *3311:5 *3321:39 0 +14 *3311:5 *3813:54 0 +15 *3311:5 *3814:43 0 +16 *3311:16 *3321:39 0 +17 *3311:16 *3511:72 0 +18 *3311:16 *3517:21 0 +19 *3311:16 *4503:16 0 +20 *3311:20 *3444:33 0 +21 *3311:37 *40396:A 0 +22 *3311:37 *40400:A 0 +23 *3311:37 *3517:65 0 +24 *3311:49 *4275:67 0 +25 *3311:61 *7122:DIODE 0 +26 *7592:DIODE *40417:A 0 +27 *8318:DIODE *3311:5 0 +28 *40572:A *3311:37 0 +29 *2349:8 *3311:61 0 +30 *2393:28 *40417:A 0 +31 *2393:44 *3311:37 0 +32 *2400:60 *40417:A 0 +33 *2401:10 *3311:61 0 +34 *2409:10 *3311:37 0 +35 *2425:25 *40417:A 0 +36 *2516:30 *3311:49 0 +37 *2627:10 *3311:16 0 +38 *3055:35 *3311:5 0 +39 *3055:55 *3311:5 0 +40 *3121:9 *3311:61 0 +41 *3154:47 *3311:37 0 +42 *3216:15 *3311:5 0 +43 *3217:21 *3311:49 0 +44 *3217:33 *3311:37 0 +45 *3217:59 *3311:16 0 +46 *3217:69 *3311:5 0 +47 *3234:27 *3311:37 0 +48 *3244:11 *3311:20 0 +49 *3250:68 *3311:37 0 +50 *3287:25 *3311:37 0 +51 *3287:25 *3311:49 0 +52 *3287:47 *3311:61 0 +53 *3294:9 *3311:20 0 +54 *3294:24 *8215:DIODE 0 +55 *3294:24 *3311:49 0 +56 *3294:24 *3311:61 0 +57 *3300:40 *8215:DIODE 0 +58 *3300:40 *3311:49 0 +59 *3300:40 *3311:61 0 +60 *3302:11 *3311:37 0 +61 *3309:39 *3311:37 0 +*RES +1 *40418:X *3311:4 9.3 +2 *3311:4 *3311:5 45.7143 +3 *3311:5 *3311:16 33.9464 +4 *3311:16 *3311:20 47.75 +5 *3311:20 *3311:37 48.3454 +6 *3311:37 *3311:49 48.7582 +7 *3311:49 *3311:61 32.4286 +8 *3311:61 *40417:A 24.8536 +9 *3311:61 *8215:DIODE 10.2464 +*END + +*D_NET *3312 0.0341097 +*CONN +*I *6415:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38288:A I *D sky130_fd_sc_hd__and2_1 +*I *6019:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38032:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *40419:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *6415:DIODE 0.000822341 +2 *38288:A 0.000203112 +3 *6019:DIODE 2.56688e-05 +4 *38032:A_N 6.50276e-05 +5 *40419:X 0.00127183 +6 *3312:147 0.00272034 +7 *3312:133 0.00378502 +8 *3312:125 0.00262291 +9 *3312:109 0.000761676 +10 *3312:98 0.00098731 +11 *3312:96 0.00337458 +12 *3312:78 0.00441357 +13 *3312:45 0.00345936 +14 *3312:35 0.0034699 +15 *3312:27 0.00331191 +16 *3312:23 0.00281517 +17 *6415:DIODE *3650:59 0 +18 *6415:DIODE *3803:66 0 +19 *38032:A_N *4365:100 0 +20 *38288:A *8919:DIODE 0 +21 *38288:A *3780:18 0 +22 *3312:23 *3933:49 0 +23 *3312:23 *4061:53 0 +24 *3312:23 *4264:9 0 +25 *3312:23 *5159:63 0 +26 *3312:23 *5170:52 0 +27 *3312:27 *4061:53 0 +28 *3312:27 *4061:59 0 +29 *3312:27 *4264:9 0 +30 *3312:27 *4284:74 0 +31 *3312:27 *4297:44 0 +32 *3312:35 *4061:59 0 +33 *3312:35 *4281:42 0 +34 *3312:35 *4284:74 0 +35 *3312:35 *4284:82 0 +36 *3312:45 *4032:88 0 +37 *3312:45 *4112:78 0 +38 *3312:45 *4264:9 0 +39 *3312:45 *4264:30 0 +40 *3312:78 *3321:90 0 +41 *3312:78 *3525:29 0 +42 *3312:78 *3784:59 0 +43 *3312:78 *3933:49 0 +44 *3312:78 *3977:108 0 +45 *3312:78 *4082:23 0 +46 *3312:78 *4204:50 0 +47 *3312:78 *4233:26 0 +48 *3312:78 *4264:42 0 +49 *3312:78 *4286:61 0 +50 *3312:78 *4297:66 0 +51 *3312:96 *3319:76 0 +52 *3312:96 *3435:37 0 +53 *3312:96 *3504:42 0 +54 *3312:96 *3532:24 0 +55 *3312:96 *3744:63 0 +56 *3312:96 *4001:105 0 +57 *3312:96 *4878:16 0 +58 *3312:125 *3680:92 0 +59 *3312:125 *4377:129 0 +60 *3312:133 *3323:59 0 +61 *3312:133 *3672:50 0 +62 *3312:147 *8479:DIODE 0 +63 *3312:147 *3648:42 0 +64 *3312:147 *3740:46 0 +65 *3312:147 *3781:59 0 +66 *3312:147 *4037:74 0 +67 *3312:147 *4103:47 0 +68 *3312:147 *4109:65 0 +69 *3312:147 *4218:52 0 +70 *40073:A *6415:DIODE 0 +71 *40172:A *3312:45 0 +72 *40183:A *6415:DIODE 0 +73 *40294:A *3312:78 0 +74 *40344:A *3312:35 0 +75 *40357:A *3312:23 0 +76 *1206:41 *6415:DIODE 0 +77 *1215:43 *3312:45 0 +78 *1227:45 *3312:78 0 +79 *1227:56 *3312:78 0 +80 *1329:14 *3312:147 0 +81 *1330:22 *3312:147 0 +82 *1331:31 *3312:147 0 +83 *1443:27 *38288:A 0 +84 *2336:47 *3312:125 0 +85 *2386:63 *3312:78 0 +86 *2433:16 *3312:96 0 +87 *2434:61 *3312:96 0 +88 *2434:61 *3312:98 0 +89 *2824:23 *3312:147 0 +90 *2928:21 *6415:DIODE 0 +91 *2928:21 *38288:A 0 +92 *3050:10 *6415:DIODE 0 +93 *3119:22 *3312:147 0 +94 *3121:56 *3312:78 0 +95 *3128:39 *3312:23 0 +96 *3174:40 *3312:96 0 +97 *3178:43 *3312:96 0 +98 *3224:21 *3312:45 0 +99 *3234:27 *3312:78 0 +100 *3270:16 *3312:35 0 +101 *3270:16 *3312:45 0 +102 *3270:42 *3312:35 0 +103 *3277:20 *3312:133 0 +104 *3306:20 *3312:96 0 +*RES +1 *40419:X *3312:23 49.5203 +2 *3312:23 *3312:27 32.2411 +3 *3312:27 *3312:35 37.25 +4 *3312:35 *3312:45 49.1786 +5 *3312:45 *3312:78 47.4738 +6 *3312:78 *3312:96 36.6053 +7 *3312:96 *3312:98 16.3661 +8 *3312:98 *38032:A_N 15.1571 +9 *3312:98 *3312:109 9.17857 +10 *3312:109 *6019:DIODE 9.83571 +11 *3312:109 *3312:125 20.8929 +12 *3312:125 *3312:133 48.5625 +13 *3312:133 *3312:147 13.4594 +14 *3312:147 *38288:A 18.1839 +15 *3312:147 *6415:DIODE 40.5411 +*END + +*D_NET *3313 0.0246798 +*CONN +*I *38030:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *6015:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6413:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38286:A I *D sky130_fd_sc_hd__and2_1 +*I *40420:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *38030:A_N 8.17123e-05 +2 *6015:DIODE 0.000139176 +3 *6413:DIODE 0 +4 *38286:A 0.000151494 +5 *40420:X 0.0018902 +6 *3313:73 0.0013759 +7 *3313:59 0.00181042 +8 *3313:47 0.00165911 +9 *3313:46 0.00293991 +10 *3313:17 0.00741471 +11 *3313:15 0.00721721 +12 *38030:A_N *4010:27 0 +13 *38030:A_N *4360:42 0 +14 *38286:A *6414:DIODE 0 +15 *38286:A *4094:40 0 +16 *3313:15 *38086:C 0 +17 *3313:15 *3534:5 0 +18 *3313:15 *3535:25 0 +19 *3313:15 *3972:27 0 +20 *3313:15 *4029:89 0 +21 *3313:17 *3972:27 0 +22 *3313:17 *3972:29 0 +23 *3313:17 *4261:62 0 +24 *3313:17 *4276:34 0 +25 *3313:46 *3696:62 0 +26 *3313:46 *4014:40 0 +27 *3313:46 *4088:28 0 +28 *3313:46 *4256:47 0 +29 *3313:46 *4268:24 0 +30 *3313:47 *8482:DIODE 0 +31 *3313:47 *3428:21 0 +32 *3313:47 *3959:43 0 +33 *3313:47 *4054:22 0 +34 *3313:47 *4074:61 0 +35 *3313:47 *4319:37 0 +36 *3313:47 *4323:93 0 +37 *3313:59 *8922:DIODE 0 +38 *3313:59 *41316:A 0 +39 *3313:59 *4054:48 0 +40 *3313:59 *4319:37 0 +41 *3313:59 *4323:93 0 +42 *3313:73 *8922:DIODE 0 +43 *3313:73 *3388:55 0 +44 *3313:73 *4254:78 0 +45 *3313:73 *4360:42 0 +46 *39567:A *3313:59 0 +47 *39567:A *3313:73 0 +48 *40316:A *3313:15 0 +49 *2378:58 *38286:A 0 +50 *3013:11 *38030:A_N 0 +51 *3013:11 *3313:73 0 +52 *3013:19 *38030:A_N 0 +53 *3045:21 *3313:59 0 +54 *3081:16 *3313:46 0 +55 *3119:22 *3313:46 0 +56 *3150:35 *3313:17 0 +57 *3197:16 *3313:15 0 +58 *3253:32 *3313:15 0 +59 *3263:11 *3313:15 0 +60 *3263:11 *3313:17 0 +61 *3263:30 *3313:15 0 +62 *3301:42 *3313:46 0 +63 *3306:27 *3313:15 0 +64 *3306:29 *3313:15 0 +*RES +1 *40420:X *3313:15 48.9518 +2 *3313:15 *3313:17 111.223 +3 *3313:17 *3313:46 38.0684 +4 *3313:46 *3313:47 17.7857 +5 *3313:47 *38286:A 21.6929 +6 *3313:47 *3313:59 13.6786 +7 *3313:59 *6413:DIODE 9.3 +8 *3313:59 *3313:73 33.3929 +9 *3313:73 *6015:DIODE 21.4607 +10 *3313:73 *38030:A_N 11.0679 +*END + +*D_NET *3314 0.0193804 +*CONN +*I *40420:A I *D sky130_fd_sc_hd__buf_4 +*I *8218:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40421:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40420:A 4.53482e-05 +2 *8218:DIODE 7.23213e-05 +3 *40421:X 0.00628445 +4 *3314:62 0.00340573 +5 *3314:28 0.00957252 +6 *40420:A *3534:5 0 +7 *40420:A *4029:89 0 +8 *3314:28 *3322:37 0 +9 *3314:28 *3525:17 0 +10 *3314:28 *3525:29 0 +11 *3314:28 *3788:40 0 +12 *3314:28 *3939:24 0 +13 *3314:28 *4004:18 0 +14 *3314:28 *4082:23 0 +15 *3314:28 *4488:84 0 +16 *3314:28 *4509:22 0 +17 *3314:62 *38082:C 0 +18 *3314:62 *3318:13 0 +19 *3314:62 *3319:76 0 +20 *3314:62 *3430:21 0 +21 *3314:62 *3697:46 0 +22 *3314:62 *3933:49 0 +23 *3314:62 *3933:64 0 +24 *3314:62 *4029:89 0 +25 *3314:62 *4082:23 0 +26 *3314:62 *4233:26 0 +27 *3314:62 *4233:54 0 +28 *3314:62 *4252:37 0 +29 *2103:48 *3314:28 0 +30 *2431:112 *3314:28 0 +31 *2435:52 *3314:28 0 +32 *2594:21 *3314:28 0 +33 *3086:51 *3314:28 0 +34 *3140:60 *3314:28 0 +35 *3140:84 *3314:28 0 +36 *3234:27 *3314:28 0 +37 *3234:27 *3314:62 0 +38 *3244:32 *3314:28 0 +39 *3272:67 *3314:62 0 +*RES +1 *40421:X *3314:28 46.6807 +2 *3314:28 *3314:62 49.0561 +3 *3314:62 *8218:DIODE 15.4429 +4 *3314:62 *40420:A 14.7464 +*END + +*D_NET *3315 0.00872264 +*CONN +*I *37538:B I *D sky130_fd_sc_hd__and2_1 +*I *5346:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38510:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *37538:B 4.23535e-05 +2 *5346:DIODE 0.000104386 +3 *38510:X 0.00169161 +4 *3315:28 0.000887027 +5 *3315:22 0.00252297 +6 *3315:12 0.0034743 +7 *5346:DIODE *3841:47 0 +8 *5346:DIODE *4398:43 0 +9 *37538:B *3576:51 0 +10 *37538:B *3841:47 0 +11 *37538:B *4398:43 0 +12 *3315:12 *3437:59 0 +13 *3315:12 *3458:16 0 +14 *3315:12 *4430:96 0 +15 *3315:12 *4443:8 0 +16 *3315:12 *4748:18 0 +17 *3315:12 *4823:9 0 +18 *3315:12 *4825:7 0 +19 *3315:22 *3379:14 0 +20 *3315:22 *3437:59 0 +21 *3315:22 *3458:16 0 +22 *3315:22 *3894:12 0 +23 *3315:22 *3901:36 0 +24 *3315:22 *4440:8 0 +25 *3315:22 *4440:44 0 +26 *3315:28 *3875:41 0 +27 *3315:28 *3875:53 0 +28 *3315:28 *3886:76 0 +29 *3315:28 *4169:38 0 +30 *3315:28 *4174:14 0 +31 *3315:28 *4180:60 0 +32 *3315:28 *4715:18 0 +33 la_data_in_mprj[32] *3315:12 0 +34 *37354:A *3315:12 0 +35 *38652:A *3315:12 0 +36 *38829:A *3315:12 0 +37 *38830:A *3315:12 0 +38 *376:46 *3315:22 0 +39 *824:5 *3315:12 0 +40 *1736:11 *3315:12 0 +*RES +1 *38510:X *3315:12 49.5768 +2 *3315:12 *3315:22 44.9554 +3 *3315:22 *3315:28 24.875 +4 *3315:28 *5346:DIODE 11.4786 +5 *3315:28 *37538:B 10.2464 +*END + +*D_NET *3316 0.0279485 +*CONN +*I *6011:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6410:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38284:A I *D sky130_fd_sc_hd__and2_1 +*I *38028:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *40422:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *6011:DIODE 0 +2 *6410:DIODE 0.000287828 +3 *38284:A 0.000435006 +4 *38028:A_N 0 +5 *40422:X 2.0535e-05 +6 *3316:117 0.000722834 +7 *3316:115 0.00258277 +8 *3316:98 0.0035931 +9 *3316:77 0.00130824 +10 *3316:63 0.00132898 +11 *3316:46 0.0022965 +12 *3316:29 0.00379578 +13 *3316:17 0.00450021 +14 *3316:7 0.004513 +15 *3316:5 0.00256367 +16 *38284:A *38284:B 0 +17 *38284:A *3811:33 0 +18 *38284:A *4374:68 0 +19 *38284:A *4408:124 0 +20 *3316:7 *8625:DIODE 0 +21 *3316:7 *3517:13 0 +22 *3316:7 *3517:20 0 +23 *3316:7 *3518:23 0 +24 *3316:7 *3816:61 0 +25 *3316:17 *3322:37 0 +26 *3316:17 *3436:16 0 +27 *3316:17 *3511:32 0 +28 *3316:17 *3517:20 0 +29 *3316:17 *3529:13 0 +30 *3316:17 *3816:61 0 +31 *3316:17 *3949:44 0 +32 *3316:17 *4038:49 0 +33 *3316:29 *5503:DIODE 0 +34 *3316:29 *40416:A 0 +35 *3316:29 *3436:16 0 +36 *3316:29 *3436:21 0 +37 *3316:29 *3520:20 0 +38 *3316:29 *3759:45 0 +39 *3316:29 *3777:33 0 +40 *3316:29 *3949:44 0 +41 *3316:29 *4510:22 0 +42 *3316:46 *3511:73 0 +43 *3316:46 *4881:62 0 +44 *3316:63 *38028:C 0 +45 *3316:63 *38266:A 0 +46 *3316:63 *3747:72 0 +47 *3316:63 *4049:43 0 +48 *3316:63 *4134:69 0 +49 *3316:77 *38028:B 0 +50 *3316:77 *38028:C 0 +51 *3316:77 *4134:69 0 +52 *3316:77 *4134:84 0 +53 *3316:98 *4099:29 0 +54 *3316:98 *4128:83 0 +55 *3316:98 *4324:100 0 +56 *3316:115 *40802:A 0 +57 *3316:115 *3667:44 0 +58 *3316:115 *3774:13 0 +59 *3316:115 *3815:106 0 +60 *3316:115 *4022:94 0 +61 *3316:115 *4245:16 0 +62 *3316:115 *4320:23 0 +63 *3316:115 *4340:26 0 +64 *3316:115 *4344:17 0 +65 *3316:115 *4377:105 0 +66 *5245:DIODE *3316:7 0 +67 *6003:DIODE *3316:115 0 +68 *8658:DIODE *3316:7 0 +69 *8702:DIODE *3316:7 0 +70 *8898:DIODE *3316:7 0 +71 *37678:B *3316:29 0 +72 *40601:A *3316:17 0 +73 *1227:26 *3316:29 0 +74 *1235:38 *3316:46 0 +75 *1410:40 *3316:115 0 +76 *1413:15 *3316:77 0 +77 *1967:58 *3316:7 0 +78 *2051:49 *3316:29 0 +79 *2118:65 *3316:7 0 +80 *2121:45 *3316:7 0 +81 *2413:98 *3316:115 0 +82 *2435:97 *3316:7 0 +83 *2760:63 *3316:29 0 +84 *2832:50 *3316:98 0 +85 *2928:55 *3316:98 0 +86 *2992:32 *6410:DIODE 0 +87 *3140:36 *3316:29 0 +88 *3144:36 *3316:29 0 +89 *3202:48 *3316:46 0 +90 *3224:74 *3316:7 0 +91 *3309:10 *3316:29 0 +*RES +1 *40422:X *3316:5 9.72857 +2 *3316:5 *3316:7 53.1071 +3 *3316:7 *3316:17 41.2679 +4 *3316:17 *3316:29 48.346 +5 *3316:29 *3316:46 49.0082 +6 *3316:46 *3316:63 28.0179 +7 *3316:63 *38028:A_N 9.3 +8 *3316:63 *3316:77 15.3393 +9 *3316:77 *3316:98 42.1253 +10 *3316:98 *3316:115 49.0666 +11 *3316:115 *3316:117 4.5 +12 *3316:117 *38284:A 23.3714 +13 *3316:117 *6410:DIODE 20.2464 +14 *3316:77 *6011:DIODE 9.3 +*END + +*D_NET *3317 0.0311222 +*CONN +*I *6407:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38282:A I *D sky130_fd_sc_hd__and2_1 +*I *6008:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38026:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *40423:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *6407:DIODE 2.26741e-05 +2 *38282:A 0.000397611 +3 *6008:DIODE 0.000124732 +4 *38026:A_N 0 +5 *40423:X 0.00127528 +6 *3317:197 0.000997871 +7 *3317:183 0.00248804 +8 *3317:176 0.00386347 +9 *3317:135 0.00227753 +10 *3317:129 0.000547782 +11 *3317:119 0.00307164 +12 *3317:81 0.00392032 +13 *3317:56 0.00335262 +14 *3317:44 0.00313599 +15 *3317:29 0.00267572 +16 *3317:16 0.00297096 +17 *6008:DIODE *4113:103 0 +18 *38282:A *38282:B 0 +19 *38282:A *3650:58 0 +20 *3317:16 *4032:60 0 +21 *3317:16 *4061:53 0 +22 *3317:16 *4083:52 0 +23 *3317:29 *3446:31 0 +24 *3317:29 *4029:49 0 +25 *3317:29 *4083:63 0 +26 *3317:29 *4295:53 0 +27 *3317:44 *40604:A 0 +28 *3317:44 *4301:59 0 +29 *3317:56 *3534:72 0 +30 *3317:56 *4029:65 0 +31 *3317:56 *4046:79 0 +32 *3317:56 *4046:91 0 +33 *3317:81 *38076:A_N 0 +34 *3317:81 *3939:117 0 +35 *3317:81 *3991:13 0 +36 *3317:81 *4006:45 0 +37 *3317:81 *4020:113 0 +38 *3317:81 *4269:61 0 +39 *3317:81 *4408:78 0 +40 *3317:119 *8736:DIODE 0 +41 *3317:119 *3991:13 0 +42 *3317:119 *3991:68 0 +43 *3317:119 *4034:48 0 +44 *3317:119 *4037:24 0 +45 *3317:119 *4058:18 0 +46 *3317:119 *4095:40 0 +47 *3317:119 *4117:66 0 +48 *3317:119 *4324:100 0 +49 *3317:119 *4358:94 0 +50 *3317:129 *4335:11 0 +51 *3317:135 *4335:11 0 +52 *3317:135 *4335:13 0 +53 *3317:176 *3752:16 0 +54 *3317:176 *3769:51 0 +55 *3317:176 *3942:80 0 +56 *3317:176 *4050:44 0 +57 *3317:176 *4109:65 0 +58 *3317:176 *4323:30 0 +59 *3317:176 *4335:13 0 +60 *3317:183 *8760:DIODE 0 +61 *3317:183 *3650:43 0 +62 *3317:183 *3740:19 0 +63 *3317:183 *4098:59 0 +64 *5580:DIODE *3317:183 0 +65 *6073:DIODE *38282:A 0 +66 *7608:DIODE *3317:81 0 +67 *1211:54 *3317:197 0 +68 *1270:16 *3317:176 0 +69 *1411:24 *3317:176 0 +70 *1437:59 *3317:119 0 +71 *1446:20 *3317:81 0 +72 *2141:57 *3317:29 0 +73 *2421:51 *3317:183 0 +74 *2429:34 *3317:119 0 +75 *2432:32 *6407:DIODE 0 +76 *2432:32 *3317:197 0 +77 *2432:54 *3317:119 0 +78 *2822:46 *38282:A 0 +79 *2832:50 *3317:119 0 +80 *2919:91 *3317:176 0 +81 *2923:36 *3317:197 0 +82 *2928:39 *3317:129 0 +83 *2928:39 *3317:135 0 +84 *2928:39 *3317:176 0 +85 *2939:31 *3317:176 0 +86 *3112:52 *3317:176 0 +87 *3121:68 *3317:44 0 +88 *3124:17 *3317:176 0 +89 *3133:21 *3317:29 0 +90 *3159:40 *3317:183 0 +91 *3183:36 *3317:183 0 +92 *3187:47 *3317:81 0 +93 *3219:12 *3317:29 0 +94 *3219:12 *3317:44 0 +95 *3224:46 *3317:29 0 +96 *3236:22 *3317:44 0 +97 *3243:27 *3317:16 0 +98 *3256:39 *3317:29 0 +99 *3256:39 *3317:44 0 +*RES +1 *40423:X *3317:16 49.675 +2 *3317:16 *3317:29 49.1964 +3 *3317:29 *3317:44 39 +4 *3317:44 *3317:56 49.875 +5 *3317:56 *3317:81 49.0357 +6 *3317:81 *3317:119 47.7315 +7 *3317:119 *3317:129 15.6868 +8 *3317:129 *38026:A_N 9.3 +9 *3317:129 *3317:135 4.23214 +10 *3317:135 *6008:DIODE 21.0857 +11 *3317:135 *3317:176 49.0147 +12 *3317:176 *3317:183 49.25 +13 *3317:183 *3317:197 21.5 +14 *3317:197 *38282:A 27.1036 +15 *3317:197 *6407:DIODE 9.83571 +*END + +*D_NET *3318 0.0307262 +*CONN +*I *6404:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6004:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38024:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *38280:A I *D sky130_fd_sc_hd__and2_1 +*I *40424:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *6404:DIODE 0 +2 *6004:DIODE 0.000333954 +3 *38024:A_N 0.000522967 +4 *38280:A 0.000167275 +5 *40424:X 0.00813217 +6 *3318:75 0.00154556 +7 *3318:66 0.00472022 +8 *3318:39 0.00409362 +9 *3318:28 0.00165376 +10 *3318:13 0.00955662 +11 *38024:A_N *6005:DIODE 0 +12 *38024:A_N *8749:DIODE 0 +13 *38024:A_N *40476:A 0 +14 *38024:A_N *3648:58 0 +15 *38024:A_N *3942:125 0 +16 *38024:A_N *4099:60 0 +17 *38280:A *3747:97 0 +18 *38280:A *3991:77 0 +19 *3318:13 *3440:59 0 +20 *3318:13 *3515:34 0 +21 *3318:13 *3788:20 0 +22 *3318:13 *3797:90 0 +23 *3318:13 *3933:49 0 +24 *3318:13 *3939:93 0 +25 *3318:13 *4045:43 0 +26 *3318:13 *4135:45 0 +27 *3318:13 *4135:96 0 +28 *3318:13 *4233:54 0 +29 *3318:13 *4242:71 0 +30 *3318:13 *4275:56 0 +31 *3318:13 *4281:59 0 +32 *3318:13 *4306:62 0 +33 *3318:13 *4509:53 0 +34 *3318:28 *8695:DIODE 0 +35 *3318:28 *38090:C 0 +36 *3318:28 *3436:64 0 +37 *3318:28 *3697:59 0 +38 *3318:28 *3726:51 0 +39 *3318:28 *4008:99 0 +40 *3318:28 *4261:51 0 +41 *3318:39 *3747:97 0 +42 *3318:39 *3991:77 0 +43 *3318:66 *3647:34 0 +44 *3318:66 *3692:34 0 +45 *3318:66 *4022:130 0 +46 *3318:66 *4041:54 0 +47 *3318:66 *4241:14 0 +48 *3318:66 *4267:62 0 +49 *3318:66 *4309:40 0 +50 *3318:66 *4320:28 0 +51 *3318:66 *4374:68 0 +52 *3318:66 *4408:108 0 +53 *3318:75 *5722:DIODE 0 +54 *3318:75 *8919:DIODE 0 +55 *3318:75 *4097:17 0 +56 *3318:75 *4241:45 0 +57 *5723:DIODE *3318:75 0 +58 *7947:DIODE *6004:DIODE 0 +59 *7947:DIODE *38024:A_N 0 +60 *38080:C *3318:28 0 +61 *1330:22 *38024:A_N 0 +62 *1437:59 *3318:66 0 +63 *1446:45 *3318:75 0 +64 *2365:76 *3318:28 0 +65 *2374:76 *3318:66 0 +66 *2384:77 *38024:A_N 0 +67 *2413:98 *3318:28 0 +68 *2432:32 *6004:DIODE 0 +69 *2832:50 *3318:66 0 +70 *2929:64 *38024:A_N 0 +71 *2937:14 *38024:A_N 0 +72 *3050:10 *6004:DIODE 0 +73 *3050:10 *38024:A_N 0 +74 *3192:54 *3318:13 0 +75 *3243:27 *3318:13 0 +76 *3261:53 *3318:13 0 +77 *3263:30 *3318:28 0 +78 *3265:70 *3318:13 0 +79 *3272:51 *3318:28 0 +80 *3272:67 *3318:13 0 +81 *3310:20 *3318:66 0 +82 *3314:62 *3318:13 0 +*RES +1 *40424:X *3318:13 46.9213 +2 *3318:13 *3318:28 49.0975 +3 *3318:28 *38280:A 12.8536 +4 *3318:28 *3318:39 1.35714 +5 *3318:39 *3318:66 48.8163 +6 *3318:66 *3318:75 19.2296 +7 *3318:75 *38024:A_N 25.6571 +8 *3318:75 *6004:DIODE 21.3179 +9 *3318:39 *6404:DIODE 9.3 +*END + +*D_NET *3319 0.024467 +*CONN +*I *6401:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38278:A I *D sky130_fd_sc_hd__and2_1 +*I *38022:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *6001:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40425:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6401:DIODE 0.000521895 +2 *38278:A 8.4707e-05 +3 *38022:A_N 0 +4 *6001:DIODE 0 +5 *40425:X 0.000751076 +6 *3319:126 0.00139485 +7 *3319:115 0.00130253 +8 *3319:103 0.00258071 +9 *3319:76 0.00416855 +10 *3319:49 0.00350182 +11 *3319:33 0.00421835 +12 *3319:32 0.00400506 +13 *3319:22 0.00193749 +14 *6401:DIODE *37850:A 0 +15 *6401:DIODE *3730:101 0 +16 *38278:A *3750:45 0 +17 *38278:A *3756:23 0 +18 *3319:22 *40186:A 0 +19 *3319:22 *4029:49 0 +20 *3319:22 *4301:47 0 +21 *3319:33 *3327:61 0 +22 *3319:33 *3534:64 0 +23 *3319:33 *3534:72 0 +24 *3319:33 *3952:80 0 +25 *3319:33 *4029:65 0 +26 *3319:49 *38094:A_N 0 +27 *3319:49 *38094:B 0 +28 *3319:49 *4029:65 0 +29 *3319:49 *4509:69 0 +30 *3319:76 *3433:20 0 +31 *3319:76 *4001:74 0 +32 *3319:76 *4001:105 0 +33 *3319:76 *4012:105 0 +34 *3319:76 *4182:20 0 +35 *3319:76 *4242:71 0 +36 *3319:76 *4264:54 0 +37 *3319:76 *4276:20 0 +38 *3319:76 *4520:107 0 +39 *3319:103 *6108:DIODE 0 +40 *3319:103 *38234:A 0 +41 *3319:103 *41262:A 0 +42 *3319:103 *3443:75 0 +43 *3319:103 *3497:59 0 +44 *3319:103 *3515:34 0 +45 *3319:103 *3811:33 0 +46 *3319:103 *4085:58 0 +47 *3319:103 *4242:71 0 +48 *3319:115 *38022:B 0 +49 *3319:115 *3667:44 0 +50 *3319:115 *3811:33 0 +51 *3319:115 *4374:68 0 +52 *3319:126 *3667:45 0 +53 *3319:126 *3730:101 0 +54 *8522:DIODE *3319:32 0 +55 *37672:B *3319:22 0 +56 *40253:A *3319:49 0 +57 *1235:15 *3319:32 0 +58 *1235:19 *3319:32 0 +59 *1235:55 *3319:49 0 +60 *2417:100 *3319:103 0 +61 *2433:16 *3319:76 0 +62 *2928:28 *3319:126 0 +63 *2928:39 *3319:115 0 +64 *2928:39 *3319:126 0 +65 *2992:44 *6401:DIODE 0 +66 *3053:14 *3319:22 0 +67 *3084:34 *3319:76 0 +68 *3121:42 *3319:76 0 +69 *3159:40 *3319:126 0 +70 *3178:43 *3319:76 0 +71 *3202:42 *3319:49 0 +72 *3210:35 *3319:49 0 +73 *3236:38 *3319:22 0 +74 *3236:53 *3319:22 0 +75 *3239:9 *3319:49 0 +76 *3252:14 *3319:76 0 +77 *3255:11 *3319:22 0 +78 *3256:23 *3319:76 0 +79 *3274:36 *3319:103 0 +80 *3289:19 *3319:103 0 +81 *3306:20 *3319:76 0 +82 *3312:96 *3319:76 0 +83 *3314:62 *3319:76 0 +*RES +1 *40425:X *3319:22 47.8804 +2 *3319:22 *3319:32 38.6161 +3 *3319:32 *3319:33 58.8571 +4 *3319:33 *3319:49 47.625 +5 *3319:49 *3319:76 48.0107 +6 *3319:76 *3319:103 40.7751 +7 *3319:103 *6001:DIODE 9.3 +8 *3319:103 *3319:115 20.1071 +9 *3319:115 *38022:A_N 9.3 +10 *3319:115 *3319:126 21.3393 +11 *3319:126 *38278:A 15.5679 +12 *3319:126 *6401:DIODE 24.9071 +*END + +*D_NET *3320 0.029916 +*CONN +*I *38020:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *6398:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38276:A I *D sky130_fd_sc_hd__and2_1 +*I *5998:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40426:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38020:A_N 0 +2 *6398:DIODE 0.000286664 +3 *38276:A 2.56688e-05 +4 *5998:DIODE 0.000157425 +5 *40426:X 7.23606e-05 +6 *3320:169 0.000990065 +7 *3320:156 0.00292714 +8 *3320:129 0.00299119 +9 *3320:108 0.00204926 +10 *3320:96 0.00165194 +11 *3320:82 0.0013219 +12 *3320:72 0.00225848 +13 *3320:63 0.00311051 +14 *3320:54 0.00302432 +15 *3320:29 0.00306251 +16 *3320:12 0.00381225 +17 *3320:8 0.00217437 +18 *5998:DIODE *8235:DIODE 0 +19 *6398:DIODE *4001:45 0 +20 *6398:DIODE *4053:92 0 +21 *38276:A *3980:80 0 +22 *3320:12 *39112:A 0 +23 *3320:12 *39114:A 0 +24 *3320:12 *39115:A 0 +25 *3320:12 *39117:A 0 +26 *3320:12 *3341:9 0 +27 *3320:12 *3727:8 0 +28 *3320:12 *3782:9 0 +29 *3320:29 *3794:16 0 +30 *3320:29 *4488:16 0 +31 *3320:29 *5170:31 0 +32 *3320:54 *3442:24 0 +33 *3320:54 *4488:16 0 +34 *3320:54 *5170:31 0 +35 *3320:72 *3771:23 0 +36 *3320:72 *3814:31 0 +37 *3320:72 *3882:23 0 +38 *3320:82 *4046:36 0 +39 *3320:82 *4298:20 0 +40 *3320:96 *5999:DIODE 0 +41 *3320:96 *4035:34 0 +42 *3320:96 *4053:41 0 +43 *3320:96 *4062:38 0 +44 *3320:108 *8859:DIODE 0 +45 *3320:108 *3365:30 0 +46 *3320:108 *3747:30 0 +47 *3320:129 *38318:A 0 +48 *3320:129 *3340:61 0 +49 *3320:129 *3702:14 0 +50 *3320:129 *3717:7 0 +51 *3320:129 *3747:45 0 +52 *3320:129 *4128:39 0 +53 *3320:156 *38316:A 0 +54 *3320:156 *3322:82 0 +55 *3320:156 *3323:30 0 +56 *3320:156 *3340:61 0 +57 *3320:156 *3702:14 0 +58 *3320:156 *3787:92 0 +59 *3320:156 *3801:60 0 +60 *3320:156 *3947:27 0 +61 *3320:156 *4083:112 0 +62 *3320:156 *4098:42 0 +63 *3320:156 *4134:61 0 +64 *3320:156 *4230:25 0 +65 *3320:156 *4230:46 0 +66 *3320:156 *4358:51 0 +67 *3320:169 *3518:122 0 +68 *3320:169 *3702:64 0 +69 *3320:169 *3992:38 0 +70 *3320:169 *4267:25 0 +71 *3320:169 *4298:40 0 +72 la_data_in_mprj[72] *3320:12 0 +73 la_data_in_mprj[73] *3320:12 0 +74 la_data_in_mprj[79] *3320:54 0 +75 *6462:DIODE *3320:129 0 +76 *6696:DIODE *3320:12 0 +77 *6841:DIODE *3320:54 0 +78 *38068:C *6398:DIODE 0 +79 *38417:A *3320:12 0 +80 *38560:A *3320:12 0 +81 *40250:A *3320:129 0 +82 *40271:A *3320:82 0 +83 *483:5 *3320:8 0 +84 *487:5 *3320:12 0 +85 *497:5 *3320:72 0 +86 *615:12 *3320:12 0 +87 *865:8 *3320:8 0 +88 *1409:14 *3320:108 0 +89 *1438:30 *3320:156 0 +90 *1438:30 *3320:169 0 +91 *1969:37 *3320:82 0 +92 *1987:36 *3320:54 0 +93 *1999:52 *3320:129 0 +94 *2008:27 *3320:54 0 +95 *2051:22 *3320:63 0 +96 *2089:16 *3320:63 0 +97 *2101:34 *3320:129 0 +98 *2117:64 *3320:96 0 +99 *2253:65 *3320:129 0 +100 *2254:87 *3320:129 0 +101 *2394:46 *3320:72 0 +102 *2405:5 *3320:29 0 +103 *2405:5 *3320:54 0 +104 *2418:51 *3320:72 0 +105 *2483:19 *3320:63 0 +106 *3153:39 *3320:82 0 +107 *3201:19 *3320:108 0 +108 *3201:26 *3320:108 0 +109 *3236:53 *3320:82 0 +110 *3305:35 *3320:72 0 +*RES +1 *40426:X *3320:8 19.9429 +2 *3320:8 *3320:12 48.4643 +3 *3320:12 *3320:29 49.5714 +4 *3320:29 *3320:54 47.6457 +5 *3320:54 *3320:63 48.5536 +6 *3320:63 *3320:72 45.625 +7 *3320:72 *3320:82 24.33 +8 *3320:82 *5998:DIODE 17.2554 +9 *3320:82 *3320:96 12.2054 +10 *3320:96 *3320:108 46.5179 +11 *3320:108 *3320:129 43.0893 +12 *3320:129 *3320:156 47.7442 +13 *3320:156 *3320:169 17.5622 +14 *3320:169 *38276:A 14.3357 +15 *3320:169 *6398:DIODE 20.2107 +16 *3320:96 *38020:A_N 9.3 +*END + +*D_NET *3321 0.0245977 +*CONN +*I *5994:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38018:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *38274:A I *D sky130_fd_sc_hd__and2_1 +*I *6395:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40427:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5994:DIODE 0 +2 *38018:A_N 0.000216913 +3 *38274:A 0 +4 *6395:DIODE 4.53482e-05 +5 *40427:X 0.00126733 +6 *3321:153 0.000363653 +7 *3321:152 0.0012049 +8 *3321:128 0.00131726 +9 *3321:112 0.0017314 +10 *3321:90 0.00298849 +11 *3321:73 0.00324205 +12 *3321:63 0.00272217 +13 *3321:39 0.00334863 +14 *3321:29 0.00359462 +15 *3321:9 0.00255497 +16 *38018:A_N *38018:B 0 +17 *38018:A_N *3819:75 0 +18 *38018:A_N *4352:58 0 +19 *3321:9 *40427:A 0 +20 *3321:9 *3446:16 0 +21 *3321:9 *3816:61 0 +22 *3321:9 *5159:56 0 +23 *3321:29 *3518:39 0 +24 *3321:39 *40408:A 0 +25 *3321:39 *3511:72 0 +26 *3321:39 *3517:21 0 +27 *3321:39 *3814:43 0 +28 *3321:39 *3814:56 0 +29 *3321:39 *4501:61 0 +30 *3321:63 *40518:A 0 +31 *3321:73 *3517:50 0 +32 *3321:73 *3785:59 0 +33 *3321:90 *37666:B 0 +34 *3321:90 *3435:37 0 +35 *3321:90 *4297:91 0 +36 *3321:90 *4889:22 0 +37 *3321:112 *4230:74 0 +38 *3321:112 *4269:61 0 +39 *3321:112 *4297:108 0 +40 *3321:128 *3747:83 0 +41 *3321:128 *4134:97 0 +42 *3321:128 *4374:38 0 +43 *3321:152 *3531:44 0 +44 *3321:152 *3667:19 0 +45 *3321:152 *3811:33 0 +46 *3321:152 *4113:99 0 +47 *3321:152 *4335:11 0 +48 *3321:152 *4365:100 0 +49 *3321:153 *3678:19 0 +50 *3321:153 *3756:14 0 +51 *40324:A *3321:9 0 +52 *1215:15 *3321:29 0 +53 *1215:15 *3321:39 0 +54 *1238:38 *3321:90 0 +55 *1413:15 *6395:DIODE 0 +56 *2361:22 *3321:29 0 +57 *2472:21 *3321:29 0 +58 *3055:35 *3321:9 0 +59 *3055:35 *3321:29 0 +60 *3055:55 *3321:39 0 +61 *3105:99 *3321:63 0 +62 *3105:99 *3321:73 0 +63 *3121:56 *3321:39 0 +64 *3207:95 *3321:9 0 +65 *3217:59 *3321:39 0 +66 *3217:59 *3321:63 0 +67 *3217:59 *3321:73 0 +68 *3217:69 *3321:39 0 +69 *3263:52 *3321:112 0 +70 *3296:9 *3321:90 0 +71 *3300:21 *3321:39 0 +72 *3305:55 *3321:63 0 +73 *3305:142 *3321:152 0 +74 *3311:5 *3321:29 0 +75 *3311:5 *3321:39 0 +76 *3311:16 *3321:39 0 +77 *3312:78 *3321:90 0 +*RES +1 *40427:X *3321:9 45.6929 +2 *3321:9 *3321:29 48.9614 +3 *3321:29 *3321:39 48.4643 +4 *3321:39 *3321:63 40.2545 +5 *3321:63 *3321:73 48.8527 +6 *3321:73 *3321:90 48.7143 +7 *3321:90 *3321:112 41.0893 +8 *3321:112 *6395:DIODE 10.2464 +9 *3321:112 *3321:128 14.7143 +10 *3321:128 *38274:A 9.3 +11 *3321:128 *3321:152 49.7321 +12 *3321:152 *3321:153 3 +13 *3321:153 *38018:A_N 23.2643 +14 *3321:153 *5994:DIODE 9.3 +*END + +*D_NET *3322 0.0288542 +*CONN +*I *38016:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *5990:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6392:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38272:A I *D sky130_fd_sc_hd__and2_1 +*I *40428:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38016:A_N 4.23535e-05 +2 *5990:DIODE 0.000269902 +3 *6392:DIODE 2.56688e-05 +4 *38272:A 0 +5 *40428:X 0.00644703 +6 *3322:146 0.00153507 +7 *3322:131 0.0031022 +8 *3322:109 0.00289797 +9 *3322:82 0.00140946 +10 *3322:69 0.000674842 +11 *3322:56 0.00200052 +12 *3322:37 0.0028465 +13 *3322:18 0.00760266 +14 *5990:DIODE *4347:38 0 +15 *38016:A_N *4134:69 0 +16 *3322:18 *3449:26 0 +17 *3322:18 *3518:39 0 +18 *3322:18 *3792:37 0 +19 *3322:18 *3792:41 0 +20 *3322:18 *4122:65 0 +21 *3322:18 *4122:74 0 +22 *3322:18 *4489:26 0 +23 *3322:18 *4490:73 0 +24 *3322:37 *3816:61 0 +25 *3322:56 *38320:B 0 +26 *3322:56 *40522:A 0 +27 *3322:56 *3530:41 0 +28 *3322:56 *3952:52 0 +29 *3322:56 *4107:23 0 +30 *3322:56 *4291:24 0 +31 *3322:56 *4408:15 0 +32 *3322:56 *4513:48 0 +33 *3322:69 *4050:13 0 +34 *3322:69 *4124:16 0 +35 *3322:69 *4291:24 0 +36 *3322:69 *4408:15 0 +37 *3322:82 *4134:61 0 +38 *3322:82 *4230:25 0 +39 *3322:109 *3447:60 0 +40 *3322:109 *3736:24 0 +41 *3322:109 *4113:37 0 +42 *3322:109 *4113:53 0 +43 *3322:109 *4128:39 0 +44 *3322:109 *4128:50 0 +45 *3322:131 *3438:71 0 +46 *3322:131 *3438:91 0 +47 *3322:131 *3518:90 0 +48 *3322:131 *3992:38 0 +49 *3322:131 *4298:55 0 +50 *3322:131 *4324:70 0 +51 *3322:146 *5991:DIODE 0 +52 *3322:146 *38016:B 0 +53 *3322:146 *3702:76 0 +54 *3322:146 *4075:126 0 +55 *3322:146 *4083:112 0 +56 *3322:146 *4092:19 0 +57 *3322:146 *4134:69 0 +58 *3322:146 *4298:55 0 +59 *6393:DIODE *3322:69 0 +60 *6462:DIODE *3322:82 0 +61 *37774:A *5990:DIODE 0 +62 *40247:A *3322:131 0 +63 *40320:A *3322:56 0 +64 *41078:A *3322:56 0 +65 *41138:A *3322:69 0 +66 *1224:20 *3322:109 0 +67 *1224:42 *3322:146 0 +68 *1286:11 *5990:DIODE 0 +69 *1438:30 *3322:131 0 +70 *1438:55 *3322:109 0 +71 *1558:28 *3322:109 0 +72 *1967:37 *3322:18 0 +73 *2103:49 *3322:56 0 +74 *2115:70 *3322:56 0 +75 *2129:13 *3322:18 0 +76 *2139:46 *3322:18 0 +77 *2149:14 *3322:18 0 +78 *2233:17 *3322:18 0 +79 *2361:22 *3322:37 0 +80 *2424:69 *3322:37 0 +81 *2428:46 *3322:18 0 +82 *2435:52 *3322:37 0 +83 *2671:57 *3322:146 0 +84 *3055:104 *5990:DIODE 0 +85 *3085:21 *3322:131 0 +86 *3105:103 *3322:146 0 +87 *3105:123 *3322:131 0 +88 *3121:71 *3322:131 0 +89 *3140:60 *3322:37 0 +90 *3140:84 *3322:18 0 +91 *3140:84 *3322:37 0 +92 *3144:50 *3322:56 0 +93 *3191:10 *3322:146 0 +94 *3259:77 *3322:18 0 +95 *3314:28 *3322:37 0 +96 *3316:17 *3322:37 0 +97 *3320:156 *3322:82 0 +*RES +1 *40428:X *3322:18 49.2139 +2 *3322:18 *3322:37 34.8877 +3 *3322:37 *3322:56 46.875 +4 *3322:56 *3322:69 20.4286 +5 *3322:69 *38272:A 9.3 +6 *3322:69 *3322:82 12.5536 +7 *3322:82 *6392:DIODE 14.3357 +8 *3322:82 *3322:109 48.75 +9 *3322:109 *3322:131 46.6453 +10 *3322:131 *3322:146 42.9531 +11 *3322:146 *5990:DIODE 24.4071 +12 *3322:146 *38016:A_N 10.2464 +*END + +*D_NET *3323 0.0244056 +*CONN +*I *38270:A I *D sky130_fd_sc_hd__and2_1 +*I *6389:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40429:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38270:A 0.000455533 +2 *6389:DIODE 0 +3 *40429:X 0.00514754 +4 *3323:69 0.000775579 +5 *3323:59 0.0027451 +6 *3323:57 0.00393266 +7 *3323:44 0.00385463 +8 *3323:30 0.00749457 +9 *38270:A *6390:DIODE 0 +10 *38270:A *3670:68 0 +11 *38270:A *4099:49 0 +12 *3323:30 *3340:61 0 +13 *3323:30 *3702:14 0 +14 *3323:30 *3726:28 0 +15 *3323:30 *3947:27 0 +16 *3323:30 *3956:50 0 +17 *3323:30 *3989:67 0 +18 *3323:30 *4041:29 0 +19 *3323:30 *4064:40 0 +20 *3323:30 *4110:64 0 +21 *3323:30 *4230:46 0 +22 *3323:30 *4324:70 0 +23 *3323:30 *4358:51 0 +24 *3323:30 *4379:66 0 +25 *3323:44 *3531:36 0 +26 *3323:44 *3710:36 0 +27 *3323:44 *4314:24 0 +28 *3323:57 *3651:26 0 +29 *3323:57 *3803:25 0 +30 *3323:57 *3820:34 0 +31 *3323:59 *3672:50 0 +32 *3323:59 *3733:78 0 +33 *3323:59 *4099:38 0 +34 *3323:69 *3650:23 0 +35 *3323:69 *4241:21 0 +36 *5580:DIODE *38270:A 0 +37 *37875:A *3323:57 0 +38 *1271:37 *3323:57 0 +39 *1318:13 *38270:A 0 +40 *1324:33 *3323:57 0 +41 *1324:33 *3323:59 0 +42 *1408:29 *3323:57 0 +43 *1438:30 *3323:30 0 +44 *1692:21 *3323:44 0 +45 *1999:57 *3323:30 0 +46 *2073:80 *3323:30 0 +47 *2315:55 *3323:44 0 +48 *2432:54 *3323:44 0 +49 *2840:34 *3323:44 0 +50 *2841:61 *3323:59 0 +51 *2919:91 *3323:59 0 +52 *2930:40 *3323:44 0 +53 *2941:33 *3323:44 0 +54 *2987:30 *3323:57 0 +55 *3055:104 *3323:30 0 +56 *3074:56 *3323:30 0 +57 *3078:14 *3323:69 0 +58 *3112:59 *3323:57 0 +59 *3312:133 *3323:59 0 +60 *3320:156 *3323:30 0 +*RES +1 *40429:X *3323:30 47.5742 +2 *3323:30 *3323:44 39.9914 +3 *3323:44 *3323:57 40.8214 +4 *3323:57 *3323:59 50.6429 +5 *3323:59 *3323:69 16.2321 +6 *3323:69 *6389:DIODE 9.3 +7 *3323:69 *38270:A 28.3357 +*END + +*D_NET *3324 0.0359994 +*CONN +*I *8225:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40429:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *5987:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38014:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *40430:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *8225:DIODE 0 +2 *40429:A 0.000399248 +3 *5987:DIODE 0 +4 *38014:A_N 0.000140537 +5 *40430:X 0.000883747 +6 *3324:58 0.00311942 +7 *3324:57 0.00272017 +8 *3324:55 0.00708758 +9 *3324:53 0.00710811 +10 *3324:51 0.00256517 +11 *3324:50 0.00254463 +12 *3324:48 0.00199847 +13 *3324:30 0.00434382 +14 *3324:14 0.00308856 +15 *38014:A_N *3624:58 0 +16 *40429:A *3702:14 0 +17 *40429:A *3747:45 0 +18 *40429:A *4110:57 0 +19 *3324:14 *39111:A 0 +20 *3324:14 *3934:40 0 +21 *3324:14 *4479:35 0 +22 *3324:30 *4222:22 0 +23 *3324:48 *3624:58 0 +24 *3324:48 *5109:32 0 +25 *3324:51 *4689:5 0 +26 *3324:55 *4689:5 0 +27 *3324:58 *4124:18 0 +28 *3324:58 *4697:12 0 +29 *6393:DIODE *40429:A 0 +30 *8125:DIODE *3324:30 0 +31 *37983:A *3324:51 0 +32 *38014:B *38014:A_N 0 +33 *40748:A *3324:58 0 +34 *318:7 *3324:48 0 +35 *318:28 *3324:48 0 +36 *338:47 *3324:48 0 +37 *352:39 *3324:48 0 +38 *1010:95 *3324:58 0 +39 *1282:17 *3324:30 0 +40 *1535:14 *40429:A 0 +41 *1535:16 *3324:58 0 +42 *1817:11 *3324:48 0 +43 *1957:20 *3324:30 0 +44 *1989:23 *3324:30 0 +45 *1996:10 *3324:30 0 +46 *2106:15 *3324:14 0 +47 *2222:10 *3324:30 0 +48 *2222:10 *3324:48 0 +49 *2258:31 *3324:58 0 +50 *2292:71 *3324:58 0 +51 *2539:17 *3324:55 0 +52 *2607:19 *3324:51 0 +53 *2728:17 *3324:55 0 +54 *2734:17 *3324:51 0 +55 *2877:47 *3324:51 0 +56 *3206:58 *3324:14 0 +*RES +1 *40430:X *3324:14 33.6661 +2 *3324:14 *3324:30 42.9029 +3 *3324:30 *38014:A_N 12.3179 +4 *3324:30 *5987:DIODE 9.3 +5 *3324:30 *3324:48 49.8393 +6 *3324:48 *3324:50 4.5 +7 *3324:50 *3324:51 53.1071 +8 *3324:51 *3324:53 0.428571 +9 *3324:53 *3324:55 147.982 +10 *3324:55 *3324:57 4.5 +11 *3324:57 *3324:58 61.75 +12 *3324:58 *40429:A 22.3536 +13 *3324:58 *8225:DIODE 13.8 +*END + +*D_NET *3325 0.0207821 +*CONN +*I *38268:A I *D sky130_fd_sc_hd__and2_2 +*I *6386:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40431:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38268:A 3.35833e-05 +2 *6386:DIODE 8.17123e-05 +3 *40431:X 0.000371659 +4 *3325:44 0.00274891 +5 *3325:37 0.00535214 +6 *3325:11 0.00727046 +7 *3325:10 0.00492359 +8 *3325:10 *3373:43 0 +9 *3325:11 *3660:43 0 +10 *3325:37 *3596:34 0 +11 *3325:37 *3596:56 0 +12 *3325:37 *3895:49 0 +13 *3325:37 *4156:37 0 +14 *3325:37 *4212:43 0 +15 *3325:37 *4384:56 0 +16 *3325:37 *4457:109 0 +17 *3325:44 *3895:49 0 +18 *3325:44 *4384:56 0 +19 *3325:44 *4392:49 0 +20 *41136:A *3325:10 0 +21 *41166:A *3325:37 0 +22 *41171:A *3325:37 0 +23 *1393:45 *6386:DIODE 0 +24 *1895:46 *6386:DIODE 0 +25 *1898:36 *3325:37 0 +26 *1898:36 *3325:44 0 +27 *1900:28 *3325:37 0 +28 *1901:32 *3325:37 0 +29 *2005:14 *3325:11 0 +30 *2005:21 *3325:11 0 +31 *2016:14 *3325:11 0 +32 *2024:37 *3325:11 0 +33 *2037:13 *3325:10 0 +34 *2060:16 *3325:11 0 +35 *2061:49 *3325:37 0 +36 *2061:56 *3325:44 0 +37 *2068:8 *3325:11 0 +38 *2074:30 *3325:37 0 +39 *2076:8 *3325:11 0 +40 *2083:17 *3325:11 0 +41 *2091:19 *3325:10 0 +42 *2166:39 *3325:37 0 +43 *2181:33 *3325:37 0 +44 *2210:25 *3325:37 0 +45 *3152:30 *3325:11 0 +46 *3152:43 *3325:37 0 +*RES +1 *40431:X *3325:10 26.6571 +2 *3325:10 *3325:11 95 +3 *3325:11 *3325:37 42.4511 +4 *3325:37 *3325:44 17.9421 +5 *3325:44 *6386:DIODE 11.0679 +6 *3325:44 *38268:A 10.1393 +*END + +*D_NET *3326 0.00413756 +*CONN +*I *40544:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38511:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40544:A 0.000896118 +2 *38511:X 0.00117266 +3 *3326:13 0.00206878 +4 *40544:A *3465:5 0 +5 *40544:A *3488:27 0 +6 *40544:A *4443:8 0 +7 *3326:13 *3367:5 0 +8 *3326:13 *3371:12 0 +9 *3326:13 *3878:31 0 +10 *3326:13 *3901:21 0 +11 *6647:DIODE *3326:13 0 +12 *343:13 *3326:13 0 +13 *1608:18 *40544:A 0 +14 *1608:18 *3326:13 0 +15 *2351:20 *40544:A 0 +*RES +1 *38511:X *3326:13 47.9964 +2 *3326:13 *40544:A 32.7464 +*END + +*D_NET *3327 0.0204594 +*CONN +*I *38266:A I *D sky130_fd_sc_hd__and2_1 +*I *6383:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40432:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38266:A 0.000450014 +2 *6383:DIODE 0 +3 *40432:X 0.000848736 +4 *3327:105 0.00127399 +5 *3327:92 0.00292867 +6 *3327:61 0.00427777 +7 *3327:53 0.00408262 +8 *3327:31 0.0038292 +9 *3327:18 0.00276839 +10 *38266:A *4049:43 0 +11 *38266:A *4236:65 0 +12 *3327:18 *41297:A 0 +13 *3327:18 *3330:12 0 +14 *3327:18 *3961:8 0 +15 *3327:18 *4039:18 0 +16 *3327:18 *4303:43 0 +17 *3327:31 *4046:24 0 +18 *3327:31 *4128:13 0 +19 *3327:31 *4301:24 0 +20 *3327:31 *4305:13 0 +21 *3327:53 *3446:16 0 +22 *3327:53 *3446:28 0 +23 *3327:53 *3534:105 0 +24 *3327:53 *3952:52 0 +25 *3327:53 *3952:72 0 +26 *3327:53 *4062:38 0 +27 *3327:53 *4253:39 0 +28 *3327:53 *4294:47 0 +29 *3327:61 *8279:DIODE 0 +30 *3327:61 *37642:A 0 +31 *3327:61 *37642:B 0 +32 *3327:61 *3445:31 0 +33 *3327:61 *3534:72 0 +34 *3327:61 *3534:92 0 +35 *3327:61 *3952:72 0 +36 *3327:61 *3952:75 0 +37 *3327:61 *3952:80 0 +38 *3327:61 *4046:76 0 +39 *3327:61 *4294:47 0 +40 *3327:92 *38064:B 0 +41 *3327:92 *3961:49 0 +42 *3327:92 *3969:72 0 +43 *3327:92 *4039:112 0 +44 *3327:92 *4117:51 0 +45 *3327:92 *4135:96 0 +46 *3327:92 *4261:27 0 +47 *3327:92 *4295:53 0 +48 *3327:92 *4516:58 0 +49 *3327:105 *3443:11 0 +50 *3327:105 *4008:48 0 +51 *3327:105 *4236:65 0 +52 *8644:DIODE *3327:18 0 +53 *40276:A *3327:61 0 +54 *1220:14 *3327:61 0 +55 *1432:41 *3327:92 0 +56 *1434:26 *3327:92 0 +57 *1979:57 *3327:31 0 +58 *2139:67 *3327:31 0 +59 *2649:11 *3327:105 0 +60 *3133:61 *3327:31 0 +61 *3133:65 *3327:31 0 +62 *3153:24 *3327:53 0 +63 *3192:66 *3327:92 0 +64 *3219:45 *3327:53 0 +65 *3219:66 *3327:31 0 +66 *3219:66 *3327:53 0 +67 *3219:70 *3327:31 0 +68 *3243:41 *3327:53 0 +69 *3305:62 *3327:92 0 +70 *3316:63 *38266:A 0 +71 *3319:33 *3327:61 0 +*RES +1 *40432:X *3327:18 46.2286 +2 *3327:18 *3327:31 49.8393 +3 *3327:31 *3327:53 49.125 +4 *3327:53 *3327:61 49.9464 +5 *3327:61 *3327:92 47.7366 +6 *3327:92 *3327:105 31.2679 +7 *3327:105 *6383:DIODE 9.3 +8 *3327:105 *38266:A 28.8714 +*END + +*D_NET *3328 0.0161836 +*CONN +*I *8226:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40432:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38877:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8226:DIODE 0.000321715 +2 *40432:A 0 +3 *38877:X 0.00168836 +4 *3328:53 0.000537389 +5 *3328:43 0.00204402 +6 *3328:30 0.00324157 +7 *3328:22 0.00403771 +8 *3328:11 0.00431285 +9 *8226:DIODE *40529:A 0 +10 *8226:DIODE *4296:50 0 +11 *8226:DIODE *4300:13 0 +12 *8226:DIODE *4300:15 0 +13 *3328:11 *39110:A 0 +14 *3328:11 *3694:19 0 +15 *3328:11 *4481:14 0 +16 *3328:22 *3771:17 0 +17 *3328:22 *4488:11 0 +18 *3328:22 *5170:14 0 +19 *3328:30 *3341:27 0 +20 *3328:30 *3760:35 0 +21 *3328:30 *4488:16 0 +22 *3328:30 *4490:16 0 +23 *3328:30 *4495:16 0 +24 *3328:30 *4860:23 0 +25 *3328:30 *5170:27 0 +26 *3328:43 *3794:16 0 +27 *3328:43 *4296:50 0 +28 *3328:43 *4490:38 0 +29 *3328:43 *4495:16 0 +30 *3328:53 *4300:13 0 +31 *6556:DIODE *3328:30 0 +32 *6686:DIODE *3328:11 0 +33 *8640:DIODE *3328:43 0 +34 *8705:DIODE *3328:53 0 +35 *37634:A *3328:30 0 +36 *38420:A *3328:30 0 +37 *38422:A *3328:43 0 +38 *38549:A *3328:11 0 +39 *38550:A *3328:11 0 +40 *38561:A *3328:30 0 +41 *38690:A *3328:11 0 +42 *38693:A *3328:11 0 +43 *38694:A *3328:22 0 +44 *38698:A *3328:22 0 +45 *38704:A *3328:30 0 +46 *38871:A *3328:11 0 +47 *38872:A *3328:22 0 +48 *41247:A *3328:43 0 +49 *1967:37 *3328:43 0 +50 *2073:42 *8226:DIODE 0 +51 *2405:5 *3328:30 0 +52 *2416:15 *3328:43 0 +53 *2427:21 *3328:43 0 +54 *2439:38 *3328:22 0 +55 *3128:39 *3328:43 0 +56 *3172:13 *3328:43 0 +57 *3247:22 *3328:43 0 +*RES +1 *38877:X *3328:11 44.6929 +2 *3328:11 *3328:22 48.8096 +3 *3328:22 *3328:30 43.0893 +4 *3328:30 *3328:43 48.75 +5 *3328:43 *3328:53 13.6964 +6 *3328:53 *40432:A 9.3 +7 *3328:53 *8226:DIODE 16.1393 +*END + +*D_NET *3329 0.0190804 +*CONN +*I *38008:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *5978:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40433:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38008:A_N 0.00055227 +2 *5978:DIODE 6.50276e-05 +3 *40433:X 0.00118461 +4 *3329:44 0.00114409 +5 *3329:43 0.00180515 +6 *3329:22 0.00283666 +7 *3329:9 0.00593312 +8 *3329:7 0.00555943 +9 *5978:DIODE *3565:92 0 +10 *5978:DIODE *3591:32 0 +11 *5978:DIODE *3645:52 0 +12 *38008:A_N *3632:14 0 +13 *38008:A_N *3635:40 0 +14 *3329:7 *4145:9 0 +15 *3329:9 *4145:9 0 +16 *3329:9 *4831:15 0 +17 *3329:22 *3878:14 0 +18 *3329:22 *4457:6 0 +19 *3329:22 *4470:47 0 +20 *3329:22 *5026:42 0 +21 *3329:43 *4132:44 0 +22 *3329:43 *4137:44 0 +23 *3329:43 *4144:12 0 +24 *3329:43 *4148:9 0 +25 *3329:43 *4151:9 0 +26 *3329:43 *4447:27 0 +27 *3329:43 *5015:41 0 +28 *3329:43 *5015:50 0 +29 *38008:C *38008:A_N 0 +30 *1403:16 *38008:A_N 0 +31 *1796:43 *3329:22 0 +32 *2031:48 *3329:43 0 +33 *2045:24 *3329:9 0 +34 *2045:45 *3329:9 0 +35 *2071:23 *3329:43 0 +*RES +1 *40433:X *3329:7 34.0857 +2 *3329:7 *3329:9 91.3036 +3 *3329:9 *3329:22 46.9464 +4 *3329:22 *3329:43 48.1967 +5 *3329:43 *3329:44 11.9643 +6 *3329:44 *5978:DIODE 15.1571 +7 *3329:44 *38008:A_N 25.9607 +*END + +*D_NET *3330 0.0202363 +*CONN +*I *6380:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38264:A I *D sky130_fd_sc_hd__and2_1 +*I *40434:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6380:DIODE 0.000776581 +2 *38264:A 0.000236877 +3 *40434:X 0.0052807 +4 *3330:37 0.0026471 +5 *3330:33 0.003824 +6 *3330:12 0.00747106 +7 *6380:DIODE *8901:DIODE 0 +8 *6380:DIODE *4042:28 0 +9 *6380:DIODE *4096:44 0 +10 *6380:DIODE *4110:57 0 +11 *6380:DIODE *4119:21 0 +12 *6380:DIODE *4230:25 0 +13 *38264:A *8451:DIODE 0 +14 *38264:A *4230:25 0 +15 *3330:12 *3934:88 0 +16 *3330:12 *4002:13 0 +17 *3330:12 *4002:23 0 +18 *3330:33 *3365:21 0 +19 *3330:33 *4062:19 0 +20 *3330:33 *4227:23 0 +21 *3330:33 *4243:32 0 +22 *3330:33 *4253:11 0 +23 *3330:33 *4376:49 0 +24 *3330:37 *8901:DIODE 0 +25 *3330:37 *3947:27 0 +26 *3330:37 *3961:30 0 +27 *3330:37 *4020:20 0 +28 *3330:37 *4042:28 0 +29 *3330:37 *4105:45 0 +30 *3330:37 *4299:23 0 +31 *41127:A *3330:12 0 +32 *1816:22 *3330:12 0 +33 *1958:31 *3330:12 0 +34 *1967:16 *3330:12 0 +35 *2010:19 *3330:12 0 +36 *2010:25 *3330:12 0 +37 *2073:20 *3330:12 0 +38 *2073:42 *3330:12 0 +39 *2073:60 *3330:33 0 +40 *2073:72 *3330:37 0 +41 *2097:12 *3330:33 0 +42 *2116:19 *3330:12 0 +43 *2116:45 *3330:12 0 +44 *2117:52 *3330:33 0 +45 *2118:25 *3330:12 0 +46 *2427:21 *3330:12 0 +47 *2437:40 *3330:12 0 +48 *3143:25 *3330:33 0 +49 *3206:46 *3330:12 0 +50 *3216:32 *3330:12 0 +51 *3327:18 *3330:12 0 +*RES +1 *40434:X *3330:12 48.7583 +2 *3330:12 *3330:33 44.6001 +3 *3330:33 *3330:37 18.6184 +4 *3330:37 *38264:A 22.3975 +5 *3330:37 *6380:DIODE 33.3713 +*END + +*D_NET *3331 0.022237 +*CONN +*I *38262:A I *D sky130_fd_sc_hd__and2_1 +*I *5974:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38006:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *6377:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40435:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38262:A 0 +2 *5974:DIODE 0 +3 *38006:A_N 0.000418285 +4 *6377:DIODE 4.53482e-05 +5 *40435:X 0.00177842 +6 *3331:94 0.00205467 +7 *3331:93 0.00247237 +8 *3331:82 0.00132363 +9 *3331:60 0.00235502 +10 *3331:50 0.00359077 +11 *3331:35 0.00409439 +12 *3331:19 0.00410407 +13 *6377:DIODE *4068:9 0 +14 *38006:A_N *3876:62 0 +15 *38006:A_N *4140:40 0 +16 *3331:19 *3660:10 0 +17 *3331:19 *4479:10 0 +18 *3331:19 *4841:17 0 +19 *3331:19 *4844:18 0 +20 *3331:35 *3583:15 0 +21 *3331:35 *3605:16 0 +22 *3331:35 *3616:7 0 +23 *3331:35 *3616:11 0 +24 *3331:35 *3683:12 0 +25 *3331:35 *4473:19 0 +26 *3331:35 *5037:13 0 +27 *3331:35 *5070:30 0 +28 *3331:50 *40444:A 0 +29 *3331:50 *3356:9 0 +30 *3331:50 *3366:7 0 +31 *3331:50 *3366:11 0 +32 *3331:50 *4462:48 0 +33 *3331:50 *4839:17 0 +34 *3331:60 *3343:5 0 +35 *3331:60 *3605:33 0 +36 *3331:60 *4464:12 0 +37 *3331:60 *5070:43 0 +38 *3331:93 *3374:76 0 +39 *3331:94 *38196:A 0 +40 *3331:94 *3642:48 0 +41 *3331:94 *3876:62 0 +42 la_data_in_mprj[60] *3331:19 0 +43 *6378:DIODE *3331:82 0 +44 *6378:DIODE *3331:93 0 +45 *6677:DIODE *3331:35 0 +46 *6811:DIODE *3331:50 0 +47 *6813:DIODE *3331:50 0 +48 *37367:A *3331:19 0 +49 *37958:B *3331:82 0 +50 *38006:B *38006:A_N 0 +51 *38541:A *3331:19 0 +52 *38541:A *3331:35 0 +53 *38544:A *3331:19 0 +54 *38545:A *3331:19 0 +55 *38862:A *3331:19 0 +56 *38863:A *3331:19 0 +57 *472:8 *3331:19 0 +58 *849:5 *3331:50 0 +59 *1530:13 *3331:82 0 +60 *1893:39 *3331:93 0 +61 *1893:39 *3331:94 0 +62 *1896:55 *3331:94 0 +63 *1901:35 *3331:82 0 +64 *1901:35 *3331:93 0 +65 *1901:35 *3331:94 0 +66 *1901:69 *38006:A_N 0 +67 *1901:69 *3331:94 0 +68 *2003:17 *3331:60 0 +69 *2013:9 *3331:50 0 +70 *2023:24 *3331:50 0 +71 *2042:15 *3331:60 0 +72 *2055:14 *3331:50 0 +73 *2057:49 *3331:93 0 +74 *2068:24 *3331:82 0 +75 *2166:39 *3331:93 0 +76 *2166:39 *3331:94 0 +77 *2166:61 *38006:A_N 0 +78 *2166:61 *3331:94 0 +79 *2166:75 *38006:A_N 0 +80 *2741:33 *3331:82 0 +81 *3214:30 *3331:60 0 +*RES +1 *40435:X *3331:19 46.7286 +2 *3331:19 *3331:35 48.0839 +3 *3331:35 *3331:50 47.9384 +4 *3331:50 *3331:60 44.9821 +5 *3331:60 *6377:DIODE 14.7464 +6 *3331:60 *3331:82 15.5536 +7 *3331:82 *3331:93 26.625 +8 *3331:93 *3331:94 34.2143 +9 *3331:94 *38006:A_N 27.3357 +10 *3331:94 *5974:DIODE 9.3 +11 *3331:82 *38262:A 9.3 +*END + +*D_NET *3332 0.0205577 +*CONN +*I *5970:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38004:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *38260:A I *D sky130_fd_sc_hd__and2_2 +*I *6374:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40436:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5970:DIODE 0 +2 *38004:A_N 0.000213983 +3 *38260:A 4.23535e-05 +4 *6374:DIODE 0.000143745 +5 *40436:X 0.00183887 +6 *3332:62 0.000803011 +7 *3332:40 0.000186099 +8 *3332:38 0.00210679 +9 *3332:31 0.00283988 +10 *3332:17 0.00593309 +11 *3332:16 0.00644984 +12 *6374:DIODE *4157:31 0 +13 *6374:DIODE *4183:21 0 +14 *3332:16 *40437:A 0 +15 *3332:16 *40449:A 0 +16 *3332:16 *3351:7 0 +17 *3332:16 *3368:15 0 +18 *3332:16 *3450:13 0 +19 *3332:16 *3572:18 0 +20 *3332:16 *4840:15 0 +21 *3332:17 *3339:32 0 +22 *3332:17 *3347:11 0 +23 *3332:17 *3450:15 0 +24 *3332:17 *3572:35 0 +25 *3332:17 *3909:21 0 +26 *3332:17 *4465:32 0 +27 *3332:17 *4828:21 0 +28 *3332:17 *4838:17 0 +29 *3332:17 *4839:10 0 +30 *3332:31 *3851:17 0 +31 *3332:31 *3908:41 0 +32 *3332:31 *4993:17 0 +33 *3332:38 *3840:71 0 +34 *3332:38 *3913:15 0 +35 *3332:38 *4214:40 0 +36 *3332:38 *4461:56 0 +37 *3332:62 *3861:70 0 +38 *3332:62 *3876:93 0 +39 *3332:62 *4136:41 0 +40 *3332:62 *4168:26 0 +41 *3332:62 *4175:17 0 +42 *3332:62 *4206:27 0 +43 *6663:DIODE *3332:17 0 +44 *6664:DIODE *3332:17 0 +45 *6665:DIODE *3332:17 0 +46 *6806:DIODE *3332:17 0 +47 *6811:DIODE *3332:17 0 +48 *6984:DIODE *3332:17 0 +49 *37365:A *3332:17 0 +50 *37366:A *3332:16 0 +51 *38004:B *38004:A_N 0 +52 *38004:C *3332:62 0 +53 *1672:43 *3332:62 0 +54 *1787:22 *3332:38 0 +55 *2027:40 *3332:16 0 +56 *2055:10 *3332:16 0 +57 *2064:21 *3332:38 0 +58 *2082:25 *3332:16 0 +59 *3139:37 *3332:16 0 +*RES +1 *40436:X *3332:16 47.2863 +2 *3332:16 *3332:17 96.2321 +3 *3332:17 *3332:31 42.8676 +4 *3332:31 *3332:38 43.1696 +5 *3332:38 *3332:40 4.5 +6 *3332:40 *6374:DIODE 12.3 +7 *3332:40 *38260:A 10.2464 +8 *3332:38 *3332:62 18.0386 +9 *3332:62 *38004:A_N 23.175 +10 *3332:62 *5970:DIODE 9.3 +*END + +*D_NET *3333 0.028031 +*CONN +*I *6371:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38258:A I *D sky130_fd_sc_hd__and2_1 +*I *5966:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38002:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *40437:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *6371:DIODE 8.17123e-05 +2 *38258:A 0.000254122 +3 *5966:DIODE 0 +4 *38002:A_N 0.000405254 +5 *40437:X 0.000449631 +6 *3333:61 0.000742799 +7 *3333:58 0.00397602 +8 *3333:33 0.00405602 +9 *3333:30 0.000711725 +10 *3333:27 0.00251284 +11 *3333:9 0.00821874 +12 *3333:7 0.00662212 +13 *6371:DIODE *38244:B 0 +14 *6371:DIODE *4183:21 0 +15 *6371:DIODE *4391:18 0 +16 *38002:A_N *5345:DIODE 0 +17 *38002:A_N *3576:51 0 +18 *38002:A_N *3606:10 0 +19 *38002:A_N *4383:24 0 +20 *38002:A_N *4383:41 0 +21 *38002:A_N *4398:43 0 +22 *38258:A *3875:32 0 +23 *38258:A *4157:52 0 +24 *38258:A *4169:22 0 +25 *3333:7 *3908:18 0 +26 *3333:9 *3336:41 0 +27 *3333:9 *3426:20 0 +28 *3333:9 *3483:12 0 +29 *3333:9 *3901:17 0 +30 *3333:9 *3909:12 0 +31 *3333:9 *3909:20 0 +32 *3333:9 *4829:13 0 +33 *3333:9 *4835:11 0 +34 *3333:9 *4837:13 0 +35 *3333:9 *5198:33 0 +36 *3333:9 *5199:19 0 +37 *3333:9 *5199:21 0 +38 *3333:27 *3347:28 0 +39 *3333:27 *3347:41 0 +40 *3333:27 *3350:28 0 +41 *3333:27 *3352:33 0 +42 *3333:27 *3379:17 0 +43 *3333:27 *3463:8 0 +44 *3333:27 *3851:17 0 +45 *3333:27 *3890:50 0 +46 *3333:27 *3921:46 0 +47 *3333:27 *4131:28 0 +48 *3333:27 *4139:42 0 +49 *3333:30 *38210:A 0 +50 *3333:30 *4391:18 0 +51 *3333:33 *38244:B 0 +52 *3333:33 *3347:65 0 +53 *3333:58 *3454:48 0 +54 *3333:58 *3642:98 0 +55 *3333:58 *3868:44 0 +56 *3333:58 *4140:63 0 +57 *3333:58 *4150:13 0 +58 *3333:58 *4152:109 0 +59 *3333:58 *4156:52 0 +60 *3333:58 *4188:17 0 +61 *3333:58 *4196:32 0 +62 *3333:58 *4208:21 0 +63 *3333:61 *3606:10 0 +64 *5219:DIODE *3333:9 0 +65 *37357:A *3333:9 0 +66 *38002:C *38002:A_N 0 +67 *40261:A *3333:30 0 +68 *1796:43 *3333:9 0 +69 *1974:44 *3333:27 0 +70 *2018:14 *3333:7 0 +71 *2018:16 *3333:9 0 +72 *2066:23 *3333:27 0 +73 *2066:33 *3333:30 0 +74 *2907:20 *3333:58 0 +75 *3137:41 *3333:27 0 +*RES +1 *40437:X *3333:7 18.6839 +2 *3333:7 *3333:9 128.884 +3 *3333:9 *3333:27 42.5293 +4 *3333:27 *3333:30 15.0982 +5 *3333:30 *3333:33 7.91071 +6 *3333:33 *3333:58 47.405 +7 *3333:58 *3333:61 11.6071 +8 *3333:61 *38002:A_N 27.3179 +9 *3333:61 *5966:DIODE 9.3 +10 *3333:33 *38258:A 19.4429 +11 *3333:30 *6371:DIODE 11.0679 +*END + +*D_NET *3334 0.0259703 +*CONN +*I *38256:A I *D sky130_fd_sc_hd__and2_1 +*I *6368:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40438:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38256:A 0 +2 *6368:DIODE 0.000176741 +3 *40438:X 0.00487319 +4 *3334:53 0.00166544 +5 *3334:39 0.00793521 +6 *3334:19 0.0113197 +7 *6368:DIODE *3811:33 0 +8 *3334:19 *4067:17 0 +9 *3334:19 *4288:30 0 +10 *3334:19 *4295:26 0 +11 *3334:19 *4303:81 0 +12 *3334:39 *3674:68 0 +13 *3334:39 *3690:16 0 +14 *3334:39 *4067:17 0 +15 *3334:39 *4067:39 0 +16 *3334:39 *4316:23 0 +17 *3334:53 *38256:B 0 +18 *3334:53 *3723:93 0 +19 *3334:53 *3751:28 0 +20 *3334:53 *4113:99 0 +21 *1029:84 *3334:39 0 +22 *1446:29 *3334:39 0 +23 *1527:8 *3334:53 0 +24 *2254:68 *3334:39 0 +25 *2265:56 *3334:39 0 +26 *2367:24 *6368:DIODE 0 +27 *2412:44 *3334:19 0 +28 *2421:63 *3334:53 0 +29 *2861:25 *3334:19 0 +30 *2928:55 *3334:53 0 +31 *2932:12 *3334:39 0 +32 *2935:20 *3334:39 0 +33 *2961:36 *3334:19 0 +34 *3307:85 *3334:53 0 +*RES +1 *40438:X *3334:19 48.0559 +2 *3334:19 *3334:39 40.4375 +3 *3334:39 *3334:53 38.3482 +4 *3334:53 *6368:DIODE 22.3 +5 *3334:53 *38256:A 9.3 +*END + +*D_NET *3335 0.0183643 +*CONN +*I *8229:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40438:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40439:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8229:DIODE 0.000120983 +2 *40438:A 0.000238858 +3 *40439:X 0 +4 *3335:27 0.00154355 +5 *3335:5 0.00882231 +6 *3335:4 0.0076386 +7 *8229:DIODE *4135:21 0 +8 *40438:A *4032:37 0 +9 *40438:A *4061:51 0 +10 *40438:A *4303:81 0 +11 *3335:5 *39120:A 0 +12 *3335:5 *3444:5 0 +13 *3335:5 *3800:41 0 +14 *3335:5 *3814:17 0 +15 *3335:5 *4489:26 0 +16 *3335:5 *4491:16 0 +17 *3335:5 *4860:11 0 +18 *3335:5 *4863:14 0 +19 *3335:5 *5148:20 0 +20 *3335:27 *3444:5 0 +21 *3335:27 *3813:32 0 +22 *3335:27 *3838:32 0 +23 *3335:27 *3882:13 0 +24 *3335:27 *4032:13 0 +25 *3335:27 *4112:19 0 +26 *3335:27 *4135:21 0 +27 *6551:DIODE *3335:5 0 +28 *6558:DIODE *3335:5 0 +29 *6685:DIODE *3335:5 0 +30 *6687:DIODE *3335:5 0 +31 *6689:DIODE *3335:5 0 +32 *6836:DIODE *3335:5 0 +33 *6837:DIODE *3335:5 0 +34 *6845:DIODE *3335:27 0 +35 *7005:DIODE *3335:5 0 +36 *7007:DIODE *3335:5 0 +37 *7011:DIODE *3335:5 0 +38 *37384:A *3335:5 0 +39 *37782:A *40438:A 0 +40 *2120:40 *3335:5 0 +41 *2143:23 *3335:5 0 +42 *2424:74 *3335:27 0 +43 *2424:77 *3335:5 0 +44 *3139:11 *3335:5 0 +45 *3139:23 *3335:5 0 +46 *3140:97 *3335:5 0 +47 *3270:93 *3335:27 0 +*RES +1 *40439:X *3335:4 9.3 +2 *3335:4 *3335:5 159.482 +3 *3335:5 *3335:27 43.8929 +4 *3335:27 *40438:A 23.6214 +5 *3335:27 *8229:DIODE 20.9964 +*END + +*D_NET *3336 0.0189531 +*CONN +*I *5962:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38000:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *38878:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5962:DIODE 0 +2 *38000:A_N 0.000307121 +3 *38878:X 0.000805714 +4 *3336:51 0.00189557 +5 *3336:41 0.00350029 +6 *3336:31 0.00458214 +7 *3336:30 0.00294468 +8 *3336:22 0.00219312 +9 *3336:10 0.00272444 +10 *38000:A_N *4457:28 0 +11 *3336:10 *4462:49 0 +12 *3336:10 *5070:20 0 +13 *3336:22 *40193:A 0 +14 *3336:22 *4462:49 0 +15 *3336:22 *4838:21 0 +16 *3336:31 *4948:11 0 +17 *3336:31 *5198:33 0 +18 *3336:41 *3450:26 0 +19 *3336:41 *3901:11 0 +20 *3336:41 *4448:8 0 +21 *3336:41 *5048:66 0 +22 *3336:41 *5048:75 0 +23 *3336:41 *5198:33 0 +24 *3336:51 *5964:DIODE 0 +25 *3336:51 *38000:C 0 +26 *3336:51 *4132:28 0 +27 *3336:51 *4456:24 0 +28 *3336:51 *4882:8 0 +29 *5219:DIODE *3336:41 0 +30 *6673:DIODE *3336:22 0 +31 *6993:DIODE *3336:22 0 +32 *38856:A *3336:22 0 +33 *1395:23 *3336:41 0 +34 *1399:18 *38000:A_N 0 +35 *1399:18 *3336:51 0 +36 *1399:25 *3336:51 0 +37 *1524:24 *38000:A_N 0 +38 *1524:24 *3336:51 0 +39 *1807:34 *3336:10 0 +40 *1894:66 *38000:A_N 0 +41 *2003:8 *3336:30 0 +42 *2003:8 *3336:31 0 +43 *2018:8 *3336:30 0 +44 *2035:41 *3336:30 0 +45 *2082:12 *3336:22 0 +46 *2098:13 *3336:22 0 +47 *2098:13 *3336:30 0 +48 *3333:9 *3336:41 0 +*RES +1 *38878:X *3336:10 35.175 +2 *3336:10 *3336:22 45.5714 +3 *3336:22 *3336:30 19.2857 +4 *3336:30 *3336:31 55.7768 +5 *3336:31 *3336:41 49.0982 +6 *3336:41 *3336:51 44.5893 +7 *3336:51 *38000:A_N 24.8179 +8 *3336:51 *5962:DIODE 9.3 +*END + +*D_NET *3337 0.0123999 +*CONN +*I *5351:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37542:B I *D sky130_fd_sc_hd__and2_1 +*I *38512:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *5351:DIODE 6.93226e-05 +2 *37542:B 0.000251835 +3 *38512:X 0.000232753 +4 *3337:20 0.001491 +5 *3337:9 0.00564605 +6 *3337:8 0.00470896 +7 *5351:DIODE *4682:22 0 +8 *5351:DIODE *5201:10 0 +9 *37542:B *37542:A 0 +10 *37542:B *4827:30 0 +11 *37542:B *5201:10 0 +12 *3337:8 *3374:25 0 +13 *3337:8 *3455:47 0 +14 *3337:8 *4904:46 0 +15 *3337:8 *4904:52 0 +16 *3337:9 *37488:A 0 +17 *3337:9 *3909:43 0 +18 *3337:9 *4437:23 0 +19 *3337:9 *4809:9 0 +20 *3337:9 *4820:21 0 +21 *3337:9 *4820:23 0 +22 *3337:9 *5202:15 0 +23 *3337:20 *3465:5 0 +24 *3337:20 *3879:37 0 +25 *3337:20 *3900:9 0 +26 *3337:20 *4434:29 0 +27 *6791:DIODE *3337:8 0 +28 *6961:DIODE *3337:9 0 +29 *38824:A *3337:9 0 +30 *387:55 *3337:9 0 +31 *445:5 *3337:8 0 +*RES +1 *38512:X *3337:8 23.5857 +2 *3337:8 *3337:9 93.3571 +3 *3337:9 *3337:20 38.6161 +4 *3337:20 *37542:B 19.5054 +5 *3337:20 *5351:DIODE 15.5946 +*END + +*D_NET *3338 0.0230394 +*CONN +*I *5958:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37998:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *6365:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38254:A I *D sky130_fd_sc_hd__and2_1 +*I *40440:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5958:DIODE 0 +2 *37998:A_N 0 +3 *6365:DIODE 0 +4 *38254:A 0.000336732 +5 *40440:X 0 +6 *3338:57 0.000518339 +7 *3338:55 0.00192345 +8 *3338:37 0.0022454 +9 *3338:25 0.0020084 +10 *3338:21 0.00278324 +11 *3338:9 0.00400015 +12 *3338:7 0.00274228 +13 *3338:5 0.00325095 +14 *3338:4 0.00323042 +15 *38254:A *4185:21 0 +16 *38254:A *4208:21 0 +17 *3338:5 *3453:10 0 +18 *3338:5 *4461:42 0 +19 *3338:5 *4839:13 0 +20 *3338:9 *3453:17 0 +21 *3338:21 *37490:A 0 +22 *3338:21 *40199:A 0 +23 *3338:21 *40540:A 0 +24 *3338:21 *3364:13 0 +25 *3338:21 *3457:9 0 +26 *3338:21 *3461:45 0 +27 *3338:21 *3908:41 0 +28 *3338:25 *37490:A 0 +29 *3338:25 *3346:14 0 +30 *3338:25 *3350:28 0 +31 *3338:25 *3352:41 0 +32 *3338:25 *3873:53 0 +33 *3338:25 *3879:22 0 +34 *3338:25 *4152:66 0 +35 *3338:25 *4154:36 0 +36 *3338:25 *4804:11 0 +37 *3338:37 *4387:79 0 +38 *3338:37 *4959:58 0 +39 *3338:55 *5388:DIODE 0 +40 *3338:55 *8571:DIODE 0 +41 *3338:55 *41213:A 0 +42 *3338:55 *3841:32 0 +43 *3338:55 *3886:41 0 +44 *3338:55 *3886:51 0 +45 *3338:55 *3897:48 0 +46 *3338:55 *4142:15 0 +47 *3338:55 *4146:23 0 +48 *3338:55 *4185:21 0 +49 *3338:55 *4397:36 0 +50 *3338:57 *3886:51 0 +51 *3338:57 *4146:23 0 +52 *5959:DIODE *3338:37 0 +53 *38254:B *3338:57 0 +54 *1398:20 *3338:37 0 +55 *1500:20 *3338:55 0 +56 *1521:23 *3338:37 0 +57 *1798:57 *3338:25 0 +58 *1887:63 *3338:37 0 +59 *1887:82 *3338:37 0 +60 *1894:89 *3338:25 0 +61 *1922:47 *3338:37 0 +62 *3058:5 *3338:5 0 +63 *3058:5 *3338:9 0 +64 *3268:30 *3338:25 0 +*RES +1 *40440:X *3338:4 9.3 +2 *3338:4 *3338:5 67.4821 +3 *3338:5 *3338:7 0.428571 +4 *3338:7 *3338:9 56.8036 +5 *3338:9 *3338:21 40.375 +6 *3338:21 *3338:25 38.6607 +7 *3338:25 *3338:37 20.0179 +8 *3338:37 *3338:55 46.8594 +9 *3338:55 *3338:57 3.82143 +10 *3338:57 *38254:A 29.1013 +11 *3338:57 *6365:DIODE 9.3 +12 *3338:37 *37998:A_N 9.3 +13 *3338:25 *5958:DIODE 9.3 +*END + +*D_NET *3339 0.0195558 +*CONN +*I *5954:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37996:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *40441:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5954:DIODE 8.57316e-05 +2 *37996:A_N 0.00032086 +3 *40441:X 0.00199073 +4 *3339:67 0.000551192 +5 *3339:60 0.00205225 +6 *3339:53 0.00340836 +7 *3339:45 0.00311711 +8 *3339:32 0.00382762 +9 *3339:16 0.00420195 +10 *37996:A_N *3635:40 0 +11 *3339:16 *40196:A 0 +12 *3339:16 *3356:9 0 +13 *3339:16 *3583:15 0 +14 *3339:16 *3605:17 0 +15 *3339:16 *3660:22 0 +16 *3339:16 *3683:12 0 +17 *3339:16 *4454:83 0 +18 *3339:16 *4468:33 0 +19 *3339:32 *40534:A 0 +20 *3339:32 *3352:15 0 +21 *3339:32 *3368:15 0 +22 *3339:32 *3454:5 0 +23 *3339:32 *3572:26 0 +24 *3339:32 *3605:33 0 +25 *3339:32 *3660:33 0 +26 *3339:32 *4465:8 0 +27 *3339:45 *3347:11 0 +28 *3339:45 *4839:10 0 +29 *3339:45 *5197:27 0 +30 *3339:53 *3377:24 0 +31 *3339:53 *3846:16 0 +32 *3339:53 *4130:13 0 +33 *3339:53 *4462:21 0 +34 *3339:60 *3861:70 0 +35 *3339:60 *3878:14 0 +36 *3339:60 *3898:39 0 +37 *3339:60 *4152:54 0 +38 *3339:60 *4470:47 0 +39 *3339:67 *40678:A 0 +40 *3339:67 *3635:40 0 +41 *6674:DIODE *3339:16 0 +42 *6810:DIODE *3339:32 0 +43 *37365:A *3339:45 0 +44 *38533:A *3339:32 0 +45 *40038:A *3339:60 0 +46 *301:39 *3339:32 0 +47 *1291:8 *5954:DIODE 0 +48 *1291:8 *3339:60 0 +49 *1375:14 *3339:60 0 +50 *1375:22 *5954:DIODE 0 +51 *1403:16 *37996:A_N 0 +52 *1403:16 *3339:67 0 +53 *2018:30 *3339:53 0 +54 *3061:19 *3339:32 0 +55 *3332:17 *3339:32 0 +*RES +1 *40441:X *3339:16 43.5848 +2 *3339:16 *3339:32 46.1731 +3 *3339:32 *3339:45 47.5714 +4 *3339:45 *3339:53 24.6425 +5 *3339:53 *3339:60 48.0041 +6 *3339:60 *3339:67 7.64286 +7 *3339:67 *37996:A_N 15.9964 +8 *3339:67 *5954:DIODE 20.2464 +*END + +*D_NET *3340 0.0214265 +*CONN +*I *6362:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38252:A I *D sky130_fd_sc_hd__and2_1 +*I *40442:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6362:DIODE 0.000168288 +2 *38252:A 0 +3 *40442:X 0.00248366 +4 *3340:76 0.00125856 +5 *3340:61 0.0030938 +6 *3340:38 0.006971 +7 *3340:20 0.00745114 +8 *6362:DIODE *3817:70 0 +9 *3340:20 *4250:23 0 +10 *3340:20 *4321:26 0 +11 *3340:20 *5150:21 0 +12 *3340:38 *3354:12 0 +13 *3340:38 *3372:48 0 +14 *3340:38 *3801:21 0 +15 *3340:38 *4365:34 0 +16 *3340:61 *38318:A 0 +17 *3340:61 *3726:28 0 +18 *3340:61 *3747:30 0 +19 *3340:61 *3784:29 0 +20 *3340:61 *3801:60 0 +21 *3340:61 *4113:37 0 +22 *3340:61 *4128:39 0 +23 *3340:61 *4230:46 0 +24 *3340:61 *4358:51 0 +25 *3340:61 *4373:20 0 +26 *3340:76 *3801:60 0 +27 *8490:DIODE *3340:61 0 +28 *8769:DIODE *3340:61 0 +29 *1224:20 *3340:76 0 +30 *1296:15 *3340:20 0 +31 *1531:16 *3340:61 0 +32 *1558:28 *3340:61 0 +33 *2087:12 *3340:20 0 +34 *2101:27 *3340:20 0 +35 *2101:27 *3340:38 0 +36 *2229:18 *3340:20 0 +37 *2295:28 *3340:20 0 +38 *2298:11 *3340:20 0 +39 *2306:40 *3340:38 0 +40 *2858:15 *3340:38 0 +41 *3165:14 *3340:38 0 +42 *3320:129 *3340:61 0 +43 *3320:156 *3340:61 0 +44 *3323:30 *3340:61 0 +*RES +1 *40442:X *3340:20 42.1226 +2 *3340:20 *3340:38 49.9309 +3 *3340:38 *3340:61 49.1526 +4 *3340:61 *3340:76 35.8296 +5 *3340:76 *38252:A 13.8 +6 *3340:76 *6362:DIODE 17.425 +*END + +*D_NET *3341 0.0182076 +*CONN +*I *8230:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40442:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38879:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8230:DIODE 0 +2 *40442:A 0.000249773 +3 *38879:X 9.90632e-05 +4 *3341:31 0.00114812 +5 *3341:27 0.00172046 +6 *3341:9 0.00785664 +7 *3341:8 0.00713359 +8 *3341:8 *4459:45 0 +9 *3341:9 *39111:A 0 +10 *3341:9 *39112:A 0 +11 *3341:9 *3727:8 0 +12 *3341:9 *3782:9 0 +13 *3341:9 *4468:34 0 +14 *3341:27 *3794:16 0 +15 *3341:27 *4488:11 0 +16 *3341:27 *4490:16 0 +17 la_data_in_mprj[66] *3341:9 0 +18 la_data_in_mprj[68] *3341:9 0 +19 *38692:A *3341:9 0 +20 *41296:A *40442:A 0 +21 *469:5 *3341:8 0 +22 *608:8 *3341:9 0 +23 *862:8 *3341:9 0 +24 *1960:13 *3341:27 0 +25 *2069:9 *3341:31 0 +26 *2080:15 *3341:31 0 +27 *2141:14 *3341:27 0 +28 *2361:16 *3341:27 0 +29 *2383:10 *3341:31 0 +30 *3247:29 *3341:31 0 +31 *3320:12 *3341:9 0 +32 *3328:30 *3341:27 0 +*RES +1 *38879:X *3341:8 20.55 +2 *3341:8 *3341:9 146.75 +3 *3341:9 *3341:27 40.0536 +4 *3341:27 *3341:31 24.7143 +5 *3341:31 *40442:A 23.7464 +6 *3341:31 *8230:DIODE 9.3 +*END + +*D_NET *3342 0.0190578 +*CONN +*I *38136:C I *D sky130_fd_sc_hd__and3b_1 +*I *6200:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40443:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38136:C 0.00014876 +2 *6200:DIODE 2.56688e-05 +3 *40443:X 0.00138928 +4 *3342:36 0.00153605 +5 *3342:32 0.00269861 +6 *3342:19 0.00655801 +7 *3342:18 0.00526659 +8 *3342:13 0.00143484 +9 *6200:DIODE *3935:29 0 +10 *38136:C *3476:31 0 +11 *3342:13 *4590:28 0 +12 *3342:19 *5514:DIODE 0 +13 *3342:19 *3391:55 0 +14 *3342:19 *3421:35 0 +15 *3342:19 *3475:42 0 +16 *3342:19 *3491:30 0 +17 *3342:19 *3724:87 0 +18 *3342:19 *3724:94 0 +19 *3342:19 *4580:22 0 +20 *3342:19 *5174:27 0 +21 *3342:19 *5174:34 0 +22 *3342:32 *37718:A 0 +23 *3342:32 *3391:55 0 +24 *3342:32 *3392:68 0 +25 *3342:32 *4580:22 0 +26 *3342:32 *4773:20 0 +27 *3342:32 *5174:27 0 +28 *3342:36 *3392:93 0 +29 *3342:36 *3699:16 0 +30 *3342:36 *4426:15 0 +31 *37719:A *3342:32 0 +32 *39420:B *3342:19 0 +33 *39581:A *3342:19 0 +34 *1018:73 *38136:C 0 +35 *1018:73 *3342:36 0 +36 *1624:18 *3342:32 0 +37 *1730:7 *3342:36 0 +38 *1744:16 *3342:32 0 +39 *3039:33 *38136:C 0 +40 *3091:72 *3342:19 0 +41 *3099:15 *3342:19 0 +42 *3113:14 *3342:13 0 +43 *3168:25 *3342:19 0 +44 *3184:35 *3342:13 0 +45 *3184:35 *3342:19 0 +46 *3199:62 *3342:19 0 +*RES +1 *40443:X *3342:13 48.7464 +2 *3342:13 *3342:18 10.0357 +3 *3342:18 *3342:19 108.964 +4 *3342:19 *3342:32 37.1964 +5 *3342:32 *3342:36 34.1071 +6 *3342:36 *6200:DIODE 14.3357 +7 *3342:36 *38136:C 17.1929 +*END + +*D_NET *3343 0.0209309 +*CONN +*I *5946:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37992:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *6356:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38248:A I *D sky130_fd_sc_hd__and2_1 +*I *40444:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5946:DIODE 0.000329732 +2 *37992:A_N 0.000472629 +3 *6356:DIODE 0.000259724 +4 *38248:A 2.56688e-05 +5 *40444:X 0 +6 *3343:22 0.0010109 +7 *3343:17 0.00150312 +8 *3343:14 0.00189248 +9 *3343:13 0.00205752 +10 *3343:5 0.00708945 +11 *3343:4 0.00628971 +12 *6356:DIODE *38248:B 0 +13 *6356:DIODE *4133:27 0 +14 *6356:DIODE *4146:21 0 +15 *37992:A_N *3839:75 0 +16 *3343:5 *7173:DIODE 0 +17 *3343:5 *7182:DIODE 0 +18 *3343:5 *39088:A 0 +19 *3343:5 *39094:A 0 +20 *3343:5 *39096:A 0 +21 *3343:5 *3352:27 0 +22 *3343:5 *3366:7 0 +23 *3343:5 *3451:23 0 +24 *3343:5 *3454:24 0 +25 *3343:5 *3572:18 0 +26 *3343:5 *4822:33 0 +27 *3343:5 *4835:31 0 +28 *3343:5 *4836:10 0 +29 *3343:5 *4859:21 0 +30 *3343:5 *5197:27 0 +31 *3343:5 *5197:33 0 +32 *3343:5 *5199:27 0 +33 *3343:13 *3878:30 0 +34 *3343:13 *3923:25 0 +35 *3343:13 *4837:13 0 +36 *3343:14 *3393:14 0 +37 *3343:14 *4152:66 0 +38 *3343:14 *4189:40 0 +39 *3343:14 *4450:8 0 +40 *3343:22 *3868:44 0 +41 *3343:22 *4175:17 0 +42 *3343:22 *4206:27 0 +43 *6655:DIODE *3343:5 0 +44 *6656:DIODE *3343:5 0 +45 *6657:DIODE *3343:5 0 +46 *6667:DIODE *3343:5 0 +47 *6669:DIODE *3343:5 0 +48 *6670:DIODE *3343:5 0 +49 *6976:DIODE *3343:5 0 +50 *6983:DIODE *3343:5 0 +51 *37363:A *3343:5 0 +52 *37364:A *3343:5 0 +53 *586:8 *3343:5 0 +54 *1387:29 *37992:A_N 0 +55 *1398:20 *3343:17 0 +56 *1398:20 *3343:22 0 +57 *1528:17 *3343:22 0 +58 *1801:22 *3343:13 0 +59 *1889:70 *6356:DIODE 0 +60 *1894:89 *3343:17 0 +61 *1894:89 *3343:22 0 +62 *2031:51 *3343:14 0 +63 *3061:38 *3343:13 0 +64 *3267:19 *3343:22 0 +65 *3331:60 *3343:5 0 +*RES +1 *40444:X *3343:4 9.3 +2 *3343:4 *3343:5 131.143 +3 *3343:5 *3343:13 30.9643 +4 *3343:13 *3343:14 28.5089 +5 *3343:14 *3343:17 4.538 +6 *3343:17 *3343:22 9.32244 +7 *3343:22 *38248:A 14.3357 +8 *3343:22 *6356:DIODE 19.4429 +9 *3343:17 *37992:A_N 28.2546 +10 *3343:14 *5946:DIODE 21.4161 +*END + +*D_NET *3344 0.0190169 +*CONN +*I *5942:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37990:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *40445:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5942:DIODE 0.000188741 +2 *37990:A_N 0.000163425 +3 *40445:X 0.00762184 +4 *3344:28 0.00188664 +5 *3344:17 0.00915631 +6 *5942:DIODE *3613:7 0 +7 *5942:DIODE *4399:26 0 +8 *37990:A_N *3631:19 0 +9 *3344:17 *37562:B 0 +10 *3344:17 *3350:41 0 +11 *3344:17 *3642:94 0 +12 *3344:17 *3840:80 0 +13 *3344:17 *3867:18 0 +14 *3344:17 *3921:46 0 +15 *3344:17 *3929:20 0 +16 *3344:17 *4151:37 0 +17 *3344:17 *4200:32 0 +18 *3344:17 *4209:27 0 +19 *3344:17 *4443:18 0 +20 *3344:17 *4737:18 0 +21 *3344:17 *4824:23 0 +22 *3344:17 *5152:15 0 +23 *3344:28 *37930:C 0 +24 *3344:28 *40932:A 0 +25 *3344:28 *3896:14 0 +26 *3344:28 *4180:82 0 +27 *3344:28 *4191:28 0 +28 *3344:28 *4202:9 0 +29 *3344:28 *4383:90 0 +30 *3344:28 *4626:14 0 +31 *3344:28 *4824:14 0 +32 *37990:C *5942:DIODE 0 +33 *1168:17 *37990:A_N 0 +34 *1364:16 *3344:28 0 +35 *2358:16 *3344:17 0 +36 *3059:17 *3344:17 0 +37 *3063:22 *3344:17 0 +38 *3063:22 *3344:28 0 +39 *3065:17 *3344:17 0 +40 *3065:30 *3344:17 0 +41 *3213:22 *3344:17 0 +42 *3231:20 *3344:17 0 +43 *3282:15 *3344:17 0 +*RES +1 *40445:X *3344:17 46.8126 +2 *3344:17 *3344:28 28.2962 +3 *3344:28 *37990:A_N 17.2107 +4 *3344:28 *5942:DIODE 17.925 +*END + +*D_NET *3345 0.0210182 +*CONN +*I *6353:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38246:A I *D sky130_fd_sc_hd__and2_1 +*I *40446:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6353:DIODE 0.000395919 +2 *38246:A 6.50276e-05 +3 *40446:X 0.00406252 +4 *3345:78 0.00534657 +5 *3345:59 0.00598563 +6 *3345:30 0.00516252 +7 *6353:DIODE *3659:14 0 +8 *6353:DIODE *4362:18 0 +9 *6353:DIODE *4377:16 0 +10 *3345:30 *3372:24 0 +11 *3345:30 *3727:23 0 +12 *3345:30 *4076:32 0 +13 *3345:30 *4243:21 0 +14 *3345:30 *4250:23 0 +15 *3345:78 *3698:17 0 +16 *3345:78 *3956:24 0 +17 *3345:78 *4089:17 0 +18 *3345:78 *4125:15 0 +19 *3345:78 *4227:23 0 +20 *3345:78 *4324:20 0 +21 *3345:78 *4325:34 0 +22 *8109:DIODE *6353:DIODE 0 +23 *37788:B *3345:59 0 +24 *37829:A *6353:DIODE 0 +25 *41103:A *3345:30 0 +26 *41175:A *3345:78 0 +27 *41301:A *3345:59 0 +28 *1293:20 *3345:59 0 +29 *1313:14 *6353:DIODE 0 +30 *1405:30 *3345:30 0 +31 *1963:16 *3345:30 0 +32 *1978:15 *3345:30 0 +33 *1979:24 *3345:78 0 +34 *1995:21 *3345:59 0 +35 *2083:34 *3345:30 0 +36 *2085:25 *3345:59 0 +37 *2099:39 *3345:30 0 +38 *2102:16 *3345:59 0 +39 *2128:12 *3345:59 0 +40 *2128:12 *3345:78 0 +41 *2134:21 *3345:59 0 +42 *2134:21 *3345:78 0 +43 *2154:16 *3345:59 0 +44 *2325:30 *38246:A 0 +45 *2337:23 *3345:78 0 +46 *2346:25 *6353:DIODE 0 +47 *3147:37 *3345:59 0 +48 *3176:25 *3345:78 0 +49 *3232:14 *3345:30 0 +50 *3255:38 *3345:59 0 +*RES +1 *40446:X *3345:30 49.2413 +2 *3345:30 *3345:59 47.291 +3 *3345:59 *3345:78 29.5097 +4 *3345:78 *38246:A 15.1571 +5 *3345:78 *6353:DIODE 23.2464 +*END + +*D_NET *3346 0.0189578 +*CONN +*I *8231:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40445:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38880:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8231:DIODE 2.41714e-05 +2 *40445:A 0.000135403 +3 *38880:X 0.000406419 +4 *3346:14 0.00156864 +5 *3346:9 0.0089129 +6 *3346:8 0.00791026 +7 *40445:A *3873:41 0 +8 *3346:8 *39097:A 0 +9 *3346:8 *4468:6 0 +10 *3346:8 *4468:33 0 +11 *3346:8 *4839:17 0 +12 *3346:9 *5201:11 0 +13 *3346:14 *37490:A 0 +14 *3346:14 *37954:C 0 +15 *3346:14 *39079:A 0 +16 *3346:14 *4454:22 0 +17 *3346:14 *4804:11 0 +18 *3346:14 *5197:26 0 +19 la_data_in_mprj[39] *3346:14 0 +20 la_data_in_mprj[55] *3346:8 0 +21 *6973:DIODE *3346:14 0 +22 *448:7 *3346:14 0 +23 *466:5 *3346:8 0 +24 *1798:57 *3346:14 0 +25 *1985:10 *3346:9 0 +26 *2049:14 *3346:9 0 +27 *3057:9 *3346:9 0 +28 *3062:9 *3346:9 0 +29 *3231:5 *8231:DIODE 0 +30 *3231:5 *40445:A 0 +31 *3231:20 *40445:A 0 +32 *3338:25 *3346:14 0 +*RES +1 *38880:X *3346:8 27.5321 +2 *3346:8 *3346:9 156.607 +3 *3346:9 *3346:14 41 +4 *3346:14 *40445:A 12.1571 +5 *3346:14 *8231:DIODE 9.83571 +*END + +*D_NET *3347 0.0217102 +*CONN +*I *6350:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38244:A I *D sky130_fd_sc_hd__and2_1 +*I *5938:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37988:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *40447:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6350:DIODE 0 +2 *38244:A 0 +3 *5938:DIODE 0 +4 *37988:A_N 0.000288137 +5 *40447:X 0.000456705 +6 *3347:65 0.00105538 +7 *3347:48 0.00119076 +8 *3347:41 0.0013145 +9 *3347:28 0.00326253 +10 *3347:11 0.00802852 +11 *3347:10 0.00611368 +12 *37988:A_N *3361:36 0 +13 *37988:A_N *4168:55 0 +14 *37988:A_N *4183:39 0 +15 *37988:A_N *4384:56 0 +16 *37988:A_N *4392:89 0 +17 *3347:10 *39096:A 0 +18 *3347:10 *4470:16 0 +19 *3347:11 *39089:A 0 +20 *3347:11 *40458:A 0 +21 *3347:11 *3450:15 0 +22 *3347:11 *3453:16 0 +23 *3347:11 *3572:26 0 +24 *3347:11 *3909:21 0 +25 *3347:11 *4455:23 0 +26 *3347:11 *4461:42 0 +27 *3347:11 *4461:45 0 +28 *3347:11 *4793:19 0 +29 *3347:11 *4828:27 0 +30 *3347:11 *4835:25 0 +31 *3347:11 *4839:10 0 +32 *3347:28 *40540:A 0 +33 *3347:28 *3352:33 0 +34 *3347:28 *3379:17 0 +35 *3347:28 *3851:17 0 +36 *3347:28 *3909:37 0 +37 *3347:28 *4446:10 0 +38 *3347:28 *4782:20 0 +39 *3347:28 *4822:20 0 +40 *3347:28 *4959:58 0 +41 *3347:28 *4993:17 0 +42 *3347:28 *5197:23 0 +43 *3347:28 *5198:26 0 +44 *3347:41 *38210:A 0 +45 *3347:41 *3350:28 0 +46 *3347:41 *3859:36 0 +47 *3347:41 *4132:58 0 +48 *3347:41 *4391:18 0 +49 *3347:41 *4446:10 0 +50 *3347:41 *4446:12 0 +51 *3347:48 *3454:48 0 +52 *3347:48 *3859:36 0 +53 *3347:48 *4130:17 0 +54 *3347:48 *4132:58 0 +55 *3347:65 *37574:A 0 +56 *3347:65 *37574:B 0 +57 *3347:65 *41158:A 0 +58 *3347:65 *3897:49 0 +59 *3347:65 *4169:27 0 +60 *3347:65 *4183:21 0 +61 *3347:65 *4183:32 0 +62 *3347:65 *4183:39 0 +63 *6670:DIODE *3347:10 0 +64 *37365:A *3347:11 0 +65 *1174:10 *3347:41 0 +66 *1800:36 *3347:41 0 +67 *1985:9 *3347:10 0 +68 *2023:32 *3347:11 0 +69 *2066:23 *3347:41 0 +70 *3332:17 *3347:11 0 +71 *3333:27 *3347:28 0 +72 *3333:27 *3347:41 0 +73 *3333:33 *3347:65 0 +74 *3339:45 *3347:11 0 +*RES +1 *40447:X *3347:10 28.4071 +2 *3347:10 *3347:11 118 +3 *3347:11 *3347:28 46.5819 +4 *3347:28 *3347:41 24.8482 +5 *3347:41 *3347:48 18.3929 +6 *3347:48 *3347:65 34.4286 +7 *3347:65 *37988:A_N 24.4964 +8 *3347:65 *5938:DIODE 9.3 +9 *3347:48 *38244:A 9.3 +10 *3347:41 *6350:DIODE 9.3 +*END + +*D_NET *3348 0.0219533 +*CONN +*I *38108:C I *D sky130_fd_sc_hd__and3b_1 +*I *6146:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38513:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38108:C 0.000192719 +2 *6146:DIODE 0 +3 *38513:X 0.00141453 +4 *3348:121 0.00128824 +5 *3348:112 0.00267348 +6 *3348:95 0.00249481 +7 *3348:75 0.00250809 +8 *3348:57 0.00397232 +9 *3348:21 0.00418785 +10 *3348:15 0.0032213 +11 *38108:C *3975:51 0 +12 *38108:C *4244:11 0 +13 *3348:15 *3430:71 0 +14 *3348:15 *4149:68 0 +15 *3348:21 *3535:19 0 +16 *3348:57 *8627:DIODE 0 +17 *3348:57 *3410:33 0 +18 *3348:57 *3417:47 0 +19 *3348:57 *3460:73 0 +20 *3348:57 *3487:45 0 +21 *3348:57 *3507:60 0 +22 *3348:57 *3513:68 0 +23 *3348:57 *3958:19 0 +24 *3348:57 *4232:40 0 +25 *3348:57 *4274:26 0 +26 *3348:75 *8700:DIODE 0 +27 *3348:75 *41241:A 0 +28 *3348:75 *3413:94 0 +29 *3348:75 *3432:28 0 +30 *3348:75 *3936:14 0 +31 *3348:75 *3950:18 0 +32 *3348:75 *3972:39 0 +33 *3348:75 *4026:30 0 +34 *3348:75 *4026:45 0 +35 *3348:75 *4054:48 0 +36 *3348:75 *4073:10 0 +37 *3348:75 *4073:22 0 +38 *3348:75 *4263:29 0 +39 *3348:95 *8261:DIODE 0 +40 *3348:95 *3411:61 0 +41 *3348:95 *3412:53 0 +42 *3348:95 *3417:80 0 +43 *3348:95 *4235:73 0 +44 *3348:95 *4240:21 0 +45 *3348:95 *4270:45 0 +46 *3348:95 *4415:46 0 +47 *3348:95 *4596:71 0 +48 *3348:112 *38102:B 0 +49 *3348:112 *38112:C 0 +50 *3348:112 *3407:52 0 +51 *3348:112 *3478:48 0 +52 *3348:112 *3793:93 0 +53 *3348:112 *4254:105 0 +54 *3348:112 *4254:115 0 +55 *3348:112 *4270:78 0 +56 *3348:112 *4427:70 0 +57 *3348:112 *4563:89 0 +58 *3348:121 *3398:40 0 +59 *3348:121 *4404:91 0 +60 *3348:121 *5084:82 0 +61 *5268:DIODE *3348:15 0 +62 *7994:DIODE *3348:75 0 +63 *38102:C *3348:112 0 +64 *39611:A *3348:75 0 +65 *40312:A *3348:15 0 +66 *401:51 *3348:15 0 +67 *528:31 *3348:15 0 +68 *1008:109 *3348:95 0 +69 *1010:119 *3348:57 0 +70 *1030:54 *3348:15 0 +71 *1450:25 *3348:112 0 +72 *1864:36 *3348:57 0 +73 *2414:89 *38108:C 0 +74 *2442:79 *3348:15 0 +75 *2993:44 *3348:112 0 +76 *3003:24 *3348:57 0 +77 *3070:32 *3348:57 0 +78 *3100:31 *3348:57 0 +79 *3107:53 *3348:112 0 +80 *3155:61 *3348:21 0 +81 *3178:20 *3348:15 0 +82 *3261:26 *3348:15 0 +83 *3285:31 *3348:15 0 +84 *3285:60 *3348:21 0 +85 *3288:24 *3348:121 0 +86 *3291:52 *3348:15 0 +87 *3297:51 *3348:112 0 +*RES +1 *38513:X *3348:15 49.6393 +2 *3348:15 *3348:21 47.1607 +3 *3348:21 *3348:57 48.6493 +4 *3348:57 *3348:75 47.4464 +5 *3348:75 *3348:95 38.4464 +6 *3348:95 *3348:112 47.3661 +7 *3348:112 *3348:121 24.1279 +8 *3348:121 *6146:DIODE 9.3 +9 *3348:121 *38108:C 22.6036 +*END + +*D_NET *3349 0.0158199 +*CONN +*I *37544:B I *D sky130_fd_sc_hd__and2_1 +*I *5354:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38514:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *37544:B 0.000443642 +2 *5354:DIODE 0 +3 *38514:X 0.000246124 +4 *3349:40 0.00112271 +5 *3349:36 0.00335477 +6 *3349:21 0.00498418 +7 *3349:20 0.00386544 +8 *3349:8 0.00180309 +9 *37544:B *3642:21 0 +10 *3349:8 *3454:46 0 +11 *3349:8 *3454:48 0 +12 *3349:8 *3538:18 0 +13 *3349:8 *4782:11 0 +14 *3349:20 *39079:A 0 +15 *3349:20 *3352:27 0 +16 *3349:20 *3393:14 0 +17 *3349:20 *3456:19 0 +18 *3349:20 *4817:43 0 +19 *3349:20 *5197:27 0 +20 *3349:21 *5197:27 0 +21 *3349:36 *3368:15 0 +22 *3349:36 *3450:26 0 +23 *3349:36 *3452:10 0 +24 *3349:36 *3902:64 0 +25 *3349:36 *3915:14 0 +26 *3349:36 *3974:10 0 +27 *3349:36 *4835:25 0 +28 *5353:DIODE *37544:B 0 +29 *5378:DIODE *37544:B 0 +30 *6650:DIODE *3349:8 0 +31 *37364:A *3349:36 0 +32 *40039:A *3349:40 0 +33 *830:7 *3349:8 0 +34 *1171:17 *37544:B 0 +35 *1801:22 *3349:20 0 +36 *1804:8 *3349:36 0 +37 *1805:38 *3349:36 0 +38 *1805:38 *3349:40 0 +39 *1887:15 *37544:B 0 +40 *3061:19 *3349:20 0 +41 *3064:17 *3349:20 0 +42 *3064:17 *3349:36 0 +43 *3067:15 *3349:8 0 +*RES +1 *38514:X *3349:8 23.8893 +2 *3349:8 *3349:20 46.7981 +3 *3349:20 *3349:21 48.1786 +4 *3349:21 *3349:36 46.8645 +5 *3349:36 *3349:40 19.9554 +6 *3349:40 *5354:DIODE 9.3 +7 *3349:40 *37544:B 18.7464 +*END + +*D_NET *3350 0.0230488 +*CONN +*I *6347:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38242:A I *D sky130_fd_sc_hd__and2_1 +*I *5934:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37986:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *40448:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6347:DIODE 1.21715e-05 +2 *38242:A 0.000159154 +3 *5934:DIODE 0 +4 *37986:A_N 0.000275105 +5 *40448:X 0.00133045 +6 *3350:56 0.00173218 +7 *3350:43 0.00172222 +8 *3350:41 0.00577489 +9 *3350:28 0.00818445 +10 *3350:11 0.00385817 +11 *3350:11 *3452:11 0 +12 *3350:11 *3918:8 0 +13 *3350:11 *4139:27 0 +14 *3350:11 *4154:25 0 +15 *3350:28 *3364:13 0 +16 *3350:28 *3846:16 0 +17 *3350:28 *3879:25 0 +18 *3350:28 *3911:13 0 +19 *3350:28 *4130:13 0 +20 *3350:28 *4139:42 0 +21 *3350:28 *4154:39 0 +22 *3350:41 *3463:21 0 +23 *3350:41 *3858:40 0 +24 *3350:41 *3892:56 0 +25 *3350:41 *4197:36 0 +26 *3350:41 *4429:67 0 +27 *3350:41 *4443:8 0 +28 *3350:43 *3858:40 0 +29 *3350:43 *3892:56 0 +30 *3350:56 *3843:15 0 +31 *3350:56 *3858:40 0 +32 *3350:56 *3892:56 0 +33 *3350:56 *4381:32 0 +34 *39455:B *3350:41 0 +35 *295:24 *3350:41 0 +36 *1165:11 *3350:56 0 +37 *1800:36 *3350:28 0 +38 *1974:44 *3350:28 0 +39 *2018:35 *3350:11 0 +40 *2908:31 *37986:A_N 0 +41 *3063:14 *3350:41 0 +42 *3065:30 *3350:41 0 +43 *3169:53 *37986:A_N 0 +44 *3333:27 *3350:28 0 +45 *3338:25 *3350:28 0 +46 *3344:17 *3350:41 0 +47 *3347:41 *3350:28 0 +*RES +1 *40448:X *3350:11 46.175 +2 *3350:11 *3350:28 48.5532 +3 *3350:28 *3350:41 36.4137 +4 *3350:41 *3350:43 0.407333 +5 *3350:43 *3350:56 35.3633 +6 *3350:56 *37986:A_N 24.175 +7 *3350:56 *5934:DIODE 9.3 +8 *3350:43 *38242:A 20.8261 +9 *3350:41 *6347:DIODE 17.4868 +*END + +*D_NET *3351 0.0170174 +*CONN +*I *38240:A I *D sky130_fd_sc_hd__and2_1 +*I *6345:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40449:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38240:A 0.000349056 +2 *6345:DIODE 0 +3 *40449:X 0.00163724 +4 *3351:28 0.000349056 +5 *3351:26 0.0024432 +6 *3351:15 0.00411702 +7 *3351:9 0.0040792 +8 *3351:7 0.00404262 +9 *38240:A *3934:59 0 +10 *38240:A *4122:56 0 +11 *38240:A *4287:49 0 +12 *3351:7 *3450:10 0 +13 *3351:7 *3649:11 0 +14 *3351:7 *4840:15 0 +15 *3351:9 *3649:11 0 +16 *3351:9 *4846:11 0 +17 *3351:26 *3738:13 0 +18 *5232:DIODE *3351:15 0 +19 *1818:32 *3351:26 0 +20 *1960:13 *38240:A 0 +21 *1960:13 *3351:26 0 +22 *2082:31 *3351:7 0 +23 *2088:30 *3351:7 0 +24 *2098:30 *3351:9 0 +25 *2098:30 *3351:15 0 +26 *2103:24 *3351:26 0 +27 *2126:32 *3351:26 0 +28 *2135:30 *3351:26 0 +29 *2139:16 *3351:15 0 +30 *2139:27 *3351:15 0 +31 *2139:27 *3351:26 0 +32 *2139:34 *3351:26 0 +33 *2141:14 *3351:26 0 +34 *2149:14 *3351:26 0 +35 *3139:37 *3351:7 0 +36 *3332:16 *3351:7 0 +*RES +1 *40449:X *3351:7 43.5321 +2 *3351:7 *3351:9 50.2321 +3 *3351:9 *3351:15 34.9643 +4 *3351:15 *3351:26 48.1802 +5 *3351:26 *3351:28 4.5 +6 *3351:28 *6345:DIODE 9.3 +7 *3351:28 *38240:A 26.0679 +*END + +*D_NET *3352 0.0183564 +*CONN +*I *5930:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37984:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *38881:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5930:DIODE 0 +2 *37984:A_N 0.000264535 +3 *38881:X 0.00542427 +4 *3352:41 0.00111381 +5 *3352:33 0.0021938 +6 *3352:27 0.00264013 +7 *3352:15 0.00671986 +8 *37984:A_N *3839:75 0 +9 *37984:A_N *4380:68 0 +10 *37984:A_N *4387:79 0 +11 *3352:15 *3356:9 0 +12 *3352:15 *3361:18 0 +13 *3352:15 *3461:16 0 +14 *3352:15 *3538:13 0 +15 *3352:15 *3616:19 0 +16 *3352:15 *4454:83 0 +17 *3352:15 *4465:8 0 +18 *3352:15 *4822:33 0 +19 *3352:15 *4834:40 0 +20 *3352:15 *5015:31 0 +21 *3352:27 *39079:A 0 +22 *3352:27 *39082:A 0 +23 *3352:27 *4817:43 0 +24 *3352:27 *4822:20 0 +25 *3352:27 *4822:33 0 +26 *3352:27 *5197:27 0 +27 *3352:33 *3463:8 0 +28 *3352:33 *4137:60 0 +29 *3352:33 *4139:42 0 +30 *3352:33 *4173:19 0 +31 *3352:33 *4820:29 0 +32 *3352:33 *4959:58 0 +33 *3352:41 *4387:79 0 +34 *6654:DIODE *3352:27 0 +35 *6658:DIODE *3352:15 0 +36 *6810:DIODE *3352:15 0 +37 *387:31 *3352:33 0 +38 *454:5 *3352:15 0 +39 *578:8 *3352:27 0 +40 *1387:29 *37984:A_N 0 +41 *1398:20 *37984:A_N 0 +42 *1398:20 *3352:41 0 +43 *1922:47 *37984:A_N 0 +44 *3064:17 *3352:15 0 +45 *3268:30 *3352:41 0 +46 *3333:27 *3352:33 0 +47 *3338:25 *3352:41 0 +48 *3339:32 *3352:15 0 +49 *3343:5 *3352:27 0 +50 *3347:28 *3352:33 0 +51 *3349:20 *3352:27 0 +*RES +1 *38881:X *3352:15 47.5852 +2 *3352:15 *3352:27 39.6332 +3 *3352:27 *3352:33 39.1607 +4 *3352:33 *3352:41 23.8393 +5 *3352:41 *37984:A_N 24.1036 +6 *3352:41 *5930:DIODE 9.3 +*END + +*D_NET *3353 0.0240363 +*CONN +*I *6342:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38238:A I *D sky130_fd_sc_hd__and2_1 +*I *40450:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6342:DIODE 0 +2 *38238:A 0.000216623 +3 *40450:X 0.00164914 +4 *3353:45 0.000357373 +5 *3353:42 0.00378062 +6 *3353:27 0.00661745 +7 *3353:26 0.00637178 +8 *3353:13 0.00504335 +9 *38238:A *38238:B 0 +10 *38238:A *3606:32 0 +11 *38238:A *3629:131 0 +12 *38238:A *3841:47 0 +13 *38238:A *4398:55 0 +14 *3353:13 *3552:51 0 +15 *3353:13 *3591:17 0 +16 *3353:13 *3615:19 0 +17 *3353:13 *3834:35 0 +18 *3353:26 *3552:51 0 +19 *3353:26 *3611:27 0 +20 *3353:26 *3833:19 0 +21 *3353:26 *3833:24 0 +22 *3353:27 *3554:45 0 +23 *3353:27 *3579:33 0 +24 *3353:27 *3853:58 0 +25 *3353:42 *3548:50 0 +26 *3353:42 *3556:14 0 +27 *3353:42 *3557:42 0 +28 *3353:42 *3564:20 0 +29 *3353:45 *3841:47 0 +30 *3353:45 *4398:43 0 +31 *3353:45 *4398:55 0 +32 *295:13 *3353:26 0 +33 *300:10 *3353:26 0 +34 *322:27 *3353:42 0 +35 *376:21 *3353:42 0 +36 *1277:32 *3353:26 0 +37 *1277:38 *3353:27 0 +38 *1291:8 *3353:26 0 +39 *1375:22 *3353:26 0 +40 *1401:12 *3353:26 0 +41 *1401:21 *3353:26 0 +42 *1494:18 *3353:13 0 +43 *1500:34 *3353:27 0 +44 *1529:28 *3353:26 0 +45 *1673:11 *3353:27 0 +46 *1798:11 *3353:27 0 +47 *1803:8 *3353:13 0 +48 *1887:15 *3353:13 0 +49 *1911:37 *3353:26 0 +50 *2170:32 *3353:13 0 +51 *2453:11 *3353:26 0 +52 *2763:11 *3353:42 0 +53 *3051:36 *3353:27 0 +54 *3151:50 *3353:42 0 +55 *3267:40 *3353:42 0 +*RES +1 *40450:X *3353:13 46.5738 +2 *3353:13 *3353:26 37.8336 +3 *3353:26 *3353:27 62.1429 +4 *3353:27 *3353:42 47.4477 +5 *3353:42 *3353:45 7.5 +6 *3353:45 *38238:A 22.9786 +7 *3353:45 *6342:DIODE 9.3 +*END + +*D_NET *3354 0.0284201 +*CONN +*I *38234:A I *D sky130_fd_sc_hd__and2_2 +*I *6337:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40451:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38234:A 0.000174168 +2 *6337:DIODE 0 +3 *40451:X 0.000219997 +4 *3354:33 0.000278554 +5 *3354:30 0.00237142 +6 *3354:29 0.00226703 +7 *3354:27 0.00756288 +8 *3354:26 0.00843344 +9 *3354:12 0.00388161 +10 *3354:10 0.00323104 +11 *38234:A *8683:DIODE 0 +12 *38234:A *3726:61 0 +13 *38234:A *3747:97 0 +14 *38234:A *4085:58 0 +15 *38234:A *4095:82 0 +16 *3354:10 *4301:36 0 +17 *3354:12 *3526:18 0 +18 *3354:12 *3741:22 0 +19 *3354:12 *3797:59 0 +20 *3354:12 *3817:40 0 +21 *3354:12 *3961:30 0 +22 *3354:12 *4075:38 0 +23 *3354:12 *4110:28 0 +24 *3354:12 *4234:43 0 +25 *3354:12 *4329:28 0 +26 *3354:12 *5150:21 0 +27 *3354:26 *39834:A 0 +28 *3354:27 *3655:35 0 +29 *3354:30 *8513:DIODE 0 +30 *3354:30 *39665:A 0 +31 *3354:30 *3691:49 0 +32 *3354:30 *3692:34 0 +33 *3354:30 *3775:66 0 +34 *3354:30 *4055:52 0 +35 *3354:30 *4716:6 0 +36 *3354:30 *4735:14 0 +37 *3354:33 *3747:97 0 +38 *3354:33 *4085:58 0 +39 *1030:8 *3354:26 0 +40 *1203:22 *3354:12 0 +41 *1287:46 *3354:12 0 +42 *2047:16 *3354:12 0 +43 *2272:78 *3354:12 0 +44 *2274:47 *3354:12 0 +45 *2274:47 *3354:26 0 +46 *2295:28 *3354:12 0 +47 *2298:28 *3354:27 0 +48 *2317:41 *3354:12 0 +49 *2384:118 *38234:A 0 +50 *2413:98 *3354:30 0 +51 *2580:16 *3354:12 0 +52 *2848:13 *3354:26 0 +53 *2923:69 *3354:30 0 +54 *2962:56 *3354:12 0 +55 *3054:78 *3354:30 0 +56 *3162:23 *3354:27 0 +57 *3165:14 *3354:12 0 +58 *3201:26 *3354:12 0 +59 *3252:55 *3354:12 0 +60 *3255:13 *3354:10 0 +61 *3319:103 *38234:A 0 +62 *3340:38 *3354:12 0 +*RES +1 *40451:X *3354:10 18.7464 +2 *3354:10 *3354:12 68.4286 +3 *3354:12 *3354:26 28.4569 +4 *3354:26 *3354:27 157.839 +5 *3354:27 *3354:29 4.5 +6 *3354:29 *3354:30 51.4286 +7 *3354:30 *3354:33 6.67857 +8 *3354:33 *6337:DIODE 9.3 +9 *3354:33 *38234:A 22.2286 +*END + +*D_NET *3355 0.0205509 +*CONN +*I *8235:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40451:A I *D sky130_fd_sc_hd__buf_2 +*I *40452:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8235:DIODE 0.000396583 +2 *40451:A 2.0535e-05 +3 *40452:X 2.0535e-05 +4 *3355:75 0.000857792 +5 *3355:69 0.00272808 +6 *3355:42 0.00397682 +7 *3355:25 0.0035303 +8 *3355:18 0.00291501 +9 *3355:7 0.00357941 +10 *3355:5 0.00252581 +11 *8235:DIODE *4046:52 0 +12 *3355:18 *4002:23 0 +13 *3355:42 *41297:A 0 +14 *3355:42 *3813:20 0 +15 *3355:42 *3956:24 0 +16 *3355:42 *4046:12 0 +17 *3355:42 *4075:14 0 +18 *3355:42 *4265:36 0 +19 *3355:42 *4485:41 0 +20 *3355:69 *4117:18 0 +21 *3355:69 *4125:15 0 +22 *3355:69 *4280:24 0 +23 *3355:69 *4298:20 0 +24 *3355:69 *4301:24 0 +25 *3355:69 *4376:49 0 +26 *5243:DIODE *3355:42 0 +27 *5998:DIODE *8235:DIODE 0 +28 *8640:DIODE *3355:42 0 +29 *1969:12 *3355:69 0 +30 *1978:16 *3355:7 0 +31 *1979:42 *3355:69 0 +32 *1979:57 *3355:69 0 +33 *1993:16 *3355:7 0 +34 *1993:29 *3355:25 0 +35 *2010:19 *3355:18 0 +36 *2073:42 *3355:69 0 +37 *2077:23 *3355:18 0 +38 *2081:32 *3355:25 0 +39 *2081:32 *3355:42 0 +40 *2088:49 *3355:18 0 +41 *2111:26 *3355:7 0 +42 *2111:43 *3355:25 0 +43 *2111:49 *3355:25 0 +44 *2121:30 *3355:42 0 +45 *2131:78 *3355:69 0 +46 *2133:72 *3355:69 0 +47 *2138:41 *3355:18 0 +48 *3128:39 *3355:42 0 +49 *3133:61 *3355:75 0 +50 *3147:36 *3355:69 0 +51 *3173:70 *3355:42 0 +52 *3173:75 *3355:69 0 +53 *3236:53 *8235:DIODE 0 +54 *3236:53 *3355:75 0 +55 *3255:13 *8235:DIODE 0 +56 *3255:13 *3355:75 0 +57 *3255:27 *3355:69 0 +*RES +1 *40452:X *3355:5 9.72857 +2 *3355:5 *3355:7 52.2857 +3 *3355:7 *3355:18 25.5341 +4 *3355:18 *3355:25 38.4821 +5 *3355:25 *3355:42 42.9199 +6 *3355:42 *3355:69 46.6097 +7 *3355:69 *3355:75 17.4011 +8 *3355:75 *40451:A 9.72857 +9 *3355:75 *8235:DIODE 17.6393 +*END + +*D_NET *3356 0.0178218 +*CONN +*I *40452:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8236:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38882:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40452:A 0.000214822 +2 *8236:DIODE 0 +3 *38882:X 0.0082829 +4 *3356:12 0.000627985 +5 *3356:9 0.00869606 +6 *40452:A *3705:11 0 +7 *40452:A *4468:37 0 +8 *3356:9 *3538:13 0 +9 *3356:9 *3605:16 0 +10 *3356:9 *3660:22 0 +11 *3356:9 *3683:12 0 +12 *3356:9 *4454:83 0 +13 *3356:9 *4461:8 0 +14 *3356:9 *4462:48 0 +15 *3356:9 *4468:33 0 +16 *3356:9 *4834:40 0 +17 *3356:9 *5115:20 0 +18 *3356:12 *3705:11 0 +19 *3356:12 *4468:37 0 +20 *1976:31 *40452:A 0 +21 *2055:10 *3356:9 0 +22 *2055:14 *3356:9 0 +23 *2098:29 *3356:9 0 +24 *2111:26 *40452:A 0 +25 *2127:21 *40452:A 0 +26 *3172:29 *3356:9 0 +27 *3331:50 *3356:9 0 +28 *3339:16 *3356:9 0 +29 *3352:15 *3356:9 0 +*RES +1 *38882:X *3356:9 47.4415 +2 *3356:9 *3356:12 12.7939 +3 *3356:12 *8236:DIODE 13.8 +4 *3356:12 *40452:A 18.6214 +*END + +*D_NET *3357 0.0197683 +*CONN +*I *6196:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38134:C I *D sky130_fd_sc_hd__and3b_1 +*I *40453:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6196:DIODE 5.62368e-05 +2 *38134:C 0.000124066 +3 *40453:X 0.00403874 +4 *3357:68 0.0030396 +5 *3357:61 0.00566508 +6 *3357:30 0.00684453 +7 *6196:DIODE *4579:22 0 +8 *38134:C *3767:55 0 +9 *3357:30 *3395:23 0 +10 *3357:30 *3536:33 0 +11 *3357:30 *4555:19 0 +12 *3357:30 *4755:23 0 +13 *3357:30 *4774:25 0 +14 *3357:30 *5173:14 0 +15 *3357:30 *5175:48 0 +16 *3357:61 *3419:28 0 +17 *3357:61 *3695:22 0 +18 *3357:61 *4529:16 0 +19 *3357:61 *4568:36 0 +20 *3357:61 *4570:27 0 +21 *3357:61 *4581:35 0 +22 *3357:61 *4755:23 0 +23 *3357:61 *4773:42 0 +24 *3357:61 *4780:35 0 +25 *3357:61 *4783:13 0 +26 *3357:68 *3392:68 0 +27 *3357:68 *3392:75 0 +28 *3357:68 *3395:85 0 +29 *3357:68 *3396:24 0 +30 *3357:68 *3407:52 0 +31 *3357:68 *3419:28 0 +32 *3357:68 *4579:22 0 +33 *3357:68 *4764:25 0 +34 *3357:68 *4773:20 0 +35 *3357:68 *5174:34 0 +36 *6934:DIODE *3357:30 0 +37 *363:53 *3357:61 0 +38 *1107:8 *3357:30 0 +39 *1624:18 *3357:68 0 +40 *1712:27 *3357:30 0 +41 *1744:16 *6196:DIODE 0 +42 *1744:16 *3357:68 0 +43 *1752:16 *3357:61 0 +44 *1828:18 *3357:61 0 +45 *1836:16 *3357:61 0 +46 *1850:11 *38134:C 0 +47 *1860:57 *3357:30 0 +48 *1864:72 *3357:61 0 +49 *2976:14 *3357:30 0 +50 *3003:38 *3357:68 0 +51 *3017:55 *3357:30 0 +52 *3035:14 *3357:61 0 +53 *3035:14 *3357:68 0 +54 *3130:20 *3357:30 0 +55 *3179:23 *3357:61 0 +56 *3199:50 *3357:61 0 +57 *3209:17 *3357:30 0 +58 *3251:12 *3357:61 0 +*RES +1 *40453:X *3357:30 48.7814 +2 *3357:30 *3357:61 46.1931 +3 *3357:61 *3357:68 27.6993 +4 *3357:68 *38134:C 16.3893 +5 *3357:68 *6196:DIODE 15.1393 +*END + +*D_NET *3358 0.0271892 +*CONN +*I *38232:A I *D sky130_fd_sc_hd__and2_2 +*I *6334:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40454:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38232:A 0.000121071 +2 *6334:DIODE 0 +3 *40454:X 0.0032458 +4 *3358:81 0.00158993 +5 *3358:72 0.00786569 +6 *3358:48 0.00875888 +7 *3358:23 0.00560785 +8 *38232:A *4113:75 0 +9 *3358:23 *3386:42 0 +10 *3358:23 *3797:24 0 +11 *3358:23 *3809:30 0 +12 *3358:23 *4064:24 0 +13 *3358:23 *4086:38 0 +14 *3358:23 *4231:43 0 +15 *3358:23 *4287:49 0 +16 *3358:23 *4299:23 0 +17 *3358:23 *4310:20 0 +18 *3358:23 *4370:32 0 +19 *3358:23 *4379:22 0 +20 *3358:23 *5149:9 0 +21 *3358:23 *5150:21 0 +22 *3358:48 *8757:DIODE 0 +23 *3358:48 *3362:14 0 +24 *3358:48 *3899:16 0 +25 *3358:48 *5113:14 0 +26 *3358:72 *3387:44 0 +27 *3358:72 *3679:38 0 +28 *3358:72 *3706:10 0 +29 *3358:72 *3791:54 0 +30 *3358:72 *4067:17 0 +31 *3358:72 *4067:39 0 +32 *3358:81 *37664:A 0 +33 *3358:81 *3791:54 0 +34 *3358:81 *3968:16 0 +35 *3358:81 *4113:75 0 +36 *3358:81 *4257:22 0 +37 *5581:DIODE *3358:23 0 +38 *1018:54 *3358:48 0 +39 *1018:54 *3358:72 0 +40 *1222:14 *38232:A 0 +41 *1222:14 *3358:81 0 +42 *1407:24 *3358:81 0 +43 *1979:24 *3358:23 0 +44 *2050:55 *3358:23 0 +45 *2051:9 *3358:23 0 +46 *2217:73 *3358:48 0 +47 *2229:18 *3358:23 0 +48 *2236:25 *3358:23 0 +49 *2246:23 *3358:23 0 +50 *2258:31 *3358:48 0 +51 *2258:31 *3358:72 0 +52 *2286:52 *3358:72 0 +53 *2286:63 *3358:72 0 +54 *2286:63 *3358:81 0 +55 *2287:20 *3358:23 0 +56 *2300:16 *3358:48 0 +57 *2329:52 *3358:48 0 +58 *2346:52 *3358:23 0 +59 *2846:17 *3358:72 0 +60 *2870:31 *3358:48 0 +61 *2920:19 *3358:72 0 +62 *2928:83 *3358:81 0 +63 *2962:38 *3358:72 0 +64 *3176:25 *3358:23 0 +65 *3186:11 *3358:81 0 +66 *3233:29 *3358:23 0 +*RES +1 *40454:X *3358:23 48.9548 +2 *3358:23 *3358:48 43.4731 +3 *3358:48 *3358:72 48.6715 +4 *3358:72 *3358:81 37.7232 +5 *3358:81 *6334:DIODE 9.3 +6 *3358:81 *38232:A 11.8893 +*END + +*D_NET *3359 0.0178722 +*CONN +*I *40454:A I *D sky130_fd_sc_hd__buf_2 +*I *8237:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40455:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40454:A 0.000194901 +2 *8237:DIODE 0 +3 *40455:X 0.00709181 +4 *3359:29 0.00184429 +5 *3359:20 0.00874121 +6 *40454:A *3800:9 0 +7 *3359:20 *3694:19 0 +8 *3359:20 *4002:13 0 +9 *3359:20 *4002:23 0 +10 *3359:29 *3800:9 0 +11 *1810:21 *3359:20 0 +12 *1817:20 *3359:20 0 +13 *1967:16 *40454:A 0 +14 *2010:25 *3359:20 0 +15 *2033:47 *3359:20 0 +16 *2051:9 *40454:A 0 +17 *2069:9 *3359:29 0 +18 *2070:23 *3359:20 0 +19 *2077:36 *3359:29 0 +20 *2098:41 *3359:20 0 +21 *2103:24 *3359:20 0 +22 *2103:24 *3359:29 0 +23 *2103:48 *3359:29 0 +24 *2115:24 *3359:29 0 +25 *2118:11 *3359:20 0 +26 *2149:14 *3359:20 0 +27 *2149:14 *3359:29 0 +*RES +1 *40455:X *3359:20 47.7633 +2 *3359:20 *3359:29 32.4008 +3 *3359:29 *8237:DIODE 9.3 +4 *3359:29 *40454:A 22.7107 +*END + +*D_NET *3360 0.00457633 +*CONN +*I *40543:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38515:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40543:A 0.000780282 +2 *38515:X 0.00150788 +3 *3360:9 0.00228817 +4 *40543:A *3488:27 0 +5 *3360:9 *3371:11 0 +6 la_data_in_mprj[38] *3360:9 0 +7 *6646:DIODE *40543:A 0 +8 *6789:DIODE *40543:A 0 +9 *37534:B *40543:A 0 +10 *827:5 *40543:A 0 +11 *830:7 *3360:9 0 +12 *1608:18 *40543:A 0 +13 *1793:20 *40543:A 0 +14 *2007:14 *3360:9 0 +15 *2352:29 *40543:A 0 +*RES +1 *38515:X *3360:9 49.8536 +2 *3360:9 *40543:A 35.6929 +*END + +*D_NET *3361 0.0164579 +*CONN +*I *37976:A_N I *D sky130_fd_sc_hd__and3b_2 +*I *5916:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38883:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37976:A_N 0.000187489 +2 *5916:DIODE 0 +3 *38883:X 0.00452655 +4 *3361:36 0.00198506 +5 *3361:31 0.00351491 +6 *3361:18 0.00624388 +7 *37976:A_N *3568:34 0 +8 *37976:A_N *3854:25 0 +9 *3361:18 *3369:11 0 +10 *3361:18 *3437:21 0 +11 *3361:18 *3454:41 0 +12 *3361:18 *3455:24 0 +13 *3361:18 *3458:11 0 +14 *3361:18 *3583:15 0 +15 *3361:18 *4450:8 0 +16 *3361:18 *4454:22 0 +17 *3361:18 *4454:83 0 +18 *3361:18 *4823:27 0 +19 *3361:18 *4826:15 0 +20 *3361:18 *5015:31 0 +21 *3361:31 *3453:17 0 +22 *3361:31 *3454:48 0 +23 *3361:31 *3457:9 0 +24 *3361:31 *3463:11 0 +25 *3361:31 *3538:18 0 +26 *3361:36 *38198:B 0 +27 *3361:36 *3889:15 0 +28 *3361:36 *3913:23 0 +29 *3361:36 *4144:12 0 +30 *3361:36 *4384:56 0 +31 *3361:36 *4904:52 0 +32 *6795:DIODE *3361:18 0 +33 *37988:A_N *3361:36 0 +34 *1500:34 *37976:A_N 0 +35 *3062:14 *3361:18 0 +36 *3064:25 *3361:36 0 +37 *3267:40 *3361:36 0 +38 *3352:15 *3361:18 0 +*RES +1 *38883:X *3361:18 49.6578 +2 *3361:18 *3361:31 49.5357 +3 *3361:31 *3361:36 40.7679 +4 *3361:36 *5916:DIODE 13.8 +5 *3361:36 *37976:A_N 22.7952 +*END + +*D_NET *3362 0.0280582 +*CONN +*I *6331:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38230:A I *D sky130_fd_sc_hd__and2_1 +*I *40456:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *6331:DIODE 0 +2 *38230:A 0.000154081 +3 *40456:X 0.00110637 +4 *3362:26 0.00274974 +5 *3362:25 0.00259566 +6 *3362:23 0.0086861 +7 *3362:21 0.010173 +8 *3362:14 0.00259328 +9 *3362:14 *3566:21 0 +10 *3362:21 *3693:8 0 +11 *3362:21 *3795:48 0 +12 *3362:21 *5123:11 0 +13 *3362:23 *3693:33 0 +14 *3362:23 *4968:16 0 +15 *3362:26 *3946:34 0 +16 *3362:26 *4309:14 0 +17 *38233:A *3362:23 0 +18 *39853:A *3362:23 0 +19 *40687:A *3362:14 0 +20 *346:10 *3362:23 0 +21 *348:10 *3362:23 0 +22 *351:11 *3362:23 0 +23 *353:13 *3362:26 0 +24 *1231:37 *3362:26 0 +25 *2298:19 *3362:21 0 +26 *2309:21 *3362:14 0 +27 *2420:26 *38230:A 0 +28 *2420:34 *3362:26 0 +29 *2482:35 *3362:21 0 +30 *2525:22 *3362:21 0 +31 *2535:10 *3362:14 0 +32 *2535:10 *3362:21 0 +33 *2661:40 *3362:21 0 +34 *2681:5 *3362:21 0 +35 *2684:19 *3362:23 0 +36 *2730:17 *3362:21 0 +37 *2730:17 *3362:23 0 +38 *2752:55 *3362:21 0 +39 *3161:43 *3362:23 0 +40 *3358:48 *3362:14 0 +*RES +1 *40456:X *3362:14 38.8982 +2 *3362:14 *3362:21 37.7054 +3 *3362:21 *3362:23 181.25 +4 *3362:23 *3362:25 4.5 +5 *3362:25 *3362:26 59.0179 +6 *3362:26 *38230:A 17.3 +7 *3362:26 *6331:DIODE 13.8 +*END + +*D_NET *3363 0.020877 +*CONN +*I *40456:A I *D sky130_fd_sc_hd__buf_2 +*I *8239:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40457:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40456:A 0.00015503 +2 *8239:DIODE 0.000222463 +3 *40457:X 0.0010175 +4 *3363:22 0.000716702 +5 *3363:15 0.00904349 +6 *3363:14 0.00972179 +7 *3363:14 *8367:DIODE 0 +8 *3363:14 *40657:A 0 +9 *3363:14 *3850:20 0 +10 *3363:14 *3861:20 0 +11 *3363:15 *3624:71 0 +12 *3363:15 *3633:12 0 +13 *3363:15 *3812:11 0 +14 *3363:15 *3861:20 0 +15 *3363:15 *4101:23 0 +16 *3363:15 *4101:25 0 +17 *3363:15 *4321:17 0 +18 *3363:15 *4359:9 0 +19 *3363:15 *4375:9 0 +20 *37820:A *3363:15 0 +21 *40664:A *3363:22 0 +22 *40687:A *40456:A 0 +23 *40764:A *8239:DIODE 0 +24 *1312:18 *3363:22 0 +25 *2172:10 *3363:14 0 +26 *2197:11 *3363:15 0 +27 *2198:10 *3363:15 0 +28 *2256:10 *3363:15 0 +29 *2275:46 *8239:DIODE 0 +30 *2305:14 *3363:22 0 +31 *2331:10 *8239:DIODE 0 +32 *2331:29 *40456:A 0 +33 *2346:52 *8239:DIODE 0 +34 *3123:61 *3363:15 0 +35 *3190:19 *3363:15 0 +36 *3196:37 *3363:15 0 +*RES +1 *40457:X *3363:14 49.5679 +2 *3363:14 *3363:15 181.661 +3 *3363:15 *3363:22 12.2679 +4 *3363:22 *8239:DIODE 18.4429 +5 *3363:22 *40456:A 17.3 +*END + +*D_NET *3364 0.0182262 +*CONN +*I *37968:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *5902:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40458:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37968:A_N 0.000422744 +2 *5902:DIODE 0 +3 *40458:X 0.00722334 +4 *3364:22 0.00188974 +5 *3364:13 0.00869034 +6 *37968:A_N *3576:51 0 +7 *37968:A_N *3576:60 0 +8 *37968:A_N *3644:22 0 +9 *37968:A_N *4398:55 0 +10 *3364:13 *40540:A 0 +11 *3364:13 *3846:16 0 +12 *3364:13 *3851:17 0 +13 *3364:13 *3878:30 0 +14 *3364:13 *3878:43 0 +15 *3364:13 *3903:26 0 +16 *3364:13 *3913:23 0 +17 *3364:13 *4130:13 0 +18 *3364:13 *4141:21 0 +19 *3364:13 *4461:56 0 +20 *3364:13 *4827:30 0 +21 *3364:13 *4835:8 0 +22 *3364:22 *3464:18 0 +23 *37968:C *37968:A_N 0 +24 *295:24 *3364:13 0 +25 *1383:15 *37968:A_N 0 +26 *1383:15 *3364:22 0 +27 *1608:31 *3364:13 0 +28 *3056:20 *3364:13 0 +29 *3338:21 *3364:13 0 +30 *3350:28 *3364:13 0 +*RES +1 *40458:X *3364:13 49.2399 +2 *3364:13 *3364:22 16.4272 +3 *3364:22 *5902:DIODE 13.8 +4 *3364:22 *37968:A_N 22.7643 +*END + +*D_NET *3365 0.0209757 +*CONN +*I *6323:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38224:A I *D sky130_fd_sc_hd__and2_1 +*I *40459:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6323:DIODE 0.000418115 +2 *38224:A 0 +3 *40459:X 0.00152558 +4 *3365:30 0.00189249 +5 *3365:21 0.00265402 +6 *3365:13 0.00706977 +7 *3365:12 0.00589013 +8 *3365:10 0.00152558 +9 *6323:DIODE *8950:DIODE 0 +10 *3365:10 *3934:41 0 +11 *3365:10 *4122:40 0 +12 *3365:10 *4262:14 0 +13 *3365:13 *4025:29 0 +14 *3365:13 *4062:11 0 +15 *3365:13 *4253:11 0 +16 *3365:21 *3980:32 0 +17 *3365:21 *4062:19 0 +18 *3365:21 *4253:11 0 +19 *3365:30 *3438:57 0 +20 *3365:30 *4006:23 0 +21 *3365:30 *4096:44 0 +22 *3365:30 *4110:57 0 +23 *8490:DIODE *3365:30 0 +24 *8494:DIODE *6323:DIODE 0 +25 *8538:DIODE *6323:DIODE 0 +26 *37766:B *3365:10 0 +27 *41083:A *3365:10 0 +28 *41261:A *3365:10 0 +29 *1972:10 *3365:13 0 +30 *1972:12 *3365:13 0 +31 *2005:8 *3365:13 0 +32 *2050:17 *3365:10 0 +33 *2053:60 *3365:30 0 +34 *2097:8 *3365:13 0 +35 *2115:24 *3365:13 0 +36 *2270:60 *6323:DIODE 0 +37 *3143:31 *3365:10 0 +38 *3243:41 *3365:21 0 +39 *3320:108 *3365:30 0 +40 *3330:33 *3365:21 0 +*RES +1 *40459:X *3365:10 46.8179 +2 *3365:10 *3365:12 4.5 +3 *3365:12 *3365:13 122.929 +4 *3365:13 *3365:21 38.1786 +5 *3365:21 *3365:30 45.75 +6 *3365:30 *38224:A 9.3 +7 *3365:30 *6323:DIODE 27.0857 +*END + +*D_NET *3366 0.0175035 +*CONN +*I *40459:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8242:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40460:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40459:A 0.000195613 +2 *8242:DIODE 0 +3 *40460:X 0.00193543 +4 *3366:28 0.000195613 +5 *3366:26 0.00184973 +6 *3366:19 0.00376163 +7 *3366:15 0.00303533 +8 *3366:11 0.00285907 +9 *3366:7 0.00367106 +10 *40459:A *3934:40 0 +11 *40459:A *4155:11 0 +12 *3366:7 *39096:A 0 +13 *3366:7 *3572:18 0 +14 *3366:7 *4465:32 0 +15 *3366:7 *4835:31 0 +16 *3366:7 *5197:27 0 +17 *3366:11 *40193:A 0 +18 *3366:11 *40444:A 0 +19 *3366:11 *3572:18 0 +20 *3366:11 *4838:21 0 +21 *3366:11 *5037:13 0 +22 *3366:15 *4477:24 0 +23 *3366:15 *4843:16 0 +24 *3366:26 *3705:11 0 +25 *6818:DIODE *3366:15 0 +26 *37368:A *3366:11 0 +27 *37369:A *3366:15 0 +28 *1992:10 *3366:26 0 +29 *2023:24 *3366:7 0 +30 *2023:24 *3366:19 0 +31 *2027:21 *3366:26 0 +32 *2029:31 *3366:26 0 +33 *2033:31 *3366:19 0 +34 *2048:9 *3366:26 0 +35 *2070:24 *3366:26 0 +36 *2082:25 *3366:11 0 +37 *2088:30 *3366:11 0 +38 *2098:29 *3366:15 0 +39 *2098:29 *3366:19 0 +40 *2138:28 *3366:26 0 +41 *3139:27 *3366:15 0 +42 *3139:27 *3366:19 0 +43 *3139:37 *3366:15 0 +44 *3139:37 *3366:19 0 +45 *3331:50 *3366:7 0 +46 *3331:50 *3366:11 0 +47 *3343:5 *3366:7 0 +*RES +1 *40460:X *3366:7 49.6929 +2 *3366:7 *3366:11 36.2857 +3 *3366:11 *3366:15 23.4464 +4 *3366:15 *3366:19 44.4643 +5 *3366:19 *3366:26 48.5357 +6 *3366:26 *3366:28 4.5 +7 *3366:28 *8242:DIODE 9.3 +8 *3366:28 *40459:A 22.4429 +*END + +*D_NET *3367 0.0242173 +*CONN +*I *6318:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38220:A I *D sky130_fd_sc_hd__and2_1 +*I *5894:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37964:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *40461:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6318:DIODE 0.000512657 +2 *38220:A 7.79729e-05 +3 *5894:DIODE 0.000172687 +4 *37964:A_N 0 +5 *40461:X 0 +6 *3367:58 0.00059063 +7 *3367:40 0.00232013 +8 *3367:21 0.00706592 +9 *3367:5 0.00919789 +10 *3367:4 0.00427941 +11 *5894:DIODE *3644:37 0 +12 *5894:DIODE *4398:70 0 +13 *6318:DIODE *5370:DIODE 0 +14 *6318:DIODE *6319:DIODE 0 +15 *6318:DIODE *3554:63 0 +16 *6318:DIODE *3841:68 0 +17 *6318:DIODE *4399:13 0 +18 *38220:A *4169:59 0 +19 *3367:5 *40542:A 0 +20 *3367:5 *3455:47 0 +21 *3367:5 *3455:55 0 +22 *3367:5 *4446:10 0 +23 *3367:5 *4447:10 0 +24 *3367:5 *4448:5 0 +25 *3367:5 *4771:17 0 +26 *3367:5 *4782:11 0 +27 *3367:5 *4825:31 0 +28 *3367:5 *4837:13 0 +29 *3367:5 *4904:27 0 +30 *3367:5 *4904:46 0 +31 *3367:21 *3369:21 0 +32 *3367:21 *3462:17 0 +33 *3367:21 *3851:17 0 +34 *3367:21 *3872:24 0 +35 *3367:21 *4432:40 0 +36 *3367:21 *4437:23 0 +37 *3367:21 *4440:8 0 +38 *3367:21 *4441:9 0 +39 *3367:21 *4660:16 0 +40 *3367:21 *4715:7 0 +41 *3367:21 *4826:24 0 +42 *3367:40 *37930:C 0 +43 *3367:40 *41215:A 0 +44 *3367:40 *3551:20 0 +45 *3367:40 *3595:49 0 +46 *3367:40 *3875:69 0 +47 *3367:40 *3896:14 0 +48 *3367:40 *4169:67 0 +49 *3367:40 *4432:24 0 +50 *3367:40 *4432:40 0 +51 *3367:40 *4615:17 0 +52 *3367:40 *4826:24 0 +53 *6792:DIODE *3367:5 0 +54 *37352:A *3367:5 0 +55 *38509:A *3367:5 0 +56 *38511:A *3367:5 0 +57 *38650:A *3367:5 0 +58 *38650:A *3367:21 0 +59 *38654:A *3367:5 0 +60 *38655:A *3367:5 0 +61 *38656:A *3367:5 0 +62 *38833:A *3367:5 0 +63 *39071:A *3367:21 0 +64 *39075:A *3367:5 0 +65 *1164:17 *3367:40 0 +66 *1168:17 *6318:DIODE 0 +67 *1380:16 *6318:DIODE 0 +68 *1793:20 *3367:5 0 +69 *2352:29 *3367:5 0 +70 *2355:26 *3367:21 0 +71 *2358:16 *3367:21 0 +72 *2762:10 *5894:DIODE 0 +73 *3067:15 *3367:21 0 +74 *3067:24 *3367:40 0 +75 *3226:21 *3367:21 0 +76 *3226:21 *3367:40 0 +77 *3304:7 *3367:5 0 +78 *3304:9 *3367:5 0 +79 *3326:13 *3367:5 0 +*RES +1 *40461:X *3367:4 9.3 +2 *3367:4 *3367:5 89.25 +3 *3367:5 *3367:21 42.5928 +4 *3367:21 *3367:40 30.9458 +5 *3367:40 *37964:A_N 13.8 +6 *3367:40 *5894:DIODE 17.6393 +7 *3367:21 *3367:58 3.41 +8 *3367:58 *38220:A 15.5232 +9 *3367:58 *6318:DIODE 25.4161 +*END + +*D_NET *3368 0.0207725 +*CONN +*I *6315:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38218:A I *D sky130_fd_sc_hd__and2_1 +*I *40462:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6315:DIODE 0.000409042 +2 *38218:A 1.21912e-05 +3 *40462:X 0.00743598 +4 *3368:21 0.00295026 +5 *3368:15 0.009965 +6 *3368:15 *3450:26 0 +7 *3368:15 *4461:42 0 +8 *3368:21 *3558:50 0 +9 *3368:21 *3620:33 0 +10 *3368:21 *4101:20 0 +11 *1288:17 *3368:21 0 +12 *1288:28 *6315:DIODE 0 +13 *1533:74 *3368:21 0 +14 *1810:21 *3368:21 0 +15 *1811:30 *3368:15 0 +16 *2027:40 *3368:15 0 +17 *2033:47 *3368:15 0 +18 *2055:10 *3368:15 0 +19 *2055:14 *3368:15 0 +20 *2088:30 *3368:15 0 +21 *2149:14 *3368:15 0 +22 *2160:14 *6315:DIODE 0 +23 *2160:14 *38218:A 0 +24 *3061:19 *3368:15 0 +25 *3064:17 *3368:15 0 +26 *3332:16 *3368:15 0 +27 *3339:32 *3368:15 0 +28 *3349:36 *3368:15 0 +*RES +1 *40462:X *3368:15 47.8074 +2 *3368:15 *3368:21 17.1428 +3 *3368:21 *38218:A 14.0768 +4 *3368:21 *6315:DIODE 23.1125 +*END + +*D_NET *3369 0.0196044 +*CONN +*I *37962:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *5890:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38884:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37962:A_N 0 +2 *5890:DIODE 0.000104386 +3 *38884:X 0.00747706 +4 *3369:21 0.00232514 +5 *3369:11 0.0096978 +6 *5890:DIODE *4174:37 0 +7 *5890:DIODE *4205:15 0 +8 *3369:11 *3464:11 0 +9 *3369:11 *4454:22 0 +10 *3369:11 *4637:18 0 +11 *3369:11 *4737:14 0 +12 *3369:11 *4760:19 0 +13 *3369:11 *4826:15 0 +14 *3369:21 *41382:A 0 +15 *3369:21 *3897:92 0 +16 *3369:21 *4174:37 0 +17 *3369:21 *4188:17 0 +18 *3369:21 *4205:15 0 +19 *3369:21 *4824:23 0 +20 *3369:21 *4826:24 0 +21 *2358:16 *3369:21 0 +22 *3063:22 *3369:21 0 +23 *3361:18 *3369:11 0 +24 *3367:21 *3369:21 0 +*RES +1 *38884:X *3369:11 47.9328 +2 *3369:11 *3369:21 30.7858 +3 *3369:21 *5890:DIODE 11.4786 +4 *3369:21 *37962:A_N 9.3 +*END + +*D_NET *3370 0.0187589 +*CONN +*I *6193:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38132:C I *D sky130_fd_sc_hd__and3b_1 +*I *40463:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6193:DIODE 0.000140944 +2 *38132:C 2.56688e-05 +3 *40463:X 0.00171285 +4 *3370:26 0.00085392 +5 *3370:23 0.00352713 +6 *3370:22 0.00293893 +7 *3370:17 0.00289957 +8 *3370:16 0.00387376 +9 *3370:8 0.00278615 +10 *3370:8 *40231:A 0 +11 *3370:8 *3486:5 0 +12 *3370:8 *4545:69 0 +13 *3370:8 *4777:31 0 +14 *3370:8 *4783:13 0 +15 *3370:16 *3375:38 0 +16 *3370:16 *3471:37 0 +17 *3370:16 *4570:27 0 +18 *3370:16 *4773:30 0 +19 *3370:16 *4783:13 0 +20 *3370:17 *3392:65 0 +21 *3370:17 *4426:9 0 +22 *3370:17 *4764:9 0 +23 *39609:A *3370:8 0 +24 *276:17 *6193:DIODE 0 +25 *276:17 *3370:26 0 +26 *1014:119 *3370:8 0 +27 *1021:19 *3370:22 0 +28 *1261:56 *3370:16 0 +29 *1590:30 *6193:DIODE 0 +30 *1590:30 *3370:26 0 +31 *1718:29 *38132:C 0 +32 *1737:27 *3370:23 0 +33 *1748:8 *3370:26 0 +34 *1851:5 *6193:DIODE 0 +35 *2969:5 *3370:23 0 +36 *3002:5 *3370:17 0 +37 *3091:41 *3370:8 0 +38 *3102:23 *3370:8 0 +39 *3111:18 *3370:8 0 +40 *3164:17 *3370:16 0 +41 *3199:50 *3370:8 0 +*RES +1 *40463:X *3370:8 49.7911 +2 *3370:8 *3370:16 15.6948 +3 *3370:16 *3370:17 58.4464 +4 *3370:17 *3370:22 11.25 +5 *3370:22 *3370:23 59.2679 +6 *3370:23 *3370:26 20.1071 +7 *3370:26 *38132:C 14.3357 +8 *3370:26 *6193:DIODE 16.9071 +*END + +*D_NET *3371 0.0102308 +*CONN +*I *5359:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37548:B I *D sky130_fd_sc_hd__and2_1 +*I *38516:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5359:DIODE 0 +2 *37548:B 0.000156989 +3 *38516:X 5.89503e-05 +4 *3371:15 0.00027806 +5 *3371:12 0.00292553 +6 *3371:11 0.00477839 +7 *3371:8 0.00203288 +8 *37548:B *3848:19 0 +9 *3371:12 *37488:A 0 +10 *3371:12 *3415:18 0 +11 *3371:12 *3974:31 0 +12 *3371:12 *4141:21 0 +13 *3371:12 *5198:18 0 +14 *3371:15 *3848:19 0 +15 *6647:DIODE *3371:12 0 +16 *6969:DIODE *3371:12 0 +17 *39455:B *3371:12 0 +18 *39461:A *3371:12 0 +19 *328:39 *3371:12 0 +20 *343:7 *3371:12 0 +21 *343:13 *3371:12 0 +22 *444:5 *3371:12 0 +23 *448:7 *3371:8 0 +24 *572:5 *3371:12 0 +25 *576:7 *3371:8 0 +26 *2007:14 *3371:11 0 +27 *3131:38 *3371:12 0 +28 *3326:13 *3371:12 0 +29 *3360:9 *3371:11 0 +*RES +1 *38516:X *3371:8 19.6393 +2 *3371:8 *3371:11 45.6964 +3 *3371:11 *3371:12 63.5714 +4 *3371:12 *3371:15 7.08929 +5 *3371:15 *37548:B 21.7107 +6 *3371:15 *5359:DIODE 9.3 +*END + +*D_NET *3372 0.0266974 +*CONN +*I *38212:A I *D sky130_fd_sc_hd__and2_1 +*I *6308:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40464:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38212:A 0.000203639 +2 *6308:DIODE 0 +3 *40464:X 0.0027642 +4 *3372:80 0.00117423 +5 *3372:76 0.0029893 +6 *3372:48 0.00941029 +7 *3372:24 0.0101558 +8 *38212:A *3939:93 0 +9 *38212:A *4017:53 0 +10 *3372:24 *3386:42 0 +11 *3372:24 *4325:34 0 +12 *3372:48 *3742:19 0 +13 *3372:48 *3797:59 0 +14 *3372:48 *3821:15 0 +15 *3372:48 *3823:48 0 +16 *3372:48 *3956:24 0 +17 *3372:48 *4329:12 0 +18 *3372:48 *4329:47 0 +19 *3372:48 *4332:17 0 +20 *3372:48 *4375:19 0 +21 *3372:76 *3674:58 0 +22 *3372:76 *3692:9 0 +23 *3372:76 *3698:48 0 +24 *3372:76 *3781:24 0 +25 *3372:76 *3984:46 0 +26 *3372:76 *4114:28 0 +27 *3372:76 *4310:59 0 +28 *3372:76 *4324:20 0 +29 *3372:76 *4356:45 0 +30 *3372:80 *4042:37 0 +31 *38212:B *38212:A 0 +32 *40743:A *3372:48 0 +33 *40862:A *3372:48 0 +34 *1505:19 *38212:A 0 +35 *1820:39 *3372:48 0 +36 *1966:31 *3372:24 0 +37 *2056:17 *3372:24 0 +38 *2101:27 *3372:24 0 +39 *2272:78 *3372:48 0 +40 *2303:39 *3372:48 0 +41 *2749:64 *3372:80 0 +42 *3103:22 *3372:48 0 +43 *3180:14 *3372:24 0 +44 *3181:57 *3372:48 0 +45 *3340:38 *3372:48 0 +46 *3345:30 *3372:24 0 +*RES +1 *40464:X *3372:24 45.9207 +2 *3372:24 *3372:48 49.0281 +3 *3372:48 *3372:76 39.6743 +4 *3372:76 *3372:80 26.4821 +5 *3372:80 *6308:DIODE 9.3 +6 *3372:80 *38212:A 13.55 +*END + +*D_NET *3373 0.0194146 +*CONN +*I *40464:A I *D sky130_fd_sc_hd__buf_2 +*I *8245:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40465:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40464:A 9.13381e-05 +2 *8245:DIODE 0.000254014 +3 *40465:X 0.00112691 +4 *3373:83 0.00103259 +5 *3373:76 0.00242176 +6 *3373:66 0.00342542 +7 *3373:43 0.00381414 +8 *3373:31 0.00412241 +9 *3373:18 0.00312608 +10 *3373:31 *3374:104 0 +11 *3373:43 *4122:13 0 +12 *3373:66 *8985:DIODE 0 +13 *3373:66 *3902:10 0 +14 *3373:76 *4105:10 0 +15 *6304:DIODE *3373:31 0 +16 *8804:DIODE *3373:31 0 +17 *8825:DIODE *3373:31 0 +18 *37780:A *3373:76 0 +19 *37800:A *3373:66 0 +20 *37800:B *3373:66 0 +21 *38208:B *3373:31 0 +22 *40256:A *3373:18 0 +23 *40270:A *3373:66 0 +24 *40275:A *3373:76 0 +25 *40279:A *3373:76 0 +26 *40292:A *3373:43 0 +27 *40339:A *3373:66 0 +28 *40356:A *3373:76 0 +29 *40360:A *3373:66 0 +30 *41136:A *3373:43 0 +31 *41284:A *3373:43 0 +32 *1956:58 *8245:DIODE 0 +33 *1959:17 *8245:DIODE 0 +34 *1961:25 *3373:31 0 +35 *1962:13 *3373:31 0 +36 *1966:31 *3373:83 0 +37 *1971:14 *3373:43 0 +38 *1973:13 *3373:31 0 +39 *1976:22 *3373:66 0 +40 *1983:32 *3373:43 0 +41 *1998:29 *3373:31 0 +42 *2006:28 *3373:31 0 +43 *2108:26 *3373:18 0 +44 *2122:13 *3373:43 0 +45 *2122:30 *3373:43 0 +46 *2122:30 *3373:66 0 +47 *2131:35 *3373:76 0 +48 *2132:21 *3373:31 0 +49 *2132:21 *3373:43 0 +50 *2132:28 *3373:66 0 +51 *2132:28 *3373:76 0 +52 *2144:25 *3373:43 0 +53 *3131:10 *3373:18 0 +54 *3132:10 *3373:83 0 +55 *3137:11 *3373:31 0 +56 *3137:19 *3373:18 0 +57 *3147:63 *3373:76 0 +58 *3147:63 *3373:83 0 +59 *3147:73 *3373:76 0 +60 *3156:18 *3373:66 0 +61 *3156:28 *3373:66 0 +62 *3172:33 *3373:43 0 +63 *3243:65 *3373:76 0 +64 *3255:49 *3373:83 0 +65 *3325:10 *3373:43 0 +*RES +1 *40465:X *3373:18 46.6214 +2 *3373:18 *3373:31 46.4107 +3 *3373:31 *3373:43 44.375 +4 *3373:43 *3373:66 45.125 +5 *3373:66 *3373:76 45.3214 +6 *3373:76 *3373:83 23.4286 +7 *3373:83 *8245:DIODE 23.925 +8 *3373:83 *40464:A 11.4607 +*END + +*D_NET *3374 0.02508 +*CONN +*I *38208:A I *D sky130_fd_sc_hd__and2_1 +*I *6303:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37952:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *5875:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40466:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38208:A 0 +2 *6303:DIODE 0.000285144 +3 *37952:A_N 0.000118007 +4 *5875:DIODE 0 +5 *40466:X 0.000668188 +6 *3374:104 0.00154657 +7 *3374:92 0.00270421 +8 *3374:76 0.00291894 +9 *3374:64 0.00354213 +10 *3374:60 0.00279432 +11 *3374:43 0.00112609 +12 *3374:31 0.000917776 +13 *3374:25 0.00421423 +14 *3374:14 0.00424438 +15 *6303:DIODE *4131:7 0 +16 *3374:14 *3455:47 0 +17 *3374:14 *4760:9 0 +18 *3374:14 *4771:17 0 +19 *3374:14 *4825:7 0 +20 *3374:25 *3890:50 0 +21 *3374:25 *4123:32 0 +22 *3374:25 *4132:58 0 +23 *3374:25 *4137:60 0 +24 *3374:25 *4156:52 0 +25 *3374:25 *4162:62 0 +26 *3374:25 *4209:27 0 +27 *3374:25 *4391:18 0 +28 *3374:25 *4444:12 0 +29 *3374:25 *5152:23 0 +30 *3374:25 *5197:23 0 +31 *3374:31 *8800:DIODE 0 +32 *3374:60 *4123:28 0 +33 *3374:60 *4156:37 0 +34 *3374:76 *3494:8 0 +35 *3374:76 *3873:32 0 +36 *3374:76 *4464:12 0 +37 *3374:92 *4200:11 0 +38 *3374:104 *4200:11 0 +39 *6314:DIODE *3374:31 0 +40 *6648:DIODE *3374:14 0 +41 *6791:DIODE *3374:14 0 +42 *6791:DIODE *3374:25 0 +43 *7893:DIODE *3374:104 0 +44 *8825:DIODE *3374:104 0 +45 *38512:A *3374:14 0 +46 *39077:A *3374:14 0 +47 *39077:A *3374:25 0 +48 *41155:A *6303:DIODE 0 +49 *829:5 *3374:14 0 +50 *1379:25 *3374:43 0 +51 *1379:25 *3374:60 0 +52 *1533:43 *3374:60 0 +53 *1800:18 *3374:43 0 +54 *1896:37 *3374:64 0 +55 *1896:55 *3374:60 0 +56 *1901:69 *3374:31 0 +57 *1901:69 *3374:43 0 +58 *1901:77 *3374:31 0 +59 *1926:66 *3374:60 0 +60 *2038:13 *3374:104 0 +61 *2057:49 *3374:76 0 +62 *2067:29 *3374:76 0 +63 *2067:46 *3374:43 0 +64 *2067:46 *3374:60 0 +65 *2088:17 *6303:DIODE 0 +66 *2088:17 *3374:104 0 +67 *2094:24 *3374:104 0 +68 *2098:13 *3374:104 0 +69 *2107:45 *3374:76 0 +70 *2166:39 *3374:76 0 +71 *2186:29 *3374:104 0 +72 *3131:10 *3374:104 0 +73 *3131:19 *3374:76 0 +74 *3137:11 *3374:104 0 +75 *3137:21 *3374:76 0 +76 *3137:21 *3374:92 0 +77 *3213:22 *3374:25 0 +78 *3241:15 *3374:64 0 +79 *3331:93 *3374:76 0 +80 *3337:8 *3374:25 0 +81 *3373:31 *3374:104 0 +*RES +1 *40466:X *3374:14 28.1482 +2 *3374:14 *3374:25 49.5186 +3 *3374:25 *3374:31 21.2404 +4 *3374:31 *5875:DIODE 9.3 +5 *3374:31 *3374:43 11.6483 +6 *3374:43 *37952:A_N 19.8439 +7 *3374:43 *3374:60 11.0597 +8 *3374:60 *3374:64 47.75 +9 *3374:64 *3374:76 49.8393 +10 *3374:76 *3374:92 48.875 +11 *3374:92 *3374:104 44.5536 +12 *3374:104 *6303:DIODE 20.2286 +13 *3374:104 *38208:A 13.8 +*END + +*D_NET *3375 0.0188778 +*CONN +*I *6189:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38130:C I *D sky130_fd_sc_hd__and3b_1 +*I *40467:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6189:DIODE 0 +2 *38130:C 0.000225719 +3 *40467:X 0.00193616 +4 *3375:38 0.00750274 +5 *3375:23 0.00921318 +6 *38130:C *3397:35 0 +7 *38130:C *3981:89 0 +8 *3375:23 *4471:20 0 +9 *3375:23 *4554:77 0 +10 *3375:23 *4764:48 0 +11 *3375:23 *4780:86 0 +12 *3375:23 *4780:99 0 +13 *3375:23 *5100:31 0 +14 *3375:23 *5166:53 0 +15 *3375:23 *5172:30 0 +16 *3375:23 *5175:74 0 +17 *3375:23 *5179:91 0 +18 *3375:38 *38124:A_N 0 +19 *3375:38 *40231:A 0 +20 *3375:38 *3398:40 0 +21 *3375:38 *4568:36 0 +22 *3375:38 *4570:27 0 +23 *3375:38 *4580:22 0 +24 *3375:38 *4585:13 0 +25 *3375:38 *4585:28 0 +26 *3375:38 *4764:48 0 +27 *3375:38 *4773:30 0 +28 *3375:38 *4773:42 0 +29 *3375:38 *5166:53 0 +30 *3375:38 *5169:18 0 +31 *5530:DIODE *3375:23 0 +32 *39513:B *3375:38 0 +33 *801:36 *3375:23 0 +34 *1012:52 *3375:23 0 +35 *1461:11 *38130:C 0 +36 *1734:29 *3375:38 0 +37 *1752:16 *3375:38 0 +38 *1754:8 *38130:C 0 +39 *1754:8 *3375:38 0 +40 *1832:36 *3375:38 0 +41 *3038:26 *3375:23 0 +42 *3077:51 *3375:23 0 +43 *3102:23 *3375:38 0 +44 *3111:26 *3375:38 0 +45 *3164:17 *3375:38 0 +46 *3262:20 *3375:38 0 +47 *3370:16 *3375:38 0 +*RES +1 *40467:X *3375:23 44.7482 +2 *3375:23 *3375:38 47.8422 +3 *3375:38 *38130:C 23.3446 +4 *3375:38 *6189:DIODE 9.3 +*END + +*D_NET *3376 0.0181374 +*CONN +*I *37940:A_N I *D sky130_fd_sc_hd__and3b_2 +*I *5857:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40468:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37940:A_N 0.000444983 +2 *5857:DIODE 0 +3 *40468:X 0.00119479 +4 *3376:30 0.000918433 +5 *3376:27 0.00730819 +6 *3376:26 0.00695547 +7 *3376:20 0.00131552 +8 *37940:A_N *4475:12 0 +9 *37940:A_N *4800:28 0 +10 *37940:A_N *5059:11 0 +11 *3376:20 *3378:20 0 +12 *3376:20 *3749:37 0 +13 *3376:20 *3922:33 0 +14 *3376:20 *4821:22 0 +15 *3376:20 *5202:9 0 +16 *3376:26 *4821:22 0 +17 *3376:27 *3378:24 0 +18 *3376:27 *3465:25 0 +19 *3376:27 *3468:13 0 +20 *3376:27 *3468:21 0 +21 *3376:27 *3874:18 0 +22 *3376:27 *3874:24 0 +23 *3376:27 *4821:11 0 +24 *3376:30 *5059:11 0 +25 *37477:A *3376:27 0 +26 *1468:14 *37940:A_N 0 +27 *3068:24 *3376:20 0 +28 *3068:24 *3376:26 0 +29 *3068:25 *3376:27 0 +30 *3069:34 *37940:A_N 0 +31 *3069:34 *3376:30 0 +32 *3096:17 *3376:27 0 +33 *3249:34 *3376:20 0 +*RES +1 *40468:X *3376:20 49.5768 +2 *3376:20 *3376:26 7.24107 +3 *3376:26 *3376:27 142.643 +4 *3376:27 *3376:30 15.25 +5 *3376:30 *5857:DIODE 13.8 +6 *3376:30 *37940:A_N 23.9993 +*END + +*D_NET *3377 0.0191 +*CONN +*I *38196:A I *D sky130_fd_sc_hd__and2_1 +*I *6287:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38885:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38196:A 0.0001929 +2 *6287:DIODE 2.56688e-05 +3 *38885:X 7.23016e-05 +4 *3377:24 0.00189071 +5 *3377:23 0.00352641 +6 *3377:9 0.00758697 +7 *3377:8 0.005805 +8 *3377:9 *39076:A 0 +9 *3377:9 *39081:A 0 +10 *3377:9 *39083:A 0 +11 *3377:9 *3415:13 0 +12 *3377:9 *3415:17 0 +13 *3377:9 *3437:50 0 +14 *3377:9 *3437:51 0 +15 *3377:9 *3455:9 0 +16 *3377:9 *3455:24 0 +17 *3377:9 *4804:7 0 +18 *3377:9 *4819:19 0 +19 *3377:23 *3448:18 0 +20 *3377:23 *3455:9 0 +21 *3377:23 *3583:15 0 +22 *3377:23 *4455:62 0 +23 *3377:23 *4904:14 0 +24 *3377:23 *5026:32 0 +25 *3377:24 *38196:B 0 +26 *3377:24 *39087:A 0 +27 *3377:24 *4457:6 0 +28 *3377:24 *4462:21 0 +29 *3377:24 *4915:35 0 +30 la_data_in_mprj[30] *3377:9 0 +31 la_data_in_mprj[31] *3377:9 0 +32 la_data_in_mprj[33] *3377:9 0 +33 la_data_in_mprj[34] *3377:9 0 +34 la_data_in_mprj[35] *3377:9 0 +35 la_data_in_mprj[36] *3377:9 0 +36 la_data_in_mprj[37] *3377:9 0 +37 la_data_in_mprj[38] *3377:9 0 +38 la_data_in_mprj[42] *3377:9 0 +39 *6659:DIODE *3377:24 0 +40 *6797:DIODE *3377:9 0 +41 *39070:A *3377:8 0 +42 *39070:A *3377:9 0 +43 *39071:A *3377:9 0 +44 *39074:A *3377:9 0 +45 *452:7 *3377:23 0 +46 *821:7 *3377:8 0 +47 *839:5 *3377:24 0 +48 *1896:55 *6287:DIODE 0 +49 *1896:55 *38196:A 0 +50 *3293:11 *3377:9 0 +51 *3331:94 *38196:A 0 +52 *3339:53 *3377:24 0 +*RES +1 *38885:X *3377:8 19.9429 +2 *3377:8 *3377:9 119.643 +3 *3377:9 *3377:23 48.948 +4 *3377:23 *3377:24 37.9196 +5 *3377:24 *6287:DIODE 14.3357 +6 *3377:24 *38196:A 17.9429 +*END + +*D_NET *3378 0.0258947 +*CONN +*I *6281:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *5849:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37936:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *38192:A I *D sky130_fd_sc_hd__and2_1 +*I *40469:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *6281:DIODE 0.000119747 +2 *5849:DIODE 0 +3 *37936:A_N 0.000432488 +4 *38192:A 5.08195e-05 +5 *40469:X 0 +6 *3378:60 0.00120148 +7 *3378:58 0.00284453 +8 *3378:51 0.00500625 +9 *3378:37 0.00322428 +10 *3378:24 0.00186076 +11 *3378:20 0.00306041 +12 *3378:5 0.00475909 +13 *3378:4 0.0033348 +14 *6281:DIODE *4174:50 0 +15 *6281:DIODE *4190:28 0 +16 *37936:A_N *6253:DIODE 0 +17 *37936:A_N *3585:44 0 +18 *37936:A_N *3589:25 0 +19 *37936:A_N *4249:53 0 +20 *37936:A_N *4420:54 0 +21 *3378:5 *38886:A 0 +22 *3378:5 *40469:A 0 +23 *3378:5 *3380:10 0 +24 *3378:5 *4430:20 0 +25 *3378:5 *4649:13 0 +26 *3378:5 *4671:9 0 +27 *3378:5 *4671:11 0 +28 *3378:5 *4671:30 0 +29 *3378:20 *40471:A 0 +30 *3378:20 *3465:25 0 +31 *3378:20 *3911:18 0 +32 *3378:20 *3922:49 0 +33 *3378:20 *3924:20 0 +34 *3378:20 *4671:41 0 +35 *3378:20 *5202:9 0 +36 *3378:24 *3383:48 0 +37 *3378:24 *4180:99 0 +38 *3378:24 *4213:20 0 +39 *3378:24 *4821:11 0 +40 *3378:37 *4180:99 0 +41 *3378:37 *4190:28 0 +42 *3378:37 *4398:70 0 +43 *3378:51 *3589:24 0 +44 *3378:51 *3593:25 0 +45 *3378:51 *3604:16 0 +46 *3378:51 *3631:52 0 +47 *3378:51 *3644:37 0 +48 *3378:51 *4205:46 0 +49 *3378:51 *4398:70 0 +50 *3378:51 *4417:34 0 +51 *3378:51 *4422:35 0 +52 *3378:51 *4424:55 0 +53 *3378:51 *4424:63 0 +54 *3378:51 *4431:50 0 +55 *3378:51 *4436:23 0 +56 *3378:58 *3589:25 0 +57 *3378:58 *3631:52 0 +58 *3378:60 *6232:DIODE 0 +59 *3378:60 *37882:B 0 +60 *3378:60 *3589:25 0 +61 *3378:60 *4420:54 0 +62 *6780:DIODE *3378:5 0 +63 *37797:A *3378:37 0 +64 *39498:A *3378:20 0 +65 *311:49 *3378:51 0 +66 *321:13 *6281:DIODE 0 +67 *321:13 *3378:24 0 +68 *321:13 *3378:51 0 +69 *819:8 *3378:5 0 +70 *1297:18 *3378:24 0 +71 *1369:6 *37936:A_N 0 +72 *1495:15 *3378:37 0 +73 *1772:14 *3378:51 0 +74 *1782:15 *3378:24 0 +75 *1782:36 *3378:20 0 +76 *2360:59 *3378:5 0 +77 *2363:21 *3378:24 0 +78 *2364:30 *3378:24 0 +79 *2572:12 *37936:A_N 0 +80 *3069:34 *37936:A_N 0 +81 *3182:17 *3378:20 0 +82 *3304:34 *3378:20 0 +83 *3376:20 *3378:20 0 +84 *3376:27 *3378:24 0 +*RES +1 *40469:X *3378:4 9.3 +2 *3378:4 *3378:5 69.5357 +3 *3378:5 *3378:20 43.4113 +4 *3378:20 *3378:24 39.9018 +5 *3378:24 *38192:A 15.0232 +6 *3378:24 *3378:37 4.19643 +7 *3378:37 *3378:51 24.1811 +8 *3378:51 *3378:58 43.4107 +9 *3378:58 *3378:60 16.1429 +10 *3378:60 *37936:A_N 30.5841 +11 *3378:60 *5849:DIODE 9.3 +12 *3378:37 *6281:DIODE 16.5589 +*END + +*D_NET *3379 0.0188624 +*CONN +*I *38190:A I *D sky130_fd_sc_hd__and2_1 +*I *6279:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40470:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38190:A 0.000209568 +2 *6279:DIODE 0 +3 *40470:X 0.00151747 +4 *3379:18 0.00169229 +5 *3379:17 0.00770415 +6 *3379:14 0.00773889 +7 *38190:A *3616:41 0 +8 *38190:A *4157:10 0 +9 *38190:A *4395:37 0 +10 *3379:14 *3455:58 0 +11 *3379:14 *3456:19 0 +12 *3379:14 *3458:16 0 +13 *3379:14 *3462:17 0 +14 *3379:17 *40458:A 0 +15 *3379:17 *3456:19 0 +16 *3379:17 *3462:17 0 +17 *3379:17 *3851:17 0 +18 *3379:17 *3908:40 0 +19 *3379:17 *4993:17 0 +20 *3379:18 *3616:41 0 +21 *3379:18 *3921:41 0 +22 *3379:18 *4132:15 0 +23 *3379:18 *4157:10 0 +24 *3379:18 *4186:12 0 +25 *41145:A *38190:A 0 +26 *1524:24 *38190:A 0 +27 *1894:48 *38190:A 0 +28 *2055:17 *3379:18 0 +29 *2351:20 *3379:14 0 +30 *3058:22 *3379:17 0 +31 *3061:19 *3379:17 0 +32 *3067:15 *3379:14 0 +33 *3315:22 *3379:14 0 +34 *3333:27 *3379:17 0 +35 *3347:28 *3379:17 0 +*RES +1 *40470:X *3379:14 32.415 +2 *3379:14 *3379:17 25.9418 +3 *3379:17 *3379:18 33.6696 +4 *3379:18 *6279:DIODE 13.8 +5 *3379:18 *38190:A 18.6929 +*END + +*D_NET *3380 0.0163448 +*CONN +*I *5845:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37934:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *38886:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5845:DIODE 0.000393303 +2 *37934:A_N 0 +3 *38886:X 0.00108831 +4 *3380:52 0.00141485 +5 *3380:51 0.00178002 +6 *3380:38 0.00229807 +7 *3380:30 0.00353559 +8 *3380:20 0.00337116 +9 *3380:10 0.00246346 +10 *5845:DIODE *3641:39 0 +11 *5845:DIODE *4424:33 0 +12 *3380:10 *4649:13 0 +13 *3380:10 *4671:11 0 +14 *3380:20 *39061:A 0 +15 *3380:20 *4891:9 0 +16 *3380:20 *4891:19 0 +17 *3380:30 *3381:13 0 +18 *3380:30 *4649:33 0 +19 *3380:30 *4891:19 0 +20 *3380:38 *7149:DIODE 0 +21 *3380:38 *3993:22 0 +22 *3380:38 *4430:36 0 +23 *3380:38 *4433:37 0 +24 *3380:51 *3917:15 0 +25 *3380:52 *4424:33 0 +26 *3380:52 *4433:40 0 +27 *3380:52 *4812:10 0 +28 la_data_in_mprj[23] *3380:20 0 +29 la_data_in_mprj[25] *3380:10 0 +30 *6634:DIODE *3380:10 0 +31 *6958:DIODE *3380:10 0 +32 *38499:A *3380:10 0 +33 *39062:A *3380:20 0 +34 *354:17 *3380:51 0 +35 *423:7 *3380:38 0 +36 *430:11 *3380:20 0 +37 *434:8 *3380:10 0 +38 *559:8 *3380:20 0 +39 *1145:13 *3380:51 0 +40 *1294:20 *3380:52 0 +41 *1664:18 *5845:DIODE 0 +42 *1774:16 *5845:DIODE 0 +43 *1774:16 *3380:52 0 +44 *2353:10 *3380:38 0 +45 *2795:17 *5845:DIODE 0 +46 *3082:10 *3380:51 0 +47 *3096:10 *3380:38 0 +48 *3175:16 *3380:38 0 +49 *3175:16 *3380:51 0 +50 *3378:5 *3380:10 0 +*RES +1 *38886:X *3380:10 41.1214 +2 *3380:10 *3380:20 37.8214 +3 *3380:20 *3380:30 45.9333 +4 *3380:30 *3380:38 46.8929 +5 *3380:38 *3380:51 29.6071 +6 *3380:51 *3380:52 23.1964 +7 *3380:52 *37934:A_N 13.8 +8 *3380:52 *5845:DIODE 24.0509 +*END + +*D_NET *3381 0.0242092 +*CONN +*I *38178:A I *D sky130_fd_sc_hd__and2_1 +*I *5823:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37922:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *6261:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40471:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38178:A 2.26741e-05 +2 *5823:DIODE 0 +3 *37922:A_N 0.000383748 +4 *6261:DIODE 0 +5 *40471:X 0.00274118 +6 *3381:66 0.00125264 +7 *3381:64 0.00217197 +8 *3381:55 0.00175929 +9 *3381:40 0.0015621 +10 *3381:39 0.00253864 +11 *3381:30 0.0041701 +12 *3381:19 0.00381285 +13 *3381:13 0.00379401 +14 *37922:A_N *4463:51 0 +15 *38178:A *4210:15 0 +16 *3381:13 *4482:20 0 +17 *3381:13 *4593:7 0 +18 *3381:13 *4649:33 0 +19 *3381:19 *3917:15 0 +20 *3381:19 *4417:10 0 +21 *3381:19 *4417:12 0 +22 *3381:19 *4429:33 0 +23 *3381:30 *3917:25 0 +24 *3381:30 *3917:37 0 +25 *3381:30 *3922:72 0 +26 *3381:30 *5161:23 0 +27 *3381:39 *37478:A 0 +28 *3381:39 *37478:B 0 +29 *3381:39 *3390:35 0 +30 *3381:39 *3390:43 0 +31 *3381:39 *3917:37 0 +32 *3381:39 *5161:9 0 +33 *3381:40 *37940:B 0 +34 *3381:40 *4396:36 0 +35 *3381:40 *4475:8 0 +36 *3381:40 *4800:28 0 +37 *3381:40 *5059:11 0 +38 *3381:55 *3585:44 0 +39 *3381:55 *4167:40 0 +40 *3381:55 *4249:53 0 +41 *3381:55 *4396:36 0 +42 *3381:55 *5059:11 0 +43 *3381:64 *37908:A_N 0 +44 *3381:64 *37908:C 0 +45 *3381:64 *4210:15 0 +46 *3381:64 *4249:57 0 +47 *3381:64 *4419:51 0 +48 *3381:64 *4800:28 0 +49 *3381:66 *4249:57 0 +50 *6916:DIODE *3381:13 0 +51 *37922:C *37922:A_N 0 +52 *37940:C *3381:40 0 +53 *295:24 *3381:30 0 +54 *422:7 *3381:19 0 +55 *550:5 *3381:19 0 +56 *553:11 *3381:13 0 +57 *1138:11 *3381:39 0 +58 *1369:6 *3381:40 0 +59 *1369:6 *3381:55 0 +60 *1468:14 *3381:55 0 +61 *1472:9 *37922:A_N 0 +62 *1472:9 *3381:66 0 +63 *1477:23 *3381:64 0 +64 *1819:11 *3381:19 0 +65 *1819:11 *3381:30 0 +66 *2362:8 *3381:40 0 +67 *3068:34 *3381:40 0 +68 *3082:10 *3381:19 0 +69 *3175:22 *3381:19 0 +70 *3175:22 *3381:30 0 +71 *3175:28 *3381:39 0 +72 *3380:30 *3381:13 0 +*RES +1 *40471:X *3381:13 47.1791 +2 *3381:13 *3381:19 27.8839 +3 *3381:19 *3381:30 47.5137 +4 *3381:30 *3381:39 38.4286 +5 *3381:39 *3381:40 25.625 +6 *3381:40 *6261:DIODE 13.8 +7 *3381:40 *3381:55 15.6616 +8 *3381:55 *3381:64 36.4643 +9 *3381:64 *3381:66 18.1964 +10 *3381:66 *37922:A_N 17.3714 +11 *3381:66 *5823:DIODE 9.3 +12 *3381:55 *38178:A 9.83571 +*END + +*D_NET *3382 0.0130647 +*CONN +*I *5287:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37476:B I *D sky130_fd_sc_hd__and2_1 +*I *38517:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *5287:DIODE 0.000201451 +2 *37476:B 0 +3 *38517:X 0.000968157 +4 *3382:40 0.000571651 +5 *3382:31 0.00320542 +6 *3382:12 0.00499252 +7 *3382:8 0.00312546 +8 *5287:DIODE *4604:30 0 +9 *5287:DIODE *4637:47 0 +10 *5287:DIODE *4810:7 0 +11 *3382:8 *4127:23 0 +12 *3382:8 *4937:8 0 +13 *3382:12 *4249:28 0 +14 *3382:12 *4786:9 0 +15 *3382:31 *3867:18 0 +16 *3382:31 *4593:20 0 +17 *3382:31 *4791:22 0 +18 *3382:31 *4791:25 0 +19 *3382:40 *37476:A 0 +20 *3382:40 *4604:30 0 +21 *3382:40 *4637:47 0 +22 *6796:DIODE *3382:8 0 +23 *38410:A *3382:8 0 +24 *39446:A *3382:12 0 +25 *39446:B *3382:12 0 +26 *295:24 *3382:31 0 +27 *310:27 *3382:31 0 +28 *332:47 *3382:31 0 +29 *332:55 *3382:12 0 +30 *833:5 *3382:8 0 +31 *1150:15 *3382:31 0 +32 *1778:15 *3382:12 0 +33 *1778:15 *3382:31 0 +34 *2360:24 *5287:DIODE 0 +35 *3060:14 *3382:31 0 +36 *3082:30 *3382:8 0 +*RES +1 *38517:X *3382:8 40.2821 +2 *3382:8 *3382:12 49.6071 +3 *3382:12 *3382:31 49.6368 +4 *3382:31 *3382:40 21.7857 +5 *3382:40 *37476:B 13.8 +6 *3382:40 *5287:DIODE 18.3179 +*END + +*D_NET *3383 0.0232633 +*CONN +*I *5568:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37734:A_N I *D sky130_fd_sc_hd__and2b_1 +*I *40472:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *5568:DIODE 0 +2 *37734:A_N 0.000171114 +3 *40472:X 0.00166061 +4 *3383:51 0.000193788 +5 *3383:48 0.00379102 +6 *3383:33 0.00804476 +7 *3383:32 0.00445565 +8 *3383:29 0.00173246 +9 *3383:20 0.00321385 +10 *3383:20 *3601:18 0 +11 *3383:20 *3869:20 0 +12 *3383:20 *3897:60 0 +13 *3383:20 *4211:36 0 +14 *3383:20 *4442:20 0 +15 *3383:29 *37942:C 0 +16 *3383:29 *3859:37 0 +17 *3383:29 *4132:80 0 +18 *3383:29 *4159:51 0 +19 *3383:29 *4159:63 0 +20 *3383:29 *4172:35 0 +21 *3383:29 *4401:23 0 +22 *3383:29 *4401:25 0 +23 *3383:33 *3567:44 0 +24 *3383:33 *4194:19 0 +25 *3383:33 *4196:41 0 +26 *3383:33 *4396:19 0 +27 *3383:48 *37906:C 0 +28 *3383:48 *3644:37 0 +29 *3383:48 *3891:20 0 +30 *3383:48 *4180:108 0 +31 *3383:48 *4190:40 0 +32 *3383:48 *4202:17 0 +33 *3383:48 *4208:33 0 +34 *3383:48 *4417:12 0 +35 *3383:48 *4417:19 0 +36 *3383:48 *4417:34 0 +37 *3383:48 *4892:14 0 +38 *37525:A *3383:48 0 +39 *38139:A *3383:48 0 +40 *271:51 *3383:20 0 +41 *309:19 *3383:48 0 +42 *1159:20 *3383:48 0 +43 *1161:11 *3383:48 0 +44 *1283:20 *3383:48 0 +45 *1297:18 *3383:48 0 +46 *1359:14 *37734:A_N 0 +47 *1482:13 *37734:A_N 0 +48 *1483:10 *37734:A_N 0 +49 *1772:14 *3383:48 0 +50 *1780:19 *3383:48 0 +51 *2792:11 *3383:48 0 +52 *2795:17 *3383:48 0 +53 *3136:38 *3383:29 0 +54 *3136:39 *3383:33 0 +55 *3241:51 *3383:29 0 +56 *3378:24 *3383:48 0 +*RES +1 *40472:X *3383:20 42.0114 +2 *3383:20 *3383:29 46 +3 *3383:29 *3383:32 8.57143 +4 *3383:32 *3383:33 89.25 +5 *3383:33 *3383:48 47.6486 +6 *3383:48 *3383:51 5.03571 +7 *3383:51 *37734:A_N 22.1036 +8 *3383:51 *5568:DIODE 9.3 +*END + +*D_NET *3384 0.0241083 +*CONN +*I *40472:A I *D sky130_fd_sc_hd__buf_6 +*I *8248:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40473:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *40472:A 0 +2 *8248:DIODE 9.799e-05 +3 *40473:X 0.00113406 +4 *3384:95 0.000156451 +5 *3384:87 0.00170945 +6 *3384:77 0.00297111 +7 *3384:63 0.00297882 +8 *3384:51 0.00469531 +9 *3384:50 0.00303662 +10 *3384:48 0.0018658 +11 *3384:27 0.00309721 +12 *3384:18 0.00236547 +13 *8248:DIODE *3635:87 0 +14 *3384:18 *8351:DIODE 0 +15 *3384:18 *3646:38 0 +16 *3384:18 *3888:24 0 +17 *3384:27 *4068:9 0 +18 *3384:27 *4137:15 0 +19 *3384:27 *4145:20 0 +20 *3384:48 *3890:50 0 +21 *3384:48 *3921:41 0 +22 *3384:48 *4137:15 0 +23 *3384:48 *4915:35 0 +24 *3384:51 *3642:78 0 +25 *3384:51 *3642:81 0 +26 *3384:51 *3879:22 0 +27 *3384:51 *3929:8 0 +28 *3384:51 *3974:23 0 +29 *3384:51 *4137:44 0 +30 *3384:51 *5015:41 0 +31 *3384:51 *5152:23 0 +32 *3384:51 *5152:44 0 +33 *3384:51 *5152:51 0 +34 *3384:63 *37562:A 0 +35 *3384:63 *37562:B 0 +36 *3384:63 *3642:81 0 +37 *3384:63 *3642:94 0 +38 *3384:63 *3840:80 0 +39 *3384:63 *3929:8 0 +40 *3384:63 *4209:27 0 +41 *3384:63 *5152:23 0 +42 *3384:77 *3642:98 0 +43 *3384:77 *3921:46 0 +44 *3384:77 *4173:42 0 +45 *3384:77 *4200:36 0 +46 *3384:87 *3456:36 0 +47 *3384:87 *3629:115 0 +48 *3384:87 *3637:9 0 +49 *3384:87 *3869:13 0 +50 *3384:87 *4390:20 0 +51 *3384:95 *3635:87 0 +52 *8088:DIODE *3384:18 0 +53 *8592:DIODE *3384:18 0 +54 *37563:A *3384:63 0 +55 *39461:A *3384:63 0 +56 *39461:B *3384:63 0 +57 *40010:A *3384:18 0 +58 *40917:A *3384:51 0 +59 *40961:A *3384:51 0 +60 *41389:A *3384:18 0 +61 *1383:49 *8248:DIODE 0 +62 *1492:27 *3384:51 0 +63 *1492:27 *3384:63 0 +64 *1791:6 *3384:87 0 +65 *1793:13 *3384:77 0 +66 *1802:8 *3384:77 0 +67 *2022:31 *3384:51 0 +68 *2023:41 *3384:27 0 +69 *2043:40 *3384:48 0 +70 *2063:22 *3384:48 0 +71 *2064:16 *3384:51 0 +72 *2066:33 *3384:63 0 +73 *2165:29 *3384:27 0 +74 *2165:29 *3384:48 0 +75 *2165:43 *3384:51 0 +76 *2179:36 *3384:18 0 +77 *2745:14 *8248:DIODE 0 +78 *2745:14 *3384:95 0 +79 *2859:18 *3384:18 0 +80 *3156:76 *3384:27 0 +81 *3213:18 *3384:48 0 +82 *3231:23 *3384:63 0 +*RES +1 *40473:X *3384:18 43.9786 +2 *3384:18 *3384:27 34.8393 +3 *3384:27 *3384:48 45.6219 +4 *3384:48 *3384:50 4.5 +5 *3384:50 *3384:51 63.375 +6 *3384:51 *3384:63 48.9588 +7 *3384:63 *3384:77 43.7497 +8 *3384:77 *3384:87 48.3243 +9 *3384:87 *3384:95 9.25821 +10 *3384:95 *8248:DIODE 20.4786 +11 *3384:95 *40472:A 9.3 +*END + +*D_NET *3385 0.0213811 +*CONN +*I *40473:A I *D sky130_fd_sc_hd__buf_6 +*I *8249:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40474:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *40473:A 0.000148383 +2 *8249:DIODE 0.000502707 +3 *40474:X 0.000185837 +4 *3385:28 0.00173381 +5 *3385:17 0.00985364 +6 *3385:8 0.00895676 +7 *8249:DIODE *3568:22 0 +8 *40473:A *8351:DIODE 0 +9 *3385:17 *3580:43 0 +10 *3385:17 *4325:17 0 +11 *3385:17 *5115:20 0 +12 *3385:28 *37982:A_N 0 +13 *3385:28 *3580:43 0 +14 *3385:28 *3643:29 0 +15 *37816:A *3385:8 0 +16 *37982:B *3385:28 0 +17 *40001:A *8249:DIODE 0 +18 *40880:A *8249:DIODE 0 +19 *1395:44 *3385:28 0 +20 *1403:43 *8249:DIODE 0 +21 *1506:22 *3385:28 0 +22 *1523:22 *3385:17 0 +23 *2157:28 *3385:28 0 +24 *2179:36 *40473:A 0 +25 *2189:18 *3385:17 0 +26 *2191:30 *3385:28 0 +27 *2194:26 *3385:17 0 +28 *2202:39 *3385:17 0 +29 *2847:28 *3385:17 0 +30 *2859:24 *3385:17 0 +31 *3180:14 *3385:17 0 +*RES +1 *40474:X *3385:8 21.4332 +2 *3385:8 *3385:17 49.9508 +3 *3385:17 *3385:28 32.7768 +4 *3385:28 *8249:DIODE 22.6734 +5 *3385:28 *40473:A 17.1482 +*END + +*D_NET *3386 0.0219066 +*CONN +*I *40474:A I *D sky130_fd_sc_hd__buf_4 +*I *8250:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40475:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *40474:A 0 +2 *8250:DIODE 0.000423107 +3 *40475:X 0.00133346 +4 *3386:48 0.00219395 +5 *3386:42 0.00919675 +6 *3386:28 0.00875937 +7 *8250:DIODE *3624:45 0 +8 *8250:DIODE *3636:19 0 +9 *3386:28 *3742:19 0 +10 *3386:28 *3806:53 0 +11 *3386:28 *4006:36 0 +12 *3386:28 *4320:23 0 +13 *3386:42 *8931:DIODE 0 +14 *3386:42 *3692:9 0 +15 *3386:42 *3698:17 0 +16 *3386:42 *4310:59 0 +17 *3386:42 *4325:34 0 +18 *3386:42 *4333:59 0 +19 *3386:42 *5149:28 0 +20 *3386:48 *3643:8 0 +21 *3386:48 *3809:11 0 +22 *3386:48 *4329:12 0 +23 *8480:DIODE *3386:28 0 +24 *37604:A *3386:48 0 +25 *40698:A *8250:DIODE 0 +26 *40831:A *3386:28 0 +27 *40843:A *3386:48 0 +28 *1298:17 *3386:42 0 +29 *2215:20 *8250:DIODE 0 +30 *2224:26 *8250:DIODE 0 +31 *2236:25 *3386:48 0 +32 *2276:51 *3386:28 0 +33 *2284:15 *3386:42 0 +34 *2330:23 *3386:42 0 +35 *2347:33 *3386:28 0 +36 *2437:40 *3386:42 0 +37 *2859:24 *3386:48 0 +38 *3054:46 *3386:42 0 +39 *3103:22 *3386:28 0 +40 *3103:22 *3386:42 0 +41 *3103:22 *3386:48 0 +42 *3176:25 *3386:42 0 +43 *3180:14 *3386:42 0 +44 *3180:14 *3386:48 0 +45 *3358:23 *3386:42 0 +46 *3372:24 *3386:42 0 +*RES +1 *40475:X *3386:28 46.6796 +2 *3386:28 *3386:42 47.1971 +3 *3386:42 *3386:48 18.6401 +4 *3386:48 *8250:DIODE 18.1929 +5 *3386:48 *40474:A 9.3 +*END + +*D_NET *3387 0.0266645 +*CONN +*I *8251:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40475:A I *D sky130_fd_sc_hd__buf_6 +*I *40476:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *8251:DIODE 6.20329e-05 +2 *40475:A 0.000392901 +3 *40476:X 0.00153129 +4 *3387:56 0.000939376 +5 *3387:44 0.00239352 +6 *3387:25 0.00913741 +7 *3387:24 0.00895248 +8 *3387:14 0.00325544 +9 *8251:DIODE *4119:21 0 +10 *40475:A *3806:74 0 +11 *40475:A *4006:36 0 +12 *40475:A *4119:21 0 +13 *3387:14 *6006:DIODE 0 +14 *3387:14 *6416:DIODE 0 +15 *3387:14 *3420:20 0 +16 *3387:14 *3766:95 0 +17 *3387:24 *4021:8 0 +18 *3387:25 *3818:21 0 +19 *3387:25 *3822:58 0 +20 *3387:25 *4074:25 0 +21 *3387:44 *3674:44 0 +22 *3387:44 *3798:54 0 +23 *3387:44 *4067:17 0 +24 *3387:56 *3447:75 0 +25 *3387:56 *4113:53 0 +26 *7947:DIODE *3387:14 0 +27 *1436:33 *3387:24 0 +28 *2258:51 *3387:44 0 +29 *2300:20 *3387:25 0 +30 *2443:59 *3387:14 0 +31 *2929:64 *3387:14 0 +32 *2933:19 *3387:25 0 +33 *2937:14 *3387:14 0 +34 *3001:28 *3387:24 0 +35 *3014:33 *3387:24 0 +36 *3050:10 *3387:14 0 +37 *3078:21 *3387:25 0 +38 *3120:24 *3387:44 0 +39 *3310:40 *3387:14 0 +40 *3358:72 *3387:44 0 +*RES +1 *40476:X *3387:14 48.6393 +2 *3387:14 *3387:24 49.8571 +3 *3387:24 *3387:25 150.857 +4 *3387:25 *3387:44 49.7599 +5 *3387:44 *3387:56 15.7232 +6 *3387:56 *40475:A 26.6571 +7 *3387:56 *8251:DIODE 10.6571 +*END + +*D_NET *3388 0.0226731 +*CONN +*I *8252:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40476:A I *D sky130_fd_sc_hd__buf_6 +*I *40477:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8252:DIODE 0.000825155 +2 *40476:A 0.00026592 +3 *40477:X 0.00107452 +4 *3388:60 0.00272525 +5 *3388:55 0.00686184 +6 *3388:36 0.00753681 +7 *3388:24 0.00338365 +8 *8252:DIODE *6416:DIODE 0 +9 *40476:A *6005:DIODE 0 +10 *40476:A *4041:86 0 +11 *40476:A *4099:60 0 +12 *40476:A *4351:33 0 +13 *3388:24 *3412:71 0 +14 *3388:24 *3700:36 0 +15 *3388:24 *3975:29 0 +16 *3388:24 *4360:104 0 +17 *3388:36 *3743:32 0 +18 *3388:36 *4343:32 0 +19 *3388:36 *4360:42 0 +20 *3388:55 *3439:51 0 +21 *3388:55 *3776:50 0 +22 *3388:55 *3991:108 0 +23 *3388:55 *4247:51 0 +24 *3388:55 *4339:23 0 +25 *3388:55 *4340:40 0 +26 *3388:55 *4364:19 0 +27 *3388:60 *3520:61 0 +28 *3388:60 *3532:24 0 +29 *3388:60 *3733:131 0 +30 *3388:60 *3991:108 0 +31 *3388:60 *4078:89 0 +32 *3388:60 *4309:40 0 +33 *3388:60 *4340:40 0 +34 *8009:DIODE *3388:55 0 +35 *38024:A_N *40476:A 0 +36 *1450:25 *3388:24 0 +37 *1561:31 *3388:36 0 +38 *1824:88 *3388:55 0 +39 *2375:27 *3388:36 0 +40 *2375:48 *3388:55 0 +41 *2392:43 *3388:24 0 +42 *2395:52 *8252:DIODE 0 +43 *2440:58 *8252:DIODE 0 +44 *2440:58 *3388:60 0 +45 *2682:21 *8252:DIODE 0 +46 *2835:31 *3388:55 0 +47 *2925:25 *8252:DIODE 0 +48 *2992:57 *3388:60 0 +49 *3013:11 *3388:55 0 +50 *3013:19 *3388:36 0 +51 *3020:10 *3388:55 0 +52 *3045:21 *3388:36 0 +53 *3107:53 *3388:24 0 +54 *3275:32 *3388:55 0 +55 *3285:112 *3388:55 0 +56 *3303:56 *3388:24 0 +57 *3308:39 *3388:55 0 +58 *3313:73 *3388:55 0 +*RES +1 *40477:X *3388:24 42.6571 +2 *3388:24 *3388:36 41.7299 +3 *3388:36 *3388:55 48.4288 +4 *3388:55 *3388:60 9.46987 +5 *3388:60 *40476:A 24.5919 +6 *3388:60 *8252:DIODE 25.1927 +*END + +*D_NET *3389 0.0189131 +*CONN +*I *8253:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40477:A I *D sky130_fd_sc_hd__buf_4 +*I *40478:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8253:DIODE 0 +2 *40477:A 0.000165136 +3 *40478:X 0 +4 *3389:38 0.000165136 +5 *3389:36 0.00207839 +6 *3389:28 0.00349465 +7 *3389:17 0.0038519 +8 *3389:16 0.00291759 +9 *3389:5 0.00336112 +10 *3389:4 0.00287918 +11 *40477:A *3412:71 0 +12 *40477:A *3793:95 0 +13 *40477:A *3975:29 0 +14 *40477:A *4411:65 0 +15 *3389:5 *3403:56 0 +16 *3389:5 *3491:42 0 +17 *3389:5 *4043:19 0 +18 *3389:16 *3398:40 0 +19 *3389:16 *3475:58 0 +20 *3389:17 *3397:17 0 +21 *3389:17 *3484:26 0 +22 *3389:17 *3491:13 0 +23 *3389:17 *3496:34 0 +24 *3389:17 *4043:17 0 +25 *3389:17 *4411:121 0 +26 *3389:28 *40226:A 0 +27 *3389:28 *3484:26 0 +28 *3389:28 *3491:13 0 +29 *3389:28 *3776:84 0 +30 *3389:28 *4244:29 0 +31 *3389:36 *3416:57 0 +32 *3389:36 *3416:73 0 +33 *3389:36 *3482:15 0 +34 *3389:36 *4758:27 0 +35 *5271:DIODE *3389:17 0 +36 *37415:A *3389:17 0 +37 *40551:A *3389:28 0 +38 *1457:42 *3389:28 0 +39 *1457:42 *3389:36 0 +40 *1864:72 *3389:16 0 +41 *3022:11 *3389:36 0 +42 *3022:13 *3389:36 0 +43 *3091:72 *3389:28 0 +44 *3117:9 *3389:17 0 +45 *3286:34 *3389:28 0 +46 *3286:49 *3389:28 0 +*RES +1 *40478:X *3389:4 9.3 +2 *3389:4 *3389:5 60.0893 +3 *3389:5 *3389:16 18.5411 +4 *3389:16 *3389:17 50.8482 +5 *3389:17 *3389:28 38.8304 +6 *3389:28 *3389:36 48.2679 +7 *3389:36 *3389:38 4.5 +8 *3389:38 *40477:A 12.8714 +9 *3389:38 *8253:DIODE 9.3 +*END + +*D_NET *3390 0.0220759 +*CONN +*I *6255:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *5816:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37918:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *38174:A I *D sky130_fd_sc_hd__and2_2 +*I *40479:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6255:DIODE 0 +2 *5816:DIODE 0 +3 *37918:A_N 0.000402328 +4 *38174:A 0 +5 *40479:X 0.00257975 +6 *3390:62 0.0014469 +7 *3390:52 0.00128742 +8 *3390:47 0.00135408 +9 *3390:43 0.00285525 +10 *3390:35 0.00312639 +11 *3390:23 0.00388765 +12 *3390:22 0.00253084 +13 *3390:17 0.00260531 +14 *37918:A_N *4419:62 0 +15 *3390:17 *4424:21 0 +16 *3390:17 *4429:15 0 +17 *3390:17 *4433:34 0 +18 *3390:17 *4482:22 0 +19 *3390:17 *4582:22 0 +20 *3390:17 *4593:7 0 +21 *3390:17 *4649:33 0 +22 *3390:17 *4775:16 0 +23 *3390:17 *4791:35 0 +24 *3390:17 *4797:50 0 +25 *3390:17 *4879:12 0 +26 *3390:17 *4891:40 0 +27 *3390:22 *4424:33 0 +28 *3390:23 *3917:25 0 +29 *3390:43 *37478:B 0 +30 *3390:43 *5161:9 0 +31 *3390:47 *7564:DIODE 0 +32 *3390:47 *39080:A 0 +33 *3390:47 *4127:23 0 +34 *3390:47 *4198:17 0 +35 *3390:47 *4493:38 0 +36 *3390:47 *4795:46 0 +37 *3390:52 *4198:17 0 +38 *3390:52 *4493:38 0 +39 *3390:62 *5769:DIODE 0 +40 *3390:62 *5801:DIODE 0 +41 *3390:62 *37908:A_N 0 +42 *3390:62 *37908:C 0 +43 *3390:62 *3570:37 0 +44 *3390:62 *3589:45 0 +45 *3390:62 *4371:46 0 +46 *3390:62 *4425:44 0 +47 *3390:62 *4493:38 0 +48 *6905:DIODE *3390:17 0 +49 *37497:A *3390:47 0 +50 *37518:B *3390:17 0 +51 *38407:A *3390:17 0 +52 *39432:A *3390:43 0 +53 *39432:B *3390:43 0 +54 *39432:B *3390:47 0 +55 *40059:A *37918:A_N 0 +56 *282:50 *3390:17 0 +57 *295:24 *3390:17 0 +58 *299:40 *3390:43 0 +59 *310:27 *3390:35 0 +60 *1138:11 *3390:43 0 +61 *1145:13 *3390:23 0 +62 *1354:15 *3390:47 0 +63 *1354:15 *3390:52 0 +64 *1486:10 *3390:62 0 +65 *1628:27 *3390:17 0 +66 *2364:30 *3390:17 0 +67 *2791:14 *3390:62 0 +68 *2904:11 *3390:35 0 +69 *3171:26 *3390:17 0 +70 *3175:28 *3390:23 0 +71 *3175:28 *3390:35 0 +72 *3249:38 *3390:17 0 +73 *3249:49 *3390:17 0 +74 *3381:39 *3390:35 0 +75 *3381:39 *3390:43 0 +*RES +1 *40479:X *3390:17 48.7777 +2 *3390:17 *3390:22 8.49036 +3 *3390:22 *3390:23 52.2857 +4 *3390:23 *3390:35 47.0179 +5 *3390:35 *3390:43 49.9821 +6 *3390:43 *3390:47 29.75 +7 *3390:47 *3390:52 9.75 +8 *3390:52 *38174:A 13.8 +9 *3390:52 *3390:62 27.2679 +10 *3390:62 *37918:A_N 27.5528 +11 *3390:62 *5816:DIODE 9.3 +12 *3390:47 *6255:DIODE 9.3 +*END + +*D_NET *3391 0.0316696 +*CONN +*I *38136:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *38392:A I *D sky130_fd_sc_hd__and2_1 +*I *6547:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6198:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40480:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38136:A_N 0 +2 *38392:A 0.000246916 +3 *6547:DIODE 0 +4 *6198:DIODE 0 +5 *40480:X 0.00127771 +6 *3391:100 0.00188293 +7 *3391:92 0.00308823 +8 *3391:86 0.00417246 +9 *3391:85 0.00312448 +10 *3391:74 0.00060431 +11 *3391:64 0.000960414 +12 *3391:55 0.00328529 +13 *3391:54 0.00300431 +14 *3391:42 0.00357808 +15 *3391:32 0.00413276 +16 *3391:14 0.00231175 +17 *38392:A *4583:18 0 +18 *3391:14 *4534:49 0 +19 *3391:14 *4589:18 0 +20 *3391:14 *4595:33 0 +21 *3391:32 *8996:DIODE 0 +22 *3391:32 *4529:32 0 +23 *3391:32 *4545:74 0 +24 *3391:32 *4780:35 0 +25 *3391:42 *4529:32 0 +26 *3391:42 *4566:17 0 +27 *3391:42 *4585:28 0 +28 *3391:54 *4578:25 0 +29 *3391:55 *4580:22 0 +30 *3391:55 *4773:20 0 +31 *3391:64 *3953:68 0 +32 *3391:64 *4779:16 0 +33 *3391:74 *3394:63 0 +34 *3391:74 *3476:31 0 +35 *3391:74 *3699:16 0 +36 *3391:74 *3953:68 0 +37 *3391:85 *3408:24 0 +38 *3391:86 *6514:DIODE 0 +39 *3391:86 *38366:B 0 +40 *3391:86 *3986:13 0 +41 *5475:DIODE *3391:100 0 +42 *37719:A *3391:55 0 +43 *38133:A *38392:A 0 +44 *39420:A *3391:42 0 +45 *366:27 *3391:100 0 +46 *367:15 *3391:100 0 +47 *1016:235 *3391:42 0 +48 *1018:73 *3391:64 0 +49 *1018:73 *3391:74 0 +50 *1464:11 *3391:85 0 +51 *1618:14 *3391:32 0 +52 *1624:18 *3391:55 0 +53 *1739:8 *3391:100 0 +54 *1740:8 *38392:A 0 +55 *1828:18 *3391:42 0 +56 *1835:5 *3391:55 0 +57 *1862:103 *3391:14 0 +58 *2411:18 *3391:42 0 +59 *2714:57 *3391:14 0 +60 *2965:15 *3391:64 0 +61 *2967:15 *3391:32 0 +62 *3003:30 *3391:54 0 +63 *3006:29 *3391:86 0 +64 *3006:29 *3391:92 0 +65 *3006:29 *3391:100 0 +66 *3022:30 *3391:54 0 +67 *3039:33 *3391:74 0 +68 *3039:53 *3391:92 0 +69 *3039:53 *3391:100 0 +70 *3077:20 *3391:14 0 +71 *3099:15 *3391:55 0 +72 *3179:23 *3391:42 0 +73 *3184:22 *3391:42 0 +74 *3212:26 *3391:42 0 +75 *3342:19 *3391:55 0 +76 *3342:32 *3391:55 0 +*RES +1 *40480:X *3391:14 45.3357 +2 *3391:14 *3391:32 48.8571 +3 *3391:32 *3391:42 47.9212 +4 *3391:42 *3391:54 23.8214 +5 *3391:54 *3391:55 52.6964 +6 *3391:55 *3391:64 30.25 +7 *3391:64 *6198:DIODE 13.8 +8 *3391:64 *3391:74 9.01786 +9 *3391:74 *3391:85 19.786 +10 *3391:85 *3391:86 56.8036 +11 *3391:86 *3391:92 30.3393 +12 *3391:92 *3391:100 43.3393 +13 *3391:100 *6547:DIODE 9.3 +14 *3391:100 *38392:A 23.7464 +15 *3391:74 *38136:A_N 9.3 +*END + +*D_NET *3392 0.0349763 +*CONN +*I *38134:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *6544:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38390:A I *D sky130_fd_sc_hd__and2_2 +*I *6194:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40481:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *38134:A_N 0.000188598 +2 *6544:DIODE 0.000108647 +3 *38390:A 0 +4 *6194:DIODE 0 +5 *40481:X 0.00112943 +6 *3392:111 0.00200276 +7 *3392:107 0.00367688 +8 *3392:93 0.00552753 +9 *3392:75 0.00395617 +10 *3392:68 0.000416034 +11 *3392:65 0.00443499 +12 *3392:63 0.00518492 +13 *3392:50 0.00280805 +14 *3392:35 0.00303889 +15 *3392:18 0.00250342 +16 *38134:A_N *38134:B 0 +17 *38134:A_N *3396:24 0 +18 *38134:A_N *3767:55 0 +19 *38134:A_N *4579:22 0 +20 *3392:18 *4416:20 0 +21 *3392:18 *5100:45 0 +22 *3392:35 *4592:28 0 +23 *3392:35 *5100:45 0 +24 *3392:35 *5185:14 0 +25 *3392:50 *7298:DIODE 0 +26 *3392:50 *40255:A 0 +27 *3392:50 *41411:A 0 +28 *3392:50 *4557:10 0 +29 *3392:50 *4564:21 0 +30 *3392:50 *5102:51 0 +31 *3392:63 *3395:60 0 +32 *3392:63 *5174:37 0 +33 *3392:65 *4570:27 0 +34 *3392:65 *4764:9 0 +35 *3392:65 *4773:42 0 +36 *3392:68 *3491:42 0 +37 *3392:68 *4579:22 0 +38 *3392:68 *4773:20 0 +39 *3392:93 *3405:71 0 +40 *3392:93 *3491:42 0 +41 *3392:93 *3491:48 0 +42 *3392:93 *3948:35 0 +43 *3392:93 *3957:50 0 +44 *3392:93 *5176:9 0 +45 *3392:107 *3398:94 0 +46 *3392:107 *3948:35 0 +47 *3392:111 *38380:A 0 +48 *3392:111 *3398:98 0 +49 mprj_dat_i_core[4] *3392:50 0 +50 mprj_dat_i_core[5] *3392:50 0 +51 *7375:DIODE *3392:63 0 +52 *7995:DIODE *3392:65 0 +53 *38733:A *3392:18 0 +54 *39414:B *3392:63 0 +55 *39417:B *3392:93 0 +56 *40117:A *3392:50 0 +57 *40141:A *3392:65 0 +58 *273:19 *6544:DIODE 0 +59 *273:19 *3392:111 0 +60 *930:20 *3392:50 0 +61 *1043:26 *3392:18 0 +62 *1245:5 *3392:107 0 +63 *1252:12 *3392:93 0 +64 *1261:41 *3392:63 0 +65 *1261:45 *3392:65 0 +66 *1261:56 *3392:65 0 +67 *1466:19 *3392:93 0 +68 *1466:38 *3392:93 0 +69 *1594:8 *6544:DIODE 0 +70 *1599:8 *3392:111 0 +71 *1599:18 *3392:107 0 +72 *1610:7 *3392:111 0 +73 *1740:8 *6544:DIODE 0 +74 *1740:8 *3392:111 0 +75 *1866:22 *3392:18 0 +76 *2373:47 *3392:35 0 +77 *2976:8 *3392:50 0 +78 *2977:90 *3392:50 0 +79 *3002:5 *3392:65 0 +80 *3016:30 *3392:35 0 +81 *3030:21 *3392:18 0 +82 *3030:23 *3392:35 0 +83 *3030:23 *3392:50 0 +84 *3091:54 *3392:63 0 +85 *3101:25 *3392:63 0 +86 *3142:40 *3392:18 0 +87 *3164:29 *3392:65 0 +88 *3342:32 *3392:68 0 +89 *3342:36 *3392:93 0 +90 *3357:68 *3392:68 0 +91 *3357:68 *3392:75 0 +92 *3370:17 *3392:65 0 +*RES +1 *40481:X *3392:18 47.0321 +2 *3392:18 *3392:35 44.2331 +3 *3392:35 *3392:50 45.5893 +4 *3392:50 *3392:63 33.0357 +5 *3392:63 *3392:65 84.3214 +6 *3392:65 *3392:68 13.4286 +7 *3392:68 *6194:DIODE 13.8 +8 *3392:68 *3392:75 0.580357 +9 *3392:75 *3392:93 49.5701 +10 *3392:93 *3392:107 45.3358 +11 *3392:107 *3392:111 44.3214 +12 *3392:111 *38390:A 13.8 +13 *3392:111 *6544:DIODE 16.2821 +14 *3392:75 *38134:A_N 17.9875 +*END + +*D_NET *3393 0.0052056 +*CONN +*I *37550:B I *D sky130_fd_sc_hd__and2_1 +*I *38518:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *37550:B 0 +2 *38518:X 0.000609193 +3 *3393:15 0.00199361 +4 *3393:14 0.0026028 +5 *3393:14 *3453:17 0 +6 *3393:14 *4450:8 0 +7 *3393:14 *4760:28 0 +8 *3393:15 *37550:A 0 +9 *3393:15 *40541:A 0 +10 *3393:15 *3903:14 0 +11 *3393:15 *3913:23 0 +12 *3393:15 *3923:29 0 +13 *3393:15 *5198:27 0 +14 *1174:10 *3393:15 0 +15 *1801:22 *3393:14 0 +16 *2049:23 *3393:14 0 +17 *3343:14 *3393:14 0 +18 *3349:20 *3393:14 0 +*RES +1 *38518:X *3393:14 40.8714 +2 *3393:14 *3393:15 41.6071 +3 *3393:15 *37550:B 9.3 +*END + +*D_NET *3394 0.0227604 +*CONN +*I *38132:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *6191:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38388:A I *D sky130_fd_sc_hd__and2_1 +*I *6541:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40482:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38132:A_N 0.000140663 +2 *6191:DIODE 0 +3 *38388:A 0.000150037 +4 *6541:DIODE 0 +5 *40482:X 0.0015926 +6 *3394:108 0.00163183 +7 *3394:76 0.000227706 +8 *3394:73 0.00423798 +9 *3394:63 0.00556009 +10 *3394:61 0.00456077 +11 *3394:47 0.002368 +12 *3394:32 0.00229078 +13 *38132:A_N *3957:51 0 +14 *38388:A *38388:B 0 +15 *38388:A *3401:36 0 +16 *3394:32 *3395:60 0 +17 *3394:32 *3471:37 0 +18 *3394:32 *3536:33 0 +19 *3394:32 *3721:43 0 +20 *3394:32 *4449:51 0 +21 *3394:32 *4563:58 0 +22 *3394:32 *5172:30 0 +23 *3394:47 *6481:DIODE 0 +24 *3394:47 *38360:A 0 +25 *3394:47 *38360:B 0 +26 *3394:47 *4013:67 0 +27 *3394:47 *4018:95 0 +28 *3394:47 *4073:79 0 +29 *3394:61 *6481:DIODE 0 +30 *3394:61 *3481:68 0 +31 *3394:61 *4073:79 0 +32 *3394:63 *6488:DIODE 0 +33 *3394:63 *8448:DIODE 0 +34 *3394:63 *40557:A 0 +35 *3394:63 *3399:23 0 +36 *3394:63 *3476:23 0 +37 *3394:63 *3481:79 0 +38 *3394:63 *3699:7 0 +39 *3394:73 *3399:23 0 +40 *3394:73 *3399:27 0 +41 *3394:73 *3408:24 0 +42 *3394:73 *3476:31 0 +43 *3394:73 *3476:45 0 +44 *3394:73 *3944:29 0 +45 *3394:76 *3401:36 0 +46 *3394:108 *6525:DIODE 0 +47 *3394:108 *3401:36 0 +48 *3394:108 *3408:24 0 +49 *3394:108 *3953:94 0 +50 *3394:108 *3957:50 0 +51 *3394:108 *3957:51 0 +52 *3394:108 *4223:103 0 +53 *6053:DIODE *3394:61 0 +54 *6088:DIODE *3394:73 0 +55 *6103:DIODE *3394:61 0 +56 *7983:DIODE *3394:61 0 +57 *38073:A *3394:73 0 +58 *40138:A *3394:61 0 +59 *261:15 *3394:32 0 +60 *368:79 *3394:32 0 +61 *1466:38 *38388:A 0 +62 *1466:38 *3394:73 0 +63 *1466:38 *3394:76 0 +64 *1466:38 *3394:108 0 +65 *1467:7 *3394:73 0 +66 *1573:10 *3394:63 0 +67 *1586:17 *3394:63 0 +68 *1589:22 *3394:108 0 +69 *2802:21 *3394:61 0 +70 *2811:81 *3394:73 0 +71 *2821:49 *3394:61 0 +72 *2821:49 *3394:63 0 +73 *2985:14 *3394:73 0 +74 *2985:14 *3394:108 0 +75 *2999:37 *3394:61 0 +76 *2999:55 *3394:108 0 +77 *3000:57 *3394:61 0 +78 *3039:15 *3394:63 0 +79 *3039:33 *3394:63 0 +80 *3039:33 *3394:73 0 +81 *3039:46 *3394:73 0 +82 *3089:38 *3394:32 0 +83 *3102:23 *3394:32 0 +84 *3109:24 *3394:32 0 +85 *3189:36 *3394:32 0 +86 *3199:62 *3394:32 0 +87 *3290:75 *3394:61 0 +88 *3391:74 *3394:63 0 +*RES +1 *40482:X *3394:32 47.2265 +2 *3394:32 *3394:47 25.199 +3 *3394:47 *3394:61 44.1964 +4 *3394:61 *3394:63 60.3393 +5 *3394:63 *3394:73 43.5565 +6 *3394:73 *3394:76 5.20464 +7 *3394:76 *6541:DIODE 13.8 +8 *3394:76 *38388:A 17.1214 +9 *3394:73 *3394:108 38.5571 +10 *3394:108 *6191:DIODE 9.3 +11 *3394:108 *38132:A_N 21.4071 +*END + +*D_NET *3395 0.0318129 +*CONN +*I *38130:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *38386:A I *D sky130_fd_sc_hd__and2_1 +*I *6539:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6187:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40483:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38130:A_N 0 +2 *38386:A 0.000225144 +3 *6539:DIODE 1.21912e-05 +4 *6187:DIODE 0 +5 *40483:X 0.00146214 +6 *3395:117 0.00237357 +7 *3395:109 0.00398995 +8 *3395:106 0.00402702 +9 *3395:95 0.00249502 +10 *3395:85 0.00200678 +11 *3395:72 0.00356281 +12 *3395:60 0.00247949 +13 *3395:49 0.00415921 +14 *3395:23 0.00501959 +15 *38386:A *3953:94 0 +16 *3395:23 *3471:17 0 +17 *3395:23 *4471:20 0 +18 *3395:23 *4561:27 0 +19 *3395:23 *4602:18 0 +20 *3395:23 *4784:45 0 +21 *3395:23 *5100:31 0 +22 *3395:49 *4532:38 0 +23 *3395:49 *4553:20 0 +24 *3395:49 *4556:21 0 +25 *3395:49 *4563:58 0 +26 *3395:49 *4594:23 0 +27 *3395:49 *4594:45 0 +28 *3395:49 *4755:23 0 +29 *3395:49 *5074:44 0 +30 *3395:49 *5075:37 0 +31 *3395:49 *5084:82 0 +32 *3395:49 *5084:93 0 +33 *3395:60 *3695:18 0 +34 *3395:60 *5174:37 0 +35 *3395:72 *3471:37 0 +36 *3395:72 *4764:25 0 +37 *3395:72 *4773:49 0 +38 *3395:72 *4783:13 0 +39 *3395:85 *3981:89 0 +40 *3395:85 *4219:105 0 +41 *3395:85 *5187:9 0 +42 *3395:95 *3935:21 0 +43 *3395:95 *3981:89 0 +44 *3395:106 *38130:B 0 +45 *3395:106 *38358:B 0 +46 *3395:106 *38368:A 0 +47 *3395:106 *3491:48 0 +48 *3395:106 *3966:14 0 +49 *3395:109 *3935:29 0 +50 *3395:117 *3935:29 0 +51 *3395:117 *3935:44 0 +52 *6935:DIODE *3395:49 0 +53 *6943:DIODE *3395:23 0 +54 *8017:DIODE *3395:23 0 +55 *39496:B *3395:60 0 +56 *39514:B *3395:117 0 +57 *380:31 *3395:106 0 +58 *1013:77 *3395:85 0 +59 *1013:84 *3395:85 0 +60 *1252:12 *3395:85 0 +61 *1252:12 *3395:106 0 +62 *1591:10 *38386:A 0 +63 *1722:16 *3395:109 0 +64 *1742:6 *38386:A 0 +65 *2373:47 *3395:49 0 +66 *2713:169 *3395:23 0 +67 *2969:5 *3395:85 0 +68 *2985:14 *38386:A 0 +69 *2985:14 *3395:117 0 +70 *2999:37 *3395:85 0 +71 *2999:54 *3395:106 0 +72 *3033:29 *3395:49 0 +73 *3035:14 *3395:85 0 +74 *3042:77 *3395:60 0 +75 *3095:27 *3395:85 0 +76 *3116:22 *3395:49 0 +77 *3130:20 *3395:49 0 +78 *3142:40 *3395:23 0 +79 *3164:44 *3395:72 0 +80 *3168:25 *3395:72 0 +81 *3179:23 *3395:60 0 +82 *3189:36 *3395:60 0 +83 *3209:17 *3395:23 0 +84 *3254:33 *3395:49 0 +85 *3357:30 *3395:23 0 +86 *3357:68 *3395:85 0 +87 *3392:63 *3395:60 0 +88 *3394:32 *3395:60 0 +*RES +1 *40483:X *3395:23 49.2336 +2 *3395:23 *3395:49 46.2878 +3 *3395:49 *3395:60 26.9732 +4 *3395:60 *3395:72 49.4129 +5 *3395:72 *3395:85 44.684 +6 *3395:85 *6187:DIODE 9.3 +7 *3395:85 *3395:95 6.83929 +8 *3395:95 *3395:106 24.6668 +9 *3395:106 *3395:109 38.75 +10 *3395:109 *3395:117 43.3932 +11 *3395:117 *6539:DIODE 17.4868 +12 *3395:117 *38386:A 20.3227 +13 *3395:95 *38130:A_N 9.3 +*END + +*D_NET *3396 0.0198189 +*CONN +*I *38384:A I *D sky130_fd_sc_hd__and2_1 +*I *6537:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40484:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38384:A 0.000199421 +2 *6537:DIODE 0 +3 *40484:X 0 +4 *3396:53 0.000494999 +5 *3396:49 0.00198323 +6 *3396:39 0.00339018 +7 *3396:31 0.0037911 +8 *3396:24 0.00369613 +9 *3396:5 0.00393571 +10 *3396:4 0.00232816 +11 *38384:A *38384:B 0 +12 *38384:A *3935:47 0 +13 *3396:5 *6172:DIODE 0 +14 *3396:5 *38124:A_N 0 +15 *3396:5 *3767:51 0 +16 *3396:5 *3767:55 0 +17 *3396:5 *4219:117 0 +18 *3396:24 *38134:B 0 +19 *3396:24 *3767:55 0 +20 *3396:24 *3948:35 0 +21 *3396:24 *3953:62 0 +22 *3396:24 *4219:117 0 +23 *3396:24 *4223:62 0 +24 *3396:31 *37692:A 0 +25 *3396:31 *3767:57 0 +26 *3396:31 *4779:16 0 +27 *3396:49 *3398:98 0 +28 *3396:49 *3935:47 0 +29 *3396:49 *3953:94 0 +30 *5541:DIODE *3396:39 0 +31 *7374:DIODE *3396:31 0 +32 *7374:DIODE *3396:39 0 +33 *37429:A *3396:39 0 +34 *37693:A *3396:49 0 +35 *38134:A_N *3396:24 0 +36 *39417:A *3396:39 0 +37 *39417:B *3396:39 0 +38 *39519:B *38384:A 0 +39 *39519:B *3396:53 0 +40 *269:19 *38384:A 0 +41 *269:19 *3396:53 0 +42 *280:14 *3396:31 0 +43 *1017:93 *3396:39 0 +44 *1245:5 *3396:31 0 +45 *1245:5 *3396:39 0 +46 *1245:5 *3396:49 0 +47 *1252:12 *3396:24 0 +48 *1465:9 *38384:A 0 +49 *1466:19 *3396:31 0 +50 *1466:38 *3396:31 0 +51 *1599:8 *3396:49 0 +52 *1599:18 *3396:39 0 +53 *1599:18 *3396:49 0 +54 *1610:7 *3396:49 0 +55 *1617:25 *3396:31 0 +56 *1617:25 *3396:39 0 +57 *1718:29 *3396:49 0 +58 *1742:6 *3396:49 0 +59 *2804:24 *3396:5 0 +60 *3357:68 *3396:24 0 +*RES +1 *40484:X *3396:4 9.3 +2 *3396:4 *3396:5 48.5893 +3 *3396:5 *3396:24 46.7375 +4 *3396:24 *3396:31 48.0893 +5 *3396:31 *3396:39 44.6786 +6 *3396:39 *3396:49 49.125 +7 *3396:49 *3396:53 10.875 +8 *3396:53 *6537:DIODE 9.3 +9 *3396:53 *38384:A 22.6571 +*END + +*D_NET *3397 0.0204897 +*CONN +*I *38382:A I *D sky130_fd_sc_hd__and2_1 +*I *6534:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40485:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38382:A 0.000233545 +2 *6534:DIODE 0 +3 *40485:X 0.00137832 +4 *3397:43 0.000423663 +5 *3397:37 0.00484045 +6 *3397:35 0.00688779 +7 *3397:31 0.00379255 +8 *3397:17 0.0029334 +9 *38382:A *3398:98 0 +10 *3397:17 *38122:B 0 +11 *3397:17 *3478:98 0 +12 *3397:17 *4013:80 0 +13 *3397:17 *4043:17 0 +14 *3397:17 *4581:35 0 +15 *3397:31 *3403:56 0 +16 *3397:31 *3953:48 0 +17 *3397:31 *3957:13 0 +18 *3397:31 *3957:15 0 +19 *3397:31 *3975:118 0 +20 *3397:31 *4013:98 0 +21 *3397:31 *4043:17 0 +22 *3397:31 *4043:19 0 +23 *3397:35 *38124:C 0 +24 *3397:35 *3953:48 0 +25 *3397:35 *3953:62 0 +26 *3397:35 *3953:68 0 +27 *3397:35 *3957:15 0 +28 *3397:35 *3957:27 0 +29 *3397:37 *3699:17 0 +30 *3397:43 *3398:98 0 +31 *7491:DIODE *3397:35 0 +32 *38130:C *3397:35 0 +33 *39518:A *3397:35 0 +34 *39518:B *3397:35 0 +35 *39518:B *3397:37 0 +36 *287:29 *3397:31 0 +37 *1460:14 *3397:17 0 +38 *1610:7 *38382:A 0 +39 *1610:7 *3397:43 0 +40 *1850:36 *3397:31 0 +41 *1851:26 *3397:31 0 +42 *1851:26 *3397:35 0 +43 *1864:72 *3397:17 0 +44 *2414:41 *3397:17 0 +45 *2999:54 *3397:35 0 +46 *3024:78 *3397:17 0 +47 *3028:48 *3397:31 0 +48 *3389:17 *3397:17 0 +*RES +1 *40485:X *3397:17 47.9071 +2 *3397:17 *3397:31 42.0089 +3 *3397:31 *3397:35 46.7589 +4 *3397:35 *3397:37 97.0536 +5 *3397:37 *3397:43 13.125 +6 *3397:43 *6534:DIODE 9.3 +7 *3397:43 *38382:A 23.4429 +*END + +*D_NET *3398 0.0342782 +*CONN +*I *38380:A I *D sky130_fd_sc_hd__and2_1 +*I *6531:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6176:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38124:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *40486:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *38380:A 0.000200494 +2 *6531:DIODE 0 +3 *6176:DIODE 0 +4 *38124:A_N 0.000209497 +5 *40486:X 0.000942642 +6 *3398:98 0.00120713 +7 *3398:94 0.00386793 +8 *3398:75 0.00494619 +9 *3398:71 0.00366988 +10 *3398:43 0.000232171 +11 *3398:40 0.00983363 +12 *3398:20 0.0091686 +13 *38124:A_N *4219:117 0 +14 *3398:20 *4427:42 0 +15 *3398:20 *4449:30 0 +16 *3398:20 *4780:120 0 +17 *3398:20 *5167:58 0 +18 *3398:40 *3402:110 0 +19 *3398:40 *3405:41 0 +20 *3398:40 *3405:71 0 +21 *3398:40 *3475:58 0 +22 *3398:40 *3478:48 0 +23 *3398:40 *4244:43 0 +24 *3398:40 *4404:113 0 +25 *3398:40 *4580:30 0 +26 *3398:40 *5176:9 0 +27 *3398:43 *4219:117 0 +28 *3398:71 *3407:55 0 +29 *3398:71 *3948:35 0 +30 *3398:71 *3975:127 0 +31 *3398:71 *5176:9 0 +32 *3398:75 *3699:17 0 +33 *3398:75 *3957:29 0 +34 *3398:75 *4779:10 0 +35 *3398:94 *3948:35 0 +36 *3398:94 *3953:94 0 +37 *3398:94 *3957:50 0 +38 *3398:98 *3953:94 0 +39 *3398:98 *3953:104 0 +40 *6816:DIODE *3398:20 0 +41 *7595:DIODE *3398:20 0 +42 *38382:A *3398:98 0 +43 *39518:B *3398:75 0 +44 *39604:A *3398:20 0 +45 *367:15 *38380:A 0 +46 *380:31 *38124:A_N 0 +47 *1017:93 *3398:75 0 +48 *1023:101 *3398:94 0 +49 *1252:12 *3398:94 0 +50 *1261:30 *3398:20 0 +51 *1457:42 *3398:40 0 +52 *1585:6 *3398:71 0 +53 *1589:22 *3398:94 0 +54 *1599:8 *3398:98 0 +55 *1599:24 *3398:94 0 +56 *1610:7 *38380:A 0 +57 *1610:7 *3398:98 0 +58 *1836:26 *3398:20 0 +59 *1862:69 *3398:20 0 +60 *1863:28 *3398:40 0 +61 *2804:24 *38124:A_N 0 +62 *2804:24 *3398:40 0 +63 *2968:53 *3398:40 0 +64 *2985:14 *3398:94 0 +65 *2999:55 *3398:75 0 +66 *2999:55 *3398:94 0 +67 *3101:55 *3398:20 0 +68 *3251:35 *3398:40 0 +69 *3288:24 *3398:40 0 +70 *3348:121 *3398:40 0 +71 *3375:38 *38124:A_N 0 +72 *3375:38 *3398:40 0 +73 *3389:16 *3398:40 0 +74 *3392:107 *3398:94 0 +75 *3392:111 *38380:A 0 +76 *3392:111 *3398:98 0 +77 *3396:5 *38124:A_N 0 +78 *3396:49 *3398:98 0 +79 *3397:43 *3398:98 0 +*RES +1 *40486:X *3398:20 44.4443 +2 *3398:20 *3398:40 35.1119 +3 *3398:40 *3398:43 5.03571 +4 *3398:43 *38124:A_N 23.0679 +5 *3398:43 *6176:DIODE 9.3 +6 *3398:40 *3398:71 20.0998 +7 *3398:71 *3398:75 48.0714 +8 *3398:75 *3398:94 47.9455 +9 *3398:94 *3398:98 25.6161 +10 *3398:98 *6531:DIODE 9.3 +11 *3398:98 *38380:A 22.7286 +*END + +*D_NET *3399 0.0189647 +*CONN +*I *6528:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38378:A I *D sky130_fd_sc_hd__and2_1 +*I *40487:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6528:DIODE 0 +2 *38378:A 0.000264137 +3 *40487:X 0.00184105 +4 *3399:39 0.00132982 +5 *3399:38 0.00206543 +6 *3399:31 0.00290416 +7 *3399:27 0.00326464 +8 *3399:23 0.00340732 +9 *3399:16 0.00388814 +10 *3399:16 *6523:DIODE 0 +11 *3399:16 *3402:166 0 +12 *3399:16 *3935:17 0 +13 *3399:16 *3957:13 0 +14 *3399:16 *3966:14 0 +15 *3399:16 *4228:49 0 +16 *3399:23 *38136:B 0 +17 *3399:31 *3944:35 0 +18 *3399:38 *38386:B 0 +19 *3399:38 *3944:35 0 +20 *6088:DIODE *3399:27 0 +21 *276:17 *3399:38 0 +22 *367:15 *38378:A 0 +23 *380:31 *3399:16 0 +24 *1005:91 *3399:16 0 +25 *1467:7 *3399:27 0 +26 *1716:10 *3399:39 0 +27 *1831:10 *38378:A 0 +28 *1851:14 *3399:31 0 +29 *2811:81 *3399:31 0 +30 *2988:24 *3399:16 0 +31 *3039:52 *3399:31 0 +32 *3039:53 *38378:A 0 +33 *3039:53 *3399:39 0 +34 *3394:63 *3399:23 0 +35 *3394:73 *3399:23 0 +36 *3394:73 *3399:27 0 +*RES +1 *40487:X *3399:16 45.005 +2 *3399:16 *3399:23 43 +3 *3399:23 *3399:27 28.4821 +4 *3399:27 *3399:31 39.7768 +5 *3399:31 *3399:38 29.9732 +6 *3399:38 *3399:39 22.3036 +7 *3399:39 *38378:A 24.0679 +8 *3399:39 *6528:DIODE 9.3 +*END + +*D_NET *3400 0.0290993 +*CONN +*I *6172:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40487:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8258:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38122:A_N I *D sky130_fd_sc_hd__and3b_2 +*I *40488:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6172:DIODE 0.000324542 +2 *40487:A 0.00016428 +3 *8258:DIODE 0 +4 *38122:A_N 0.000139176 +5 *40488:X 0.00104634 +6 *3400:116 0.00051744 +7 *3400:108 0.000839629 +8 *3400:98 0.000872359 +9 *3400:93 0.0021113 +10 *3400:84 0.0030537 +11 *3400:66 0.00365092 +12 *3400:61 0.00301608 +13 *3400:57 0.00298079 +14 *3400:52 0.0057192 +15 *3400:21 0.00466358 +16 *40487:A *3953:17 0 +17 *40487:A *3957:13 0 +18 *3400:21 *4015:26 0 +19 *3400:21 *5072:17 0 +20 *3400:21 *5179:133 0 +21 *3400:52 *3506:24 0 +22 *3400:52 *4238:98 0 +23 *3400:52 *4251:41 0 +24 *3400:52 *4360:25 0 +25 *3400:52 *5175:74 0 +26 *3400:84 *3474:38 0 +27 *3400:84 *4729:10 0 +28 *3400:84 *4897:22 0 +29 *3400:84 *4897:24 0 +30 *3400:93 *3406:130 0 +31 *3400:93 *4036:18 0 +32 *3400:93 *4065:57 0 +33 *3400:98 *3402:157 0 +34 *3400:98 *3501:94 0 +35 *3400:108 *3953:17 0 +36 *3400:116 *3953:17 0 +37 *3400:116 *3957:13 0 +38 *3400:116 *3981:67 0 +39 *6694:DIODE *3400:21 0 +40 *7942:DIODE *3400:66 0 +41 *40067:A *3400:66 0 +42 *371:15 *3400:98 0 +43 *796:31 *3400:52 0 +44 *1019:42 *6172:DIODE 0 +45 *1328:28 *3400:57 0 +46 *1418:21 *3400:57 0 +47 *1418:25 *3400:52 0 +48 *1554:6 *3400:98 0 +49 *1562:29 *3400:61 0 +50 *1846:32 *3400:98 0 +51 *1863:39 *3400:84 0 +52 *2368:15 *3400:57 0 +53 *2368:40 *3400:52 0 +54 *2382:18 *3400:66 0 +55 *2396:13 *3400:66 0 +56 *2396:30 *3400:57 0 +57 *2396:30 *3400:61 0 +58 *2396:30 *3400:66 0 +59 *2411:41 *3400:57 0 +60 *2411:41 *3400:61 0 +61 *2411:41 *3400:66 0 +62 *2414:41 *3400:108 0 +63 *2712:75 *3400:21 0 +64 *2801:33 *3400:93 0 +65 *2802:21 *3400:98 0 +66 *2806:71 *3400:108 0 +67 *2834:44 *3400:84 0 +68 *2839:25 *3400:57 0 +69 *2839:52 *3400:84 0 +70 *2921:10 *3400:61 0 +71 *2991:50 *3400:93 0 +72 *3007:34 *3400:98 0 +73 *3010:38 *3400:66 0 +74 *3017:11 *3400:21 0 +75 *3024:61 *3400:84 0 +76 *3028:25 *3400:52 0 +77 *3097:18 *3400:84 0 +78 *3188:74 *3400:21 0 +79 *3203:60 *3400:52 0 +80 *3208:24 *3400:21 0 +81 *3211:42 *3400:21 0 +82 *3221:36 *3400:21 0 +83 *3284:29 *3400:84 0 +84 *3288:36 *3400:108 0 +85 *3297:108 *3400:93 0 +86 *3396:5 *6172:DIODE 0 +*RES +1 *40488:X *3400:21 49.1412 +2 *3400:21 *3400:52 46.3038 +3 *3400:52 *3400:57 48.3839 +4 *3400:57 *3400:61 18.4196 +5 *3400:61 *3400:66 49.3304 +6 *3400:66 *3400:84 47.0998 +7 *3400:84 *3400:93 47.0714 +8 *3400:93 *3400:98 13.0357 +9 *3400:98 *38122:A_N 16.9607 +10 *3400:98 *3400:108 7.91071 +11 *3400:108 *3400:116 7.67857 +12 *3400:116 *8258:DIODE 9.3 +13 *3400:116 *40487:A 12.8536 +14 *3400:108 *6172:DIODE 25.55 +*END + +*D_NET *3401 0.021114 +*CONN +*I *38376:A I *D sky130_fd_sc_hd__and2_1 +*I *6525:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40489:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38376:A 0.000160002 +2 *6525:DIODE 0.000112415 +3 *40489:X 0.00334481 +4 *3401:36 0.00721218 +5 *3401:27 0.0102846 +6 *38376:A *38376:B 0 +7 *38376:A *3935:44 0 +8 *38376:A *4223:103 0 +9 *3401:27 *3509:98 0 +10 *3401:27 *3734:40 0 +11 *3401:27 *3940:19 0 +12 *3401:27 *3940:26 0 +13 *3401:27 *4216:65 0 +14 *3401:27 *4223:62 0 +15 *3401:27 *4334:86 0 +16 *3401:36 *38388:B 0 +17 *3401:36 *3476:45 0 +18 *3401:36 *3495:19 0 +19 *3401:36 *3495:36 0 +20 *3401:36 *3940:26 0 +21 *38363:A *3401:36 0 +22 *38388:A *3401:36 0 +23 *39517:B *3401:36 0 +24 *39588:A *3401:36 0 +25 *284:23 *6525:DIODE 0 +26 *284:23 *3401:36 0 +27 *1448:71 *3401:27 0 +28 *1466:38 *3401:36 0 +29 *1582:13 *3401:36 0 +30 *2370:19 *3401:27 0 +31 *2388:20 *3401:36 0 +32 *2803:17 *3401:27 0 +33 *2809:24 *3401:36 0 +34 *2833:20 *3401:27 0 +35 *3286:114 *3401:27 0 +36 *3286:114 *3401:36 0 +37 *3290:69 *3401:27 0 +38 *3394:76 *3401:36 0 +39 *3394:108 *6525:DIODE 0 +40 *3394:108 *3401:36 0 +*RES +1 *40489:X *3401:27 45.2561 +2 *3401:27 *3401:36 37.4972 +3 *3401:36 *6525:DIODE 16.3536 +4 *3401:36 *38376:A 17.2643 +*END + +*D_NET *3402 0.0277397 +*CONN +*I *6523:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38374:A I *D sky130_fd_sc_hd__and2_1 +*I *38118:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *6164:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40490:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *6523:DIODE 0.000138055 +2 *38374:A 0.000204384 +3 *38118:A_N 0 +4 *6164:DIODE 0 +5 *40490:X 0.00148372 +6 *3402:166 0.00125337 +7 *3402:157 0.00516705 +8 *3402:120 0.0044442 +9 *3402:110 0.00102845 +10 *3402:102 0.00226696 +11 *3402:78 0.00354343 +12 *3402:73 0.00285295 +13 *3402:56 0.00230477 +14 *3402:26 0.00305238 +15 *3402:26 *3721:24 0 +16 *3402:26 *4756:19 0 +17 *3402:26 *5196:39 0 +18 *3402:56 *40607:A 0 +19 *3402:56 *3405:41 0 +20 *3402:56 *3496:19 0 +21 *3402:56 *4251:41 0 +22 *3402:56 *4360:25 0 +23 *3402:56 *5172:73 0 +24 *3402:56 *5175:74 0 +25 *3402:73 *6150:DIODE 0 +26 *3402:73 *4603:43 0 +27 *3402:78 *8263:DIODE 0 +28 *3402:78 *3421:11 0 +29 *3402:78 *3758:92 0 +30 *3402:78 *4603:43 0 +31 *3402:78 *4758:49 0 +32 *3402:78 *5167:58 0 +33 *3402:102 *3407:52 0 +34 *3402:102 *3416:73 0 +35 *3402:102 *3482:15 0 +36 *3402:102 *4427:93 0 +37 *3402:102 *4563:86 0 +38 *3402:102 *4563:89 0 +39 *3402:102 *4758:27 0 +40 *3402:110 *3405:71 0 +41 *3402:110 *3416:73 0 +42 *3402:120 *38118:C 0 +43 *3402:120 *3406:65 0 +44 *3402:120 *3954:65 0 +45 *3402:157 *6447:DIODE 0 +46 *3402:157 *3406:65 0 +47 *3402:157 *3429:46 0 +48 *3402:157 *3490:25 0 +49 *3402:157 *3734:40 0 +50 *3402:157 *3954:65 0 +51 *3402:157 *3966:14 0 +52 *3402:157 *3996:20 0 +53 *3402:157 *3999:26 0 +54 *3402:157 *4003:42 0 +55 *3402:157 *4033:77 0 +56 *3402:157 *4051:70 0 +57 *3402:157 *4216:65 0 +58 *3402:157 *4228:22 0 +59 *3402:157 *4228:49 0 +60 *3402:157 *4322:93 0 +61 *3402:166 *3481:68 0 +62 *3402:166 *3953:17 0 +63 *3402:166 *3966:14 0 +64 *6705:DIODE *3402:56 0 +65 *40366:A *3402:26 0 +66 *40559:A *3402:102 0 +67 *539:8 *3402:26 0 +68 *1450:45 *3402:102 0 +69 *1450:63 *3402:102 0 +70 *1457:17 *3402:102 0 +71 *1459:28 *3402:157 0 +72 *1568:22 *3402:157 0 +73 *1829:51 *3402:73 0 +74 *1846:32 *3402:157 0 +75 *2410:18 *3402:26 0 +76 *2417:19 *3402:56 0 +77 *2443:8 *3402:78 0 +78 *2806:50 *3402:157 0 +79 *2806:71 *3402:157 0 +80 *2806:92 *3402:166 0 +81 *2970:56 *3402:166 0 +82 *2988:18 *3402:157 0 +83 *2988:24 *6523:DIODE 0 +84 *2988:24 *3402:157 0 +85 *2988:24 *3402:166 0 +86 *2993:64 *3402:78 0 +87 *3018:72 *3402:26 0 +88 *3111:69 *3402:73 0 +89 *3113:81 *3402:56 0 +90 *3155:30 *3402:73 0 +91 *3164:55 *3402:78 0 +92 *3168:63 *3402:73 0 +93 *3194:63 *3402:56 0 +94 *3203:60 *3402:56 0 +95 *3221:36 *3402:56 0 +96 *3235:63 *3402:56 0 +97 *3251:35 *3402:102 0 +98 *3286:72 *3402:157 0 +99 *3398:40 *3402:110 0 +100 *3399:16 *6523:DIODE 0 +101 *3399:16 *3402:166 0 +102 *3400:98 *3402:157 0 +*RES +1 *40490:X *3402:26 49.0965 +2 *3402:26 *3402:56 49.8337 +3 *3402:56 *3402:73 29.5 +4 *3402:73 *3402:78 49.0089 +5 *3402:78 *3402:102 49.4129 +6 *3402:102 *3402:110 15.0545 +7 *3402:110 *6164:DIODE 13.8 +8 *3402:110 *3402:120 8.71429 +9 *3402:120 *38118:A_N 9.3 +10 *3402:120 *3402:157 48.3708 +11 *3402:157 *3402:166 20.2899 +12 *3402:166 *38374:A 21.7725 +13 *3402:166 *6523:DIODE 17.9662 +*END + +*D_NET *3403 0.018155 +*CONN +*I *38124:C I *D sky130_fd_sc_hd__and3b_1 +*I *6178:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40491:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38124:C 0.00034904 +2 *6178:DIODE 0 +3 *40491:X 0.00101199 +4 *3403:56 0.00166587 +5 *3403:45 0.00325841 +6 *3403:39 0.00450627 +7 *3403:16 0.00445808 +8 *3403:7 0.00290539 +9 *38124:C *4013:98 0 +10 *3403:7 *40351:A 0 +11 *3403:7 *3743:56 0 +12 *3403:7 *4555:25 0 +13 *3403:7 *4761:86 0 +14 *3403:16 *5542:DIODE 0 +15 *3403:16 *40338:A 0 +16 *3403:16 *3743:59 0 +17 *3403:16 *4534:82 0 +18 *3403:16 *4554:53 0 +19 *3403:16 *4555:25 0 +20 *3403:39 *3407:52 0 +21 *3403:39 *3419:28 0 +22 *3403:39 *3471:37 0 +23 *3403:39 *4244:29 0 +24 *3403:39 *4563:58 0 +25 *3403:39 *5166:53 0 +26 *3403:45 *4578:25 0 +27 *3403:56 *3975:118 0 +28 *3403:56 *4043:19 0 +29 *3403:56 *4580:30 0 +30 *6619:DIODE *3403:7 0 +31 *6945:DIODE *3403:16 0 +32 *6946:DIODE *3403:39 0 +33 *7989:DIODE *3403:16 0 +34 *37450:A *3403:7 0 +35 *38625:A *3403:7 0 +36 *375:41 *3403:39 0 +37 *546:8 *3403:7 0 +38 *1013:77 *3403:56 0 +39 *1013:84 *3403:56 0 +40 *1253:17 *3403:16 0 +41 *1836:16 *3403:39 0 +42 *1840:20 *3403:39 0 +43 *1850:36 *3403:56 0 +44 *1856:16 *3403:7 0 +45 *1862:69 *3403:7 0 +46 *1862:91 *3403:16 0 +47 *2713:49 *3403:16 0 +48 *2977:89 *3403:39 0 +49 *2982:62 *3403:39 0 +50 *2994:14 *3403:16 0 +51 *2999:37 *38124:C 0 +52 *3022:19 *3403:45 0 +53 *3099:15 *3403:39 0 +54 *3179:23 *3403:39 0 +55 *3221:16 *3403:16 0 +56 *3264:34 *3403:39 0 +57 *3389:5 *3403:56 0 +58 *3397:31 *3403:56 0 +59 *3397:35 *38124:C 0 +*RES +1 *40491:X *3403:7 30.3893 +2 *3403:7 *3403:16 44.3214 +3 *3403:16 *3403:39 48.2914 +4 *3403:39 *3403:45 49.6786 +5 *3403:45 *3403:56 37.4464 +6 *3403:56 *6178:DIODE 13.8 +7 *3403:56 *38124:C 22.8991 +*END + +*D_NET *3404 0.0011892 +*CONN +*I *40542:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38519:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40542:A 0.000594599 +2 *38519:X 0.000594599 +3 *40542:A *4447:10 0 +4 *40542:A *4825:31 0 +5 *40542:A *4825:33 0 +6 *451:5 *40542:A 0 +7 *579:5 *40542:A 0 +8 *3367:5 *40542:A 0 +*RES +1 *38519:X *40542:A 40.2786 +*END + +*D_NET *3405 0.0304346 +*CONN +*I *6520:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38372:A I *D sky130_fd_sc_hd__and2_1 +*I *38116:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *6160:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40492:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *6520:DIODE 0 +2 *38372:A 0.000195585 +3 *38116:A_N 0.000174312 +4 *6160:DIODE 5.22844e-05 +5 *40492:X 0.0031216 +6 *3405:71 0.00885946 +7 *3405:43 0.000226597 +8 *3405:41 0.0116735 +9 *3405:22 0.00613124 +10 *38116:A_N *4270:78 0 +11 *38116:A_N *4563:89 0 +12 *38372:A *3407:55 0 +13 *38372:A *3767:55 0 +14 *3405:22 *3407:18 0 +15 *3405:22 *3793:65 0 +16 *3405:41 *3407:18 0 +17 *3405:41 *3407:52 0 +18 *3405:41 *3477:53 0 +19 *3405:41 *3478:48 0 +20 *3405:41 *3793:65 0 +21 *3405:41 *4259:40 0 +22 *3405:41 *4274:26 0 +23 *3405:41 *5084:23 0 +24 *3405:71 *3407:52 0 +25 *3405:71 *3407:55 0 +26 *3405:71 *3473:22 0 +27 *3405:71 *3475:58 0 +28 *3405:71 *3486:20 0 +29 *3405:71 *3491:42 0 +30 *3405:71 *4244:29 0 +31 *3405:71 *4580:30 0 +32 *3405:71 *5176:9 0 +33 *7494:DIODE *3405:22 0 +34 *7607:DIODE *3405:41 0 +35 *263:15 *38372:A 0 +36 *263:15 *3405:71 0 +37 *1863:28 *3405:71 0 +38 *2377:14 *3405:22 0 +39 *3035:14 *3405:71 0 +40 *3145:46 *3405:22 0 +41 *3194:125 *3405:22 0 +42 *3288:24 *3405:41 0 +43 *3292:13 *3405:22 0 +44 *3292:48 *3405:41 0 +45 *3392:93 *3405:71 0 +46 *3398:40 *3405:41 0 +47 *3398:40 *3405:71 0 +48 *3402:56 *3405:41 0 +49 *3402:110 *3405:71 0 +*RES +1 *40492:X *3405:22 49.7239 +2 *3405:22 *3405:41 32.5237 +3 *3405:41 *3405:43 3.41 +4 *3405:43 *6160:DIODE 14.9875 +5 *3405:43 *38116:A_N 17.6661 +6 *3405:41 *3405:71 36.1216 +7 *3405:71 *38372:A 18.1571 +8 *3405:71 *6520:DIODE 13.8 +*END + +*D_NET *3406 0.0282133 +*CONN +*I *38114:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *6518:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38370:A I *D sky130_fd_sc_hd__and2_1 +*I *6156:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40493:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38114:A_N 0 +2 *6518:DIODE 0.000261822 +3 *38370:A 0 +4 *6156:DIODE 6.50276e-05 +5 *40493:X 0.00119232 +6 *3406:132 0.000261822 +7 *3406:130 0.00170686 +8 *3406:112 0.00313832 +9 *3406:92 0.00291093 +10 *3406:70 0.00163023 +11 *3406:65 0.000798392 +12 *3406:51 0.00343291 +13 *3406:49 0.00404262 +14 *3406:45 0.00325272 +15 *3406:32 0.00312867 +16 *3406:16 0.00239064 +17 *6156:DIODE *3501:87 0 +18 *6156:DIODE *4018:86 0 +19 *6518:DIODE *3962:7 0 +20 *6518:DIODE *4908:11 0 +21 *3406:16 *3722:25 0 +22 *3406:16 *4232:15 0 +23 *3406:32 *3423:20 0 +24 *3406:32 *3507:42 0 +25 *3406:32 *3682:32 0 +26 *3406:32 *3972:29 0 +27 *3406:32 *4044:25 0 +28 *3406:45 *8305:DIODE 0 +29 *3406:45 *8700:DIODE 0 +30 *3406:45 *3412:40 0 +31 *3406:45 *3487:45 0 +32 *3406:45 *3506:24 0 +33 *3406:45 *3954:14 0 +34 *3406:45 *4263:53 0 +35 *3406:49 *3410:55 0 +36 *3406:49 *3410:78 0 +37 *3406:49 *3416:54 0 +38 *3406:49 *3954:34 0 +39 *3406:49 *4270:66 0 +40 *3406:49 *4404:67 0 +41 *3406:51 *6138:DIODE 0 +42 *3406:51 *8469:DIODE 0 +43 *3406:51 *3410:85 0 +44 *3406:51 *3410:91 0 +45 *3406:51 *3410:112 0 +46 *3406:51 *3724:29 0 +47 *3406:51 *3954:53 0 +48 *3406:51 *3954:65 0 +49 *3406:51 *3975:51 0 +50 *3406:51 *3976:67 0 +51 *3406:51 *4219:42 0 +52 *3406:51 *4270:66 0 +53 *3406:51 *4404:67 0 +54 *3406:51 *4404:91 0 +55 *3406:65 *37748:B 0 +56 *3406:65 *3411:138 0 +57 *3406:65 *3954:65 0 +58 *3406:65 *4216:45 0 +59 *3406:70 *3967:40 0 +60 *3406:70 *4018:86 0 +61 *3406:92 *38308:B 0 +62 *3406:92 *3481:57 0 +63 *3406:92 *3501:87 0 +64 *3406:92 *3509:98 0 +65 *3406:92 *4065:19 0 +66 *3406:92 *4077:37 0 +67 *3406:112 *38044:C 0 +68 *3406:112 *3700:82 0 +69 *3406:112 *3940:19 0 +70 *3406:112 *3978:99 0 +71 *3406:112 *4065:45 0 +72 *3406:112 *4343:71 0 +73 *3406:112 *4601:37 0 +74 *3406:130 *38302:B 0 +75 *3406:130 *3978:120 0 +76 *3406:130 *4065:57 0 +77 *3406:130 *4318:79 0 +78 *8024:DIODE *6518:DIODE 0 +79 *38302:A *3406:130 0 +80 *38313:A *3406:112 0 +81 *38363:A *6518:DIODE 0 +82 *39985:A *3406:92 0 +83 *40178:A *3406:130 0 +84 *901:62 *3406:16 0 +85 *1011:273 *3406:16 0 +86 *1425:12 *3406:130 0 +87 *1452:17 *3406:49 0 +88 *1553:13 *3406:92 0 +89 *1844:39 *3406:92 0 +90 *2387:63 *3406:32 0 +91 *2414:89 *3406:51 0 +92 *2815:24 *3406:92 0 +93 *2980:44 *3406:130 0 +94 *3013:72 *3406:112 0 +95 *3023:58 *3406:49 0 +96 *3044:16 *3406:130 0 +97 *3237:74 *3406:45 0 +98 *3237:74 *3406:49 0 +99 *3263:10 *3406:16 0 +100 *3297:100 *3406:112 0 +101 *3298:63 *3406:32 0 +102 *3298:63 *3406:45 0 +103 *3400:93 *3406:130 0 +104 *3402:120 *3406:65 0 +105 *3402:157 *3406:65 0 +*RES +1 *40493:X *3406:16 45.5679 +2 *3406:16 *3406:32 43.4464 +3 *3406:32 *3406:45 49.4643 +4 *3406:45 *3406:49 27.6607 +5 *3406:49 *3406:51 56.8036 +6 *3406:51 *3406:65 24.5357 +7 *3406:65 *3406:70 10.9464 +8 *3406:70 *6156:DIODE 10.6571 +9 *3406:70 *3406:92 49.6429 +10 *3406:92 *3406:112 48.397 +11 *3406:112 *3406:130 49.8393 +12 *3406:130 *3406:132 4.5 +13 *3406:132 *38370:A 9.3 +14 *3406:132 *6518:DIODE 14.7643 +15 *3406:65 *38114:A_N 9.3 +*END + +*D_NET *3407 0.0330269 +*CONN +*I *38112:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *38368:A I *D sky130_fd_sc_hd__and2_1 +*I *6516:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6152:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40494:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38112:A_N 0 +2 *38368:A 0.000435239 +3 *6516:DIODE 0 +4 *6152:DIODE 2.55622e-05 +5 *40494:X 0.00134225 +6 *3407:55 0.000904659 +7 *3407:52 0.00959894 +8 *3407:30 0.00928914 +9 *3407:18 0.00513705 +10 *3407:13 0.00629411 +11 *6152:DIODE *38112:C 0 +12 *38368:A *38368:B 0 +13 *38368:A *3935:25 0 +14 *38368:A *3966:14 0 +15 *38368:A *3981:99 0 +16 *38368:A *4223:78 0 +17 *3407:13 *40751:A 0 +18 *3407:13 *3409:21 0 +19 *3407:13 *3487:20 0 +20 *3407:13 *5188:44 0 +21 *3407:18 *8297:DIODE 0 +22 *3407:18 *3419:23 0 +23 *3407:18 *3497:59 0 +24 *3407:18 *3696:62 0 +25 *3407:18 *4232:15 0 +26 *3407:18 *4259:40 0 +27 *3407:18 *4591:67 0 +28 *3407:30 *38112:B 0 +29 *3407:30 *38112:C 0 +30 *3407:30 *5084:43 0 +31 *3407:52 *38112:B 0 +32 *3407:52 *38112:C 0 +33 *3407:52 *3419:28 0 +34 *3407:52 *3421:52 0 +35 *3407:52 *3491:30 0 +36 *3407:52 *3571:48 0 +37 *3407:52 *4244:29 0 +38 *3407:52 *4427:70 0 +39 *3407:52 *4573:28 0 +40 *3407:52 *5084:82 0 +41 *3407:55 *6521:DIODE 0 +42 *3407:55 *3953:62 0 +43 *38372:A *3407:55 0 +44 *385:11 *3407:55 0 +45 *402:35 *3407:13 0 +46 *901:62 *3407:13 0 +47 *1011:273 *3407:18 0 +48 *1585:6 *38368:A 0 +49 *1585:6 *3407:55 0 +50 *1744:16 *3407:55 0 +51 *1850:11 *3407:55 0 +52 *2426:65 *3407:18 0 +53 *2442:16 *3407:52 0 +54 *2808:35 *38368:A 0 +55 *3035:14 *3407:52 0 +56 *3041:25 *3407:13 0 +57 *3095:27 *3407:52 0 +58 *3135:39 *3407:13 0 +59 *3163:19 *3407:18 0 +60 *3179:23 *3407:18 0 +61 *3179:43 *3407:18 0 +62 *3188:87 *3407:13 0 +63 *3188:87 *3407:18 0 +64 *3194:125 *3407:18 0 +65 *3251:35 *3407:18 0 +66 *3251:35 *3407:52 0 +67 *3288:24 *3407:52 0 +68 *3292:13 *3407:18 0 +69 *3292:48 *3407:52 0 +70 *3348:112 *3407:52 0 +71 *3357:68 *3407:52 0 +72 *3395:106 *38368:A 0 +73 *3398:71 *3407:55 0 +74 *3402:102 *3407:52 0 +75 *3403:39 *3407:52 0 +76 *3405:22 *3407:18 0 +77 *3405:41 *3407:18 0 +78 *3405:41 *3407:52 0 +79 *3405:71 *3407:52 0 +80 *3405:71 *3407:55 0 +*RES +1 *40494:X *3407:13 38.1823 +2 *3407:13 *3407:18 22.1318 +3 *3407:18 *6152:DIODE 14.3804 +4 *3407:18 *3407:30 8.0625 +5 *3407:30 *3407:52 47.1872 +6 *3407:52 *3407:55 15.0982 +7 *3407:55 *6516:DIODE 9.3 +8 *3407:55 *38368:A 30.9227 +9 *3407:30 *38112:A_N 9.3 +*END + +*D_NET *3408 0.0192284 +*CONN +*I *6514:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38366:A I *D sky130_fd_sc_hd__and2_1 +*I *40495:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6514:DIODE 0.000124066 +2 *38366:A 0 +3 *40495:X 0.00265989 +4 *3408:24 0.00695433 +5 *3408:18 0.00949015 +6 *3408:18 *4069:68 0 +7 *3408:18 *4322:103 0 +8 *3408:18 *4334:86 0 +9 *3408:24 *39651:A 0 +10 *3408:24 *3476:16 0 +11 *3408:24 *3481:79 0 +12 *3408:24 *3734:40 0 +13 *3408:24 *3970:34 0 +14 *3408:24 *4322:103 0 +15 *38357:A *3408:24 0 +16 *1456:22 *3408:18 0 +17 *1464:11 *3408:24 0 +18 *1466:38 *3408:24 0 +19 *1570:26 *3408:24 0 +20 *1586:17 *3408:24 0 +21 *1831:28 *3408:24 0 +22 *2375:27 *3408:18 0 +23 *2388:62 *3408:18 0 +24 *2422:26 *3408:18 0 +25 *2800:48 *3408:18 0 +26 *2806:22 *3408:18 0 +27 *2809:24 *3408:24 0 +28 *2815:43 *3408:18 0 +29 *2985:14 *3408:24 0 +30 *3006:14 *3408:18 0 +31 *3006:14 *3408:24 0 +32 *3006:29 *6514:DIODE 0 +33 *3391:85 *3408:24 0 +34 *3391:86 *6514:DIODE 0 +35 *3394:73 *3408:24 0 +36 *3394:108 *3408:24 0 +*RES +1 *40495:X *3408:18 35.0461 +2 *3408:18 *3408:24 32.8806 +3 *3408:24 *38366:A 9.3 +4 *3408:24 *6514:DIODE 11.8893 +*END + +*D_NET *3409 0.0269817 +*CONN +*I *8260:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40495:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38110:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *6148:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40496:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8260:DIODE 0.000128288 +2 *40495:A 0 +3 *38110:A_N 0 +4 *6148:DIODE 0.000555777 +5 *40496:X 0.00127452 +6 *3409:138 0.0048305 +7 *3409:120 0.00614393 +8 *3409:84 0.00113473 +9 *3409:70 0.00230176 +10 *3409:66 0.00163802 +11 *3409:37 0.00250614 +12 *3409:26 0.00317135 +13 *3409:21 0.00329667 +14 *6148:DIODE *3501:18 0 +15 *6148:DIODE *4596:71 0 +16 *3409:26 *3412:40 0 +17 *3409:26 *3433:30 0 +18 *3409:26 *3434:45 0 +19 *3409:26 *3972:34 0 +20 *3409:26 *4000:35 0 +21 *3409:26 *4028:41 0 +22 *3409:26 *5189:34 0 +23 *3409:26 *5189:37 0 +24 *3409:26 *5189:39 0 +25 *3409:37 *8735:DIODE 0 +26 *3409:37 *3746:19 0 +27 *3409:37 *3950:18 0 +28 *3409:37 *3963:10 0 +29 *3409:37 *3972:39 0 +30 *3409:37 *4028:41 0 +31 *3409:37 *4028:45 0 +32 *3409:37 *4263:28 0 +33 *3409:66 *40821:A 0 +34 *3409:66 *41006:A 0 +35 *3409:66 *3696:96 0 +36 *3409:66 *3774:33 0 +37 *3409:66 *4080:15 0 +38 *3409:66 *4334:16 0 +39 *3409:70 *4220:73 0 +40 *3409:84 *3434:45 0 +41 *3409:84 *3950:41 0 +42 *3409:120 *3743:32 0 +43 *3409:120 *4010:48 0 +44 *3409:120 *4220:73 0 +45 *3409:138 *3432:67 0 +46 *3409:138 *3745:27 0 +47 *3409:138 *3970:19 0 +48 *3409:138 *3970:34 0 +49 *3409:138 *3976:60 0 +50 *3409:138 *4256:77 0 +51 *3409:138 *4343:23 0 +52 *6127:DIODE *6148:DIODE 0 +53 *40179:A *3409:66 0 +54 *40207:A *3409:21 0 +55 *40207:A *3409:26 0 +56 *40563:A *3409:21 0 +57 *1001:43 *3409:66 0 +58 *1454:24 *3409:120 0 +59 *1456:22 *3409:138 0 +60 *2370:19 *3409:138 0 +61 *2370:38 *3409:138 0 +62 *2375:27 *3409:138 0 +63 *2426:84 *3409:21 0 +64 *2806:22 *3409:138 0 +65 *3025:80 *3409:37 0 +66 *3077:120 *3409:26 0 +67 *3090:23 *3409:66 0 +68 *3098:19 *3409:120 0 +69 *3098:41 *3409:66 0 +70 *3129:14 *3409:21 0 +71 *3145:58 *3409:21 0 +72 *3194:107 *3409:26 0 +73 *3203:71 *3409:21 0 +74 *3203:71 *3409:26 0 +75 *3203:73 *3409:21 0 +76 *3237:74 *6148:DIODE 0 +77 *3301:18 *3409:21 0 +78 *3301:68 *3409:138 0 +79 *3407:13 *3409:21 0 +*RES +1 *40496:X *3409:21 46.8179 +2 *3409:21 *3409:26 47.875 +3 *3409:26 *3409:37 42.3393 +4 *3409:37 *3409:66 48.0536 +5 *3409:66 *3409:70 6.61607 +6 *3409:70 *3409:84 16.4356 +7 *3409:84 *6148:DIODE 26.05 +8 *3409:84 *38110:A_N 13.8 +9 *3409:70 *3409:120 46.9486 +10 *3409:120 *3409:138 47.2525 +11 *3409:138 *40495:A 13.8 +12 *3409:138 *8260:DIODE 16.6929 +*END + +*D_NET *3410 0.0263526 +*CONN +*I *38364:A I *D sky130_fd_sc_hd__and2_1 +*I *6512:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38108:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *6144:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40497:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38364:A 0.000359662 +2 *6512:DIODE 0 +3 *38108:A_N 5.89699e-05 +4 *6144:DIODE 0 +5 *40497:X 0.00105281 +6 *3410:141 0.00163846 +7 *3410:128 0.00209504 +8 *3410:112 0.00243079 +9 *3410:91 0.00175523 +10 *3410:85 0.00194979 +11 *3410:78 0.00278706 +12 *3410:55 0.00225519 +13 *3410:33 0.00262123 +14 *3410:15 0.0037903 +15 *3410:14 0.00355808 +16 *38364:A *3412:136 0 +17 *38364:A *4026:90 0 +18 *3410:14 *8870:DIODE 0 +19 *3410:14 *3430:71 0 +20 *3410:14 *3435:98 0 +21 *3410:14 *4274:26 0 +22 *3410:14 *5188:44 0 +23 *3410:15 *3510:18 0 +24 *3410:15 *4232:15 0 +25 *3410:15 *4270:17 0 +26 *3410:15 *4270:32 0 +27 *3410:33 *8646:DIODE 0 +28 *3410:33 *3432:28 0 +29 *3410:33 *3433:37 0 +30 *3410:33 *3507:42 0 +31 *3410:33 *3507:60 0 +32 *3410:33 *3955:55 0 +33 *3410:33 *4028:41 0 +34 *3410:55 *8646:DIODE 0 +35 *3410:55 *3416:54 0 +36 *3410:55 *3417:47 0 +37 *3410:55 *3954:34 0 +38 *3410:55 *4031:81 0 +39 *3410:55 *4238:103 0 +40 *3410:55 *4244:11 0 +41 *3410:55 *4278:37 0 +42 *3410:55 *4322:40 0 +43 *3410:78 *3411:61 0 +44 *3410:78 *3413:94 0 +45 *3410:85 *3981:21 0 +46 *3410:85 *4013:11 0 +47 *3410:85 *4013:24 0 +48 *3410:112 *4013:33 0 +49 *3410:128 *3478:68 0 +50 *3410:128 *3767:36 0 +51 *3410:128 *3954:65 0 +52 *3410:128 *4600:57 0 +53 *3410:141 *38362:B 0 +54 *3410:141 *3412:119 0 +55 *3410:141 *4013:33 0 +56 *3410:141 *4411:121 0 +57 *3410:141 *4427:131 0 +58 *40207:A *3410:14 0 +59 *40227:A *3410:85 0 +60 *40242:A *3410:55 0 +61 *281:51 *38364:A 0 +62 *1448:13 *3410:55 0 +63 *1452:17 *3410:78 0 +64 *1458:18 *3410:128 0 +65 *1458:37 *38364:A 0 +66 *1580:16 *38364:A 0 +67 *1580:16 *3410:141 0 +68 *2380:60 *3410:33 0 +69 *2414:53 *38364:A 0 +70 *2414:55 *3410:112 0 +71 *2426:84 *3410:14 0 +72 *2712:143 *3410:14 0 +73 *2822:149 *3410:55 0 +74 *2860:20 *3410:14 0 +75 *3099:25 *3410:85 0 +76 *3141:14 *3410:14 0 +77 *3145:58 *3410:14 0 +78 *3155:61 *3410:15 0 +79 *3237:74 *3410:55 0 +80 *3275:32 *3410:14 0 +81 *3276:60 *3410:14 0 +82 *3289:29 *3410:15 0 +83 *3348:57 *3410:33 0 +84 *3406:49 *3410:55 0 +85 *3406:49 *3410:78 0 +86 *3406:51 *3410:85 0 +87 *3406:51 *3410:91 0 +88 *3406:51 *3410:112 0 +*RES +1 *40497:X *3410:14 42.1929 +2 *3410:14 *3410:15 52.2857 +3 *3410:15 *3410:33 45.9286 +4 *3410:33 *3410:55 46.2857 +5 *3410:55 *3410:78 46.9464 +6 *3410:78 *3410:85 48.0714 +7 *3410:85 *6144:DIODE 9.3 +8 *3410:85 *3410:91 1.76786 +9 *3410:91 *38108:A_N 19.6393 +10 *3410:91 *3410:112 47.6429 +11 *3410:112 *3410:128 39.9286 +12 *3410:128 *3410:141 45.0536 +13 *3410:141 *6512:DIODE 9.3 +14 *3410:141 *38364:A 27.0321 +*END + +*D_NET *3411 0.0333499 +*CONN +*I *38362:A I *D sky130_fd_sc_hd__and2_1 +*I *6510:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6140:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38106:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *40498:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38362:A 8.55626e-05 +2 *6510:DIODE 8.73916e-05 +3 *6140:DIODE 2.41857e-05 +4 *38106:A_N 0.000180793 +5 *40498:X 0.00575879 +6 *3411:172 0.00100097 +7 *3411:164 0.00142348 +8 *3411:138 0.00217376 +9 *3411:121 0.00306472 +10 *3411:106 0.00199185 +11 *3411:91 0.00185593 +12 *3411:61 0.00178496 +13 *3411:42 0.00437489 +14 *3411:17 0.00954262 +15 *6140:DIODE *4404:67 0 +16 *6510:DIODE *3954:86 0 +17 *38106:A_N *3413:60 0 +18 *38362:A *4013:33 0 +19 *3411:17 *40498:A 0 +20 *3411:17 *3469:14 0 +21 *3411:17 *3492:14 0 +22 *3411:17 *3667:93 0 +23 *3411:17 *4051:24 0 +24 *3411:17 *4241:75 0 +25 *3411:17 *5196:39 0 +26 *3411:42 *3413:94 0 +27 *3411:42 *3434:56 0 +28 *3411:42 *3715:54 0 +29 *3411:42 *4229:69 0 +30 *3411:61 *3417:80 0 +31 *3411:61 *3501:34 0 +32 *3411:61 *4014:66 0 +33 *3411:61 *4235:73 0 +34 *3411:61 *5182:66 0 +35 *3411:91 *3501:34 0 +36 *3411:91 *3682:46 0 +37 *3411:91 *3793:80 0 +38 *3411:91 *3904:49 0 +39 *3411:91 *4026:45 0 +40 *3411:91 *4030:18 0 +41 *3411:91 *4251:92 0 +42 *3411:106 *8665:DIODE 0 +43 *3411:106 *4244:11 0 +44 *3411:121 *38344:A 0 +45 *3411:121 *38344:B 0 +46 *3411:121 *41220:A 0 +47 *3411:121 *3424:50 0 +48 *3411:121 *3481:48 0 +49 *3411:121 *3501:64 0 +50 *3411:121 *3768:58 0 +51 *3411:121 *4010:69 0 +52 *3411:121 *4018:57 0 +53 *3411:121 *4023:57 0 +54 *3411:121 *4073:25 0 +55 *3411:138 *5447:DIODE 0 +56 *3411:138 *6478:DIODE 0 +57 *3411:138 *3498:25 0 +58 *3411:138 *4073:25 0 +59 *3411:138 *4216:45 0 +60 *3411:138 *4360:117 0 +61 *3411:164 *3950:51 0 +62 *3411:164 *4018:95 0 +63 *3411:164 *4026:90 0 +64 *3411:164 *4216:65 0 +65 *3411:172 *4026:90 0 +66 *39594:A *3411:91 0 +67 *39981:A *3411:138 0 +68 *40227:A *3411:106 0 +69 *1328:36 *3411:42 0 +70 *1458:37 *3411:172 0 +71 *1462:33 *3411:106 0 +72 *1565:35 *3411:106 0 +73 *2388:62 *38362:A 0 +74 *2414:55 *38362:A 0 +75 *2426:40 *3411:42 0 +76 *2440:31 *3411:42 0 +77 *2440:49 *3411:17 0 +78 *2440:49 *3411:42 0 +79 *2442:31 *3411:17 0 +80 *2682:61 *3411:42 0 +81 *2793:23 *3411:17 0 +82 *2825:43 *3411:138 0 +83 *2825:43 *3411:164 0 +84 *2968:21 *3411:17 0 +85 *2981:79 *3411:17 0 +86 *2992:82 *3411:17 0 +87 *2992:82 *3411:42 0 +88 *2995:42 *3411:42 0 +89 *3076:26 *3411:17 0 +90 *3090:16 *3411:121 0 +91 *3107:73 *3411:91 0 +92 *3218:60 *3411:17 0 +93 *3297:75 *3411:121 0 +94 *3301:68 *3411:121 0 +95 *3306:47 *3411:17 0 +96 *3348:95 *3411:61 0 +97 *3406:65 *3411:138 0 +98 *3410:78 *3411:61 0 +*RES +1 *40498:X *3411:17 46.4814 +2 *3411:17 *3411:42 49.2257 +3 *3411:42 *38106:A_N 18.5143 +4 *3411:42 *3411:61 18.8482 +5 *3411:61 *6140:DIODE 14.3804 +6 *3411:61 *3411:91 47.169 +7 *3411:91 *3411:106 29.2143 +8 *3411:106 *3411:121 46.2433 +9 *3411:121 *3411:138 46.7679 +10 *3411:138 *3411:164 44.2857 +11 *3411:164 *3411:172 22.1071 +12 *3411:172 *6510:DIODE 15.675 +13 *3411:172 *38362:A 15.5857 +*END + +*D_NET *3412 0.0273021 +*CONN +*I *6136:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38360:A I *D sky130_fd_sc_hd__and2_1 +*I *6508:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38104:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *40499:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *6136:DIODE 0 +2 *38360:A 0.000136325 +3 *6508:DIODE 0.000143745 +4 *38104:A_N 0 +5 *40499:X 0.00165906 +6 *3412:136 0.000914416 +7 *3412:119 0.00247569 +8 *3412:106 0.00277213 +9 *3412:95 0.00132657 +10 *3412:79 0.000610184 +11 *3412:71 0.00208066 +12 *3412:53 0.00420298 +13 *3412:40 0.00369866 +14 *3412:30 0.00349229 +15 *3412:7 0.00378941 +16 *6508:DIODE *3981:67 0 +17 *3412:7 *3417:18 0 +18 *3412:7 *4315:15 0 +19 *3412:7 *5163:18 0 +20 *3412:7 *5164:19 0 +21 *3412:7 *5165:10 0 +22 *3412:7 *5182:142 0 +23 *3412:7 *5186:102 0 +24 *3412:30 *3721:24 0 +25 *3412:30 *5163:18 0 +26 *3412:30 *5182:84 0 +27 *3412:30 *5186:65 0 +28 *3412:40 *8642:DIODE 0 +29 *3412:40 *40588:A 0 +30 *3412:40 *3954:14 0 +31 *3412:40 *3955:55 0 +32 *3412:40 *4278:27 0 +33 *3412:53 *8301:DIODE 0 +34 *3412:53 *8700:DIODE 0 +35 *3412:53 *4411:42 0 +36 *3412:53 *4596:71 0 +37 *3412:71 *40573:A 0 +38 *3412:71 *3498:25 0 +39 *3412:71 *3499:79 0 +40 *3412:71 *3975:29 0 +41 *3412:71 *4219:19 0 +42 *3412:71 *4411:42 0 +43 *3412:71 *4411:65 0 +44 *3412:71 *4427:93 0 +45 *3412:71 *5084:43 0 +46 *3412:79 *38104:B 0 +47 *3412:79 *3498:25 0 +48 *3412:79 *3975:77 0 +49 *3412:95 *38104:B 0 +50 *3412:95 *3975:77 0 +51 *3412:95 *4219:58 0 +52 *3412:95 *4411:89 0 +53 *3412:95 *4427:113 0 +54 *3412:106 *3478:61 0 +55 *3412:106 *3954:65 0 +56 *3412:119 *6184:DIODE 0 +57 *3412:119 *3478:61 0 +58 *3412:119 *3767:36 0 +59 *3412:119 *4219:76 0 +60 *3412:119 *4404:129 0 +61 *3412:119 *4427:131 0 +62 *3412:136 *3496:34 0 +63 *3412:136 *3745:49 0 +64 *3412:136 *4026:124 0 +65 *3412:136 *4219:85 0 +66 *3412:136 *4404:129 0 +67 *6127:DIODE *3412:53 0 +68 *38364:A *3412:136 0 +69 *39591:A *3412:71 0 +70 *40477:A *3412:71 0 +71 *281:51 *3412:136 0 +72 *405:8 *3412:7 0 +73 *405:35 *3412:7 0 +74 *407:36 *3412:30 0 +75 *534:22 *3412:7 0 +76 *1000:50 *3412:30 0 +77 *1214:43 *3412:136 0 +78 *1450:25 *3412:71 0 +79 *1462:15 *3412:53 0 +80 *1462:15 *3412:71 0 +81 *1463:18 *3412:136 0 +82 *1840:52 *3412:71 0 +83 *1884:36 *3412:7 0 +84 *2378:94 *3412:40 0 +85 *2391:30 *3412:71 0 +86 *2893:17 *3412:7 0 +87 *2981:128 *3412:53 0 +88 *2984:36 *3412:30 0 +89 *3015:79 *3412:53 0 +90 *3015:79 *3412:71 0 +91 *3018:72 *3412:30 0 +92 *3033:16 *3412:30 0 +93 *3041:55 *3412:30 0 +94 *3087:90 *3412:30 0 +95 *3091:90 *3412:79 0 +96 *3107:28 *3412:95 0 +97 *3107:53 *3412:71 0 +98 *3113:100 *3412:30 0 +99 *3245:21 *3412:30 0 +100 *3348:95 *3412:53 0 +101 *3388:24 *3412:71 0 +102 *3394:47 *38360:A 0 +103 *3406:45 *3412:40 0 +104 *3409:26 *3412:40 0 +105 *3410:141 *3412:119 0 +*RES +1 *40499:X *3412:7 48.425 +2 *3412:7 *3412:30 48.8462 +3 *3412:30 *3412:40 42.7857 +4 *3412:40 *3412:53 49.0179 +5 *3412:53 *3412:71 48.0714 +6 *3412:71 *3412:79 13.6786 +7 *3412:79 *38104:A_N 9.3 +8 *3412:79 *3412:95 17.5179 +9 *3412:95 *3412:106 37.6429 +10 *3412:106 *3412:119 47.8214 +11 *3412:119 *3412:136 19.4643 +12 *3412:136 *6508:DIODE 16.8 +13 *3412:136 *38360:A 16.9607 +14 *3412:95 *6136:DIODE 9.3 +*END + +*D_NET *3413 0.0495204 +*CONN +*I *38102:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *38358:A I *D sky130_fd_sc_hd__and2_1 +*I *6506:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6132:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40500:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *38102:A_N 0.000101323 +2 *38358:A 0.000105242 +3 *6506:DIODE 9.90435e-05 +4 *6132:DIODE 0 +5 *40500:X 0.000913154 +6 *3413:136 0.00245273 +7 *3413:135 0.00224845 +8 *3413:133 0.00882236 +9 *3413:132 0.0116265 +10 *3413:109 0.00292816 +11 *3413:103 0.000930991 +12 *3413:94 0.00229172 +13 *3413:60 0.00649195 +14 *3413:30 0.00735205 +15 *3413:14 0.00315666 +16 *6506:DIODE *4223:71 0 +17 *38358:A *3981:99 0 +18 *38358:A *4223:71 0 +19 *3413:14 *5194:29 0 +20 *3413:30 *40497:A 0 +21 *3413:30 *3571:11 0 +22 *3413:30 *4756:35 0 +23 *3413:30 *5182:142 0 +24 *3413:30 *5194:29 0 +25 *3413:30 *5196:39 0 +26 *3413:60 *3509:25 0 +27 *3413:60 *3950:41 0 +28 *3413:60 *4215:82 0 +29 *3413:60 *4254:78 0 +30 *3413:60 *4256:77 0 +31 *3413:60 *4360:25 0 +32 *3413:60 *5186:65 0 +33 *3413:60 *5186:85 0 +34 *3413:60 *5191:27 0 +35 *3413:60 *5194:29 0 +36 *3413:60 *5196:17 0 +37 *3413:60 *5196:39 0 +38 *3413:94 *8861:DIODE 0 +39 *3413:94 *3416:54 0 +40 *3413:94 *3793:80 0 +41 *3413:94 *3936:14 0 +42 *3413:94 *3936:23 0 +43 *3413:94 *3954:34 0 +44 *3413:94 *4026:45 0 +45 *3413:94 *4220:88 0 +46 *3413:103 *3793:93 0 +47 *3413:109 *3793:93 0 +48 *3413:132 *3999:26 0 +49 *3413:132 *4026:45 0 +50 *3413:132 *4251:92 0 +51 *3413:132 *4270:66 0 +52 *3413:133 *39661:A 0 +53 *3413:133 *3514:27 0 +54 *3413:133 *4742:15 0 +55 *3413:136 *4622:6 0 +56 *38105:A *3413:136 0 +57 *38106:A_N *3413:60 0 +58 *369:31 *3413:136 0 +59 *541:59 *3413:60 0 +60 *792:36 *3413:60 0 +61 *1018:62 *3413:132 0 +62 *1025:160 *3413:94 0 +63 *1243:20 *3413:136 0 +64 *1462:15 *3413:103 0 +65 *1863:48 *3413:136 0 +66 *2366:112 *3413:60 0 +67 *2389:21 *3413:60 0 +68 *2403:6 *6506:DIODE 0 +69 *2403:6 *3413:136 0 +70 *2403:52 *3413:132 0 +71 *2406:16 *3413:60 0 +72 *2469:7 *3413:133 0 +73 *2916:29 *3413:60 0 +74 *2984:58 *3413:60 0 +75 *2989:19 *3413:133 0 +76 *3015:79 *3413:103 0 +77 *3018:72 *3413:60 0 +78 *3033:16 *3413:60 0 +79 *3041:25 *3413:14 0 +80 *3106:71 *3413:30 0 +81 *3109:27 *3413:133 0 +82 *3109:37 *3413:133 0 +83 *3113:35 *3413:103 0 +84 *3113:70 *3413:60 0 +85 *3125:22 *3413:60 0 +86 *3125:46 *3413:14 0 +87 *3125:46 *3413:30 0 +88 *3135:26 *3413:30 0 +89 *3135:26 *3413:60 0 +90 *3184:56 *3413:103 0 +91 *3281:33 *3413:132 0 +92 *3290:30 *3413:132 0 +93 *3348:75 *3413:94 0 +94 *3410:78 *3413:94 0 +95 *3411:42 *3413:94 0 +*RES +1 *40500:X *3413:14 29.6581 +2 *3413:14 *3413:30 47.0624 +3 *3413:30 *3413:60 49.694 +4 *3413:60 *3413:94 49.1339 +5 *3413:94 *3413:103 32.9821 +6 *3413:103 *6132:DIODE 9.3 +7 *3413:103 *3413:109 0.535714 +8 *3413:109 *3413:132 41.7872 +9 *3413:132 *3413:133 184.125 +10 *3413:133 *3413:135 4.5 +11 *3413:135 *3413:136 51.125 +12 *3413:136 *6506:DIODE 16.05 +13 *3413:136 *38358:A 15.9964 +14 *3413:109 *38102:A_N 20.5857 +*END + +*D_NET *3414 0.0296429 +*CONN +*I *6504:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38356:A I *D sky130_fd_sc_hd__and2_1 +*I *40501:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6504:DIODE 0.000199789 +2 *38356:A 2.56688e-05 +3 *40501:X 0 +4 *3414:20 0.000225457 +5 *3414:18 0.00259859 +6 *3414:17 0.00259859 +7 *3414:15 0.00892076 +8 *3414:14 0.00921822 +9 *3414:6 0.00307664 +10 *3414:5 0.00277918 +11 *6504:DIODE *3986:13 0 +12 *6504:DIODE *4216:108 0 +13 *38356:A *3986:13 0 +14 *38356:A *4216:108 0 +15 *3414:6 *37620:B 0 +16 *3414:6 *41241:A 0 +17 *3414:6 *3420:49 0 +18 *3414:6 *3512:28 0 +19 *3414:6 *4048:62 0 +20 *3414:6 *4254:78 0 +21 *3414:6 *4360:42 0 +22 *3414:6 *5013:13 0 +23 *3414:6 *5016:25 0 +24 *3414:18 *3540:45 0 +25 *3414:18 *4580:30 0 +26 *3414:18 *4741:15 0 +27 *263:10 *3414:15 0 +28 *263:12 *3414:15 0 +29 *361:41 *3414:18 0 +30 *372:28 *3414:15 0 +31 *1006:28 *3414:14 0 +32 *1013:77 *3414:18 0 +33 *1448:13 *3414:6 0 +34 *1545:32 *3414:6 0 +35 *1561:31 *3414:6 0 +36 *1586:17 *3414:18 0 +37 *1824:54 *3414:6 0 +38 *2411:60 *3414:6 0 +39 *2426:40 *3414:6 0 +40 *2824:63 *3414:6 0 +41 *2980:34 *3414:6 0 +42 *3040:25 *3414:14 0 +43 *3094:11 *3414:15 0 +44 *3094:24 *3414:14 0 +45 *3097:35 *3414:15 0 +46 *3159:24 *3414:6 0 +47 *3280:43 *3414:6 0 +48 *3280:43 *3414:14 0 +*RES +1 *40501:X *3414:5 13.8 +2 *3414:5 *3414:6 63.1161 +3 *3414:6 *3414:14 13.6508 +4 *3414:14 *3414:15 186.179 +5 *3414:15 *3414:17 4.5 +6 *3414:17 *3414:18 59.0179 +7 *3414:18 *3414:20 4.5 +8 *3414:20 *38356:A 9.83571 +9 *3414:20 *6504:DIODE 13.5321 +*END + +*D_NET *3415 0.0126531 +*CONN +*I *5364:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37554:B I *D sky130_fd_sc_hd__and2_1 +*I *38520:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *5364:DIODE 7.23213e-05 +2 *37554:B 0.000186099 +3 *38520:X 0.000314503 +4 *3415:18 0.00271575 +5 *3415:17 0.00376216 +6 *3415:13 0.0032963 +7 *3415:10 0.00230597 +8 *37554:B *37554:A 0 +9 *37554:B *3632:49 0 +10 *37554:B *3844:51 0 +11 *37554:B *4400:29 0 +12 *3415:10 *3437:21 0 +13 *3415:10 *4959:44 0 +14 *3415:13 *3437:50 0 +15 *3415:13 *3455:9 0 +16 *3415:17 *3437:51 0 +17 *3415:18 *37488:A 0 +18 *3415:18 *5198:18 0 +19 *6969:DIODE *3415:18 0 +20 *38840:A *3415:10 0 +21 *328:39 *3415:18 0 +22 *444:5 *3415:18 0 +23 *580:8 *3415:10 0 +24 *2013:13 *3415:18 0 +25 *3371:12 *3415:18 0 +26 *3377:9 *3415:13 0 +27 *3377:9 *3415:17 0 +*RES +1 *38520:X *3415:10 24.9964 +2 *3415:10 *3415:13 41.625 +3 *3415:13 *3415:17 31.7321 +4 *3415:17 *3415:18 55.6786 +5 *3415:18 *37554:B 17.6214 +6 *3415:18 *5364:DIODE 15.4429 +*END + +*D_NET *3416 0.0201618 +*CONN +*I *38122:C I *D sky130_fd_sc_hd__and3b_2 +*I *6174:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40502:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38122:C 0.000130947 +2 *6174:DIODE 0.000138142 +3 *40502:X 0.00108062 +4 *3416:91 0.00202655 +5 *3416:88 0.00275738 +6 *3416:73 0.00276967 +7 *3416:57 0.00405941 +8 *3416:54 0.00355288 +9 *3416:44 0.00191441 +10 *3416:26 0.00173181 +11 *3416:26 *7136:DIODE 0 +12 *3416:26 *3535:14 0 +13 *3416:26 *3793:42 0 +14 *3416:26 *4268:48 0 +15 *3416:44 *6126:DIODE 0 +16 *3416:44 *8301:DIODE 0 +17 *3416:44 *5084:23 0 +18 *3416:54 *3793:80 0 +19 *3416:54 *3793:93 0 +20 *3416:54 *3954:14 0 +21 *3416:54 *3954:34 0 +22 *3416:54 *4263:53 0 +23 *3416:57 *3477:23 0 +24 *3416:57 *3478:17 0 +25 *3416:57 *4758:27 0 +26 *3416:73 *3482:15 0 +27 *3416:73 *3484:14 0 +28 *3416:73 *4427:93 0 +29 *3416:88 *3975:87 0 +30 *3416:88 *4219:76 0 +31 *3416:88 *4404:113 0 +32 *3416:88 *4411:102 0 +33 *3416:88 *4578:32 0 +34 *3416:91 *3767:39 0 +35 *8015:DIODE *3416:26 0 +36 *8289:DIODE *3416:73 0 +37 *8293:DIODE *3416:57 0 +38 *371:15 *6174:DIODE 0 +39 *375:41 *3416:88 0 +40 *1025:160 *3416:54 0 +41 *1248:43 *3416:73 0 +42 *1455:16 *3416:57 0 +43 *1457:42 *3416:73 0 +44 *2419:26 *3416:26 0 +45 *3022:11 *3416:57 0 +46 *3022:18 *3416:88 0 +47 *3095:27 *6174:DIODE 0 +48 *3097:16 *3416:88 0 +49 *3113:70 *3416:44 0 +50 *3119:10 *3416:54 0 +51 *3168:48 *3416:88 0 +52 *3168:61 *3416:57 0 +53 *3194:63 *3416:26 0 +54 *3297:35 *3416:57 0 +55 *3389:36 *3416:57 0 +56 *3389:36 *3416:73 0 +57 *3402:102 *3416:73 0 +58 *3402:110 *3416:73 0 +59 *3406:49 *3416:54 0 +60 *3410:55 *3416:54 0 +61 *3413:94 *3416:54 0 +*RES +1 *40502:X *3416:26 47.675 +2 *3416:26 *3416:44 36.8929 +3 *3416:44 *3416:54 36 +4 *3416:54 *3416:57 47.7857 +5 *3416:57 *3416:73 46.4107 +6 *3416:73 *3416:88 40.0893 +7 *3416:88 *3416:91 41.1786 +8 *3416:91 *6174:DIODE 16.8893 +9 *3416:91 *38122:C 16.8223 +*END + +*D_NET *3417 0.0279528 +*CONN +*I *38098:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *6502:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38354:A I *D sky130_fd_sc_hd__and2_1 +*I *6125:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40503:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38098:A_N 0 +2 *6502:DIODE 0.000109681 +3 *38354:A 3.2228e-05 +4 *6125:DIODE 0 +5 *40503:X 0.00189457 +6 *3417:121 0.000141909 +7 *3417:119 0.00235873 +8 *3417:94 0.00422389 +9 *3417:80 0.0022809 +10 *3417:67 0.00147446 +11 *3417:47 0.00392037 +12 *3417:32 0.00466257 +13 *3417:18 0.0033799 +14 *3417:7 0.00347355 +15 *3417:7 *39029:A 0 +16 *3417:7 *40499:A 0 +17 *3417:7 *4753:17 0 +18 *3417:7 *5097:13 0 +19 *3417:7 *5165:10 0 +20 *3417:7 *5189:66 0 +21 *3417:18 *40496:A 0 +22 *3417:18 *40499:A 0 +23 *3417:18 *41399:A 0 +24 *3417:18 *3460:22 0 +25 *3417:18 *4756:23 0 +26 *3417:18 *5084:13 0 +27 *3417:18 *5101:13 0 +28 *3417:18 *5105:39 0 +29 *3417:18 *5165:10 0 +30 *3417:32 *4044:10 0 +31 *3417:32 *5105:66 0 +32 *3417:47 *8646:DIODE 0 +33 *3417:47 *8700:DIODE 0 +34 *3417:47 *4014:66 0 +35 *3417:47 *4259:40 0 +36 *3417:47 *4274:26 0 +37 *3417:47 *4278:37 0 +38 *3417:67 *3434:56 0 +39 *3417:67 *3950:41 0 +40 *3417:67 *4596:71 0 +41 *3417:80 *38106:B 0 +42 *3417:80 *4415:46 0 +43 *3417:80 *4596:71 0 +44 *3417:94 *3724:29 0 +45 *3417:94 *3954:34 0 +46 *3417:94 *3954:53 0 +47 *3417:94 *3975:29 0 +48 *3417:94 *3976:67 0 +49 *3417:94 *4219:19 0 +50 *3417:119 *3481:48 0 +51 *3417:119 *3509:86 0 +52 *3417:119 *3958:25 0 +53 *3417:119 *3975:77 0 +54 *3417:119 *4010:69 0 +55 *3417:119 *4030:18 0 +56 *3417:119 *4216:24 0 +57 *3417:119 *4219:42 0 +58 *3417:119 *4240:21 0 +59 la_data_in_mprj[109] *3417:7 0 +60 *7565:DIODE *3417:67 0 +61 *37410:A *3417:7 0 +62 *37440:A *3417:18 0 +63 *38513:A *3417:18 0 +64 *398:11 *3417:7 0 +65 *402:8 *3417:7 0 +66 *402:35 *3417:7 0 +67 *402:35 *3417:18 0 +68 *404:10 *3417:18 0 +69 *405:8 *3417:18 0 +70 *533:40 *3417:32 0 +71 *789:49 *3417:32 0 +72 *1000:50 *3417:32 0 +73 *1011:273 *3417:32 0 +74 *1214:14 *3417:119 0 +75 *2387:63 *3417:47 0 +76 *2411:60 *3417:67 0 +77 *2417:43 *3417:47 0 +78 *2806:22 *3417:119 0 +79 *2822:176 *3417:67 0 +80 *2970:29 *3417:47 0 +81 *3015:79 *3417:80 0 +82 *3041:55 *3417:32 0 +83 *3099:25 *3417:94 0 +84 *3134:22 *3417:7 0 +85 *3145:46 *3417:32 0 +86 *3145:58 *3417:32 0 +87 *3281:33 *3417:94 0 +88 *3286:34 *3417:47 0 +89 *3291:37 *3417:7 0 +90 *3291:37 *3417:18 0 +91 *3297:22 *3417:47 0 +92 *3348:57 *3417:47 0 +93 *3348:95 *3417:80 0 +94 *3410:55 *3417:47 0 +95 *3411:61 *3417:80 0 +96 *3412:7 *3417:18 0 +*RES +1 *40503:X *3417:7 48.8714 +2 *3417:7 *3417:18 42.4107 +3 *3417:18 *3417:32 47.7225 +4 *3417:32 *3417:47 34.1949 +5 *3417:47 *3417:67 36.6221 +6 *3417:67 *6125:DIODE 9.3 +7 *3417:67 *3417:80 17.9643 +8 *3417:80 *3417:94 47.2939 +9 *3417:94 *3417:119 49.9675 +10 *3417:119 *3417:121 4.5 +11 *3417:121 *38354:A 14.5321 +12 *3417:121 *6502:DIODE 16.3536 +13 *3417:47 *38098:A_N 9.3 +*END + +*D_NET *3418 0.0258624 +*CONN +*I *6500:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38352:A I *D sky130_fd_sc_hd__and2_1 +*I *40504:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6500:DIODE 4.53482e-05 +2 *38352:A 0.000152547 +3 *40504:X 0.00054054 +4 *3418:42 0.00175091 +5 *3418:39 0.00630174 +6 *3418:38 0.00509135 +7 *3418:27 0.00318245 +8 *3418:26 0.00397455 +9 *3418:20 0.00270855 +10 *3418:13 0.00211436 +11 *38352:A *4010:142 0 +12 *3418:13 *3931:7 0 +13 *3418:13 *3950:41 0 +14 *3418:20 *4048:62 0 +15 *3418:26 *4021:26 0 +16 *3418:27 *40758:A 0 +17 *3418:38 *3967:40 0 +18 *3418:42 *3425:24 0 +19 *3418:42 *3480:11 0 +20 *3418:42 *4579:32 0 +21 *359:29 *3418:38 0 +22 *374:20 *3418:39 0 +23 *1013:77 *38352:A 0 +24 *1013:77 *3418:42 0 +25 *1273:41 *3418:26 0 +26 *1333:8 *3418:42 0 +27 *2378:28 *3418:20 0 +28 *2402:8 *3418:26 0 +29 *2403:9 *3418:39 0 +30 *2403:16 *3418:27 0 +31 *2403:27 *3418:27 0 +32 *2426:40 *3418:20 0 +33 *2473:21 *3418:39 0 +34 *2807:34 *3418:27 0 +35 *2814:37 *3418:26 0 +36 *2814:45 *3418:27 0 +37 *2815:94 *6500:DIODE 0 +38 *2817:44 *3418:26 0 +39 *2818:73 *3418:38 0 +40 *2997:9 *3418:27 0 +41 *2997:20 *3418:38 0 +42 *2997:21 *3418:39 0 +43 *3028:29 *3418:38 0 +*RES +1 *40504:X *3418:13 34.5857 +2 *3418:13 *3418:20 40.3036 +3 *3418:20 *3418:26 32.8393 +4 *3418:26 *3418:27 59.2679 +5 *3418:27 *3418:38 18.0366 +6 *3418:38 *3418:39 99.1071 +7 *3418:39 *3418:42 39.8393 +8 *3418:42 *38352:A 17.2643 +9 *3418:42 *6500:DIODE 14.7464 +*END + +*D_NET *3419 0.0189036 +*CONN +*I *38350:A I *D sky130_fd_sc_hd__and2_1 +*I *6498:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40505:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38350:A 0.000187316 +2 *6498:DIODE 0 +3 *40505:X 0.00225536 +4 *3419:28 0.00719642 +5 *3419:23 0.00926447 +6 *38350:A *4013:98 0 +7 *3419:23 *9006:DIODE 0 +8 *3419:23 *3421:23 0 +9 *3419:23 *4427:70 0 +10 *3419:23 *4591:11 0 +11 *3419:23 *5182:46 0 +12 *3419:23 *5189:22 0 +13 *3419:28 *3491:30 0 +14 *3419:28 *4013:98 0 +15 *3419:28 *4244:29 0 +16 *3419:28 *4573:28 0 +17 *3419:28 *4764:25 0 +18 *7381:DIODE *3419:28 0 +19 *1002:53 *3419:23 0 +20 *1015:125 *38350:A 0 +21 *1015:125 *3419:28 0 +22 *1578:11 *38350:A 0 +23 *1754:8 *3419:28 0 +24 *1836:16 *3419:28 0 +25 *2373:86 *3419:23 0 +26 *3035:14 *3419:28 0 +27 *3095:27 *3419:28 0 +28 *3099:12 *3419:28 0 +29 *3111:59 *3419:23 0 +30 *3168:16 *3419:28 0 +31 *3179:23 *3419:23 0 +32 *3179:23 *3419:28 0 +33 *3194:36 *3419:23 0 +34 *3199:50 *3419:28 0 +35 *3199:63 *3419:23 0 +36 *3251:12 *3419:28 0 +37 *3251:35 *3419:23 0 +38 *3251:35 *3419:28 0 +39 *3288:24 *3419:28 0 +40 *3357:61 *3419:28 0 +41 *3357:68 *3419:28 0 +42 *3403:39 *3419:28 0 +43 *3407:18 *3419:23 0 +44 *3407:52 *3419:28 0 +*RES +1 *40505:X *3419:23 43.8127 +2 *3419:23 *3419:28 36.196 +3 *3419:28 *6498:DIODE 13.8 +4 *3419:28 *38350:A 27.0321 +*END + +*D_NET *3420 0.0318196 +*CONN +*I *8263:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40505:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38887:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8263:DIODE 2.56688e-05 +2 *40505:A 0.000223844 +3 *38887:X 0.00441776 +4 *3420:60 0.00152168 +5 *3420:55 0.00273247 +6 *3420:49 0.00315517 +7 *3420:40 0.00851004 +8 *3420:20 0.0112329 +9 *8263:DIODE *3421:11 0 +10 *3420:20 *3678:32 0 +11 *3420:20 *3983:17 0 +12 *3420:20 *4981:39 0 +13 *3420:40 *3429:39 0 +14 *3420:55 *4091:64 0 +15 *3420:55 *4343:32 0 +16 *3420:60 *3478:17 0 +17 *3420:60 *4021:26 0 +18 *3420:60 *4603:30 0 +19 *358:31 *3420:40 0 +20 *380:5 *3420:49 0 +21 *1001:37 *3420:40 0 +22 *1026:101 *3420:20 0 +23 *1261:30 *40505:A 0 +24 *1338:14 *3420:40 0 +25 *2375:48 *3420:60 0 +26 *2400:20 *3420:55 0 +27 *2402:8 *3420:55 0 +28 *2402:8 *3420:60 0 +29 *2414:109 *3420:60 0 +30 *2434:28 *3420:40 0 +31 *2443:59 *3420:20 0 +32 *2812:20 *3420:40 0 +33 *2812:27 *3420:49 0 +34 *2817:32 *3420:40 0 +35 *2818:38 *3420:40 0 +36 *2820:22 *3420:40 0 +37 *2820:29 *3420:40 0 +38 *2840:68 *3420:40 0 +39 *2924:11 *3420:49 0 +40 *2935:13 *3420:40 0 +41 *2935:20 *3420:40 0 +42 *3013:19 *3420:55 0 +43 *3023:21 *3420:20 0 +44 *3028:25 *3420:49 0 +45 *3031:43 *3420:40 0 +46 *3034:11 *3420:20 0 +47 *3074:18 *3420:20 0 +48 *3074:18 *3420:40 0 +49 *3088:25 *3420:49 0 +50 *3105:42 *3420:20 0 +51 *3155:15 *40505:A 0 +52 *3194:36 *40505:A 0 +53 *3264:66 *40505:A 0 +54 *3276:26 *3420:20 0 +55 *3310:40 *3420:40 0 +56 *3387:14 *3420:20 0 +57 *3402:78 *8263:DIODE 0 +58 *3414:6 *3420:49 0 +*RES +1 *38887:X *3420:20 49.7746 +2 *3420:20 *3420:40 45.6703 +3 *3420:40 *3420:49 29.9435 +4 *3420:49 *3420:55 42.0089 +5 *3420:55 *3420:60 29.0179 +6 *3420:60 *40505:A 18.7286 +7 *3420:60 *8263:DIODE 14.3357 +*END + +*D_NET *3421 0.0189469 +*CONN +*I *38348:A I *D sky130_fd_sc_hd__and2_1 +*I *6495:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40506:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38348:A 0.00018149 +2 *6495:DIODE 0 +3 *40506:X 0.00133749 +4 *3421:65 0.00163904 +5 *3421:52 0.00253576 +6 *3421:35 0.00341022 +7 *3421:30 0.00243107 +8 *3421:25 0.00286017 +9 *3421:23 0.00298762 +10 *3421:11 0.00156401 +11 *38348:A *3484:46 0 +12 *38348:A *3981:89 0 +13 *3421:11 *4591:34 0 +14 *3421:11 *4603:43 0 +15 *3421:11 *4758:49 0 +16 *3421:23 *4758:49 0 +17 *3421:25 *37686:A 0 +18 *3421:25 *4758:49 0 +19 *3421:25 *5187:39 0 +20 *3421:35 *3475:42 0 +21 *3421:35 *3490:25 0 +22 *3421:35 *3724:87 0 +23 *3421:35 *5176:20 0 +24 *3421:52 *4573:28 0 +25 *3421:65 *3477:53 0 +26 *3421:65 *3484:37 0 +27 *3421:65 *3948:35 0 +28 *3421:65 *3981:89 0 +29 *3421:65 *3999:52 0 +30 *3421:65 *3999:56 0 +31 *3421:65 *4026:124 0 +32 *3421:65 *5176:9 0 +33 *6613:DIODE *3421:11 0 +34 *7623:DIODE *3421:11 0 +35 *7982:DIODE *3421:11 0 +36 *8263:DIODE *3421:11 0 +37 *39638:A *3421:11 0 +38 *287:29 *3421:65 0 +39 *372:39 *38348:A 0 +40 *1002:53 *3421:23 0 +41 *1014:118 *3421:65 0 +42 *1850:36 *3421:52 0 +43 *2965:15 *3421:52 0 +44 *3089:58 *3421:35 0 +45 *3091:72 *3421:35 0 +46 *3111:59 *3421:11 0 +47 *3111:59 *3421:23 0 +48 *3111:69 *3421:11 0 +49 *3113:14 *3421:25 0 +50 *3117:12 *3421:52 0 +51 *3164:45 *3421:25 0 +52 *3164:55 *3421:25 0 +53 *3168:25 *3421:35 0 +54 *3168:43 *3421:35 0 +55 *3184:31 *3421:35 0 +56 *3199:62 *3421:35 0 +57 *3199:63 *3421:23 0 +58 *3199:63 *3421:25 0 +59 *3199:78 *3421:11 0 +60 *3251:12 *3421:52 0 +61 *3342:19 *3421:35 0 +62 *3402:78 *3421:11 0 +63 *3407:52 *3421:52 0 +64 *3419:23 *3421:23 0 +*RES +1 *40506:X *3421:11 46.3714 +2 *3421:11 *3421:23 14.3214 +3 *3421:23 *3421:25 57.625 +4 *3421:25 *3421:30 11.25 +5 *3421:30 *3421:35 48.7321 +6 *3421:35 *3421:52 45.1929 +7 *3421:52 *3421:65 36.2076 +8 *3421:65 *6495:DIODE 9.3 +9 *3421:65 *38348:A 22.4071 +*END + +*D_NET *3422 0.0203051 +*CONN +*I *8264:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40506:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38888:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8264:DIODE 0.000398936 +2 *40506:A 0.000404012 +3 *38888:X 0.00125787 +4 *3422:67 0.00189059 +5 *3422:46 0.00727113 +6 *3422:31 0.00700406 +7 *3422:14 0.00207845 +8 *8264:DIODE *4603:43 0 +9 *8264:DIODE *5188:32 0 +10 *40506:A *4591:34 0 +11 *40506:A *4603:43 0 +12 *3422:14 *7020:DIODE 0 +13 *3422:14 *4204:28 0 +14 *3422:14 *4753:17 0 +15 *3422:31 *4753:17 0 +16 *3422:31 *5097:13 0 +17 *3422:31 *5105:9 0 +18 *3422:46 *3497:59 0 +19 *3422:46 *3515:34 0 +20 *3422:46 *3522:24 0 +21 *3422:46 *3743:16 0 +22 *3422:46 *4238:18 0 +23 *3422:46 *4254:19 0 +24 *3422:46 *4259:24 0 +25 *3422:67 *40607:A 0 +26 *3422:67 *3460:73 0 +27 *3422:67 *3793:42 0 +28 *3422:67 *4251:69 0 +29 *3422:67 *4591:39 0 +30 *3422:67 *4603:43 0 +31 *3422:67 *5173:66 0 +32 *3422:67 *5188:32 0 +33 *6572:DIODE *3422:14 0 +34 *7589:DIODE *3422:67 0 +35 *7605:DIODE *8264:DIODE 0 +36 *7607:DIODE *40506:A 0 +37 *7996:DIODE *3422:67 0 +38 *8015:DIODE *3422:67 0 +39 *37441:A *3422:31 0 +40 *39569:A *3422:67 0 +41 *39616:A *40506:A 0 +42 *40317:A *40506:A 0 +43 *396:25 *3422:31 0 +44 *523:8 *3422:14 0 +45 *780:8 *3422:14 0 +46 *1239:28 *3422:46 0 +47 *1828:47 *40506:A 0 +48 *1829:51 *8264:DIODE 0 +49 *2368:41 *3422:67 0 +50 *2440:58 *3422:46 0 +51 *2460:15 *3422:14 0 +52 *2712:174 *3422:31 0 +53 *2972:118 *3422:67 0 +54 *2982:29 *3422:67 0 +55 *3070:32 *3422:67 0 +56 *3086:28 *3422:46 0 +57 *3105:42 *3422:14 0 +58 *3122:44 *3422:46 0 +59 *3134:22 *3422:14 0 +60 *3237:64 *3422:46 0 +61 *3281:24 *3422:46 0 +62 *3294:53 *3422:46 0 +*RES +1 *38888:X *3422:14 49.2464 +2 *3422:14 *3422:31 44.7679 +3 *3422:31 *3422:46 49.1863 +4 *3422:46 *3422:67 33.1457 +5 *3422:67 *40506:A 26.925 +6 *3422:67 *8264:DIODE 17.6571 +*END + +*D_NET *3423 0.0189367 +*CONN +*I *6493:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38346:A I *D sky130_fd_sc_hd__and2_1 +*I *40507:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6493:DIODE 0 +2 *38346:A 0.000144451 +3 *40507:X 0.000935816 +4 *3423:37 0.00160863 +5 *3423:21 0.00422828 +6 *3423:20 0.00288363 +7 *3423:11 0.0041598 +8 *3423:10 0.00497608 +9 *38346:A *38346:B 0 +10 *38346:A *3936:23 0 +11 *3423:11 *3507:35 0 +12 *3423:11 *3507:42 0 +13 *3423:11 *3682:32 0 +14 *3423:11 *4044:25 0 +15 *3423:11 *4278:27 0 +16 *3423:20 *3682:32 0 +17 *3423:21 *40246:A 0 +18 *3423:21 *3502:29 0 +19 *3423:21 *3507:42 0 +20 *3423:21 *3972:29 0 +21 *3423:21 *4044:25 0 +22 *3423:21 *4563:91 0 +23 *3423:37 *38126:B 0 +24 *3423:37 *3976:60 0 +25 *3423:37 *4106:47 0 +26 *3423:37 *4270:45 0 +27 *3423:37 *4404:44 0 +28 *7565:DIODE *3423:21 0 +29 *396:25 *3423:10 0 +30 *2400:20 *3423:37 0 +31 *2440:58 *3423:10 0 +32 *3015:58 *3423:21 0 +33 *3015:79 *3423:37 0 +34 *3107:83 *3423:37 0 +35 *3116:71 *3423:21 0 +36 *3122:44 *3423:10 0 +37 *3150:35 *3423:11 0 +38 *3263:11 *3423:11 0 +39 *3272:20 *3423:10 0 +40 *3288:10 *3423:21 0 +41 *3310:87 *3423:37 0 +42 *3406:32 *3423:20 0 +*RES +1 *40507:X *3423:10 39.4071 +2 *3423:10 *3423:11 84.3214 +3 *3423:11 *3423:20 12.0179 +4 *3423:20 *3423:21 57.625 +5 *3423:21 *3423:37 49.6607 +6 *3423:37 *38346:A 21.4964 +7 *3423:37 *6493:DIODE 9.3 +*END + +*D_NET *3424 0.0295827 +*CONN +*I *6490:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38344:A I *D sky130_fd_sc_hd__and2_1 +*I *40508:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6490:DIODE 0.000104386 +2 *38344:A 0.000305964 +3 *40508:X 0.000825884 +4 *3424:50 0.00123677 +5 *3424:42 0.00293722 +6 *3424:39 0.00406505 +7 *3424:36 0.00331541 +8 *3424:25 0.00742841 +9 *3424:24 0.00730247 +10 *3424:21 0.00206111 +11 *6490:DIODE *3481:48 0 +12 *38344:A *38344:B 0 +13 *38344:A *4018:71 0 +14 *3424:21 *3519:10 0 +15 *3424:21 *3803:66 0 +16 *3424:24 *3768:14 0 +17 *3424:24 *3768:18 0 +18 *3424:25 *3469:27 0 +19 *3424:25 *4245:35 0 +20 *3424:25 *4331:37 0 +21 *3424:25 *5001:13 0 +22 *3424:25 *5024:13 0 +23 *3424:36 *3625:45 0 +24 *3424:36 *5016:25 0 +25 *3424:36 *5028:6 0 +26 *3424:39 *3768:31 0 +27 *3424:39 *4007:13 0 +28 *3424:39 *4007:19 0 +29 *3424:39 *4057:23 0 +30 *3424:39 *4217:25 0 +31 *3424:42 *4023:44 0 +32 *3424:42 *4023:54 0 +33 *3424:50 *4023:54 0 +34 *38327:A *3424:42 0 +35 *40066:A *3424:21 0 +36 *40071:A *3424:21 0 +37 *361:37 *3424:36 0 +38 *1002:26 *3424:36 0 +39 *1012:52 *38344:A 0 +40 *1023:98 *3424:36 0 +41 *1338:14 *3424:24 0 +42 *1562:33 *3424:42 0 +43 *1696:16 *3424:50 0 +44 *2419:49 *3424:21 0 +45 *2450:14 *3424:25 0 +46 *2817:16 *3424:21 0 +47 *2817:54 *3424:50 0 +48 *2822:55 *3424:21 0 +49 *2920:11 *3424:24 0 +50 *2942:8 *3424:24 0 +51 *3010:34 *3424:42 0 +52 *3050:50 *3424:42 0 +53 *3072:38 *3424:25 0 +54 *3072:39 *3424:25 0 +55 *3094:27 *3424:25 0 +56 *3297:75 *3424:50 0 +57 *3301:68 *6490:DIODE 0 +58 *3411:121 *38344:A 0 +59 *3411:121 *3424:50 0 +*RES +1 *40508:X *3424:21 49.9429 +2 *3424:21 *3424:24 32.5536 +3 *3424:24 *3424:25 126.625 +4 *3424:25 *3424:36 30.911 +5 *3424:36 *3424:39 45.2857 +6 *3424:39 *3424:42 47.9732 +7 *3424:42 *3424:50 27.6696 +8 *3424:50 *38344:A 20.9429 +9 *3424:50 *6490:DIODE 15.9786 +*END + +*D_NET *3425 0.0310408 +*CONN +*I *38342:A I *D sky130_fd_sc_hd__and2_1 +*I *6488:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40509:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38342:A 0 +2 *6488:DIODE 0.000264717 +3 *40509:X 6.50276e-05 +4 *3425:24 0.00252632 +5 *3425:22 0.00284953 +6 *3425:17 0.00913478 +7 *3425:16 0.00962179 +8 *3425:8 0.00379428 +9 *3425:7 0.00278437 +10 *6488:DIODE *38342:B 0 +11 *6488:DIODE *3480:11 0 +12 *3425:7 *3963:19 0 +13 *3425:8 *3979:52 0 +14 *3425:8 *5013:17 0 +15 *3425:16 *4007:13 0 +16 *3425:17 *3519:25 0 +17 *3425:17 *3519:32 0 +18 *3425:22 *4908:12 0 +19 *3425:24 *38342:B 0 +20 *3425:24 *3480:11 0 +21 *3425:24 *3761:80 0 +22 *3425:24 *4579:32 0 +23 *3425:24 *4908:12 0 +24 *8009:DIODE *3425:8 0 +25 *39585:A *3425:24 0 +26 *274:7 *3425:22 0 +27 *274:7 *3425:24 0 +28 *383:12 *3425:17 0 +29 *383:14 *3425:17 0 +30 *1013:77 *3425:24 0 +31 *1243:10 *3425:24 0 +32 *2396:11 *3425:24 0 +33 *2419:30 *3425:8 0 +34 *2473:40 *3425:8 0 +35 *2808:34 *6488:DIODE 0 +36 *2824:55 *3425:8 0 +37 *2837:91 *3425:8 0 +38 *3039:15 *6488:DIODE 0 +39 *3097:44 *3425:8 0 +40 *3109:48 *3425:8 0 +41 *3303:39 *3425:17 0 +42 *3394:63 *6488:DIODE 0 +43 *3418:42 *3425:24 0 +*RES +1 *40509:X *3425:7 15.1571 +2 *3425:7 *3425:8 61.75 +3 *3425:8 *3425:16 36.0179 +4 *3425:16 *3425:17 178.375 +5 *3425:17 *3425:22 17.8482 +6 *3425:22 *3425:24 51.4911 +7 *3425:24 *6488:DIODE 19.5857 +8 *3425:24 *38342:A 13.8 +*END + +*D_NET *3426 0.00603246 +*CONN +*I *40541:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38521:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40541:A 0.000950601 +2 *38521:X 0.000552485 +3 *3426:20 0.00246374 +4 *3426:10 0.00206563 +5 *40541:A *3913:15 0 +6 *40541:A *3913:23 0 +7 *40541:A *5198:27 0 +8 *3426:10 *39084:A 0 +9 *3426:10 *3451:38 0 +10 *3426:10 *4470:47 0 +11 *3426:10 *4959:32 0 +12 *3426:10 *5026:41 0 +13 *3426:10 *5026:42 0 +14 *3426:20 *4837:13 0 +15 *3426:20 *5199:9 0 +16 *3426:20 *5199:19 0 +17 *3426:20 *5199:21 0 +18 *3426:20 *5200:13 0 +19 *1796:39 *3426:20 0 +20 *1801:22 *3426:20 0 +21 *2049:23 *3426:20 0 +22 *3333:9 *3426:20 0 +23 *3393:15 *40541:A 0 +*RES +1 *38521:X *3426:10 30.8 +2 *3426:10 *3426:20 40.875 +3 *3426:20 *40541:A 29.1393 +*END + +*D_NET *3427 0.0352736 +*CONN +*I *38086:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *8267:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40509:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6107:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40510:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38086:A_N 6.75514e-05 +2 *8267:DIODE 0.000121071 +3 *40509:A 0.000440219 +4 *6107:DIODE 0 +5 *40510:X 0.000890898 +6 *3427:100 0.00102074 +7 *3427:91 0.00245553 +8 *3427:87 0.00371894 +9 *3427:78 0.0030106 +10 *3427:61 0.00354038 +11 *3427:53 0.00662597 +12 *3427:52 0.00456596 +13 *3427:48 0.00235424 +14 *3427:44 0.00307112 +15 *3427:34 0.00173829 +16 *3427:9 0.00165211 +17 *8267:DIODE *4319:41 0 +18 *38086:A_N *4028:19 0 +19 *38086:A_N *4230:99 0 +20 *40509:A *3746:19 0 +21 *40509:A *4319:41 0 +22 *3427:9 *38084:C 0 +23 *3427:9 *4149:8 0 +24 *3427:34 *38084:C 0 +25 *3427:34 *3439:24 0 +26 *3427:34 *4019:28 0 +27 *3427:34 *4269:88 0 +28 *3427:34 *4294:103 0 +29 *3427:44 *40723:A 0 +30 *3427:44 *4107:83 0 +31 *3427:48 *39244:A 0 +32 *3427:48 *40723:A 0 +33 *3427:48 *3664:6 0 +34 *3427:48 *3665:42 0 +35 *3427:48 *3701:20 0 +36 *3427:52 *39244:A 0 +37 *3427:52 *3664:6 0 +38 *3427:53 *3676:11 0 +39 *3427:53 *3706:11 0 +40 *3427:53 *5005:5 0 +41 *3427:61 *3676:11 0 +42 *3427:61 *3704:15 0 +43 *3427:61 *3704:26 0 +44 *3427:61 *3768:30 0 +45 *3427:61 *5005:5 0 +46 *3427:78 *3701:30 0 +47 *3427:78 *3946:48 0 +48 *3427:78 *4023:41 0 +49 *3427:87 *3492:17 0 +50 *3427:87 *3708:19 0 +51 *3427:87 *5023:9 0 +52 *3427:91 *3514:26 0 +53 *3427:91 *3664:18 0 +54 *3427:91 *3732:39 0 +55 *3427:91 *3818:27 0 +56 *38321:A *3427:61 0 +57 *39584:A *8267:DIODE 0 +58 *358:31 *3427:91 0 +59 *392:7 *3427:9 0 +60 *1002:8 *3427:78 0 +61 *1010:119 *3427:78 0 +62 *1014:94 *3427:78 0 +63 *1414:16 *3427:100 0 +64 *2384:28 *40509:A 0 +65 *2417:43 *3427:100 0 +66 *2419:97 *3427:9 0 +67 *2450:14 *3427:87 0 +68 *2474:31 *3427:78 0 +69 *2477:17 *3427:78 0 +70 *2717:33 *3427:61 0 +71 *2822:19 *3427:34 0 +72 *3097:44 *3427:87 0 +73 *3114:50 *3427:48 0 +74 *3210:15 *3427:9 0 +75 *3210:15 *3427:34 0 +76 *3244:10 *3427:9 0 +77 *3279:94 *38086:A_N 0 +78 *3279:94 *3427:44 0 +79 *3280:12 *3427:48 0 +80 *3299:19 *3427:87 0 +*RES +1 *40510:X *3427:9 38.4071 +2 *3427:9 *6107:DIODE 9.3 +3 *3427:9 *3427:34 31.25 +4 *3427:34 *3427:44 20.9375 +5 *3427:44 *3427:48 49.125 +6 *3427:48 *3427:52 8.9375 +7 *3427:52 *3427:53 91.3036 +8 *3427:53 *3427:61 47.1071 +9 *3427:61 *3427:78 49.1757 +10 *3427:78 *3427:87 35.8976 +11 *3427:87 *3427:91 49.7411 +12 *3427:91 *3427:100 19.5 +13 *3427:100 *40509:A 28.0857 +14 *3427:100 *8267:DIODE 11.8893 +15 *3427:34 *38086:A_N 15.6036 +*END + +*D_NET *3428 0.0290506 +*CONN +*I *6485:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38340:A I *D sky130_fd_sc_hd__and2_1 +*I *40511:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6485:DIODE 0.000257589 +2 *38340:A 0 +3 *40511:X 0.000829186 +4 *3428:58 0.0017329 +5 *3428:49 0.00324832 +6 *3428:43 0.00388469 +7 *3428:40 0.00310492 +8 *3428:29 0.00491393 +9 *3428:27 0.0051068 +10 *3428:24 0.00223424 +11 *3428:21 0.0019785 +12 *3428:14 0.00175956 +13 *3428:14 *3682:32 0 +14 *3428:14 *4238:59 0 +15 *3428:21 *3682:32 0 +16 *3428:21 *3959:54 0 +17 *3428:21 *4319:37 0 +18 *3428:24 *3688:37 0 +19 *3428:27 *4033:57 0 +20 *3428:27 *4048:52 0 +21 *3428:29 *3654:29 0 +22 *3428:29 *4033:57 0 +23 *3428:29 *4033:61 0 +24 *3428:40 *3469:30 0 +25 *3428:40 *3485:59 0 +26 *3428:40 *4023:54 0 +27 *3428:43 *4033:61 0 +28 *3428:43 *5018:19 0 +29 *3428:58 *3481:68 0 +30 *3428:58 *4036:18 0 +31 *3428:58 *4318:79 0 +32 *1009:127 *3428:40 0 +33 *1027:80 *3428:21 0 +34 *1211:113 *3428:27 0 +35 *1221:14 *3428:58 0 +36 *1320:11 *3428:27 0 +37 *1333:20 *3428:49 0 +38 *1449:45 *3428:27 0 +39 *1449:45 *3428:29 0 +40 *1449:47 *3428:29 0 +41 *1451:39 *3428:43 0 +42 *1451:50 *3428:49 0 +43 *1553:39 *3428:43 0 +44 *1553:46 *3428:29 0 +45 *1569:12 *3428:58 0 +46 *1846:32 *3428:58 0 +47 *1849:21 *3428:58 0 +48 *1863:36 *3428:49 0 +49 *2366:43 *3428:29 0 +50 *2366:43 *3428:43 0 +51 *2366:49 *3428:29 0 +52 *2385:22 *3428:29 0 +53 *2392:15 *3428:49 0 +54 *2396:39 *3428:29 0 +55 *2414:41 *6485:DIODE 0 +56 *2417:63 *3428:27 0 +57 *2834:35 *3428:40 0 +58 *2839:40 *3428:43 0 +59 *2922:16 *3428:49 0 +60 *2926:13 *3428:29 0 +61 *2926:20 *3428:29 0 +62 *2957:10 *3428:49 0 +63 *2991:50 *3428:58 0 +64 *3036:72 *3428:40 0 +65 *3070:50 *3428:24 0 +66 *3108:30 *3428:40 0 +67 *3288:36 *6485:DIODE 0 +68 *3313:47 *3428:21 0 +*RES +1 *40511:X *3428:14 32.675 +2 *3428:14 *3428:21 29.9286 +3 *3428:21 *3428:24 28.3036 +4 *3428:24 *3428:27 24.7857 +5 *3428:27 *3428:29 81.8571 +6 *3428:29 *3428:40 39.9286 +7 *3428:40 *3428:43 48.5714 +8 *3428:43 *3428:49 46.3571 +9 *3428:49 *3428:58 46.875 +10 *3428:58 *38340:A 9.3 +11 *3428:58 *6485:DIODE 24.0321 +*END + +*D_NET *3429 0.0297086 +*CONN +*I *6483:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38338:A I *D sky130_fd_sc_hd__and2_1 +*I *40512:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6483:DIODE 9.41867e-05 +2 *38338:A 7.70059e-05 +3 *40512:X 0.00384811 +4 *3429:46 0.00230888 +5 *3429:39 0.010835 +6 *3429:22 0.0125454 +7 *38338:A *38338:B 0 +8 *38338:A *3981:67 0 +9 *3429:22 *8855:DIODE 0 +10 *3429:22 *40512:A 0 +11 *3429:22 *3524:25 0 +12 *3429:22 *4245:40 0 +13 *3429:22 *4590:105 0 +14 *3429:22 *5106:14 0 +15 *3429:39 *3489:16 0 +16 *3429:46 *3996:20 0 +17 *3429:46 *4033:77 0 +18 *2414:41 *38338:A 0 +19 *2444:38 *3429:39 0 +20 *2478:18 *3429:22 0 +21 *2812:27 *3429:39 0 +22 *2818:38 *3429:22 0 +23 *2820:29 *3429:39 0 +24 *2980:41 *3429:39 0 +25 *2988:18 *3429:46 0 +26 *2997:20 *3429:39 0 +27 *3028:29 *3429:39 0 +28 *3031:43 *3429:22 0 +29 *3031:43 *3429:39 0 +30 *3081:16 *3429:22 0 +31 *3081:38 *3429:22 0 +32 *3109:24 *3429:39 0 +33 *3109:24 *3429:46 0 +34 *3298:59 *3429:22 0 +35 *3310:40 *3429:39 0 +36 *3402:157 *3429:46 0 +37 *3420:40 *3429:39 0 +*RES +1 *40512:X *3429:22 48.7238 +2 *3429:22 *3429:39 44.7967 +3 *3429:39 *3429:46 18.021 +4 *3429:46 *38338:A 15.6929 +5 *3429:46 *6483:DIODE 15.9786 +*END + +*D_NET *3430 0.0261937 +*CONN +*I *8269:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40512:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6101:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38082:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *40513:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8269:DIODE 0.000229696 +2 *40512:A 9.799e-05 +3 *6101:DIODE 0 +4 *38082:A_N 0.000261822 +5 *40513:X 0.000124066 +6 *3430:104 0.00157421 +7 *3430:80 0.00274117 +8 *3430:71 0.00310378 +9 *3430:65 0.00367612 +10 *3430:55 0.00429606 +11 *3430:53 0.00325694 +12 *3430:48 0.00212018 +13 *3430:21 0.00178056 +14 *3430:5 0.0029311 +15 *38082:A_N *3534:5 0 +16 *38082:A_N *4029:89 0 +17 *40512:A *4044:10 0 +18 *3430:5 *3497:27 0 +19 *3430:21 *3525:29 0 +20 *3430:21 *3534:5 0 +21 *3430:21 *4001:105 0 +22 *3430:21 *4029:89 0 +23 *3430:21 *4275:56 0 +24 *3430:48 *39022:A 0 +25 *3430:53 *39024:A 0 +26 *3430:53 *3500:32 0 +27 *3430:53 *4204:32 0 +28 *3430:53 *4753:30 0 +29 *3430:55 *39026:A 0 +30 *3430:55 *40503:A 0 +31 *3430:55 *4753:30 0 +32 *3430:55 *4756:42 0 +33 *3430:65 *5188:81 0 +34 *3430:65 *5193:83 0 +35 *3430:71 *3783:41 0 +36 *3430:71 *5188:44 0 +37 *3430:71 *5188:60 0 +38 *3430:71 *5189:39 0 +39 *3430:80 *3434:34 0 +40 *3430:104 *5173:66 0 +41 *3430:104 *5188:32 0 +42 la_data_in_mprj[105] *3430:53 0 +43 la_data_in_mprj[108] *3430:55 0 +44 *6588:DIODE *3430:21 0 +45 *6731:DIODE *3430:53 0 +46 *6739:DIODE *3430:55 0 +47 *7576:DIODE *8269:DIODE 0 +48 *8007:DIODE *3430:21 0 +49 *37670:B *3430:48 0 +50 *40312:A *3430:71 0 +51 *40566:A *3430:71 0 +52 *396:10 *3430:55 0 +53 *396:25 *3430:55 0 +54 *400:12 *3430:65 0 +55 *520:7 *3430:48 0 +56 *535:21 *3430:104 0 +57 *775:12 *3430:53 0 +58 *1011:273 *40512:A 0 +59 *1011:273 *3430:80 0 +60 *2378:94 *3430:104 0 +61 *2387:63 *3430:104 0 +62 *2397:21 *3430:104 0 +63 *2409:10 *3430:21 0 +64 *2410:25 *3430:65 0 +65 *2426:65 *40512:A 0 +66 *2516:30 *3430:48 0 +67 *2716:18 *3430:5 0 +68 *2716:18 *3430:48 0 +69 *2738:7 *3430:48 0 +70 *2749:25 *3430:5 0 +71 *2749:25 *3430:48 0 +72 *3015:38 *3430:80 0 +73 *3037:48 *40512:A 0 +74 *3092:11 *3430:80 0 +75 *3134:23 *3430:53 0 +76 *3134:23 *3430:55 0 +77 *3167:25 *3430:104 0 +78 *3178:20 *3430:80 0 +79 *3179:64 *8269:DIODE 0 +80 *3203:73 *3430:71 0 +81 *3211:48 *3430:104 0 +82 *3250:49 *3430:65 0 +83 *3261:15 *3430:80 0 +84 *3274:17 *3430:48 0 +85 *3276:60 *3430:71 0 +86 *3276:83 *3430:104 0 +87 *3285:14 *3430:21 0 +88 *3314:62 *3430:21 0 +89 *3348:15 *3430:71 0 +90 *3410:14 *3430:71 0 +91 *3429:22 *40512:A 0 +*RES +1 *40513:X *3430:5 11.8893 +2 *3430:5 *3430:21 45.4461 +3 *3430:21 *38082:A_N 14.7643 +4 *3430:21 *6101:DIODE 9.3 +5 *3430:5 *3430:48 49.875 +6 *3430:48 *3430:53 21.8929 +7 *3430:53 *3430:55 50.6429 +8 *3430:55 *3430:65 48.4643 +9 *3430:65 *3430:71 48.9821 +10 *3430:71 *3430:80 41.1964 +11 *3430:80 *40512:A 15.9786 +12 *3430:80 *3430:104 48.1292 +13 *3430:104 *8269:DIODE 22.2011 +*END + +*D_NET *3431 0.0188101 +*CONN +*I *37488:B I *D sky130_fd_sc_hd__and2_1 +*I *5298:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40514:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37488:B 0.000195511 +2 *5298:DIODE 0 +3 *40514:X 0.00182149 +4 *3431:35 0.00172751 +5 *3431:31 0.00419096 +6 *3431:29 0.00337887 +7 *3431:25 0.00309127 +8 *3431:22 0.00247716 +9 *3431:17 0.00192728 +10 *37488:B *4828:11 0 +11 *3431:17 *3871:28 0 +12 *3431:17 *4424:21 0 +13 *3431:17 *4891:40 0 +14 *3431:25 *5294:DIODE 0 +15 *3431:25 *37484:A 0 +16 *3431:25 *37486:A 0 +17 *3431:25 *37486:B 0 +18 *3431:25 *3467:9 0 +19 *3431:25 *3993:31 0 +20 *3431:31 *5162:17 0 +21 *3431:35 *4828:11 0 +22 *6624:DIODE *3431:17 0 +23 *6766:DIODE *3431:17 0 +24 *37340:A *3431:25 0 +25 *37489:A *37488:B 0 +26 *37513:A *3431:31 0 +27 *38405:A *3431:31 0 +28 *39520:A *3431:31 0 +29 *39520:B *3431:31 0 +30 *39520:B *3431:35 0 +31 *40545:A *3431:29 0 +32 *40545:A *3431:31 0 +33 *343:20 *3431:31 0 +34 *343:30 *3431:25 0 +35 *343:30 *3431:29 0 +36 *343:30 *3431:31 0 +37 *343:52 *3431:25 0 +38 *387:51 *37488:B 0 +39 *1778:20 *3431:22 0 +40 *2359:15 *3431:17 0 +41 *2363:22 *3431:22 0 +42 *2827:43 *3431:17 0 +43 *3093:15 *3431:17 0 +44 *3104:19 *3431:17 0 +45 *3127:17 *3431:22 0 +46 *3182:17 *3431:25 0 +47 *3215:22 *3431:29 0 +*RES +1 *40514:X *3431:17 47.1907 +2 *3431:17 *3431:22 10.3118 +3 *3431:22 *3431:25 49.4286 +4 *3431:25 *3431:29 15.1339 +5 *3431:29 *3431:31 55.5714 +6 *3431:31 *3431:35 31.9732 +7 *3431:35 *5298:DIODE 9.3 +8 *3431:35 *37488:B 13.4429 +*END + +*D_NET *3432 0.0191986 +*CONN +*I *5463:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37644:B I *D sky130_fd_sc_hd__and2_1 +*I *40515:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *5463:DIODE 0.000239147 +2 *37644:B 2.56688e-05 +3 *40515:X 0.000734089 +4 *3432:102 0.00048412 +5 *3432:97 0.00149076 +6 *3432:75 0.00417032 +7 *3432:74 0.00339292 +8 *3432:67 0.00292815 +9 *3432:49 0.00371669 +10 *3432:28 0.00201669 +11 *5463:DIODE *5462:DIODE 0 +12 *37644:B *5462:DIODE 0 +13 *37644:B *3479:105 0 +14 *3432:28 *3972:39 0 +15 *3432:28 *4054:48 0 +16 *3432:28 *4073:10 0 +17 *3432:28 *4254:54 0 +18 *3432:49 *3434:45 0 +19 *3432:49 *3972:39 0 +20 *3432:49 *3978:33 0 +21 *3432:49 *4215:82 0 +22 *3432:49 *5151:31 0 +23 *3432:67 *3544:14 0 +24 *3432:67 *3663:16 0 +25 *3432:67 *3748:20 0 +26 *3432:67 *3758:85 0 +27 *3432:67 *3780:83 0 +28 *3432:67 *4327:26 0 +29 *3432:67 *4343:23 0 +30 *3432:67 *4351:33 0 +31 *3432:74 *3748:20 0 +32 *3432:74 *4102:72 0 +33 *3432:75 *4040:31 0 +34 *3432:75 *4069:41 0 +35 *3432:97 *3474:18 0 +36 *3432:97 *3755:31 0 +37 *3432:97 *4729:10 0 +38 *3432:102 *3657:82 0 +39 *3432:102 *3945:38 0 +40 *38329:A *3432:67 0 +41 *39490:B *3432:75 0 +42 *40100:A *3432:97 0 +43 *1001:65 *3432:49 0 +44 *1221:14 *5463:DIODE 0 +45 *1250:18 *3432:67 0 +46 *1274:11 *3432:97 0 +47 *1321:25 *3432:74 0 +48 *1332:19 *3432:97 0 +49 *1332:27 *3432:97 0 +50 *1332:39 *3432:75 0 +51 *1332:39 *3432:97 0 +52 *1448:22 *3432:67 0 +53 *1454:24 *3432:49 0 +54 *1549:43 *3432:75 0 +55 *1826:40 *3432:97 0 +56 *2423:11 *3432:97 0 +57 *2430:11 *3432:75 0 +58 *2430:11 *3432:97 0 +59 *2441:37 *3432:74 0 +60 *2721:10 *3432:97 0 +61 *2813:46 *3432:97 0 +62 *2822:176 *3432:49 0 +63 *2926:13 *3432:75 0 +64 *2929:17 *3432:75 0 +65 *3000:32 *3432:28 0 +66 *3009:30 *5463:DIODE 0 +67 *3023:40 *3432:67 0 +68 *3046:26 *3432:97 0 +69 *3095:37 *3432:97 0 +70 *3097:18 *3432:97 0 +71 *3308:99 *3432:75 0 +72 *3348:75 *3432:28 0 +73 *3409:138 *3432:67 0 +74 *3410:33 *3432:28 0 +*RES +1 *40515:X *3432:28 48.0946 +2 *3432:28 *3432:49 49.1489 +3 *3432:49 *3432:67 49.7509 +4 *3432:67 *3432:74 13.2612 +5 *3432:74 *3432:75 60.5 +6 *3432:75 *3432:97 46.7152 +7 *3432:97 *3432:102 13.9821 +8 *3432:102 *37644:B 9.83571 +9 *3432:102 *5463:DIODE 14.3536 +*END + +*D_NET *3433 0.0248733 +*CONN +*I *8270:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40515:A I *D sky130_fd_sc_hd__buf_2 +*I *40516:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8270:DIODE 0.000769055 +2 *40515:A 4.5599e-05 +3 *40516:X 0.00477116 +4 *3433:37 0.00173457 +5 *3433:30 0.00685082 +6 *3433:20 0.0107021 +7 *8270:DIODE *4278:37 0 +8 *3433:20 *3497:59 0 +9 *3433:20 *3515:28 0 +10 *3433:20 *4045:43 0 +11 *3433:20 *4220:20 0 +12 *3433:20 *4242:71 0 +13 *3433:30 *3743:32 0 +14 *3433:30 *3776:17 0 +15 *3433:30 *3997:60 0 +16 *3433:30 *4000:22 0 +17 *3433:30 *4028:41 0 +18 *3433:30 *4034:62 0 +19 *3433:30 *4106:21 0 +20 *3433:30 *4235:41 0 +21 *3433:37 *3507:60 0 +22 *3433:37 *4028:41 0 +23 *3433:37 *4278:37 0 +24 *1435:44 *3433:20 0 +25 *1435:66 *3433:20 0 +26 *1445:37 *3433:30 0 +27 *2374:52 *3433:30 0 +28 *2402:55 *3433:20 0 +29 *2832:50 *3433:30 0 +30 *3008:33 *3433:30 0 +31 *3025:46 *3433:30 0 +32 *3025:80 *3433:37 0 +33 *3031:17 *3433:20 0 +34 *3110:24 *3433:30 0 +35 *3167:22 *8270:DIODE 0 +36 *3218:68 *3433:20 0 +37 *3229:18 *3433:20 0 +38 *3261:53 *3433:20 0 +39 *3285:112 *3433:30 0 +40 *3298:24 *3433:20 0 +41 *3298:63 *3433:30 0 +42 *3308:39 *3433:30 0 +43 *3319:76 *3433:20 0 +44 *3409:26 *3433:30 0 +45 *3410:33 *3433:37 0 +*RES +1 *40516:X *3433:20 45.2488 +2 *3433:20 *3433:30 40.6216 +3 *3433:30 *3433:37 28.25 +4 *3433:37 *40515:A 19.3357 +5 *3433:37 *8270:DIODE 35.0857 +*END + +*D_NET *3434 0.0214089 +*CONN +*I *37630:B I *D sky130_fd_sc_hd__and2_1 +*I *5447:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40517:X O *D sky130_fd_sc_hd__clkbuf_4 +*CAP +1 *37630:B 0.00015324 +2 *5447:DIODE 2.56688e-05 +3 *40517:X 0.00248561 +4 *3434:56 0.00453766 +5 *3434:45 0.00688782 +6 *3434:34 0.00368118 +7 *3434:19 0.00363772 +8 *37630:B *3470:40 0 +9 *37630:B *3481:48 0 +10 *37630:B *3498:40 0 +11 *37630:B *3810:35 0 +12 *3434:19 *3460:33 0 +13 *3434:19 *3497:59 0 +14 *3434:19 *3653:47 0 +15 *3434:19 *3743:16 0 +16 *3434:19 *5034:16 0 +17 *3434:34 *40212:A 0 +18 *3434:34 *3510:18 0 +19 *3434:34 *5034:29 0 +20 *3434:34 *5173:66 0 +21 *3434:45 *3507:42 0 +22 *3434:45 *3904:49 0 +23 *3434:45 *3955:55 0 +24 *3434:45 *4054:48 0 +25 *3434:45 *4215:82 0 +26 *3434:45 *4254:54 0 +27 *3434:45 *5182:81 0 +28 *3434:56 *3715:54 0 +29 *3434:56 *3904:80 0 +30 *3434:56 *3950:51 0 +31 *3434:56 *3970:19 0 +32 *3434:56 *4003:26 0 +33 *3434:56 *4003:42 0 +34 *3434:56 *4018:57 0 +35 *3434:56 *4215:82 0 +36 *3434:56 *4228:22 0 +37 *3434:56 *4229:69 0 +38 *3434:56 *4254:78 0 +39 *3434:56 *4256:77 0 +40 *3434:56 *4322:68 0 +41 *3434:56 *4360:66 0 +42 *3434:56 *4360:104 0 +43 *535:21 *3434:34 0 +44 *1009:155 *3434:56 0 +45 *1441:51 *3434:19 0 +46 *1454:41 *3434:56 0 +47 *1454:58 *3434:56 0 +48 *1459:28 *3434:56 0 +49 *2367:8 *3434:45 0 +50 *2387:63 *3434:34 0 +51 *2395:24 *3434:56 0 +52 *2397:20 *3434:45 0 +53 *2400:11 *3434:56 0 +54 *2821:23 *3434:45 0 +55 *3015:38 *3434:34 0 +56 *3025:80 *3434:45 0 +57 *3092:11 *3434:34 0 +58 *3150:32 *3434:45 0 +59 *3167:25 *3434:19 0 +60 *3188:87 *3434:19 0 +61 *3188:87 *3434:34 0 +62 *3211:49 *3434:34 0 +63 *3237:37 *3434:45 0 +64 *3239:8 *3434:19 0 +65 *3291:52 *3434:19 0 +66 *3297:75 *3434:56 0 +67 *3301:18 *3434:19 0 +68 *3409:26 *3434:45 0 +69 *3409:84 *3434:45 0 +70 *3411:42 *3434:56 0 +71 *3411:138 *5447:DIODE 0 +72 *3417:67 *3434:56 0 +73 *3430:80 *3434:34 0 +74 *3432:49 *3434:45 0 +*RES +1 *40517:X *3434:19 48.3134 +2 *3434:19 *3434:34 47.4107 +3 *3434:34 *3434:45 41.8048 +4 *3434:45 *3434:56 35.2004 +5 *3434:56 *5447:DIODE 14.3357 +6 *3434:56 *37630:B 17.2286 +*END + +*D_NET *3435 0.0227801 +*CONN +*I *8272:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40517:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *40518:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8272:DIODE 0.00106868 +2 *40517:A 3.2228e-05 +3 *40518:X 0.00121095 +4 *3435:98 0.00195624 +5 *3435:76 0.00265039 +6 *3435:52 0.00367882 +7 *3435:45 0.00364708 +8 *3435:41 0.00261565 +9 *3435:37 0.00281298 +10 *3435:14 0.00310713 +11 *8272:DIODE *4149:88 0 +12 *8272:DIODE *4149:94 0 +13 *8272:DIODE *4238:41 0 +14 *3435:37 *3977:108 0 +15 *3435:37 *4502:45 0 +16 *3435:41 *3497:27 0 +17 *3435:45 *4981:23 0 +18 *3435:52 *8191:DIODE 0 +19 *3435:52 *3500:55 0 +20 *3435:76 *40794:A 0 +21 *3435:76 *3743:16 0 +22 *3435:76 *3777:65 0 +23 *3435:76 *4045:53 0 +24 *3435:98 *4238:41 0 +25 *6588:DIODE *3435:41 0 +26 *6594:DIODE *3435:52 0 +27 *6595:DIODE *3435:52 0 +28 *6605:DIODE *3435:52 0 +29 *6735:DIODE *3435:52 0 +30 *7001:DIODE *3435:45 0 +31 *7012:DIODE *3435:45 0 +32 *7554:DIODE *3435:52 0 +33 *7590:DIODE *3435:45 0 +34 *8012:DIODE *3435:52 0 +35 *8201:DIODE *3435:76 0 +36 *8203:DIODE *3435:76 0 +37 *37398:A *3435:37 0 +38 *37408:A *3435:41 0 +39 *38853:A *3435:45 0 +40 *39603:A *3435:45 0 +41 *40216:A *3435:76 0 +42 *40353:A *3435:98 0 +43 *40377:A *8272:DIODE 0 +44 *40377:A *3435:98 0 +45 *393:8 *3435:45 0 +46 *1030:54 *3435:76 0 +47 *1220:53 *3435:52 0 +48 *1230:20 *3435:37 0 +49 *1239:34 *3435:76 0 +50 *1441:51 *40517:A 0 +51 *1441:51 *3435:76 0 +52 *2381:35 *3435:76 0 +53 *2381:49 *3435:41 0 +54 *2381:49 *3435:45 0 +55 *2381:49 *3435:52 0 +56 *2386:67 *3435:37 0 +57 *2393:44 *3435:37 0 +58 *2393:44 *3435:41 0 +59 *2393:62 *3435:37 0 +60 *2460:44 *3435:37 0 +61 *2712:181 *3435:52 0 +62 *2794:13 *3435:52 0 +63 *3032:65 *3435:52 0 +64 *3135:59 *3435:98 0 +65 *3154:35 *3435:41 0 +66 *3154:35 *3435:45 0 +67 *3154:56 *3435:14 0 +68 *3174:16 *3435:76 0 +69 *3174:40 *3435:41 0 +70 *3187:12 *3435:76 0 +71 *3217:52 *3435:37 0 +72 *3230:39 *3435:76 0 +73 *3240:63 *8272:DIODE 0 +74 *3272:14 *40517:A 0 +75 *3272:14 *3435:76 0 +76 *3287:47 *3435:45 0 +77 *3287:66 *3435:45 0 +78 *3287:66 *3435:52 0 +79 *3287:91 *3435:76 0 +80 *3296:9 *3435:37 0 +81 *3296:29 *3435:37 0 +82 *3296:29 *3435:41 0 +83 *3296:29 *3435:45 0 +84 *3296:49 *3435:45 0 +85 *3312:96 *3435:37 0 +86 *3321:90 *3435:37 0 +87 *3410:14 *3435:98 0 +*RES +1 *40518:X *3435:14 44.3 +2 *3435:14 *3435:37 49.7143 +3 *3435:37 *3435:41 19.1339 +4 *3435:41 *3435:45 35.4554 +5 *3435:45 *3435:52 48.7404 +6 *3435:52 *3435:76 44.1445 +7 *3435:76 *40517:A 14.5321 +8 *3435:76 *3435:98 32.6964 +9 *3435:98 *8272:DIODE 31.6036 +*END + +*D_NET *3436 0.0200383 +*CONN +*I *5438:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37624:B I *D sky130_fd_sc_hd__and2_4 +*I *40519:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5438:DIODE 0.000360769 +2 *37624:B 0.000197222 +3 *40519:X 5.89503e-05 +4 *3436:80 0.00119106 +5 *3436:64 0.00181841 +6 *3436:41 0.00308781 +7 *3436:28 0.00348276 +8 *3436:21 0.00371101 +9 *3436:16 0.00410106 +10 *3436:8 0.00202928 +11 *5438:DIODE *4263:15 0 +12 *5438:DIODE *4335:13 0 +13 *37624:B *3726:61 0 +14 *37624:B *3997:46 0 +15 *3436:16 *37656:A 0 +16 *3436:16 *3517:20 0 +17 *3436:16 *3529:22 0 +18 *3436:16 *3939:62 0 +19 *3436:21 *5503:DIODE 0 +20 *3436:21 *40416:A 0 +21 *3436:21 *3440:39 0 +22 *3436:21 *3517:40 0 +23 *3436:21 *3529:28 0 +24 *3436:28 *3440:39 0 +25 *3436:28 *3529:35 0 +26 *3436:41 *3511:85 0 +27 *3436:41 *3977:119 0 +28 *3436:41 *4875:17 0 +29 *3436:64 *38090:C 0 +30 *3436:64 *3497:50 0 +31 *3436:64 *4008:99 0 +32 *3436:64 *4019:28 0 +33 *3436:64 *4029:89 0 +34 *3436:64 *4297:122 0 +35 *3436:80 *3932:11 0 +36 *3436:80 *4078:41 0 +37 *3436:80 *4092:44 0 +38 *3436:80 *4291:79 0 +39 *5252:DIODE *3436:41 0 +40 *7601:DIODE *3436:64 0 +41 *37678:B *3436:21 0 +42 *40587:A *3436:41 0 +43 *1227:26 *3436:16 0 +44 *1238:49 *3436:41 0 +45 *1516:20 *5438:DIODE 0 +46 *2727:79 *3436:16 0 +47 *2928:39 *5438:DIODE 0 +48 *3037:15 *3436:64 0 +49 *3053:35 *3436:41 0 +50 *3084:34 *3436:64 0 +51 *3140:36 *3436:21 0 +52 *3144:29 *3436:21 0 +53 *3144:29 *3436:28 0 +54 *3144:36 *3436:21 0 +55 *3158:29 *3436:16 0 +56 *3202:24 *3436:64 0 +57 *3224:63 *3436:16 0 +58 *3261:53 *3436:41 0 +59 *3272:51 *3436:41 0 +60 *3274:36 *3436:64 0 +61 *3279:81 *3436:64 0 +62 *3302:10 *3436:21 0 +63 *3302:10 *3436:28 0 +64 *3316:17 *3436:16 0 +65 *3316:29 *3436:16 0 +66 *3316:29 *3436:21 0 +67 *3318:28 *3436:64 0 +*RES +1 *40519:X *3436:8 19.6393 +2 *3436:8 *3436:16 46.4286 +3 *3436:16 *3436:21 49 +4 *3436:21 *3436:28 42.2679 +5 *3436:28 *3436:41 48.9464 +6 *3436:41 *3436:64 49.9808 +7 *3436:64 *3436:80 23.3482 +8 *3436:80 *37624:B 18.1929 +9 *3436:80 *5438:DIODE 21.9786 +*END + +*D_NET *3437 0.0199042 +*CONN +*I *5368:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37558:B I *D sky130_fd_sc_hd__and2_1 +*I *38522:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5368:DIODE 0.000151512 +2 *37558:B 0.00034287 +3 *38522:X 0.00122798 +4 *3437:66 0.00185654 +5 *3437:59 0.00297247 +6 *3437:51 0.00468629 +7 *3437:50 0.00417546 +8 *3437:40 0.00218127 +9 *3437:21 0.00230976 +10 *5368:DIODE *3606:32 0 +11 *5368:DIODE *3610:25 0 +12 *37558:B *3559:26 0 +13 *37558:B *3632:68 0 +14 *37558:B *3634:16 0 +15 *37558:B *3637:9 0 +16 *37558:B *3855:59 0 +17 *3437:21 *3583:15 0 +18 *3437:21 *4455:22 0 +19 *3437:21 *4455:62 0 +20 *3437:21 *4848:9 0 +21 *3437:21 *4959:44 0 +22 *3437:21 *5026:41 0 +23 *3437:40 *3455:24 0 +24 *3437:40 *4760:19 0 +25 *3437:40 *4819:19 0 +26 *3437:40 *4848:9 0 +27 *3437:40 *4959:44 0 +28 *3437:59 *3887:14 0 +29 *3437:59 *3920:9 0 +30 *3437:59 *4440:8 0 +31 *3437:66 *4161:16 0 +32 *3437:66 *4161:19 0 +33 *3437:66 *4172:34 0 +34 *3437:66 *4715:18 0 +35 *6651:DIODE *3437:50 0 +36 *6797:DIODE *3437:40 0 +37 *38663:A *3437:21 0 +38 *38827:A *3437:59 0 +39 *39071:A *3437:59 0 +40 *271:51 *3437:66 0 +41 *440:5 *3437:59 0 +42 *580:8 *3437:21 0 +43 *824:5 *3437:59 0 +44 *830:7 *3437:50 0 +45 *836:5 *3437:21 0 +46 *1381:48 *3437:66 0 +47 *1489:15 *3437:66 0 +48 *1785:10 *37558:B 0 +49 *1785:14 *3437:66 0 +50 *1790:8 *3437:66 0 +51 *1799:29 *3437:66 0 +52 *2355:26 *3437:59 0 +53 *3315:12 *3437:59 0 +54 *3315:22 *3437:59 0 +55 *3361:18 *3437:21 0 +56 *3377:9 *3437:50 0 +57 *3377:9 *3437:51 0 +58 *3415:10 *3437:21 0 +59 *3415:13 *3437:50 0 +60 *3415:17 *3437:51 0 +*RES +1 *38522:X *3437:21 49.063 +2 *3437:21 *3437:40 32.1429 +3 *3437:40 *3437:50 32.2857 +4 *3437:50 *3437:51 64.1964 +5 *3437:51 *3437:59 49.1964 +6 *3437:59 *3437:66 39.6339 +7 *3437:66 *37558:B 30.4161 +8 *3437:66 *5368:DIODE 17.0768 +*END + +*D_NET *3438 0.0207894 +*CONN +*I *5435:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37622:B I *D sky130_fd_sc_hd__and2_4 +*I *40520:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5435:DIODE 0.000298186 +2 *37622:B 1.68986e-05 +3 *40520:X 0.00128301 +4 *3438:132 0.00122285 +5 *3438:116 0.00227387 +6 *3438:91 0.0031434 +7 *3438:71 0.00370172 +8 *3438:57 0.00336064 +9 *3438:44 0.00282101 +10 *3438:25 0.00266781 +11 *5435:DIODE *3546:67 0 +12 *5435:DIODE *3811:31 0 +13 *5435:DIODE *3811:33 0 +14 *37622:B *3546:67 0 +15 *3438:25 *3807:40 0 +16 *3438:25 *4002:23 0 +17 *3438:25 *4112:40 0 +18 *3438:25 *4121:27 0 +19 *3438:25 *4277:33 0 +20 *3438:25 *4858:15 0 +21 *3438:44 *4083:39 0 +22 *3438:44 *4128:22 0 +23 *3438:57 *8451:DIODE 0 +24 *3438:57 *4006:23 0 +25 *3438:57 *4064:40 0 +26 *3438:57 *4086:38 0 +27 *3438:57 *4110:57 0 +28 *3438:57 *4119:18 0 +29 *3438:57 *4230:25 0 +30 *3438:71 *8451:DIODE 0 +31 *3438:71 *3747:45 0 +32 *3438:71 *4103:25 0 +33 *3438:71 *4119:21 0 +34 *3438:71 *4230:46 0 +35 *3438:91 *3747:45 0 +36 *3438:91 *3747:53 0 +37 *3438:91 *4134:69 0 +38 *3438:91 *4324:70 0 +39 *3438:116 *3530:97 0 +40 *3438:116 *3726:28 0 +41 *3438:116 *3747:72 0 +42 *3438:116 *4041:29 0 +43 *3438:132 *3811:31 0 +44 *5449:DIODE *3438:44 0 +45 *8844:DIODE *3438:71 0 +46 *40149:A *3438:116 0 +47 *40232:A *3438:71 0 +48 *40247:A *3438:91 0 +49 *40315:A *3438:57 0 +50 *1222:32 *5435:DIODE 0 +51 *1222:32 *37622:B 0 +52 *1222:32 *3438:132 0 +53 *1224:42 *3438:91 0 +54 *1224:66 *3438:116 0 +55 *1229:65 *5435:DIODE 0 +56 *1438:55 *3438:71 0 +57 *1967:58 *3438:25 0 +58 *2053:54 *3438:44 0 +59 *2069:29 *3438:57 0 +60 *2073:72 *3438:44 0 +61 *2412:44 *3438:25 0 +62 *2431:112 *3438:25 0 +63 *2835:21 *3438:116 0 +64 *3085:21 *3438:91 0 +65 *3103:14 *3438:71 0 +66 *3105:123 *3438:91 0 +67 *3121:71 *3438:91 0 +68 *3123:31 *3438:57 0 +69 *3147:19 *3438:44 0 +70 *3153:44 *3438:44 0 +71 *3173:70 *3438:25 0 +72 *3196:10 *3438:57 0 +73 *3206:25 *3438:44 0 +74 *3216:25 *3438:25 0 +75 *3236:53 *3438:44 0 +76 *3236:86 *3438:44 0 +77 *3252:69 *3438:44 0 +78 *3255:13 *3438:44 0 +79 *3307:21 *3438:25 0 +80 *3322:131 *3438:71 0 +81 *3322:131 *3438:91 0 +82 *3365:30 *3438:57 0 +*RES +1 *40520:X *3438:25 46.0561 +2 *3438:25 *3438:44 49.0536 +3 *3438:44 *3438:57 39.6786 +4 *3438:57 *3438:71 49.625 +5 *3438:71 *3438:91 46.5536 +6 *3438:91 *3438:116 48.4314 +7 *3438:116 *3438:132 37.1071 +8 *3438:132 *37622:B 9.65268 +9 *3438:132 *5435:DIODE 15.5857 +*END + +*D_NET *3439 0.0219508 +*CONN +*I *5432:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37620:B I *D sky130_fd_sc_hd__and2_1 +*I *40521:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *5432:DIODE 0 +2 *37620:B 0.000151171 +3 *40521:X 0.000568595 +4 *3439:51 0.0039743 +5 *3439:38 0.0102556 +6 *3439:24 0.00700109 +7 *37620:B *5431:DIODE 0 +8 *3439:24 *8858:DIODE 0 +9 *3439:24 *41026:A 0 +10 *3439:24 *3715:19 0 +11 *3439:24 *3972:24 0 +12 *3439:24 *4001:105 0 +13 *3439:24 *4017:117 0 +14 *3439:24 *4269:88 0 +15 *3439:24 *4276:20 0 +16 *3439:38 *3441:55 0 +17 *3439:38 *3485:17 0 +18 *3439:38 *3493:29 0 +19 *3439:38 *3513:68 0 +20 *3439:38 *3532:24 0 +21 *3439:38 *3995:25 0 +22 *3439:38 *4261:62 0 +23 *3439:38 *4268:24 0 +24 *3439:51 *3756:37 0 +25 *3439:51 *3776:17 0 +26 *3439:51 *3776:50 0 +27 *3439:51 *4220:73 0 +28 *1416:47 *37620:B 0 +29 *1441:19 *3439:38 0 +30 *1441:29 *3439:38 0 +31 *1445:22 *3439:38 0 +32 *2375:48 *3439:51 0 +33 *2402:55 *3439:38 0 +34 *2919:24 *3439:51 0 +35 *3098:19 *3439:51 0 +36 *3100:31 *3439:38 0 +37 *3112:24 *3439:38 0 +38 *3119:22 *3439:38 0 +39 *3308:15 *3439:51 0 +40 *3388:55 *3439:51 0 +41 *3414:6 *37620:B 0 +42 *3427:34 *3439:24 0 +*RES +1 *40521:X *3439:24 47.94 +2 *3439:24 *3439:38 47.7592 +3 *3439:38 *3439:51 25.6554 +4 *3439:51 *37620:B 21.7643 +5 *3439:51 *5432:DIODE 9.3 +*END + +*D_NET *3440 0.0174264 +*CONN +*I *40521:A I *D sky130_fd_sc_hd__buf_2 +*I *8275:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40522:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40521:A 0.000609547 +2 *8275:DIODE 0 +3 *40522:X 0.00139758 +4 *3440:67 0.00120778 +5 *3440:59 0.00258949 +6 *3440:39 0.00542146 +7 *3440:38 0.00411659 +8 *3440:9 0.00208397 +9 *40521:A *41262:A 0 +10 *40521:A *3534:5 0 +11 *3440:9 *3939:62 0 +12 *3440:9 *4046:70 0 +13 *3440:9 *4253:72 0 +14 *3440:38 *3511:66 0 +15 *3440:38 *3949:44 0 +16 *3440:38 *4016:14 0 +17 *3440:39 *3529:28 0 +18 *3440:39 *3529:35 0 +19 *3440:39 *4281:71 0 +20 *3440:59 *37666:B 0 +21 *3440:59 *3511:73 0 +22 *3440:59 *3977:119 0 +23 *3440:59 *4233:54 0 +24 *3440:59 *4252:37 0 +25 *3440:67 *3507:18 0 +26 *3440:67 *4012:117 0 +27 *3440:67 *4242:71 0 +28 *37407:A *3440:67 0 +29 *40349:A *3440:9 0 +30 *1435:66 *3440:59 0 +31 *2365:76 *3440:67 0 +32 *2427:26 *3440:38 0 +33 *2727:65 *3440:38 0 +34 *2727:79 *3440:9 0 +35 *3129:21 *40521:A 0 +36 *3129:21 *3440:67 0 +37 *3140:60 *3440:9 0 +38 *3144:46 *3440:9 0 +39 *3144:50 *3440:9 0 +40 *3148:61 *3440:38 0 +41 *3148:74 *3440:38 0 +42 *3228:14 *3440:9 0 +43 *3228:28 *3440:9 0 +44 *3234:35 *3440:9 0 +45 *3261:53 *3440:59 0 +46 *3261:53 *3440:67 0 +47 *3263:30 *40521:A 0 +48 *3265:70 *3440:59 0 +49 *3272:51 *3440:59 0 +50 *3289:19 *3440:67 0 +51 *3309:16 *3440:39 0 +52 *3318:13 *3440:59 0 +53 *3436:21 *3440:39 0 +54 *3436:28 *3440:39 0 +*RES +1 *40522:X *3440:9 47.675 +2 *3440:9 *3440:38 47.6725 +3 *3440:38 *3440:39 71.5893 +4 *3440:39 *3440:59 49.1317 +5 *3440:59 *3440:67 22.0179 +6 *3440:67 *8275:DIODE 9.3 +7 *3440:67 *40521:A 40.7464 +*END + +*D_NET *3441 0.0220113 +*CONN +*I *5429:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37618:B I *D sky130_fd_sc_hd__and2_1 +*I *40523:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5429:DIODE 0.000454214 +2 *37618:B 2.56688e-05 +3 *40523:X 0.000741876 +4 *3441:58 0.000639057 +5 *3441:55 0.0061891 +6 *3441:41 0.00761464 +7 *3441:21 0.00359479 +8 *3441:10 0.00275195 +9 *5429:DIODE *3803:82 0 +10 *5429:DIODE *4007:8 0 +11 *5429:DIODE *4007:12 0 +12 *3441:10 *4279:23 0 +13 *3441:10 *4294:67 0 +14 *3441:21 *8733:DIODE 0 +15 *3441:21 *38072:B 0 +16 *3441:21 *3939:117 0 +17 *3441:21 *4236:79 0 +18 *3441:21 *4516:83 0 +19 *3441:21 *4881:48 0 +20 *3441:41 *8695:DIODE 0 +21 *3441:41 *38084:A_N 0 +22 *3441:41 *38084:C 0 +23 *3441:41 *38092:B 0 +24 *3441:41 *4001:105 0 +25 *3441:41 *4055:82 0 +26 *3441:41 *4236:79 0 +27 *3441:41 *4288:103 0 +28 *3441:41 *4881:22 0 +29 *3441:55 *8853:DIODE 0 +30 *3441:55 *38084:A_N 0 +31 *3441:55 *38084:C 0 +32 *3441:55 *3493:11 0 +33 *3441:55 *3725:22 0 +34 *3441:55 *3758:53 0 +35 *3441:55 *3972:24 0 +36 *3441:55 *4055:82 0 +37 *38074:A_N *3441:10 0 +38 *39629:A *3441:41 0 +39 *40316:A *3441:55 0 +40 *1441:19 *3441:55 0 +41 *1445:22 *3441:55 0 +42 *2434:61 *3441:41 0 +43 *2649:11 *3441:10 0 +44 *2814:11 *5429:DIODE 0 +45 *2822:19 *3441:41 0 +46 *2822:55 *5429:DIODE 0 +47 *2972:23 *3441:41 0 +48 *3037:20 *3441:55 0 +49 *3053:71 *3441:55 0 +50 *3074:18 *3441:55 0 +51 *3289:28 *3441:55 0 +52 *3308:15 *3441:55 0 +53 *3439:38 *3441:55 0 +*RES +1 *40523:X *3441:10 34.0143 +2 *3441:10 *3441:21 42.3214 +3 *3441:21 *3441:41 42.7143 +4 *3441:41 *3441:55 49.6328 +5 *3441:55 *3441:58 7.02607 +6 *3441:58 *37618:B 14.3357 +7 *3441:58 *5429:DIODE 24.05 +*END + +*D_NET *3442 0.0211693 +*CONN +*I *40523:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8277:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40524:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40523:A 0.000144601 +2 *8277:DIODE 0 +3 *40524:X 0.00272693 +4 *3442:99 0.00130869 +5 *3442:92 0.00299156 +6 *3442:66 0.00342336 +7 *3442:51 0.00335402 +8 *3442:39 0.00312566 +9 *3442:24 0.00409446 +10 *40523:A *4012:68 0 +11 *40523:A *4294:49 0 +12 *40523:A *4294:67 0 +13 *3442:24 *39126:A 0 +14 *3442:24 *3771:17 0 +15 *3442:24 *3794:16 0 +16 *3442:24 *3805:12 0 +17 *3442:24 *4488:45 0 +18 *3442:24 *4496:33 0 +19 *3442:24 *5192:9 0 +20 *3442:39 *37636:B 0 +21 *3442:39 *4872:19 0 +22 *3442:51 *37636:B 0 +23 *3442:51 *3511:15 0 +24 *3442:51 *3849:46 0 +25 *3442:51 *3927:32 0 +26 *3442:51 *4253:72 0 +27 *3442:51 *4501:29 0 +28 *3442:51 *4501:34 0 +29 *3442:51 *4508:15 0 +30 *3442:51 *4872:19 0 +31 *3442:66 *37674:A 0 +32 *3442:66 *3511:66 0 +33 *3442:66 *3785:16 0 +34 *3442:66 *3787:92 0 +35 *3442:66 *3882:30 0 +36 *3442:66 *3927:42 0 +37 *3442:66 *4004:18 0 +38 *3442:66 *4508:15 0 +39 *3442:66 *4509:22 0 +40 *3442:92 *3532:10 0 +41 *3442:92 *3785:16 0 +42 *3442:92 *4072:51 0 +43 *3442:92 *4117:51 0 +44 *3442:92 *4166:60 0 +45 *3442:92 *4221:21 0 +46 *3442:92 *4277:50 0 +47 *3442:92 *4298:40 0 +48 *3442:99 *3446:31 0 +49 *3442:99 *3952:80 0 +50 *3442:99 *4012:68 0 +51 *3442:99 *4277:50 0 +52 *3442:99 *4294:49 0 +53 *6712:DIODE *3442:66 0 +54 *38434:A *3442:51 0 +55 *38717:A *3442:51 0 +56 *40167:A *3442:51 0 +57 *40349:A *3442:66 0 +58 *40582:A *3442:39 0 +59 *491:9 *3442:24 0 +60 *882:9 *3442:51 0 +61 *1960:22 *3442:92 0 +62 *1982:31 *3442:66 0 +63 *2008:59 *3442:99 0 +64 *2141:34 *3442:39 0 +65 *2361:16 *3442:24 0 +66 *2472:21 *3442:24 0 +67 *2483:19 *3442:39 0 +68 *2505:15 *3442:51 0 +69 *3055:55 *3442:66 0 +70 *3148:74 *3442:66 0 +71 *3207:95 *3442:39 0 +72 *3219:12 *3442:92 0 +73 *3234:35 *3442:66 0 +74 *3236:22 *3442:92 0 +75 *3248:46 *3442:24 0 +76 *3256:39 *3442:92 0 +77 *3270:42 *3442:66 0 +78 *3320:54 *3442:24 0 +*RES +1 *40524:X *3442:24 48.7901 +2 *3442:24 *3442:39 47.1071 +3 *3442:39 *3442:51 45.8393 +4 *3442:51 *3442:66 48.4821 +5 *3442:66 *3442:92 46.8227 +6 *3442:92 *3442:99 33.8214 +7 *3442:99 *8277:DIODE 9.3 +8 *3442:99 *40523:A 12.4429 +*END + +*D_NET *3443 0.0227495 +*CONN +*I *5426:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37616:B I *D sky130_fd_sc_hd__and2_1 +*I *40525:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5426:DIODE 0.00057271 +2 *37616:B 0 +3 *40525:X 0.00130516 +4 *3443:88 0.00595113 +5 *3443:75 0.00658052 +6 *3443:53 0.00341518 +7 *3443:36 0.00291638 +8 *3443:11 0.00200846 +9 *5426:DIODE *5428:DIODE 0 +10 *5426:DIODE *3942:151 0 +11 *3443:11 *8856:DIODE 0 +12 *3443:11 *3939:117 0 +13 *3443:11 *3939:140 0 +14 *3443:11 *3965:83 0 +15 *3443:11 *4017:58 0 +16 *3443:11 *4107:63 0 +17 *3443:36 *38072:B 0 +18 *3443:36 *3675:53 0 +19 *3443:36 *3939:140 0 +20 *3443:36 *4236:65 0 +21 *3443:36 *4888:26 0 +22 *3443:53 *8650:DIODE 0 +23 *3443:53 *38090:B 0 +24 *3443:53 *40964:A 0 +25 *3443:53 *41036:A 0 +26 *3443:53 *3939:140 0 +27 *3443:53 *3955:8 0 +28 *3443:53 *3955:26 0 +29 *3443:53 *3959:23 0 +30 *3443:53 *4019:28 0 +31 *3443:53 *4020:129 0 +32 *3443:53 *4516:83 0 +33 *3443:53 *4516:85 0 +34 *3443:53 *4520:107 0 +35 *3443:75 *6108:DIODE 0 +36 *3443:75 *4011:24 0 +37 *3443:75 *4014:13 0 +38 *3443:75 *4019:28 0 +39 *3443:75 *4235:14 0 +40 *3443:75 *4278:23 0 +41 *3443:88 *3675:67 0 +42 *3443:88 *3758:18 0 +43 *3443:88 *3959:26 0 +44 *3443:88 *4255:20 0 +45 *8030:DIODE *5426:DIODE 0 +46 *38092:C *3443:53 0 +47 *38092:C *3443:75 0 +48 *39994:A *3443:11 0 +49 *40298:A *3443:53 0 +50 *1327:19 *5426:DIODE 0 +51 *1441:19 *3443:88 0 +52 *1444:32 *3443:53 0 +53 *1445:37 *3443:88 0 +54 *1445:53 *5426:DIODE 0 +55 *2820:22 *3443:88 0 +56 *3037:20 *3443:88 0 +57 *3092:17 *3443:88 0 +58 *3178:43 *3443:53 0 +59 *3279:81 *3443:53 0 +60 *3295:20 *3443:88 0 +61 *3319:103 *3443:75 0 +62 *3327:105 *3443:11 0 +*RES +1 *40525:X *3443:11 45.7464 +2 *3443:11 *3443:36 42.3393 +3 *3443:36 *3443:53 46.5893 +4 *3443:53 *3443:75 43.5927 +5 *3443:75 *3443:88 43.9465 +6 *3443:88 *37616:B 13.8 +7 *3443:88 *5426:DIODE 34.9786 +*END + +*D_NET *3444 0.020423 +*CONN +*I *40525:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8278:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40526:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40525:A 0.000161286 +2 *8278:DIODE 0 +3 *40526:X 0 +4 *3444:37 0.00126736 +5 *3444:33 0.00234244 +6 *3444:27 0.00254007 +7 *3444:17 0.00227028 +8 *3444:5 0.00640408 +9 *3444:4 0.0054375 +10 *40525:A *3965:83 0 +11 *3444:5 *5497:DIODE 0 +12 *3444:5 *40414:A 0 +13 *3444:5 *3533:49 0 +14 *3444:5 *3800:41 0 +15 *3444:5 *3800:43 0 +16 *3444:5 *3807:41 0 +17 *3444:5 *3813:32 0 +18 *3444:5 *3813:44 0 +19 *3444:5 *3813:45 0 +20 *3444:5 *3882:13 0 +21 *3444:5 *3882:37 0 +22 *3444:5 *4497:9 0 +23 *3444:5 *4505:10 0 +24 *3444:17 *3927:42 0 +25 *3444:17 *3971:12 0 +26 *3444:27 *4038:18 0 +27 *3444:27 *4883:9 0 +28 *3444:33 *4503:16 0 +29 *3444:33 *4875:42 0 +30 *3444:37 *4082:24 0 +31 *6711:DIODE *3444:5 0 +32 *6845:DIODE *3444:5 0 +33 *37389:A *3444:5 0 +34 *38575:A *3444:5 0 +35 *38714:A *3444:5 0 +36 *1236:11 *3444:17 0 +37 *1236:11 *3444:27 0 +38 *1236:19 *3444:27 0 +39 *1967:37 *3444:5 0 +40 *2008:45 *3444:17 0 +41 *2008:45 *3444:27 0 +42 *2040:48 *3444:17 0 +43 *2040:48 *3444:27 0 +44 *2089:25 *3444:17 0 +45 *2118:56 *3444:5 0 +46 *2418:28 *3444:27 0 +47 *2418:36 *3444:17 0 +48 *2424:31 *3444:27 0 +49 *2424:50 *3444:27 0 +50 *2431:49 *3444:27 0 +51 *2749:56 *3444:27 0 +52 *3055:71 *3444:33 0 +53 *3140:97 *3444:5 0 +54 *3154:76 *3444:33 0 +55 *3244:11 *3444:33 0 +56 *3296:8 *3444:37 0 +57 *3311:20 *3444:33 0 +58 *3335:5 *3444:5 0 +59 *3335:27 *3444:5 0 +*RES +1 *40526:X *3444:4 9.3 +2 *3444:4 *3444:5 113.482 +3 *3444:5 *3444:17 30.1964 +4 *3444:17 *3444:27 40.7679 +5 *3444:27 *3444:33 35.9464 +6 *3444:33 *3444:37 29.4643 +7 *3444:37 *8278:DIODE 9.3 +8 *3444:37 *40525:A 12.7286 +*END + +*D_NET *3445 0.0267744 +*CONN +*I *5423:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37614:B I *D sky130_fd_sc_hd__and2_1 +*I *40527:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5423:DIODE 0.000321715 +2 *37614:B 0 +3 *40527:X 0.00143452 +4 *3445:70 0.00203784 +5 *3445:67 0.00302065 +6 *3445:53 0.00451526 +7 *3445:51 0.00556328 +8 *3445:44 0.00539957 +9 *3445:31 0.00448155 +10 *5423:DIODE *3733:85 0 +11 *5423:DIODE *3942:107 0 +12 *3445:31 *3518:54 0 +13 *3445:31 *3534:92 0 +14 *3445:31 *3763:21 0 +15 *3445:31 *3806:53 0 +16 *3445:31 *4291:36 0 +17 *3445:31 *4746:8 0 +18 *3445:44 *3526:67 0 +19 *3445:44 *3799:38 0 +20 *3445:44 *4089:37 0 +21 *3445:51 *3655:35 0 +22 *3445:51 *3655:37 0 +23 *3445:53 *3655:37 0 +24 *3445:53 *3655:41 0 +25 *3445:67 *3655:41 0 +26 *3445:70 *3670:50 0 +27 *3445:70 *3673:8 0 +28 *5708:DIODE *3445:70 0 +29 *6388:DIODE *3445:53 0 +30 *1000:14 *3445:44 0 +31 *1006:10 *3445:67 0 +32 *1030:8 *3445:67 0 +33 *1206:24 *3445:70 0 +34 *1210:54 *5423:DIODE 0 +35 *1298:17 *3445:44 0 +36 *2267:57 *3445:44 0 +37 *2723:10 *3445:70 0 +38 *3159:40 *3445:70 0 +39 *3162:23 *3445:51 0 +40 *3162:23 *3445:53 0 +41 *3162:23 *3445:67 0 +42 *3327:61 *3445:31 0 +*RES +1 *40527:X *3445:31 49.7484 +2 *3445:31 *3445:44 30.1314 +3 *3445:44 *3445:51 49.1607 +4 *3445:51 *3445:53 67.0714 +5 *3445:53 *3445:67 44.6221 +6 *3445:67 *3445:70 43.4821 +7 *3445:70 *37614:B 9.3 +8 *3445:70 *5423:DIODE 16.0143 +*END + +*D_NET *3446 0.0210623 +*CONN +*I *37612:B I *D sky130_fd_sc_hd__and2_1 +*I *5421:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40528:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37612:B 0.000276701 +2 *5421:DIODE 2.91704e-05 +3 *40528:X 0.000666502 +4 *3446:104 0.000737 +5 *3446:89 0.00241074 +6 *3446:52 0.0028924 +7 *3446:31 0.00511348 +8 *3446:30 0.0042007 +9 *3446:28 0.00203453 +10 *3446:16 0.00270103 +11 *3446:16 *3952:52 0 +12 *3446:16 *4061:53 0 +13 *3446:16 *4264:7 0 +14 *3446:28 *8031:DIODE 0 +15 *3446:28 *3518:54 0 +16 *3446:28 *3534:92 0 +17 *3446:28 *3952:52 0 +18 *3446:28 *4305:19 0 +19 *3446:31 *5455:DIODE 0 +20 *3446:31 *37638:A 0 +21 *3446:31 *4083:63 0 +22 *3446:31 *4295:53 0 +23 *3446:31 *4509:69 0 +24 *3446:52 *3523:65 0 +25 *3446:52 *3534:58 0 +26 *3446:52 *4242:51 0 +27 *3446:52 *4518:46 0 +28 *3446:89 *3532:24 0 +29 *3446:89 *3534:38 0 +30 *3446:89 *3790:64 0 +31 *3446:89 *3952:122 0 +32 *3446:89 *4006:69 0 +33 *3446:89 *4029:81 0 +34 *3446:89 *4088:27 0 +35 *3446:89 *4267:62 0 +36 *3446:89 *4301:79 0 +37 *3446:104 *4006:69 0 +38 *3446:104 *4119:89 0 +39 *37776:B *3446:89 0 +40 *38072:C *3446:89 0 +41 *1228:49 *3446:104 0 +42 *1232:23 *3446:89 0 +43 *1235:15 *3446:28 0 +44 *1435:19 *3446:89 0 +45 *2435:26 *3446:52 0 +46 *2981:18 *37612:B 0 +47 *3014:19 *37612:B 0 +48 *3173:30 *3446:31 0 +49 *3173:31 *3446:31 0 +50 *3207:49 *3446:52 0 +51 *3210:70 *3446:31 0 +52 *3219:45 *3446:28 0 +53 *3224:46 *3446:31 0 +54 *3239:9 *3446:31 0 +55 *3256:38 *3446:52 0 +56 *3317:29 *3446:31 0 +57 *3321:9 *3446:16 0 +58 *3327:53 *3446:16 0 +59 *3327:53 *3446:28 0 +60 *3442:99 *3446:31 0 +*RES +1 *40528:X *3446:16 41.9429 +2 *3446:16 *3446:28 48.5714 +3 *3446:28 *3446:30 4.5 +4 *3446:30 *3446:31 87.6071 +5 *3446:31 *3446:52 46.9286 +6 *3446:52 *3446:89 48.4724 +7 *3446:89 *3446:104 23.2768 +8 *3446:104 *5421:DIODE 14.6839 +9 *3446:104 *37612:B 20.1482 +*END + +*D_NET *3447 0.0213115 +*CONN +*I *37610:B I *D sky130_fd_sc_hd__and2_1 +*I *5419:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40529:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37610:B 0.0001336 +2 *5419:DIODE 0.000138103 +3 *40529:X 0.00351494 +4 *3447:103 0.00161589 +5 *3447:102 0.00191102 +6 *3447:75 0.00247529 +7 *3447:60 0.0032317 +8 *3447:40 0.00304962 +9 *3447:25 0.00524132 +10 *5419:DIODE *3546:56 0 +11 *5419:DIODE *4128:80 0 +12 *37610:B *3815:47 0 +13 *3447:25 *3797:30 0 +14 *3447:25 *3806:53 0 +15 *3447:25 *3956:24 0 +16 *3447:25 *4135:21 0 +17 *3447:25 *4375:19 0 +18 *3447:40 *3674:14 0 +19 *3447:40 *3801:21 0 +20 *3447:40 *3826:21 0 +21 *3447:40 *3956:50 0 +22 *3447:40 *4365:34 0 +23 *3447:60 *3943:50 0 +24 *3447:60 *4125:33 0 +25 *3447:60 *4128:39 0 +26 *3447:60 *4358:21 0 +27 *3447:75 *3815:39 0 +28 *3447:75 *3826:41 0 +29 *3447:75 *4128:39 0 +30 *3447:75 *4128:50 0 +31 *3447:102 *3720:35 0 +32 *3447:102 *3815:39 0 +33 *3447:102 *3817:83 0 +34 *3447:102 *3826:41 0 +35 *3447:102 *4358:77 0 +36 *3447:103 *3815:47 0 +37 *3447:103 *3968:22 0 +38 *6393:DIODE *3447:60 0 +39 *8432:DIODE *3447:103 0 +40 *8506:DIODE *3447:75 0 +41 *40689:A *3447:102 0 +42 *40724:A *3447:40 0 +43 *40788:A *3447:75 0 +44 *1222:32 *5419:DIODE 0 +45 *1323:17 *37610:B 0 +46 *1323:17 *3447:103 0 +47 *1537:22 *3447:102 0 +48 *2073:42 *3447:25 0 +49 *2101:27 *3447:40 0 +50 *2227:87 *3447:40 0 +51 *2273:63 *3447:102 0 +52 *2295:43 *3447:60 0 +53 *2437:40 *3447:25 0 +54 *2749:69 *3447:75 0 +55 *2749:93 *3447:75 0 +56 *2858:15 *3447:25 0 +57 *3186:11 *3447:103 0 +58 *3196:10 *3447:40 0 +59 *3322:109 *3447:60 0 +60 *3387:56 *3447:75 0 +*RES +1 *40529:X *3447:25 47.9716 +2 *3447:25 *3447:40 49.2981 +3 *3447:40 *3447:60 45.2458 +4 *3447:60 *3447:75 49.1786 +5 *3447:75 *3447:102 39.8571 +6 *3447:102 *3447:103 28.0536 +7 *3447:103 *5419:DIODE 21.3893 +8 *3447:103 *37610:B 21.2107 +*END + +*D_NET *3448 0.00567214 +*CONN +*I *40540:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38523:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40540:A 0.00042957 +2 *38523:X 0.000587815 +3 *3448:18 0.00224826 +4 *3448:11 0.0024065 +5 *40540:A *3457:9 0 +6 *40540:A *3461:45 0 +7 *40540:A *3908:41 0 +8 *40540:A *4822:33 0 +9 *3448:11 *5026:32 0 +10 *3448:18 *39083:A 0 +11 *3448:18 *39085:A 0 +12 *3448:18 *3455:9 0 +13 *3448:18 *4455:22 0 +14 *3448:18 *4819:19 0 +15 *3448:18 *5026:32 0 +16 *3448:18 *5201:16 0 +17 la_data_in_mprj[42] *3448:18 0 +18 la_data_in_mprj[43] *3448:18 0 +19 la_data_in_mprj[44] *3448:18 0 +20 la_data_in_mprj[45] *3448:11 0 +21 *38523:A *3448:11 0 +22 *38665:A *3448:11 0 +23 *38665:A *3448:18 0 +24 *583:8 *3448:11 0 +25 *835:5 *3448:18 0 +26 *3338:21 *40540:A 0 +27 *3347:28 *40540:A 0 +28 *3364:13 *40540:A 0 +29 *3377:23 *3448:18 0 +*RES +1 *38523:X *3448:11 21.9071 +2 *3448:11 *3448:18 43.0446 +3 *3448:18 *40540:A 23.4339 +*END + +*D_NET *3449 0.018771 +*CONN +*I *37608:B I *D sky130_fd_sc_hd__and2_1 +*I *5416:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40530:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37608:B 0.000136384 +2 *5416:DIODE 0 +3 *40530:X 0.00386118 +4 *3449:44 0.00388801 +5 *3449:37 0.00538794 +6 *3449:26 0.0054975 +7 *3449:26 *39123:A 0 +8 *3449:26 *40412:A 0 +9 *3449:26 *3760:50 0 +10 *3449:26 *3809:30 0 +11 *3449:26 *3838:32 0 +12 *3449:26 *4122:74 0 +13 *3449:26 *4497:9 0 +14 *3449:26 *5159:20 0 +15 *3449:37 *3965:20 0 +16 *3449:37 *4125:15 0 +17 *3449:37 *4128:10 0 +18 *3449:44 *3806:53 0 +19 *1290:25 *3449:44 0 +20 *2115:49 *3449:26 0 +21 *2129:13 *3449:26 0 +22 *2233:17 *3449:26 0 +23 *2248:29 *3449:44 0 +24 *2424:74 *3449:37 0 +25 *2858:15 *3449:44 0 +26 *3172:13 *3449:26 0 +27 *3322:18 *3449:26 0 +*RES +1 *40530:X *3449:26 48.8536 +2 *3449:26 *3449:37 49.1836 +3 *3449:37 *3449:44 22.2403 +4 *3449:44 *5416:DIODE 13.8 +5 *3449:44 *37608:B 16.9607 +*END + +*D_NET *3450 0.0191518 +*CONN +*I *5405:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37592:B I *D sky130_fd_sc_hd__and2_1 +*I *40531:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5405:DIODE 0.00015166 +2 *37592:B 0 +3 *40531:X 0.00102296 +4 *3450:49 0.000671 +5 *3450:37 0.00219801 +6 *3450:26 0.00395805 +7 *3450:15 0.00454851 +8 *3450:13 0.0039239 +9 *3450:10 0.00267774 +10 *5405:DIODE *37592:A 0 +11 *5405:DIODE *3639:34 0 +12 *5405:DIODE *3856:76 0 +13 *5405:DIODE *4403:31 0 +14 *3450:10 *4473:25 0 +15 *3450:10 *4840:15 0 +16 *3450:13 *40449:A 0 +17 *3450:13 *4838:17 0 +18 *3450:15 *3572:26 0 +19 *3450:15 *4838:17 0 +20 *3450:15 *4839:10 0 +21 *3450:26 *4448:8 0 +22 *3450:26 *4793:22 0 +23 *3450:26 *4926:26 0 +24 *3450:26 *5048:66 0 +25 *3450:37 *3572:38 0 +26 *3450:37 *3591:17 0 +27 *3450:37 *4793:22 0 +28 *3450:37 *4926:26 0 +29 *3450:49 *3845:23 0 +30 *3450:49 *3856:76 0 +31 *3450:49 *4403:31 0 +32 *5398:DIODE *3450:37 0 +33 *37366:A *3450:13 0 +34 *1502:28 *3450:49 0 +35 *1806:35 *3450:13 0 +36 *1887:15 *3450:37 0 +37 *1908:31 *3450:49 0 +38 *2027:40 *3450:13 0 +39 *2082:25 *3450:10 0 +40 *2088:30 *3450:10 0 +41 *3064:17 *3450:26 0 +42 *3139:37 *3450:13 0 +43 *3332:16 *3450:13 0 +44 *3332:17 *3450:15 0 +45 *3336:41 *3450:26 0 +46 *3347:11 *3450:15 0 +47 *3349:36 *3450:26 0 +48 *3351:7 *3450:10 0 +49 *3368:15 *3450:26 0 +*RES +1 *40531:X *3450:10 39.7821 +2 *3450:10 *3450:13 34.5357 +3 *3450:13 *3450:15 47.3571 +4 *3450:15 *3450:26 39.7006 +5 *3450:26 *3450:37 46.0446 +6 *3450:37 *3450:49 16.3571 +7 *3450:49 *37592:B 9.3 +8 *3450:49 *5405:DIODE 12.7286 +*END + +*D_NET *3451 0.0197225 +*CONN +*I *5403:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37590:B I *D sky130_fd_sc_hd__and2_1 +*I *40532:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5403:DIODE 0.000154315 +2 *37590:B 2.56688e-05 +3 *40532:X 0.000380754 +4 *3451:40 0.000690008 +5 *3451:38 0.00246952 +6 *3451:23 0.00403482 +7 *3451:20 0.00281339 +8 *3451:9 0.00475566 +9 *3451:7 0.00439835 +10 *3451:7 *40191:A 0 +11 *3451:7 *4473:25 0 +12 *3451:9 *40534:A 0 +13 *3451:9 *40537:A 0 +14 *3451:9 *3516:13 0 +15 *3451:9 *3908:28 0 +16 *3451:9 *3908:40 0 +17 *3451:9 *4473:25 0 +18 *3451:20 *4461:42 0 +19 *3451:20 *4993:17 0 +20 *3451:23 *7173:DIODE 0 +21 *3451:23 *4822:33 0 +22 *3451:23 *5197:27 0 +23 *3451:38 *3926:16 0 +24 *3451:38 *5026:42 0 +25 *3451:40 *3645:52 0 +26 *3451:40 *3866:14 0 +27 *3451:40 *3892:23 0 +28 *335:21 *3451:40 0 +29 *1377:14 *3451:40 0 +30 *1811:18 *3451:38 0 +31 *1811:18 *3451:40 0 +32 *1811:25 *3451:38 0 +33 *1962:21 *3451:7 0 +34 *2012:37 *3451:38 0 +35 *2033:47 *3451:7 0 +36 *2033:47 *3451:9 0 +37 *2055:17 *3451:20 0 +38 *3058:5 *3451:9 0 +39 *3061:19 *3451:20 0 +40 *3343:5 *3451:23 0 +41 *3426:10 *3451:38 0 +*RES +1 *40532:X *3451:7 17.2464 +2 *3451:7 *3451:9 83.9107 +3 *3451:9 *3451:20 22.7758 +4 *3451:20 *3451:23 47.75 +5 *3451:23 *3451:38 44.9911 +6 *3451:38 *3451:40 11.6161 +7 *3451:40 *37590:B 14.3357 +8 *3451:40 *5403:DIODE 17.2107 +*END + +*D_NET *3452 0.0190562 +*CONN +*I *5397:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37584:B I *D sky130_fd_sc_hd__and2_1 +*I *40533:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5397:DIODE 0.000121999 +2 *37584:B 6.58832e-05 +3 *40533:X 0.000334182 +4 *3452:24 0.00152077 +5 *3452:19 0.00248619 +6 *3452:11 0.00767317 +7 *3452:10 0.00685405 +8 *5397:DIODE *37584:A 0 +9 *5397:DIODE *4381:21 0 +10 *3452:10 *3902:64 0 +11 *3452:10 *3906:11 0 +12 *3452:11 *40945:A 0 +13 *3452:11 *3879:25 0 +14 *3452:11 *3911:11 0 +15 *3452:11 *3912:23 0 +16 *3452:11 *3912:25 0 +17 *3452:11 *3918:21 0 +18 *3452:11 *4131:24 0 +19 *3452:11 *4154:13 0 +20 *3452:11 *4154:25 0 +21 *3452:19 *41429:A 0 +22 *3452:19 *3455:58 0 +23 *3452:19 *3887:14 0 +24 *3452:19 *4200:35 0 +25 *3452:19 *5152:15 0 +26 *3452:24 *37584:A 0 +27 *3452:24 *38186:B 0 +28 *3452:24 *4430:97 0 +29 *3452:24 *4442:8 0 +30 *37360:A *3452:19 0 +31 *376:33 *3452:19 0 +32 *1371:10 *5397:DIODE 0 +33 *1371:10 *3452:24 0 +34 *1795:14 *3452:24 0 +35 *2150:42 *3452:10 0 +36 *3349:36 *3452:10 0 +37 *3350:11 *3452:11 0 +*RES +1 *40533:X *3452:10 25.4071 +2 *3452:10 *3452:11 136.071 +3 *3452:11 *3452:19 38.2679 +4 *3452:19 *3452:24 30.3393 +5 *3452:24 *37584:B 15.175 +6 *3452:24 *5397:DIODE 16.5857 +*END + +*D_NET *3453 0.0190877 +*CONN +*I *37578:B I *D sky130_fd_sc_hd__and2_1 +*I *5390:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40534:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37578:B 2.26741e-05 +2 *5390:DIODE 0.000124066 +3 *40534:X 0.00137013 +4 *3453:32 0.00207696 +5 *3453:29 0.00238676 +6 *3453:17 0.00538238 +7 *3453:16 0.00564024 +8 *3453:10 0.00208453 +9 *5390:DIODE *3632:49 0 +10 *5390:DIODE *4400:29 0 +11 *37578:B *3632:49 0 +12 *37578:B *4400:29 0 +13 *3453:10 *4835:25 0 +14 *3453:17 *3457:7 0 +15 *3453:17 *3457:9 0 +16 *3453:17 *3462:17 0 +17 *3453:17 *4470:43 0 +18 *3453:17 *4817:37 0 +19 *3453:17 *5026:41 0 +20 *3453:29 *3457:18 0 +21 *3453:29 *3463:11 0 +22 *3453:29 *4904:52 0 +23 *3453:32 *8377:DIODE 0 +24 *3453:32 *38198:A 0 +25 *3453:32 *3841:32 0 +26 *3453:32 *3857:64 0 +27 *3453:32 *3862:26 0 +28 *3453:32 *3929:20 0 +29 *3453:32 *4151:37 0 +30 *3453:32 *4440:20 0 +31 *3453:32 *4748:23 0 +32 *343:7 *3453:32 0 +33 *343:13 *3453:32 0 +34 *1805:38 *3453:10 0 +35 *3058:5 *3453:17 0 +36 *3064:25 *3453:29 0 +37 *3338:5 *3453:10 0 +38 *3338:9 *3453:17 0 +39 *3347:11 *3453:16 0 +40 *3361:31 *3453:17 0 +41 *3393:14 *3453:17 0 +*RES +1 *40534:X *3453:10 46.9786 +2 *3453:10 *3453:16 24.0179 +3 *3453:16 *3453:17 102.804 +4 *3453:17 *3453:29 23.2321 +5 *3453:29 *3453:32 48.3393 +6 *3453:32 *5390:DIODE 11.8893 +7 *3453:32 *37578:B 9.83571 +*END + +*D_NET *3454 0.0196054 +*CONN +*I *37576:B I *D sky130_fd_sc_hd__and2_1 +*I *5388:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40535:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37576:B 0.000305718 +2 *5388:DIODE 0.000117446 +3 *40535:X 0 +4 *3454:48 0.00227852 +5 *3454:46 0.00206275 +6 *3454:41 0.00221127 +7 *3454:24 0.00305105 +8 *3454:5 0.0053129 +9 *3454:4 0.00426572 +10 *5388:DIODE *3886:41 0 +11 *5388:DIODE *4146:21 0 +12 *5388:DIODE *4146:23 0 +13 *37576:B *3841:23 0 +14 *37576:B *4387:79 0 +15 *3454:5 *7018:DIODE 0 +16 *3454:5 *7174:DIODE 0 +17 *3454:5 *38881:A 0 +18 *3454:5 *39093:A 0 +19 *3454:5 *40539:A 0 +20 *3454:5 *3461:33 0 +21 *3454:5 *3527:19 0 +22 *3454:5 *3605:33 0 +23 *3454:5 *4448:5 0 +24 *3454:5 *4455:62 0 +25 *3454:5 *4458:7 0 +26 *3454:5 *4459:11 0 +27 *3454:5 *4459:31 0 +28 *3454:5 *4470:34 0 +29 *3454:5 *4825:33 0 +30 *3454:5 *4871:7 0 +31 *3454:5 *4915:20 0 +32 *3454:5 *4926:17 0 +33 *3454:5 *5198:39 0 +34 *3454:24 *4959:32 0 +35 *3454:24 *4959:44 0 +36 *3454:41 *39078:A 0 +37 *3454:41 *3455:47 0 +38 *3454:41 *3458:11 0 +39 *3454:41 *4447:10 0 +40 *3454:41 *4823:9 0 +41 *3454:41 *4823:27 0 +42 *3454:41 *4825:7 0 +43 *3454:41 *4825:23 0 +44 *3454:41 *4959:44 0 +45 *3454:48 *40939:A 0 +46 *3454:48 *3841:32 0 +47 *3454:48 *3903:8 0 +48 *3454:48 *4130:17 0 +49 *3454:48 *4391:18 0 +50 *3454:48 *4446:10 0 +51 *6651:DIODE *3454:46 0 +52 *6793:DIODE *3454:41 0 +53 *6795:DIODE *3454:41 0 +54 *6804:DIODE *3454:5 0 +55 *6807:DIODE *3454:5 0 +56 *6975:DIODE *3454:41 0 +57 *6982:DIODE *3454:5 0 +58 *6989:DIODE *3454:5 0 +59 *38657:A *3454:41 0 +60 *38667:A *3454:5 0 +61 *38668:A *3454:5 0 +62 *38843:A *3454:5 0 +63 *38848:A *3454:5 0 +64 *282:35 *3454:48 0 +65 *830:7 *3454:46 0 +66 *1974:44 *3454:48 0 +67 *3067:15 *3454:48 0 +68 *3333:58 *3454:48 0 +69 *3338:55 *5388:DIODE 0 +70 *3339:32 *3454:5 0 +71 *3343:5 *3454:24 0 +72 *3347:48 *3454:48 0 +73 *3349:8 *3454:46 0 +74 *3349:8 *3454:48 0 +75 *3361:18 *3454:41 0 +76 *3361:31 *3454:48 0 +*RES +1 *40535:X *3454:4 9.3 +2 *3454:4 *3454:5 88.8393 +3 *3454:5 *3454:24 48.2939 +4 *3454:24 *3454:41 46.7383 +5 *3454:41 *3454:46 9.24107 +6 *3454:46 *3454:48 42.1429 +7 *3454:48 *5388:DIODE 16.3804 +8 *3454:48 *37576:B 20.5411 +*END + +*D_NET *3455 0.0195549 +*CONN +*I *5383:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37572:B I *D sky130_fd_sc_hd__and2_1 +*I *40536:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5383:DIODE 0 +2 *37572:B 0.000324503 +3 *40536:X 0.00016871 +4 *3455:58 0.00190743 +5 *3455:55 0.00243898 +6 *3455:47 0.00192024 +7 *3455:24 0.00229332 +8 *3455:9 0.00578107 +9 *3455:8 0.00472064 +10 *37572:B *41160:A 0 +11 *37572:B *4151:41 0 +12 *37572:B *4214:73 0 +13 *3455:8 *3527:19 0 +14 *3455:9 *39085:A 0 +15 *3455:9 *4959:17 0 +16 *3455:9 *5026:13 0 +17 *3455:9 *5026:32 0 +18 *3455:24 *4823:27 0 +19 *3455:24 *4825:23 0 +20 *3455:47 *4760:9 0 +21 *3455:47 *4760:19 0 +22 *3455:47 *4825:7 0 +23 *3455:47 *4825:23 0 +24 *3455:47 *4904:46 0 +25 *3455:55 *40466:A 0 +26 *3455:58 *4440:16 0 +27 la_data_in_mprj[40] *3455:24 0 +28 la_data_in_mprj[42] *3455:9 0 +29 la_data_in_mprj[43] *3455:9 0 +30 la_data_in_mprj[44] *3455:9 0 +31 *6646:DIODE *3455:58 0 +32 *6651:DIODE *3455:24 0 +33 *6789:DIODE *3455:55 0 +34 *6795:DIODE *3455:24 0 +35 *6797:DIODE *3455:24 0 +36 *6807:DIODE *3455:8 0 +37 *6972:DIODE *3455:24 0 +38 *38511:A *3455:55 0 +39 *38514:A *3455:47 0 +40 *38654:A *3455:55 0 +41 *38833:A *3455:55 0 +42 *322:35 *3455:58 0 +43 *376:33 *37572:B 0 +44 *376:33 *3455:58 0 +45 *450:5 *3455:24 0 +46 *461:5 *3455:8 0 +47 *578:8 *3455:24 0 +48 *829:5 *3455:47 0 +49 *832:5 *3455:24 0 +50 *1793:20 *3455:55 0 +51 *1793:20 *3455:58 0 +52 *3337:8 *3455:47 0 +53 *3361:18 *3455:24 0 +54 *3367:5 *3455:47 0 +55 *3367:5 *3455:55 0 +56 *3374:14 *3455:47 0 +57 *3377:9 *3455:9 0 +58 *3377:9 *3455:24 0 +59 *3377:23 *3455:9 0 +60 *3379:14 *3455:58 0 +61 *3415:13 *3455:9 0 +62 *3437:40 *3455:24 0 +63 *3448:18 *3455:9 0 +64 *3452:19 *3455:58 0 +65 *3454:41 *3455:47 0 +*RES +1 *40536:X *3455:8 22.0679 +2 *3455:8 *3455:9 95 +3 *3455:9 *3455:24 48.5179 +4 *3455:24 *3455:47 36.5714 +5 *3455:47 *3455:55 22.4286 +6 *3455:55 *3455:58 40.4464 +7 *3455:58 *37572:B 25.55 +8 *3455:58 *5383:DIODE 9.3 +*END + +*D_NET *3456 0.0193027 +*CONN +*I *37570:B I *D sky130_fd_sc_hd__and2_1 +*I *5381:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40537:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37570:B 0.000149794 +2 *5381:DIODE 8.4707e-05 +3 *40537:X 0.00668979 +4 *3456:36 0.00180642 +5 *3456:35 0.00272704 +6 *3456:19 0.00784491 +7 *5381:DIODE *3629:115 0 +8 *37570:B *4380:99 0 +9 *3456:19 *40458:A 0 +10 *3456:19 *3462:17 0 +11 *3456:19 *3908:40 0 +12 *3456:19 *4828:11 0 +13 *3456:35 *3457:18 0 +14 *3456:35 *3910:17 0 +15 *3456:35 *4442:8 0 +16 *3456:35 *4443:8 0 +17 *3456:36 *41180:A 0 +18 *3456:36 *4173:42 0 +19 *3456:36 *4380:99 0 +20 *3456:36 *4726:19 0 +21 *365:14 *3456:35 0 +22 *1791:6 *37570:B 0 +23 *1791:6 *3456:35 0 +24 *1791:6 *3456:36 0 +25 *1795:21 *3456:35 0 +26 *3058:22 *3456:19 0 +27 *3061:19 *3456:19 0 +28 *3064:17 *3456:19 0 +29 *3064:25 *3456:19 0 +30 *3067:15 *3456:19 0 +31 *3349:20 *3456:19 0 +32 *3379:14 *3456:19 0 +33 *3379:17 *3456:19 0 +34 *3384:87 *3456:36 0 +*RES +1 *40537:X *3456:19 45.8934 +2 *3456:19 *3456:35 43.2589 +3 *3456:35 *3456:36 35.6429 +4 *3456:36 *5381:DIODE 15.5679 +5 *3456:36 *37570:B 17.2643 +*END + +*D_NET *3457 0.0187667 +*CONN +*I *5377:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37566:B I *D sky130_fd_sc_hd__and2_1 +*I *40538:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5377:DIODE 0 +2 *37566:B 0.000197705 +3 *40538:X 0.00146013 +4 *3457:36 0.00189366 +5 *3457:21 0.0030795 +6 *3457:18 0.0031337 +7 *3457:9 0.00464602 +8 *3457:7 0.00435599 +9 *37566:B *37566:A 0 +10 *37566:B *3629:115 0 +11 *37566:B *3637:9 0 +12 *37566:B *3884:132 0 +13 *3457:7 *3908:41 0 +14 *3457:7 *4470:43 0 +15 *3457:7 *5026:41 0 +16 *3457:9 *40199:A 0 +17 *3457:9 *3463:11 0 +18 *3457:9 *3908:41 0 +19 *3457:9 *4461:56 0 +20 *3457:9 *4817:37 0 +21 *3457:18 *3463:11 0 +22 *3457:18 *3465:5 0 +23 *3457:18 *4817:37 0 +24 *3457:21 *3911:13 0 +25 *3457:36 *3897:60 0 +26 *3457:36 *4442:20 0 +27 *39913:A *3457:36 0 +28 *40540:A *3457:9 0 +29 *271:51 *3457:36 0 +30 *282:36 *3457:21 0 +31 *343:19 *3457:18 0 +32 *1608:18 *3457:18 0 +33 *1795:21 *3457:18 0 +34 *3058:5 *3457:7 0 +35 *3059:32 *3457:36 0 +36 *3282:6 *3457:36 0 +37 *3338:21 *3457:9 0 +38 *3361:31 *3457:9 0 +39 *3453:17 *3457:7 0 +40 *3453:17 *3457:9 0 +41 *3453:29 *3457:18 0 +42 *3456:35 *3457:18 0 +*RES +1 *40538:X *3457:7 39.8357 +2 *3457:7 *3457:9 60.5 +3 *3457:9 *3457:18 46.125 +4 *3457:18 *3457:21 33.375 +5 *3457:21 *3457:36 37.623 +6 *3457:36 *37566:B 18.2643 +7 *3457:36 *5377:DIODE 13.8 +*END + +*D_NET *3458 0.0188172 +*CONN +*I *5375:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37564:B I *D sky130_fd_sc_hd__and2_1 +*I *40539:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5375:DIODE 2.56688e-05 +2 *37564:B 0.000253306 +3 *40539:X 0.00706925 +4 *3458:16 0.00233936 +5 *3458:11 0.00912964 +6 *37564:B *37564:A 0 +7 *37564:B *4383:24 0 +8 *37564:B *4387:118 0 +9 *37564:B *4397:59 0 +10 *3458:11 *3538:13 0 +11 *3458:11 *4823:27 0 +12 *3458:11 *4826:15 0 +13 *3458:11 *4834:29 0 +14 *3458:11 *5015:31 0 +15 *3458:16 *40930:A 0 +16 *3458:16 *3642:98 0 +17 *3458:16 *3894:12 0 +18 *3458:16 *3901:36 0 +19 *3458:16 *4136:63 0 +20 *3458:16 *4432:62 0 +21 *3458:16 *4726:19 0 +22 *3458:16 *4809:18 0 +23 *6786:DIODE *3458:16 0 +24 *37790:B *3458:16 0 +25 *376:46 *3458:16 0 +26 *3066:11 *3458:11 0 +27 *3315:12 *3458:16 0 +28 *3315:22 *3458:16 0 +29 *3361:18 *3458:11 0 +30 *3379:14 *3458:16 0 +31 *3454:41 *3458:11 0 +*RES +1 *40539:X *3458:11 46.4554 +2 *3458:11 *3458:16 46.8839 +3 *3458:16 *37564:B 19.3893 +4 *3458:16 *5375:DIODE 14.3357 +*END + +*D_NET *3459 0.0070528 +*CONN +*I *37738:B I *D sky130_fd_sc_hd__and2_1 +*I *38524:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *37738:B 0.000977981 +2 *38524:X 0.000740909 +3 *3459:11 0.00278549 +4 *3459:8 0.00254842 +5 *37738:B *4767:8 0 +6 *37738:B *4770:10 0 +7 *3459:8 *4426:8 0 +8 *3459:11 *4767:8 0 +9 *6883:DIODE *3459:8 0 +10 *37421:A *3459:11 0 +11 *37424:A *37738:B 0 +12 *38635:A *3459:8 0 +13 *2:10 *3459:8 0 +14 *2979:15 *37738:B 0 +*RES +1 *38524:X *3459:8 35.1214 +2 *3459:8 *3459:11 37.7232 +3 *3459:11 *37738:B 29.7732 +*END + +*D_NET *3460 0.0137039 +*CONN +*I *6150:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38110:C I *D sky130_fd_sc_hd__and3b_1 +*I *38525:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *6150:DIODE 0.000247571 +2 *38110:C 0.000678378 +3 *38525:X 0.0011359 +4 *3460:73 0.00304569 +5 *3460:33 0.00479009 +6 *3460:22 0.00380625 +7 *38110:C *3793:80 0 +8 *38110:C *3936:14 0 +9 *38110:C *4268:55 0 +10 *3460:22 *4045:53 0 +11 *3460:22 *4238:41 0 +12 *3460:22 *5034:16 0 +13 *3460:22 *5084:13 0 +14 *3460:22 *5084:22 0 +15 *3460:33 *3510:9 0 +16 *3460:33 *3513:68 0 +17 *3460:33 *4251:9 0 +18 *3460:33 *4274:26 0 +19 *3460:73 *3793:42 0 +20 *3460:73 *3793:65 0 +21 *3460:73 *4238:98 0 +22 *3460:73 *4268:48 0 +23 *3460:73 *5084:23 0 +24 *3460:73 *5193:26 0 +25 *40289:A *3460:73 0 +26 *404:10 *3460:22 0 +27 *404:34 *3460:22 0 +28 *535:21 *3460:33 0 +29 *796:31 *3460:73 0 +30 *2972:118 *3460:73 0 +31 *3070:32 *3460:73 0 +32 *3155:30 *6150:DIODE 0 +33 *3155:30 *3460:73 0 +34 *3163:19 *3460:73 0 +35 *3167:22 *3460:73 0 +36 *3167:25 *3460:33 0 +37 *3167:29 *3460:33 0 +38 *3168:63 *3460:73 0 +39 *3281:24 *3460:73 0 +40 *3287:103 *3460:22 0 +41 *3348:57 *3460:73 0 +42 *3402:73 *6150:DIODE 0 +43 *3417:18 *3460:22 0 +44 *3422:67 *3460:73 0 +45 *3434:19 *3460:33 0 +*RES +1 *38525:X *3460:22 47.8143 +2 *3460:22 *3460:33 49.4573 +3 *3460:33 *3460:73 49.5746 +4 *3460:73 *38110:C 33.675 +5 *3460:73 *6150:DIODE 23.8357 +*END + +*D_NET *3461 0.0134606 +*CONN +*I *5373:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37562:B I *D sky130_fd_sc_hd__and2_1 +*I *38526:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *5373:DIODE 3.61606e-05 +2 *37562:B 0.000376381 +3 *38526:X 0.00126561 +4 *3461:50 0.00204742 +5 *3461:45 0.00350185 +6 *3461:33 0.00341728 +7 *3461:16 0.00281592 +8 *5373:DIODE *5152:23 0 +9 *37562:B *3642:81 0 +10 *37562:B *3840:80 0 +11 *37562:B *3921:46 0 +12 *37562:B *3929:20 0 +13 *37562:B *4197:36 0 +14 *37562:B *4748:23 0 +15 *3461:16 *39087:A 0 +16 *3461:16 *4455:62 0 +17 *3461:16 *4462:21 0 +18 *3461:16 *4834:29 0 +19 *3461:16 *4834:36 0 +20 *3461:16 *4904:14 0 +21 *3461:16 *4959:32 0 +22 *3461:33 *4448:5 0 +23 *3461:33 *4825:33 0 +24 *3461:33 *4834:15 0 +25 *3461:33 *4859:21 0 +26 *3461:45 *3909:36 0 +27 *3461:45 *4452:33 0 +28 *3461:45 *4793:17 0 +29 *3461:45 *5197:23 0 +30 *3461:50 *3909:37 0 +31 *3461:50 *4822:9 0 +32 *3461:50 *5197:23 0 +33 la_data_in_mprj[46] *3461:16 0 +34 *6801:DIODE *3461:16 0 +35 *6981:DIODE *3461:16 0 +36 *38841:A *3461:33 0 +37 *40540:A *3461:45 0 +38 *584:8 *3461:16 0 +39 *2012:26 *3461:33 0 +40 *3057:12 *3461:16 0 +41 *3064:25 *5373:DIODE 0 +42 *3064:25 *3461:50 0 +43 *3338:21 *3461:45 0 +44 *3344:17 *37562:B 0 +45 *3352:15 *3461:16 0 +46 *3384:63 *37562:B 0 +47 *3454:5 *3461:33 0 +*RES +1 *38526:X *3461:16 49.4607 +2 *3461:16 *3461:33 45.9821 +3 *3461:33 *3461:45 48.7679 +4 *3461:45 *3461:50 40.2411 +5 *3461:50 *37562:B 23.9342 +6 *3461:50 *5373:DIODE 14.6839 +*END + +*D_NET *3462 0.0189658 +*CONN +*I *5370:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37560:B I *D sky130_fd_sc_hd__and2_1 +*I *40540:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5370:DIODE 0.000167646 +2 *37560:B 0 +3 *40540:X 0.00655451 +4 *3462:28 0.00292841 +5 *3462:17 0.00931527 +6 *5370:DIODE *6319:DIODE 0 +7 *5370:DIODE *4383:85 0 +8 *3462:17 *3851:17 0 +9 *3462:17 *4437:23 0 +10 *3462:28 *4188:17 0 +11 *3462:28 *4726:19 0 +12 *3462:28 *4737:14 0 +13 *6318:DIODE *5370:DIODE 0 +14 *1179:8 *3462:28 0 +15 *3063:22 *3462:28 0 +16 *3067:15 *3462:17 0 +17 *3367:21 *3462:17 0 +18 *3379:14 *3462:17 0 +19 *3379:17 *3462:17 0 +20 *3453:17 *3462:17 0 +21 *3456:19 *3462:17 0 +*RES +1 *40540:X *3462:17 48.7908 +2 *3462:17 *3462:28 26.325 +3 *3462:28 *37560:B 13.8 +4 *3462:28 *5370:DIODE 17.5143 +*END + +*D_NET *3463 0.0194681 +*CONN +*I *5366:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37556:B I *D sky130_fd_sc_hd__and2_1 +*I *40541:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5366:DIODE 3.75469e-05 +2 *37556:B 0.000129893 +3 *40541:X 0.000165957 +4 *3463:21 0.00785002 +5 *3463:11 0.00940068 +6 *3463:8 0.00188405 +7 *3463:8 *3488:32 0 +8 *3463:11 *40199:A 0 +9 *3463:11 *3488:27 0 +10 *3463:21 *3867:18 0 +11 *3463:21 *4154:50 0 +12 *37534:B *3463:21 0 +13 *295:24 *3463:21 0 +14 *1608:18 *3463:11 0 +15 *1788:19 *5366:DIODE 0 +16 *1788:19 *3463:21 0 +17 *1793:20 *3463:21 0 +18 *2352:29 *3463:21 0 +19 *3063:14 *3463:21 0 +20 *3065:30 *3463:21 0 +21 *3271:30 *3463:21 0 +22 *3333:27 *3463:8 0 +23 *3350:41 *3463:21 0 +24 *3352:33 *3463:8 0 +25 *3361:31 *3463:11 0 +26 *3453:29 *3463:11 0 +27 *3457:9 *3463:11 0 +28 *3457:18 *3463:11 0 +*RES +1 *40541:X *3463:8 22.0679 +2 *3463:8 *3463:11 40.3571 +3 *3463:11 *3463:21 47.0177 +4 *3463:21 *37556:B 18.4292 +5 *3463:21 *5366:DIODE 18.0939 +*END + +*D_NET *3464 0.0190761 +*CONN +*I *37552:B I *D sky130_fd_sc_hd__and2_1 +*I *5362:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40542:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37552:B 0.000406064 +2 *5362:DIODE 0 +3 *40542:X 0.00663599 +4 *3464:18 0.00290205 +5 *3464:11 0.00913198 +6 *37552:B *3881:36 0 +7 *3464:11 *4454:22 0 +8 *3464:11 *4737:14 0 +9 *3464:11 *4959:44 0 +10 *6975:DIODE *3464:11 0 +11 *334:15 *37552:B 0 +12 *1165:11 *37552:B 0 +13 *3065:30 *3464:18 0 +14 *3066:18 *3464:18 0 +15 *3364:22 *3464:18 0 +16 *3369:11 *3464:11 0 +*RES +1 *40542:X *3464:11 48.2038 +2 *3464:11 *3464:18 17.7416 +3 *3464:18 *5362:DIODE 13.8 +4 *3464:18 *37552:B 22.8213 +*END + +*D_NET *3465 0.0193448 +*CONN +*I *5357:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37546:B I *D sky130_fd_sc_hd__and2_1 +*I *40543:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5357:DIODE 0.000208788 +2 *37546:B 0.000182688 +3 *40543:X 0 +4 *3465:32 0.00178994 +5 *3465:25 0.00380406 +6 *3465:5 0.00788246 +7 *3465:4 0.00547686 +8 *5357:DIODE *5356:DIODE 0 +9 *5357:DIODE *3579:62 0 +10 *37546:B *5356:DIODE 0 +11 *37546:B *3852:75 0 +12 *3465:5 *40200:A 0 +13 *3465:5 *40470:A 0 +14 *3465:5 *3466:5 0 +15 *3465:5 *3488:27 0 +16 *3465:5 *3900:9 0 +17 *3465:5 *3901:40 0 +18 *3465:5 *4817:26 0 +19 *3465:25 *8247:DIODE 0 +20 *3465:25 *3468:13 0 +21 *3465:25 *3488:13 0 +22 *3465:25 *3877:20 0 +23 *3465:25 *3903:36 0 +24 *3465:25 *3924:20 0 +25 *3465:25 *4797:50 0 +26 *3465:32 *8816:DIODE 0 +27 *3465:32 *41194:A 0 +28 *3465:32 *4401:42 0 +29 *37351:A *3465:5 0 +30 *37536:B *3465:32 0 +31 *39434:A *3465:5 0 +32 *39434:B *3465:5 0 +33 *39498:A *3465:25 0 +34 *40544:A *3465:5 0 +35 *343:19 *3465:5 0 +36 *1487:26 *3465:32 0 +37 *1608:18 *3465:5 0 +38 *2362:24 *3465:25 0 +39 *2790:10 *37546:B 0 +40 *2911:17 *5357:DIODE 0 +41 *3304:45 *3465:25 0 +42 *3304:48 *3465:32 0 +43 *3337:20 *3465:5 0 +44 *3376:27 *3465:25 0 +45 *3378:20 *3465:25 0 +46 *3457:18 *3465:5 0 +*RES +1 *40543:X *3465:4 9.3 +2 *3465:4 *3465:5 114.304 +3 *3465:5 *3465:25 47.1894 +4 *3465:25 *3465:32 36.3571 +5 *3465:32 *37546:B 26.8357 +6 *3465:32 *5357:DIODE 18.5321 +*END + +*D_NET *3466 0.0191927 +*CONN +*I *37540:B I *D sky130_fd_sc_hd__and2_1 +*I *5349:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40544:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37540:B 9.56466e-05 +2 *5349:DIODE 8.86234e-05 +3 *40544:X 0 +4 *3466:44 0.000547292 +5 *3466:42 0.0018794 +6 *3466:29 0.0031889 +7 *3466:19 0.00312987 +8 *3466:5 0.00586018 +9 *3466:4 0.00440284 +10 *5349:DIODE *3600:7 0 +11 *37540:B *3600:7 0 +12 *37540:B *3634:31 0 +13 *3466:5 *37486:A 0 +14 *3466:5 *40200:A 0 +15 *3466:5 *4682:22 0 +16 *3466:5 *4820:10 0 +17 *3466:5 *5202:9 0 +18 *3466:19 *40200:A 0 +19 *3466:19 *40201:A 0 +20 *3466:19 *3877:38 0 +21 *3466:19 *5202:9 0 +22 *3466:29 *3914:12 0 +23 *3466:29 *4422:11 0 +24 *3466:29 *4791:35 0 +25 *3466:42 *4526:18 0 +26 *3466:42 *4538:8 0 +27 *3466:42 *4671:46 0 +28 *3466:44 *5855:DIODE 0 +29 *3466:44 *37938:A_N 0 +30 *37329:A *3466:5 0 +31 *37485:A *3466:19 0 +32 *1368:12 *37540:B 0 +33 *1368:12 *3466:44 0 +34 *2360:36 *3466:29 0 +35 *3069:7 *3466:19 0 +36 *3069:7 *3466:29 0 +37 *3127:25 *3466:42 0 +38 *3171:14 *3466:19 0 +39 *3171:26 *3466:19 0 +40 *3204:21 *3466:29 0 +41 *3204:22 *37540:B 0 +42 *3204:22 *3466:42 0 +43 *3204:22 *3466:44 0 +44 *3215:23 *3466:42 0 +45 *3249:38 *3466:19 0 +46 *3249:38 *3466:29 0 +47 *3465:5 *3466:5 0 +*RES +1 *40544:X *3466:4 9.3 +2 *3466:4 *3466:5 91.9196 +3 *3466:5 *3466:19 30.6339 +4 *3466:19 *3466:29 49.25 +5 *3466:29 *3466:42 43.0804 +6 *3466:42 *3466:44 8.27679 +7 *3466:44 *5349:DIODE 15.6929 +8 *3466:44 *37540:B 16.0143 +*END + +*D_NET *3467 0.0186215 +*CONN +*I *5333:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37526:B I *D sky130_fd_sc_hd__and2_1 +*I *40545:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5333:DIODE 0 +2 *37526:B 0.000237254 +3 *40545:X 0 +4 *3467:25 0.00146795 +5 *3467:21 0.00284496 +6 *3467:9 0.00547592 +7 *3467:7 0.00622853 +8 *3467:4 0.00236688 +9 *37526:B *4184:70 0 +10 *37526:B *4419:49 0 +11 *3467:7 *37484:A 0 +12 *3467:7 *5162:19 0 +13 *3467:9 *5294:DIODE 0 +14 *3467:9 *37484:A 0 +15 *3467:9 *3993:25 0 +16 *3467:9 *5162:19 0 +17 *3467:9 *5162:30 0 +18 *3467:21 *3749:23 0 +19 *3467:21 *4419:30 0 +20 *3467:21 *4429:36 0 +21 *3467:21 *4807:12 0 +22 *3467:25 *4419:49 0 +23 *3467:25 *4451:75 0 +24 *3467:25 *4523:10 0 +25 *6768:DIODE *3467:9 0 +26 *6905:DIODE *3467:9 0 +27 *37325:A *3467:9 0 +28 *37498:B *3467:21 0 +29 *39428:B *3467:21 0 +30 *332:37 *37526:B 0 +31 *343:30 *3467:7 0 +32 *343:52 *3467:9 0 +33 *343:56 *3467:9 0 +34 *343:58 *3467:9 0 +35 *1473:24 *3467:25 0 +36 *1481:19 *37526:B 0 +37 *1779:10 *3467:25 0 +38 *3175:16 *3467:9 0 +39 *3431:25 *3467:9 0 +*RES +1 *40545:X *3467:4 9.3 +2 *3467:4 *3467:7 49.4286 +3 *3467:7 *3467:9 80.625 +4 *3467:9 *3467:21 48.625 +5 *3467:21 *3467:25 32.3929 +6 *3467:25 *37526:B 23.5321 +7 *3467:25 *5333:DIODE 9.3 +*END + +*D_NET *3468 0.0190653 +*CONN +*I *5322:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37516:B I *D sky130_fd_sc_hd__and2_1 +*I *40546:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5322:DIODE 9.91025e-05 +2 *37516:B 0.000105242 +3 *40546:X 0.00106679 +4 *3468:31 0.00356268 +5 *3468:29 0.00531066 +6 *3468:21 0.00422037 +7 *3468:13 0.00295084 +8 *3468:10 0.00174958 +9 *3468:10 *3872:37 0 +10 *3468:10 *4809:8 0 +11 *3468:10 *4879:12 0 +12 *3468:21 *3867:18 0 +13 *3468:21 *3874:24 0 +14 *3468:21 *3920:19 0 +15 *3468:31 *37522:A 0 +16 *37331:A *3468:31 0 +17 *37340:A *3468:10 0 +18 *37477:A *3468:21 0 +19 *37517:A *3468:31 0 +20 *37522:B *3468:31 0 +21 *37523:A *3468:31 0 +22 *37543:A *3468:29 0 +23 *38409:A *37516:B 0 +24 *38409:A *3468:31 0 +25 *39498:B *3468:10 0 +26 *260:17 *5322:DIODE 0 +27 *1170:35 *3468:29 0 +28 *1630:8 *3468:31 0 +29 *1643:14 *3468:31 0 +30 *1783:17 *3468:21 0 +31 *1786:11 *3468:21 0 +32 *2351:8 *3468:10 0 +33 *2358:16 *3468:21 0 +34 *2362:18 *3468:10 0 +35 *3096:17 *3468:29 0 +36 *3096:17 *3468:31 0 +37 *3127:17 *3468:10 0 +38 *3127:17 *3468:13 0 +39 *3127:24 *3468:13 0 +40 *3127:24 *3468:21 0 +41 *3149:12 *3468:10 0 +42 *3304:45 *3468:13 0 +43 *3376:27 *3468:13 0 +44 *3376:27 *3468:21 0 +45 *3465:25 *3468:13 0 +*RES +1 *40546:X *3468:10 42.4429 +2 *3468:10 *3468:13 14.3125 +3 *3468:13 *3468:21 49.8951 +4 *3468:21 *3468:29 48.6779 +5 *3468:29 *3468:31 70.1518 +6 *3468:31 *37516:B 11.4964 +7 *3468:31 *5322:DIODE 20.55 +*END + +*D_NET *3469 0.0319031 +*CONN +*I *6138:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38104:C I *D sky130_fd_sc_hd__and3b_1 +*I *40547:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6138:DIODE 0.000131112 +2 *38104:C 0.000111004 +3 *40547:X 0.00431264 +4 *3469:49 0.00174126 +5 *3469:30 0.00351234 +6 *3469:27 0.00989764 +7 *3469:14 0.0121971 +8 *6138:DIODE *3904:80 0 +9 *6138:DIODE *3954:65 0 +10 *38104:C *4411:89 0 +11 *3469:14 *3492:14 0 +12 *3469:27 *3492:17 0 +13 *3469:27 *4331:37 0 +14 *3469:27 *5024:13 0 +15 *3469:30 *3768:47 0 +16 *3469:30 *3810:27 0 +17 *3469:30 *4051:58 0 +18 *3469:30 *4354:35 0 +19 *3469:49 *3728:24 0 +20 *3469:49 *3734:21 0 +21 *3469:49 *3748:20 0 +22 *3469:49 *3767:26 0 +23 *3469:49 *3958:25 0 +24 *3469:49 *4251:92 0 +25 *3469:49 *4354:35 0 +26 *373:22 *3469:27 0 +27 *386:15 *3469:30 0 +28 *1007:186 *3469:27 0 +29 *1009:127 *3469:30 0 +30 *1031:80 *3469:27 0 +31 *1451:24 *3469:49 0 +32 *1571:37 *3469:49 0 +33 *2375:27 *3469:49 0 +34 *2426:24 *3469:30 0 +35 *2442:62 *3469:14 0 +36 *2826:43 *3469:49 0 +37 *2871:54 *3469:49 0 +38 *3020:37 *3469:49 0 +39 *3043:52 *3469:14 0 +40 *3087:98 *3469:14 0 +41 *3107:28 *6138:DIODE 0 +42 *3291:52 *3469:14 0 +43 *3295:40 *3469:30 0 +44 *3299:19 *3469:27 0 +45 *3303:39 *3469:27 0 +46 *3308:99 *3469:30 0 +47 *3406:51 *6138:DIODE 0 +48 *3411:17 *3469:14 0 +49 *3424:25 *3469:27 0 +50 *3428:40 *3469:30 0 +*RES +1 *40547:X *3469:14 41.8738 +2 *3469:14 *3469:27 47.9986 +3 *3469:27 *3469:30 49.0707 +4 *3469:30 *3469:49 19.8127 +5 *3469:49 *38104:C 16.2375 +6 *3469:49 *6138:DIODE 16.6839 +*END + +*D_NET *3470 0.0212974 +*CONN +*I *5558:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37722:B I *D sky130_fd_sc_hd__and2_1 +*I *40548:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5558:DIODE 0 +2 *37722:B 0.000300539 +3 *40548:X 0.00111179 +4 *3470:93 0.00110594 +5 *3470:82 0.0029427 +6 *3470:67 0.00405974 +7 *3470:59 0.00430848 +8 *3470:40 0.00437124 +9 *3470:20 0.00309699 +10 *37722:B *37722:A 0 +11 *3470:20 *40235:A 0 +12 *3470:20 *4563:86 0 +13 *3470:20 *4780:63 0 +14 *3470:40 *3663:17 0 +15 *3470:40 *3696:121 0 +16 *3470:40 *3950:51 0 +17 *3470:40 *4040:38 0 +18 *3470:40 *4051:68 0 +19 *3470:59 *3474:38 0 +20 *3470:59 *3482:32 0 +21 *3470:59 *3661:32 0 +22 *3470:59 *3731:12 0 +23 *3470:59 *3941:63 0 +24 *3470:59 *4051:68 0 +25 *3470:59 *4069:68 0 +26 *3470:67 *37870:A 0 +27 *3470:67 *5106:24 0 +28 *3470:82 *3737:18 0 +29 *3470:93 *3541:10 0 +30 *6895:DIODE *3470:20 0 +31 *7464:DIODE *3470:82 0 +32 *7558:DIODE *3470:20 0 +33 *37630:B *3470:40 0 +34 *38048:A_N *3470:67 0 +35 *38105:A *3470:93 0 +36 *39493:A *3470:67 0 +37 *39626:A *3470:59 0 +38 *39626:A *3470:67 0 +39 *40114:A *3470:67 0 +40 *1004:31 *3470:40 0 +41 *1221:14 *3470:67 0 +42 *1422:30 *3470:67 0 +43 *1451:69 *3470:93 0 +44 *1459:28 *3470:40 0 +45 *1733:18 *3470:67 0 +46 *1826:28 *3470:59 0 +47 *2802:37 *3470:82 0 +48 *2802:48 *3470:93 0 +49 *2802:49 *37722:B 0 +50 *2821:45 *3470:82 0 +51 *2830:33 *3470:82 0 +52 *2833:30 *3470:67 0 +53 *2833:30 *3470:82 0 +54 *2833:43 *3470:82 0 +55 *2833:51 *37722:B 0 +56 *2989:36 *3470:59 0 +57 *3009:30 *3470:67 0 +58 *3013:82 *3470:67 0 +59 *3013:82 *3470:82 0 +60 *3048:13 *3470:59 0 +61 *3048:20 *3470:59 0 +62 *3275:86 *3470:40 0 +63 *3284:29 *3470:67 0 +64 *3295:102 *3470:67 0 +*RES +1 *40548:X *3470:20 48.0321 +2 *3470:20 *3470:40 48.7796 +3 *3470:40 *3470:59 44.2972 +4 *3470:59 *3470:67 49.8214 +5 *3470:67 *3470:82 49.3482 +6 *3470:82 *3470:93 21.5982 +7 *3470:93 *37722:B 15.6036 +8 *3470:93 *5558:DIODE 9.3 +*END + +*D_NET *3471 0.0187854 +*CONN +*I *37718:B I *D sky130_fd_sc_hd__and2_1 +*I *5553:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40549:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37718:B 9.63104e-05 +2 *5553:DIODE 0 +3 *40549:X 0.00129828 +4 *3471:38 0.000121833 +5 *3471:37 0.00799812 +6 *3471:17 0.00927087 +7 *37718:B *3486:20 0 +8 *3471:17 *3536:44 0 +9 *3471:17 *4561:27 0 +10 *3471:17 *4783:42 0 +11 *3471:37 *40231:A 0 +12 *3471:37 *3475:42 0 +13 *3471:37 *3536:33 0 +14 *3471:37 *3536:44 0 +15 *3471:37 *4783:13 0 +16 *3471:37 *4783:42 0 +17 *3471:37 *5173:36 0 +18 *3471:37 *5182:28 0 +19 *3471:37 *5183:23 0 +20 *3471:37 *5187:32 0 +21 *1744:16 *37718:B 0 +22 *1836:16 *3471:37 0 +23 *2713:169 *3471:17 0 +24 *2977:89 *3471:37 0 +25 *2982:62 *3471:37 0 +26 *3035:14 *3471:37 0 +27 *3089:38 *3471:37 0 +28 *3102:23 *3471:37 0 +29 *3164:17 *3471:37 0 +30 *3164:44 *3471:37 0 +31 *3188:31 *3471:17 0 +32 *3370:16 *3471:37 0 +33 *3394:32 *3471:37 0 +34 *3395:23 *3471:17 0 +35 *3395:72 *3471:37 0 +36 *3403:39 *3471:37 0 +*RES +1 *40549:X *3471:17 49.2219 +2 *3471:17 *3471:37 49.9994 +3 *3471:37 *3471:38 0.580357 +4 *3471:38 *5553:DIODE 13.8 +5 *3471:38 *37718:B 16.05 +*END + +*D_NET *3472 0.00151264 +*CONN +*I *40539:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38527:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40539:A 0.000756318 +2 *38527:X 0.000756318 +3 *40539:A *4829:13 0 +4 *40539:A *4915:20 0 +5 la_data_in_mprj[47] *40539:A 0 +6 *38667:A *40539:A 0 +7 *457:5 *40539:A 0 +8 *3454:5 *40539:A 0 +*RES +1 *38527:X *40539:A 43.8143 +*END + +*D_NET *3473 0.0205797 +*CONN +*I *5551:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37716:B I *D sky130_fd_sc_hd__and2_1 +*I *40550:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5551:DIODE 0 +2 *37716:B 0.000237254 +3 *40550:X 0.00238649 +4 *3473:47 0.00185734 +5 *3473:39 0.00392643 +6 *3473:36 0.00604602 +7 *3473:22 0.00612617 +8 *37716:B *3754:11 0 +9 *37716:B *4312:33 0 +10 *3473:22 *3776:84 0 +11 *3473:22 *3990:45 0 +12 *3473:22 *4224:51 0 +13 *3473:22 *5187:39 0 +14 *3473:36 *8495:DIODE 0 +15 *3473:36 *3474:38 0 +16 *3473:36 *3479:98 0 +17 *3473:36 *3779:50 0 +18 *3473:36 *3990:45 0 +19 *3473:36 *3996:20 0 +20 *3473:36 *4901:18 0 +21 *3473:39 *3754:5 0 +22 *3473:39 *3761:80 0 +23 *3473:39 *4312:31 0 +24 *3473:39 *4579:33 0 +25 *3473:47 *3656:31 0 +26 *3473:47 *3737:32 0 +27 *3473:47 *3754:5 0 +28 *3473:47 *4628:6 0 +29 *281:51 *3473:36 0 +30 *1332:19 *3473:36 0 +31 *1427:31 *3473:47 0 +32 *1460:30 *37716:B 0 +33 *1467:8 *37716:B 0 +34 *1698:32 *37716:B 0 +35 *1733:11 *3473:36 0 +36 *1864:68 *3473:36 0 +37 *2968:34 *3473:22 0 +38 *2970:45 *3473:36 0 +39 *2995:42 *3473:22 0 +40 *3046:26 *37716:B 0 +41 *3048:13 *3473:22 0 +42 *3405:71 *3473:22 0 +*RES +1 *40550:X *3473:22 46.6848 +2 *3473:22 *3473:36 35.6923 +3 *3473:36 *3473:39 48.1964 +4 *3473:39 *3473:47 43.0179 +5 *3473:47 *37716:B 23.5321 +6 *3473:47 *5551:DIODE 9.3 +*END + +*D_NET *3474 0.0197434 +*CONN +*I *5549:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37714:B I *D sky130_fd_sc_hd__and2_1 +*I *40551:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5549:DIODE 0.000253446 +2 *37714:B 0.000222463 +3 *40551:X 2.56688e-05 +4 *3474:42 0.000521528 +5 *3474:39 0.00566024 +6 *3474:38 0.0066136 +7 *3474:18 0.00370987 +8 *3474:7 0.00273655 +9 *37714:B *37714:A 0 +10 *37714:B *3541:15 0 +11 *37714:B *4616:13 0 +12 *3474:7 *3491:13 0 +13 *3474:18 *3482:32 0 +14 *3474:18 *3482:36 0 +15 *3474:18 *3990:45 0 +16 *3474:18 *4077:37 0 +17 *3474:18 *4339:78 0 +18 *3474:38 *4729:10 0 +19 *3474:39 *3541:13 0 +20 *3474:39 *3541:15 0 +21 *5433:DIODE *3474:39 0 +22 *39626:A *3474:38 0 +23 *265:23 *5549:DIODE 0 +24 *265:23 *3474:42 0 +25 *1021:19 *5549:DIODE 0 +26 *1021:19 *3474:42 0 +27 *1257:13 *3474:39 0 +28 *1697:7 *3474:39 0 +29 *1697:14 *3474:39 0 +30 *1826:28 *3474:38 0 +31 *1846:13 *5549:DIODE 0 +32 *1849:15 *3474:39 0 +33 *2368:8 *3474:38 0 +34 *2380:14 *3474:38 0 +35 *2801:53 *5549:DIODE 0 +36 *2803:17 *3474:18 0 +37 *2970:45 *3474:38 0 +38 *2977:76 *3474:18 0 +39 *2977:89 *3474:18 0 +40 *3046:13 *3474:18 0 +41 *3046:26 *3474:18 0 +42 *3048:20 *3474:18 0 +43 *3284:33 *3474:39 0 +44 *3292:94 *3474:18 0 +45 *3400:84 *3474:38 0 +46 *3432:97 *3474:18 0 +47 *3470:59 *3474:38 0 +48 *3473:36 *3474:38 0 +*RES +1 *40551:X *3474:7 14.3357 +2 *3474:7 *3474:18 47.4936 +3 *3474:18 *3474:38 34.7197 +4 *3474:38 *3474:39 117.179 +5 *3474:39 *3474:42 5.53571 +6 *3474:42 *37714:B 18.4429 +7 *3474:42 *5549:DIODE 19.3536 +*END + +*D_NET *3475 0.0190047 +*CONN +*I *5547:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37712:B I *D sky130_fd_sc_hd__and2_1 +*I *40552:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5547:DIODE 0 +2 *37712:B 0.000307421 +3 *40552:X 0.000872597 +4 *3475:59 0.00212391 +5 *3475:58 0.00404539 +6 *3475:42 0.00509414 +7 *3475:28 0.00427695 +8 *3475:17 0.0022843 +9 *37712:B *37712:A 0 +10 *37712:B *3944:21 0 +11 *37712:B *3986:13 0 +12 *37712:B *4216:108 0 +13 *3475:17 *7295:DIODE 0 +14 *3475:17 *3776:89 0 +15 *3475:17 *4449:49 0 +16 *3475:42 *3491:30 0 +17 *3475:42 *4783:13 0 +18 *3475:42 *4783:42 0 +19 *3475:58 *40754:A 0 +20 *3475:58 *3700:82 0 +21 *3475:58 *4240:28 0 +22 *3475:58 *4580:30 0 +23 *3475:58 *4764:25 0 +24 *3475:59 *3986:13 0 +25 *6919:DIODE *3475:17 0 +26 *40552:A *3475:17 0 +27 *363:53 *3475:42 0 +28 *1005:91 *3475:58 0 +29 *1261:31 *3475:17 0 +30 *1864:72 *3475:42 0 +31 *1864:72 *3475:58 0 +32 *3089:38 *3475:42 0 +33 *3091:54 *3475:28 0 +34 *3179:23 *3475:42 0 +35 *3194:17 *3475:17 0 +36 *3199:50 *3475:42 0 +37 *3199:50 *3475:58 0 +38 *3199:62 *3475:42 0 +39 *3199:63 *3475:28 0 +40 *3342:19 *3475:42 0 +41 *3389:16 *3475:58 0 +42 *3398:40 *3475:58 0 +43 *3405:71 *3475:58 0 +44 *3421:35 *3475:42 0 +45 *3471:37 *3475:42 0 +*RES +1 *40552:X *3475:17 45.925 +2 *3475:17 *3475:28 47.875 +3 *3475:28 *3475:42 48.2592 +4 *3475:42 *3475:58 34.2283 +5 *3475:58 *3475:59 37.9107 +6 *3475:59 *37712:B 24.8 +7 *3475:59 *5547:DIODE 9.3 +*END + +*D_NET *3476 0.0192526 +*CONN +*I *37710:B I *D sky130_fd_sc_hd__and2_1 +*I *5545:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40553:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37710:B 0.000128085 +2 *5545:DIODE 0 +3 *40553:X 0.000656169 +4 *3476:59 0.00234116 +5 *3476:58 0.00243035 +6 *3476:45 0.0031012 +7 *3476:31 0.00447045 +8 *3476:23 0.00352777 +9 *3476:16 0.00259741 +10 *37710:B *5740:DIODE 0 +11 *37710:B *37710:A 0 +12 *37710:B *4405:37 0 +13 *3476:16 *3734:40 0 +14 *3476:16 *3975:118 0 +15 *3476:16 *4219:117 0 +16 *3476:16 *4580:30 0 +17 *3476:23 *3699:7 0 +18 *3476:23 *3986:13 0 +19 *3476:23 *4216:108 0 +20 *3476:23 *4579:22 0 +21 *3476:31 *6199:DIODE 0 +22 *3476:31 *3944:29 0 +23 *3476:31 *4743:13 0 +24 *3476:45 *39651:A 0 +25 *3476:45 *3495:36 0 +26 *3476:45 *3940:26 0 +27 *3476:58 *38056:B 0 +28 *3476:59 *4405:37 0 +29 *3476:59 *4640:7 0 +30 *6061:DIODE *3476:58 0 +31 *37677:A *3476:59 0 +32 *38056:A_N *3476:59 0 +33 *38069:A *3476:45 0 +34 *38073:A *3476:31 0 +35 *38127:A *3476:45 0 +36 *38136:C *3476:31 0 +37 *39620:A *3476:45 0 +38 *280:11 *3476:45 0 +39 *369:31 *3476:23 0 +40 *1013:77 *3476:16 0 +41 *1246:16 *3476:45 0 +42 *1586:17 *3476:16 0 +43 *1590:30 *3476:58 0 +44 *1590:42 *3476:45 0 +45 *1595:8 *37710:B 0 +46 *1748:8 *3476:58 0 +47 *1751:12 *3476:45 0 +48 *1850:17 *3476:16 0 +49 *2376:15 *3476:45 0 +50 *2423:10 *3476:45 0 +51 *2833:63 *3476:45 0 +52 *2973:37 *3476:59 0 +53 *2990:42 *3476:45 0 +54 *2996:15 *3476:45 0 +55 *2996:29 *3476:45 0 +56 *3006:20 *3476:45 0 +57 *3012:37 *3476:45 0 +58 *3039:33 *3476:23 0 +59 *3039:33 *3476:31 0 +60 *3039:46 *3476:31 0 +61 *3391:74 *3476:31 0 +62 *3394:63 *3476:23 0 +63 *3394:73 *3476:31 0 +64 *3394:73 *3476:45 0 +65 *3401:36 *3476:45 0 +66 *3408:24 *3476:16 0 +*RES +1 *40553:X *3476:16 35.549 +2 *3476:16 *3476:23 49.6964 +3 *3476:23 *3476:31 46.6964 +4 *3476:31 *3476:45 48.0194 +5 *3476:45 *3476:58 14.1964 +6 *3476:58 *3476:59 46.125 +7 *3476:59 *5545:DIODE 9.3 +8 *3476:59 *37710:B 21.1929 +*END + +*D_NET *3477 0.0194231 +*CONN +*I *40553:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8286:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40554:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40553:A 0.000175953 +2 *8286:DIODE 0 +3 *40554:X 0.00136919 +4 *3477:58 0.000188145 +5 *3477:53 0.00816641 +6 *3477:23 0.00952341 +7 *40553:A *4219:105 0 +8 *3477:23 *41425:A 0 +9 *3477:23 *3478:17 0 +10 *3477:23 *5100:31 0 +11 *3477:53 *3478:48 0 +12 *3477:53 *3745:49 0 +13 *3477:53 *3936:79 0 +14 *3477:53 *3999:52 0 +15 *3477:53 *3999:56 0 +16 *3477:53 *4013:98 0 +17 *3477:53 *4026:124 0 +18 *3477:53 *4030:23 0 +19 *3477:53 *4044:49 0 +20 *3477:53 *4232:40 0 +21 *3477:53 *4254:105 0 +22 *3477:53 *4270:66 0 +23 *3477:53 *4427:131 0 +24 *3477:53 *4596:48 0 +25 *3477:53 *5189:34 0 +26 *1453:30 *3477:53 0 +27 *1580:45 *3477:53 0 +28 *1850:17 *40553:A 0 +29 *2400:11 *3477:23 0 +30 *2808:17 *3477:53 0 +31 *2993:44 *3477:53 0 +32 *3023:58 *3477:53 0 +33 *3168:63 *3477:23 0 +34 *3297:35 *3477:23 0 +35 *3405:41 *3477:53 0 +36 *3416:57 *3477:23 0 +37 *3421:65 *3477:53 0 +*RES +1 *40554:X *3477:23 48.9786 +2 *3477:23 *3477:53 49.2812 +3 *3477:53 *3477:58 8.18679 +4 *3477:58 *8286:DIODE 9.3 +5 *3477:58 *40553:A 22.0321 +*END + +*D_NET *3478 0.0192006 +*CONN +*I *5540:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37706:B I *D sky130_fd_sc_hd__and2_1 +*I *40555:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5540:DIODE 0.000142248 +2 *37706:B 0 +3 *40555:X 0.00127557 +4 *3478:107 0.000793593 +5 *3478:98 0.00225111 +6 *3478:75 0.00291988 +7 *3478:68 0.00238785 +8 *3478:61 0.00265513 +9 *3478:48 0.0035435 +10 *3478:17 0.00323167 +11 *5540:DIODE *3935:21 0 +12 *5540:DIODE *4228:49 0 +13 *3478:17 *40269:A 0 +14 *3478:17 *40486:A 0 +15 *3478:17 *4553:37 0 +16 *3478:48 *3954:53 0 +17 *3478:48 *3975:51 0 +18 *3478:48 *4044:49 0 +19 *3478:48 *4219:42 0 +20 *3478:48 *4404:91 0 +21 *3478:61 *38118:B 0 +22 *3478:61 *38118:C 0 +23 *3478:61 *40236:A 0 +24 *3478:61 *3767:36 0 +25 *3478:61 *3954:65 0 +26 *3478:68 *3767:36 0 +27 *3478:68 *3954:65 0 +28 *3478:68 *3954:86 0 +29 *3478:68 *3981:59 0 +30 *3478:68 *4411:102 0 +31 *3478:68 *4411:121 0 +32 *3478:68 *4427:131 0 +33 *3478:75 *3954:86 0 +34 *3478:75 *3975:95 0 +35 *3478:75 *3975:106 0 +36 *3478:75 *4013:67 0 +37 *3478:75 *4013:80 0 +38 *3478:75 *4411:121 0 +39 *3478:75 *4427:131 0 +40 *3478:98 *3935:17 0 +41 *3478:98 *3957:13 0 +42 *3478:98 *3975:106 0 +43 *3478:98 *3981:67 0 +44 *3478:98 *4013:80 0 +45 *3478:98 *4228:49 0 +46 *3478:107 *3935:17 0 +47 *3478:107 *3935:21 0 +48 *3478:107 *4228:49 0 +49 *39587:A *3478:68 0 +50 *39601:A *3478:17 0 +51 *40158:A *3478:17 0 +52 *266:48 *3478:61 0 +53 *1450:45 *3478:48 0 +54 *1450:63 *3478:61 0 +55 *2387:7 *3478:68 0 +56 *2414:22 *3478:98 0 +57 *2414:41 *3478:98 0 +58 *2713:191 *3478:17 0 +59 *2970:56 *3478:98 0 +60 *2993:44 *3478:17 0 +61 *2993:44 *3478:48 0 +62 *3077:70 *3478:17 0 +63 *3094:7 *3478:75 0 +64 *3107:28 *3478:61 0 +65 *3155:15 *3478:17 0 +66 *3168:61 *3478:17 0 +67 *3168:63 *3478:17 0 +68 *3288:24 *3478:48 0 +69 *3348:112 *3478:48 0 +70 *3397:17 *3478:98 0 +71 *3398:40 *3478:48 0 +72 *3405:41 *3478:48 0 +73 *3410:128 *3478:68 0 +74 *3412:106 *3478:61 0 +75 *3412:119 *3478:61 0 +76 *3416:57 *3478:17 0 +77 *3420:60 *3478:17 0 +78 *3477:23 *3478:17 0 +79 *3477:53 *3478:48 0 +*RES +1 *40555:X *3478:17 46.4071 +2 *3478:17 *3478:48 49.0996 +3 *3478:48 *3478:61 37.7857 +4 *3478:61 *3478:68 31.4286 +5 *3478:68 *3478:75 27.5982 +6 *3478:75 *3478:98 47.8661 +7 *3478:98 *3478:107 18.3393 +8 *3478:107 *37706:B 9.3 +9 *3478:107 *5540:DIODE 12.3 +*END + +*D_NET *3479 0.0216931 +*CONN +*I *37704:B I *D sky130_fd_sc_hd__and2_1 +*I *5538:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40556:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37704:B 0.000214344 +2 *5538:DIODE 0 +3 *40556:X 0.00110258 +4 *3479:105 0.00139664 +5 *3479:98 0.00312798 +6 *3479:82 0.00377714 +7 *3479:73 0.00306314 +8 *3479:61 0.00287631 +9 *3479:30 0.0033385 +10 *3479:20 0.00279644 +11 *37704:B *3718:41 0 +12 *3479:20 *40210:A 0 +13 *3479:20 *3481:22 0 +14 *3479:20 *4602:54 0 +15 *3479:20 *5034:57 0 +16 *3479:20 *5169:40 0 +17 *3479:30 *3489:8 0 +18 *3479:30 *4010:48 0 +19 *3479:30 *4251:92 0 +20 *3479:61 *8463:DIODE 0 +21 *3479:61 *3657:31 0 +22 *3479:61 *3684:111 0 +23 *3479:61 *3718:14 0 +24 *3479:61 *3719:61 0 +25 *3479:61 *3732:39 0 +26 *3479:61 *3739:21 0 +27 *3479:61 *3758:85 0 +28 *3479:61 *3780:83 0 +29 *3479:61 *4021:26 0 +30 *3479:61 *4084:65 0 +31 *3479:61 *4895:19 0 +32 *3479:73 *38304:B 0 +33 *3479:73 *3487:73 0 +34 *3479:73 *3487:75 0 +35 *3479:73 *3776:50 0 +36 *3479:73 *4084:65 0 +37 *3479:73 *4087:49 0 +38 *3479:73 *4334:42 0 +39 *3479:82 *3487:75 0 +40 *3479:82 *3718:23 0 +41 *3479:82 *4080:17 0 +42 *3479:82 *4080:29 0 +43 *3479:82 *4087:49 0 +44 *3479:98 *3773:55 0 +45 *3479:98 *3779:50 0 +46 *3479:98 *4080:29 0 +47 *3479:105 *5462:DIODE 0 +48 *3479:105 *3718:41 0 +49 *3479:105 *3802:53 0 +50 *6029:DIODE *3479:61 0 +51 *6437:DIODE *3479:73 0 +52 *6609:DIODE *3479:20 0 +53 *37644:B *3479:105 0 +54 *38304:A *3479:73 0 +55 *40180:A *3479:73 0 +56 *40182:A *3479:61 0 +57 *40322:A *3479:20 0 +58 *281:15 *3479:98 0 +59 *1221:14 *3479:105 0 +60 *1551:19 *3479:73 0 +61 *1552:16 *3479:98 0 +62 *1581:44 *3479:82 0 +63 *1696:16 *3479:61 0 +64 *2373:119 *3479:20 0 +65 *2396:40 *3479:30 0 +66 *2721:14 *3479:98 0 +67 *2816:64 *3479:30 0 +68 *2893:43 *3479:20 0 +69 *2970:45 *3479:98 0 +70 *3023:40 *3479:30 0 +71 *3088:18 *3479:98 0 +72 *3188:46 *3479:20 0 +73 *3203:30 *3479:20 0 +74 *3264:66 *3479:20 0 +75 *3290:42 *3479:98 0 +76 *3295:90 *3479:82 0 +77 *3297:106 *3479:105 0 +78 *3473:36 *3479:98 0 +*RES +1 *40556:X *3479:20 46.6839 +2 *3479:20 *3479:30 46.7232 +3 *3479:30 *3479:61 49.0714 +4 *3479:61 *3479:73 25.8929 +5 *3479:73 *3479:82 47.3571 +6 *3479:82 *3479:98 49.8472 +7 *3479:98 *3479:105 33.6964 +8 *3479:105 *5538:DIODE 9.3 +9 *3479:105 *37704:B 23.1214 +*END + +*D_NET *3480 0.0185328 +*CONN +*I *37700:B I *D sky130_fd_sc_hd__and2_1 +*I *5533:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40557:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *37700:B 0.000234299 +2 *5533:DIODE 0 +3 *40557:X 0.000942335 +4 *3480:49 0.00218021 +5 *3480:39 0.00551387 +6 *3480:38 0.00455389 +7 *3480:28 0.0025759 +8 *3480:11 0.00253231 +9 *37700:B *3656:51 0 +10 *3480:11 *38356:B 0 +11 *3480:11 *3779:82 0 +12 *3480:11 *4010:142 0 +13 *3480:28 *41390:A 0 +14 *3480:28 *3764:69 0 +15 *3480:28 *4405:9 0 +16 *3480:38 *3761:84 0 +17 *3480:38 *4601:74 0 +18 *3480:38 *4612:14 0 +19 *3480:39 *3656:45 0 +20 *3480:39 *3656:49 0 +21 *3480:39 *3737:33 0 +22 *3480:39 *4629:15 0 +23 *3480:49 *3656:51 0 +24 *5521:DIODE *3480:39 0 +25 *6488:DIODE *3480:11 0 +26 *37701:A *37700:B 0 +27 *39585:A *3480:11 0 +28 *39636:A *3480:28 0 +29 *283:18 *3480:39 0 +30 *362:17 *3480:49 0 +31 *385:11 *3480:38 0 +32 *1244:30 *3480:38 0 +33 *1260:14 *3480:38 0 +34 *1460:30 *3480:38 0 +35 *1464:26 *37700:B 0 +36 *1595:8 *37700:B 0 +37 *1698:32 *3480:38 0 +38 *1710:11 *3480:39 0 +39 *1739:8 *37700:B 0 +40 *1744:16 *3480:28 0 +41 *1748:8 *3480:49 0 +42 *2392:13 *3480:28 0 +43 *2802:60 *3480:39 0 +44 *2813:58 *3480:38 0 +45 *2830:56 *3480:28 0 +46 *2973:36 *3480:38 0 +47 *3009:52 *3480:28 0 +48 *3046:32 *3480:39 0 +49 *3418:42 *3480:11 0 +50 *3425:24 *3480:11 0 +*RES +1 *40557:X *3480:11 39.0143 +2 *3480:11 *3480:28 46.9554 +3 *3480:28 *3480:38 19.0609 +4 *3480:38 *3480:39 74.4643 +5 *3480:39 *3480:49 49.8393 +6 *3480:49 *5533:DIODE 9.3 +7 *3480:49 *37700:B 23.5321 +*END + +*D_NET *3481 0.0223722 +*CONN +*I *40557:A I *D sky130_fd_sc_hd__buf_2 +*I *8287:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40558:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40557:A 2.26741e-05 +2 *8287:DIODE 0.000333428 +3 *40558:X 0.00215742 +4 *3481:79 0.00135395 +5 *3481:68 0.00266906 +6 *3481:57 0.00425819 +7 *3481:56 0.00292258 +8 *3481:48 0.00248163 +9 *3481:43 0.00308096 +10 *3481:22 0.00309234 +11 *8287:DIODE *38342:B 0 +12 *3481:22 *3987:43 0 +13 *3481:22 *4044:25 0 +14 *3481:22 *4094:60 0 +15 *3481:22 *5179:91 0 +16 *3481:22 *5189:34 0 +17 *3481:43 *6169:DIODE 0 +18 *3481:43 *38290:B 0 +19 *3481:43 *3963:34 0 +20 *3481:43 *4018:57 0 +21 *3481:43 *4360:66 0 +22 *3481:48 *38334:B 0 +23 *3481:48 *3810:35 0 +24 *3481:48 *3963:34 0 +25 *3481:48 *4018:86 0 +26 *3481:56 *4256:77 0 +27 *3481:57 *38312:B 0 +28 *3481:57 *40971:A 0 +29 *3481:57 *3498:43 0 +30 *3481:57 *3498:51 0 +31 *3481:57 *3501:87 0 +32 *3481:57 *4018:86 0 +33 *3481:68 *8637:DIODE 0 +34 *3481:68 *3495:19 0 +35 *3481:68 *3950:83 0 +36 *3481:68 *4018:109 0 +37 *3481:68 *4073:79 0 +38 *6490:DIODE *3481:48 0 +39 *7870:DIODE *3481:48 0 +40 *37630:B *3481:48 0 +41 *38290:A *3481:43 0 +42 *38334:A *3481:48 0 +43 *38336:A *3481:68 0 +44 *38357:A *3481:79 0 +45 *39619:A *3481:57 0 +46 *39959:A *3481:57 0 +47 *40138:A *3481:68 0 +48 *287:29 *3481:68 0 +49 *1013:77 *8287:DIODE 0 +50 *1025:160 *3481:22 0 +51 *1214:14 *3481:48 0 +52 *1214:31 *3481:57 0 +53 *1462:33 *3481:43 0 +54 *1462:33 *3481:48 0 +55 *1462:54 *3481:48 0 +56 *1569:12 *3481:68 0 +57 *2403:52 *3481:22 0 +58 *2712:38 *3481:22 0 +59 *2806:92 *3481:68 0 +60 *2815:43 *3481:57 0 +61 *2815:70 *3481:57 0 +62 *2825:43 *3481:56 0 +63 *2999:37 *3481:68 0 +64 *3039:15 *8287:DIODE 0 +65 *3039:15 *40557:A 0 +66 *3039:15 *3481:79 0 +67 *3100:17 *3481:22 0 +68 *3273:27 *3481:57 0 +69 *3286:34 *3481:22 0 +70 *3286:79 *3481:57 0 +71 *3286:90 *3481:57 0 +72 *3301:68 *3481:48 0 +73 *3394:61 *3481:68 0 +74 *3394:63 *40557:A 0 +75 *3394:63 *3481:79 0 +76 *3402:166 *3481:68 0 +77 *3406:92 *3481:57 0 +78 *3408:24 *3481:79 0 +79 *3411:121 *3481:48 0 +80 *3417:119 *3481:48 0 +81 *3428:58 *3481:68 0 +82 *3479:20 *3481:22 0 +*RES +1 *40558:X *3481:22 49.8729 +2 *3481:22 *3481:43 25.0893 +3 *3481:43 *3481:48 49.5714 +4 *3481:48 *3481:56 20.7857 +5 *3481:56 *3481:57 53.9286 +6 *3481:57 *3481:68 48.8393 +7 *3481:68 *3481:79 24.596 +8 *3481:79 *8287:DIODE 25.3179 +9 *3481:79 *40557:A 9.83571 +*END + +*D_NET *3482 0.0203853 +*CONN +*I *37696:B I *D sky130_fd_sc_hd__and2_1 +*I *5527:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40559:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37696:B 0 +2 *5527:DIODE 9.86503e-05 +3 *40559:X 0.000703474 +4 *3482:36 0.0062485 +5 *3482:32 0.00850686 +6 *3482:21 0.00324066 +7 *3482:15 0.00158712 +8 *5527:DIODE *37696:A 0 +9 *3482:15 *4563:86 0 +10 *3482:21 *4018:86 0 +11 *3482:32 *3485:62 0 +12 *3482:32 *4007:20 0 +13 *3482:32 *4077:19 0 +14 *3482:32 *4224:51 0 +15 *3482:32 *4909:8 0 +16 *3482:36 *37752:A 0 +17 *3482:36 *4601:70 0 +18 *263:15 *3482:36 0 +19 *1018:71 *5527:DIODE 0 +20 *1018:71 *3482:36 0 +21 *1243:20 *3482:36 0 +22 *1257:13 *3482:36 0 +23 *1273:30 *3482:32 0 +24 *1423:21 *3482:36 0 +25 *1424:15 *3482:32 0 +26 *1450:63 *3482:15 0 +27 *1461:15 *5527:DIODE 0 +28 *1549:44 *3482:32 0 +29 *1566:11 *3482:32 0 +30 *2801:49 *3482:36 0 +31 *3046:13 *3482:32 0 +32 *3046:26 *3482:36 0 +33 *3048:13 *3482:32 0 +34 *3048:20 *3482:32 0 +35 *3048:20 *3482:36 0 +36 *3389:36 *3482:15 0 +37 *3402:102 *3482:15 0 +38 *3416:73 *3482:15 0 +39 *3470:59 *3482:32 0 +40 *3474:18 *3482:32 0 +41 *3474:18 *3482:36 0 +*RES +1 *40559:X *3482:15 37.6393 +2 *3482:15 *3482:21 28.7321 +3 *3482:21 *3482:32 37.1724 +4 *3482:32 *3482:36 31.7954 +5 *3482:36 *5527:DIODE 16.1036 +6 *3482:36 *37696:B 13.8 +*END + +*D_NET *3483 0.00355362 +*CONN +*I *40538:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38528:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40538:A 0.000670811 +2 *38528:X 0.001106 +3 *3483:12 0.00177681 +4 *40538:A *4835:25 0 +5 *3483:12 *3616:34 0 +6 *3483:12 *4458:11 0 +7 *3483:12 *4829:13 0 +8 *6664:DIODE *3483:12 0 +9 *37364:A *3483:12 0 +10 *586:8 *3483:12 0 +11 *3058:5 *40538:A 0 +12 *3333:9 *3483:12 0 +*RES +1 *38528:X *3483:12 46.5679 +2 *3483:12 *40538:A 27.8 +*END + +*D_NET *3484 0.0191842 +*CONN +*I *37694:B I *D sky130_fd_sc_hd__and2_1 +*I *5525:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40560:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37694:B 9.50347e-05 +2 *5525:DIODE 0 +3 *40560:X 0.00128471 +4 *3484:61 0.000848841 +5 *3484:58 0.00280968 +6 *3484:46 0.00403684 +7 *3484:37 0.00366741 +8 *3484:26 0.00342169 +9 *3484:14 0.00301996 +10 *37694:B *4610:10 0 +11 *3484:14 *3696:136 0 +12 *3484:14 *5167:19 0 +13 *3484:14 *5167:30 0 +14 *3484:26 *40226:A 0 +15 *3484:37 *41402:A 0 +16 *3484:37 *3491:13 0 +17 *3484:37 *4043:17 0 +18 *3484:37 *4043:19 0 +19 *3484:37 *4779:17 0 +20 *3484:37 *5167:18 0 +21 *3484:46 *3495:19 0 +22 *3484:46 *4906:8 0 +23 *3484:58 *3779:94 0 +24 *3484:58 *3779:95 0 +25 *38348:A *3484:46 0 +26 *265:23 *37694:B 0 +27 *372:39 *3484:46 0 +28 *1014:118 *3484:46 0 +29 *1241:9 *3484:58 0 +30 *1457:42 *3484:14 0 +31 *1467:8 *3484:58 0 +32 *1574:17 *3484:46 0 +33 *2411:18 *3484:37 0 +34 *2803:46 *3484:58 0 +35 *2804:11 *3484:37 0 +36 *2806:108 *3484:46 0 +37 *2830:66 *3484:61 0 +38 *2979:11 *3484:37 0 +39 *2990:21 *3484:58 0 +40 *3022:13 *3484:14 0 +41 *3091:72 *3484:14 0 +42 *3286:49 *3484:14 0 +43 *3286:114 *3484:46 0 +44 *3389:17 *3484:26 0 +45 *3389:28 *3484:26 0 +46 *3416:73 *3484:14 0 +47 *3421:65 *3484:37 0 +*RES +1 *40560:X *3484:14 49.8536 +2 *3484:14 *3484:26 49.9107 +3 *3484:26 *3484:37 39.8214 +4 *3484:37 *3484:46 37.0775 +5 *3484:46 *3484:58 47.8571 +6 *3484:58 *3484:61 20.2321 +7 *3484:61 *5525:DIODE 9.3 +8 *3484:61 *37694:B 20.4786 +*END + +*D_NET *3485 0.0291636 +*CONN +*I *8290:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40560:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40561:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8290:DIODE 2.56688e-05 +2 *40560:A 0.000195653 +3 *40561:X 0.00175909 +4 *3485:64 0.000416538 +5 *3485:62 0.00225067 +6 *3485:59 0.00390623 +7 *3485:41 0.00630431 +8 *3485:40 0.00490012 +9 *3485:35 0.00239638 +10 *3485:23 0.00359983 +11 *3485:17 0.00340913 +12 *8290:DIODE *3491:11 0 +13 *40560:A *3491:11 0 +14 *40560:A *3776:84 0 +15 *3485:17 *3490:14 0 +16 *3485:17 *3493:29 0 +17 *3485:62 *4040:38 0 +18 *359:29 *3485:62 0 +19 *374:5 *3485:40 0 +20 *901:17 *3485:17 0 +21 *1273:30 *3485:62 0 +22 *1273:41 *3485:41 0 +23 *1337:26 *3485:35 0 +24 *1339:16 *3485:23 0 +25 *1457:42 *8290:DIODE 0 +26 *1546:14 *3485:35 0 +27 *1549:44 *3485:62 0 +28 *1566:11 *3485:62 0 +29 *2374:23 *3485:23 0 +30 *2398:35 *3485:41 0 +31 *2398:35 *3485:59 0 +32 *2413:38 *3485:41 0 +33 *2430:23 *3485:41 0 +34 *2432:10 *3485:23 0 +35 *2818:17 *3485:23 0 +36 *2818:73 *3485:59 0 +37 *2828:30 *3485:59 0 +38 *2831:63 *3485:59 0 +39 *2834:35 *3485:59 0 +40 *2924:11 *3485:35 0 +41 *2972:64 *3485:41 0 +42 *2975:9 *3485:35 0 +43 *2989:18 *3485:35 0 +44 *3001:29 *3485:35 0 +45 *3007:12 *3485:40 0 +46 *3036:46 *3485:41 0 +47 *3042:70 *3485:59 0 +48 *3112:24 *3485:17 0 +49 *3230:39 *3485:17 0 +50 *3245:51 *3485:17 0 +51 *3275:86 *3485:62 0 +52 *3428:40 *3485:59 0 +53 *3439:38 *3485:17 0 +54 *3482:32 *3485:62 0 +*RES +1 *40561:X *3485:17 35.0234 +2 *3485:17 *3485:23 45.9196 +3 *3485:23 *3485:35 46.8214 +4 *3485:35 *3485:40 19.1429 +5 *3485:40 *3485:41 92.9464 +6 *3485:41 *3485:59 49.955 +7 *3485:59 *3485:62 46.6518 +8 *3485:62 *3485:64 4.52679 +9 *3485:64 *40560:A 17.9429 +10 *3485:64 *8290:DIODE 14.3357 +*END + +*D_NET *3486 0.0185132 +*CONN +*I *37692:B I *D sky130_fd_sc_hd__and2_1 +*I *5523:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40562:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37692:B 0.000235878 +2 *5523:DIODE 0 +3 *40562:X 0 +4 *3486:20 0.00175617 +5 *3486:11 0.00346213 +6 *3486:5 0.00750042 +7 *3486:4 0.00555857 +8 *37692:B *4043:19 0 +9 *3486:5 *8255:DIODE 0 +10 *3486:5 *8999:DIODE 0 +11 *3486:5 *40482:A 0 +12 *3486:5 *3695:18 0 +13 *3486:5 *4449:49 0 +14 *3486:5 *4449:51 0 +15 *3486:5 *4563:58 0 +16 *3486:5 *4564:21 0 +17 *3486:5 *4777:22 0 +18 *3486:5 *4777:31 0 +19 *3486:5 *5171:16 0 +20 *3486:5 *5171:27 0 +21 *3486:5 *5171:57 0 +22 *3486:11 *4777:22 0 +23 *3486:20 *4777:14 0 +24 *6945:DIODE *3486:5 0 +25 *7511:DIODE *3486:5 0 +26 *37718:B *3486:20 0 +27 *263:15 *3486:20 0 +28 *265:27 *37692:B 0 +29 *368:79 *3486:5 0 +30 *1259:30 *3486:5 0 +31 *1627:14 *3486:11 0 +32 *1727:9 *3486:20 0 +33 *1734:29 *3486:11 0 +34 *1744:16 *3486:20 0 +35 *3091:41 *3486:5 0 +36 *3091:54 *3486:5 0 +37 *3095:9 *3486:11 0 +38 *3116:41 *3486:5 0 +39 *3189:43 *3486:5 0 +40 *3199:18 *3486:5 0 +41 *3370:8 *3486:5 0 +42 *3405:71 *3486:20 0 +*RES +1 *40562:X *3486:4 9.3 +2 *3486:4 *3486:5 115.946 +3 *3486:5 *3486:11 45.0893 +4 *3486:11 *3486:20 46.1607 +5 *3486:20 *5523:DIODE 9.3 +6 *3486:20 *37692:B 23.5321 +*END + +*D_NET *3487 0.02174 +*CONN +*I *37690:B I *D sky130_fd_sc_hd__and2_2 +*I *5520:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40563:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37690:B 0.000180795 +2 *5520:DIODE 0 +3 *40563:X 0.00279649 +4 *3487:75 0.000656095 +5 *3487:73 0.00212458 +6 *3487:59 0.0037621 +7 *3487:45 0.00576813 +8 *3487:20 0.00645181 +9 *3487:20 *3653:56 0 +10 *3487:20 *4014:40 0 +11 *3487:20 *4088:28 0 +12 *3487:20 *4111:16 0 +13 *3487:20 *4254:19 0 +14 *3487:45 *8305:DIODE 0 +15 *3487:45 *3958:19 0 +16 *3487:45 *3976:60 0 +17 *3487:45 *3987:43 0 +18 *3487:45 *4030:18 0 +19 *3487:45 *4215:74 0 +20 *3487:45 *4232:40 0 +21 *3487:45 *4240:21 0 +22 *3487:45 *4263:53 0 +23 *3487:45 *5189:34 0 +24 *3487:59 *4330:28 0 +25 *3487:73 *38304:B 0 +26 *3487:73 *3773:15 0 +27 *3487:73 *3780:83 0 +28 *3487:73 *4330:36 0 +29 *3487:73 *4334:42 0 +30 *3487:73 *4339:35 0 +31 *3487:75 *3718:23 0 +32 *3487:75 *3776:71 0 +33 *3487:75 *4339:66 0 +34 *40238:A *3487:45 0 +35 *40265:A *3487:20 0 +36 *1003:36 *3487:59 0 +37 *1004:23 *37690:B 0 +38 *1008:109 *3487:59 0 +39 *1010:119 *3487:45 0 +40 *1027:74 *3487:45 0 +41 *1250:21 *3487:59 0 +42 *1250:21 *3487:73 0 +43 *1449:17 *3487:20 0 +44 *2813:16 *37690:B 0 +45 *2822:176 *3487:45 0 +46 *2977:31 *3487:20 0 +47 *3020:11 *3487:59 0 +48 *3113:70 *3487:45 0 +49 *3119:10 *3487:45 0 +50 *3275:86 *37690:B 0 +51 *3301:68 *3487:45 0 +52 *3348:57 *3487:45 0 +53 *3406:45 *3487:45 0 +54 *3407:13 *3487:20 0 +55 *3479:73 *3487:73 0 +56 *3479:73 *3487:75 0 +57 *3479:82 *3487:75 0 +*RES +1 *40563:X *3487:20 45.807 +2 *3487:20 *3487:45 49.4704 +3 *3487:45 *3487:59 44.8335 +4 *3487:59 *3487:73 43.6964 +5 *3487:73 *3487:75 9.98214 +6 *3487:75 *5520:DIODE 9.3 +7 *3487:75 *37690:B 22.3179 +*END + +*D_NET *3488 0.0188086 +*CONN +*I *37490:B I *D sky130_fd_sc_hd__and2_1 +*I *5300:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40564:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37490:B 0.000163425 +2 *5300:DIODE 0 +3 *40564:X 0.00214226 +4 *3488:32 0.000235765 +5 *3488:27 0.00617894 +6 *3488:26 0.00702626 +7 *3488:13 0.00306192 +8 *37490:B *3878:31 0 +9 *37490:B *3901:21 0 +10 *3488:13 *39061:A 0 +11 *3488:13 *3877:20 0 +12 *3488:13 *3922:33 0 +13 *3488:13 *4433:25 0 +14 *3488:13 *4582:13 0 +15 *3488:13 *4604:7 0 +16 *3488:13 *4660:16 0 +17 *3488:13 *4797:50 0 +18 *3488:26 *3877:20 0 +19 *3488:26 *3922:33 0 +20 *3488:26 *4817:20 0 +21 *3488:27 *3900:9 0 +22 *3488:27 *3901:40 0 +23 *3488:27 *4817:26 0 +24 *6631:DIODE *3488:13 0 +25 *6774:DIODE *3488:13 0 +26 *6953:DIODE *3488:13 0 +27 *38637:A *3488:13 0 +28 *38791:A *3488:13 0 +29 *39509:B *3488:26 0 +30 *40543:A *3488:27 0 +31 *40544:A *3488:27 0 +32 *1608:18 *3488:27 0 +33 *1782:36 *3488:13 0 +34 *3204:8 *3488:26 0 +35 *3249:24 *3488:26 0 +36 *3304:34 *3488:13 0 +37 *3463:8 *3488:32 0 +38 *3463:11 *3488:27 0 +39 *3465:5 *3488:27 0 +40 *3465:25 *3488:13 0 +*RES +1 *40564:X *3488:13 49.0816 +2 *3488:13 *3488:26 33.2054 +3 *3488:26 *3488:27 127.446 +4 *3488:27 *3488:32 10.6429 +5 *3488:32 *5300:DIODE 9.3 +6 *3488:32 *37490:B 12.7107 +*END + +*D_NET *3489 0.0241953 +*CONN +*I *37688:B I *D sky130_fd_sc_hd__and2_1 +*I *5517:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40565:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37688:B 0 +2 *5517:DIODE 0.000204145 +3 *40565:X 2.56688e-05 +4 *3489:26 0.00135635 +5 *3489:25 0.00261442 +6 *3489:17 0.00678164 +7 *3489:16 0.00676576 +8 *3489:8 0.00393399 +9 *3489:7 0.00251332 +10 *3489:8 *3543:38 0 +11 *3489:8 *5028:6 0 +12 *3489:16 *3654:29 0 +13 *3489:16 *4217:26 0 +14 *3489:17 *3514:48 0 +15 *3489:17 *4733:20 0 +16 *3489:25 *5019:23 0 +17 *3489:26 *4745:10 0 +18 *3489:26 *4908:12 0 +19 *372:37 *3489:26 0 +20 *1019:23 *3489:25 0 +21 *1251:16 *3489:26 0 +22 *1822:16 *3489:17 0 +23 *2382:19 *3489:17 0 +24 *2385:10 *3489:26 0 +25 *2387:11 *3489:17 0 +26 *2396:40 *3489:8 0 +27 *2398:23 *3489:25 0 +28 *2443:10 *3489:8 0 +29 *2444:38 *3489:16 0 +30 *2807:35 *3489:17 0 +31 *2820:29 *3489:16 0 +32 *2828:35 *3489:25 0 +33 *2996:15 *5517:DIODE 0 +34 *3009:42 *5517:DIODE 0 +35 *3009:42 *3489:26 0 +36 *3286:114 *5517:DIODE 0 +37 *3297:35 *3489:7 0 +38 *3429:39 *3489:16 0 +39 *3479:30 *3489:8 0 +*RES +1 *40565:X *3489:7 14.3357 +2 *3489:7 *3489:8 56.4375 +3 *3489:8 *3489:16 21.1285 +4 *3489:16 *3489:17 111.018 +5 *3489:17 *3489:25 44.125 +6 *3489:25 *3489:26 26.2321 +7 *3489:26 *5517:DIODE 18.3179 +8 *3489:26 *37688:B 13.8 +*END + +*D_NET *3490 0.031051 +*CONN +*I *37686:B I *D sky130_fd_sc_hd__and2_1 +*I *5514:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40566:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37686:B 9.90632e-05 +2 *5514:DIODE 6.50276e-05 +3 *40566:X 0.00366058 +4 *3490:25 0.00118656 +5 *3490:20 0.00306211 +6 *3490:17 0.0106783 +7 *3490:14 0.0122993 +8 *3490:14 *3675:74 0 +9 *3490:17 *3499:67 0 +10 *3490:17 *3543:35 0 +11 *3490:17 *5106:17 0 +12 *3490:20 *3745:27 0 +13 *3490:20 *3941:63 0 +14 *3490:20 *3967:40 0 +15 *3490:20 *3990:45 0 +16 *3490:20 *4894:6 0 +17 *3490:25 *38114:C 0 +18 *3490:25 *4018:86 0 +19 *3490:25 *4244:29 0 +20 *3490:25 *4427:131 0 +21 *901:17 *3490:14 0 +22 *1000:33 *3490:17 0 +23 *1018:62 *3490:17 0 +24 *1419:41 *3490:14 0 +25 *1450:80 *3490:25 0 +26 *1457:42 *3490:25 0 +27 *1553:23 *3490:20 0 +28 *1564:16 *3490:25 0 +29 *1826:50 *3490:20 0 +30 *2378:16 *3490:20 0 +31 *2402:55 *3490:17 0 +32 *2430:54 *3490:17 0 +33 *2712:143 *3490:14 0 +34 *2826:33 *3490:17 0 +35 *2871:39 *3490:17 0 +36 *2968:53 *3490:25 0 +37 *3010:20 *3490:14 0 +38 *3011:58 *3490:14 0 +39 *3076:26 *3490:14 0 +40 *3091:72 *3490:25 0 +41 *3184:35 *5514:DIODE 0 +42 *3230:39 *3490:14 0 +43 *3275:32 *3490:14 0 +44 *3278:47 *3490:20 0 +45 *3301:114 *3490:20 0 +46 *3342:19 *5514:DIODE 0 +47 *3402:157 *3490:25 0 +48 *3421:35 *3490:25 0 +49 *3485:17 *3490:14 0 +*RES +1 *40566:X *3490:14 39.442 +2 *3490:14 *3490:17 34.6979 +3 *3490:17 *3490:20 46.3482 +4 *3490:20 *3490:25 27.7321 +5 *3490:25 *5514:DIODE 10.6571 +6 *3490:25 *37686:B 20.55 +*END + +*D_NET *3491 0.0200067 +*CONN +*I *37684:B I *D sky130_fd_sc_hd__and2_1 +*I *5512:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40567:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37684:B 0.000238912 +2 *5512:DIODE 2.56688e-05 +3 *40567:X 0.000717656 +4 *3491:48 0.00208263 +5 *3491:42 0.00347283 +6 *3491:30 0.00345651 +7 *3491:13 0.0055483 +8 *3491:11 0.00446423 +9 *37684:B *4744:24 0 +10 *3491:11 *3776:84 0 +11 *3491:11 *4427:113 0 +12 *3491:11 *4563:86 0 +13 *3491:13 *3496:34 0 +14 *3491:13 *4043:17 0 +15 *3491:13 *4411:121 0 +16 *3491:13 *4427:113 0 +17 *3491:13 *4758:5 0 +18 *3491:30 *3724:94 0 +19 *3491:30 *4572:18 0 +20 *3491:42 *5176:9 0 +21 *5271:DIODE *3491:13 0 +22 *8290:DIODE *3491:11 0 +23 *38089:A *37684:B 0 +24 *39961:A *3491:13 0 +25 *40560:A *3491:11 0 +26 *1005:91 *3491:30 0 +27 *1013:84 *3491:30 0 +28 *1457:42 *3491:11 0 +29 *1722:16 *3491:48 0 +30 *1727:9 *3491:48 0 +31 *1831:28 *3491:48 0 +32 *2804:11 *3491:13 0 +33 *2815:106 *3491:48 0 +34 *2825:59 *3491:48 0 +35 *2970:58 *3491:30 0 +36 *2999:54 *3491:48 0 +37 *3012:31 *3491:48 0 +38 *3026:5 *3491:48 0 +39 *3044:21 *5512:DIODE 0 +40 *3044:21 *37684:B 0 +41 *3091:72 *3491:11 0 +42 *3091:72 *3491:13 0 +43 *3095:27 *3491:30 0 +44 *3342:19 *3491:30 0 +45 *3389:5 *3491:42 0 +46 *3389:17 *3491:13 0 +47 *3389:28 *3491:13 0 +48 *3392:68 *3491:42 0 +49 *3392:93 *3491:42 0 +50 *3392:93 *3491:48 0 +51 *3395:106 *3491:48 0 +52 *3405:71 *3491:42 0 +53 *3407:52 *3491:30 0 +54 *3419:28 *3491:30 0 +55 *3474:7 *3491:13 0 +56 *3475:42 *3491:30 0 +57 *3484:37 *3491:13 0 +*RES +1 *40567:X *3491:11 24.3714 +2 *3491:11 *3491:13 78.1607 +3 *3491:13 *3491:30 41.7101 +4 *3491:30 *3491:42 45.1266 +5 *3491:42 *3491:48 48.6786 +6 *3491:48 *5512:DIODE 9.83571 +7 *3491:48 *37684:B 23.6571 +*END + +*D_NET *3492 0.0314507 +*CONN +*I *40567:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8294:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40568:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40567:A 2.56688e-05 +2 *8294:DIODE 5.89699e-05 +3 *40568:X 0.00407162 +4 *3492:26 0.00128667 +5 *3492:20 0.00328838 +6 *3492:17 0.010367 +7 *3492:14 0.0123523 +8 *3492:14 *3503:16 0 +9 *3492:17 *3528:45 0 +10 *3492:17 *3584:37 0 +11 *3492:17 *4241:110 0 +12 *3492:17 *4331:37 0 +13 *3492:20 *5025:12 0 +14 *3492:20 *5025:14 0 +15 *7868:DIODE *3492:20 0 +16 *361:25 *3492:17 0 +17 *363:19 *3492:17 0 +18 *372:22 *3492:17 0 +19 *1007:186 *3492:17 0 +20 *1015:121 *3492:17 0 +21 *1031:86 *3492:20 0 +22 *1250:35 *3492:26 0 +23 *1428:19 *3492:17 0 +24 *1863:28 *3492:26 0 +25 *1863:32 *3492:26 0 +26 *2400:39 *3492:17 0 +27 *2469:21 *3492:20 0 +28 *2479:39 *3492:17 0 +29 *2968:21 *3492:14 0 +30 *2995:19 *3492:14 0 +31 *3040:25 *3492:17 0 +32 *3047:68 *3492:20 0 +33 *3230:39 *3492:14 0 +34 *3276:60 *3492:14 0 +35 *3299:19 *3492:17 0 +36 *3411:17 *3492:14 0 +37 *3427:87 *3492:17 0 +38 *3469:14 *3492:14 0 +39 *3469:27 *3492:17 0 +*RES +1 *40568:X *3492:14 41.1465 +2 *3492:14 *3492:17 33.4007 +3 *3492:17 *3492:20 47.4107 +4 *3492:20 *3492:26 27.4554 +5 *3492:26 *8294:DIODE 15.1393 +6 *3492:26 *40567:A 14.3357 +*END + +*D_NET *3493 0.0202439 +*CONN +*I *38058:C I *D sky130_fd_sc_hd__and3b_1 +*I *6067:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40569:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38058:C 2.26741e-05 +2 *6067:DIODE 0.000739071 +3 *40569:X 0.00699837 +4 *3493:29 0.0031236 +5 *3493:11 0.00936022 +6 *6067:DIODE *6066:DIODE 0 +7 *6067:DIODE *3997:79 0 +8 *6067:DIODE *4034:95 0 +9 *6067:DIODE *4241:75 0 +10 *38058:C *4034:95 0 +11 *3493:11 *3653:47 0 +12 *3493:11 *3697:46 0 +13 *3493:11 *4012:117 0 +14 *3493:11 *4149:23 0 +15 *3493:11 *5188:44 0 +16 *3493:29 *38058:B 0 +17 *3493:29 *3725:22 0 +18 *3493:29 *4034:95 0 +19 *3493:29 *4344:25 0 +20 *39993:A *6067:DIODE 0 +21 *901:17 *3493:29 0 +22 *1319:16 *6067:DIODE 0 +23 *1428:19 *6067:DIODE 0 +24 *2384:53 *6067:DIODE 0 +25 *2421:18 *6067:DIODE 0 +26 *3037:15 *3493:11 0 +27 *3037:20 *3493:11 0 +28 *3178:43 *3493:11 0 +29 *3439:38 *3493:29 0 +30 *3441:55 *3493:11 0 +31 *3485:17 *3493:29 0 +*RES +1 *40569:X *3493:11 46.2032 +2 *3493:11 *3493:29 26.2375 +3 *3493:29 *6067:DIODE 43.7464 +4 *3493:29 *38058:C 9.83571 +*END + +*D_NET *3494 0.00463809 +*CONN +*I *37568:B I *D sky130_fd_sc_hd__and2_1 +*I *38529:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *37568:B 0.000201536 +2 *38529:X 0 +3 *3494:8 0.00231905 +4 *3494:5 0.00211751 +5 *3494:8 *3572:35 0 +6 *3494:8 *3873:32 0 +7 *3494:8 *4143:36 0 +8 *3494:8 *4470:22 0 +9 *3494:8 *4893:24 0 +10 *5378:DIODE *37568:B 0 +11 *6665:DIODE *3494:8 0 +12 *6985:DIODE *3494:8 0 +13 *459:5 *3494:8 0 +14 *1887:15 *37568:B 0 +15 *1896:20 *3494:8 0 +16 *1974:21 *3494:8 0 +17 *2161:63 *37568:B 0 +18 *2166:39 *3494:8 0 +19 *3374:76 *3494:8 0 +*RES +1 *38529:X *3494:5 13.8 +2 *3494:5 *3494:8 48.0625 +3 *3494:8 *37568:B 18.3625 +*END + +*D_NET *3495 0.0182781 +*CONN +*I *38056:C I *D sky130_fd_sc_hd__and3b_1 +*I *6063:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40570:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *38056:C 0.000321715 +2 *6063:DIODE 0 +3 *40570:X 0.00424454 +4 *3495:37 0.00164622 +5 *3495:36 0.0045728 +6 *3495:19 0.00749283 +7 *38056:C *4405:35 0 +8 *3495:19 *6452:DIODE 0 +9 *3495:19 *3944:19 0 +10 *3495:19 *4216:75 0 +11 *3495:19 *4334:86 0 +12 *3495:36 *3764:69 0 +13 *3495:36 *3940:26 0 +14 *3495:37 *4405:23 0 +15 *3495:37 *4405:35 0 +16 *38363:A *3495:19 0 +17 *1018:73 *3495:36 0 +18 *1246:16 *3495:36 0 +19 *1461:11 *3495:19 0 +20 *1461:11 *3495:36 0 +21 *1461:15 *3495:36 0 +22 *1569:12 *3495:19 0 +23 *1574:17 *3495:19 0 +24 *1601:33 *3495:36 0 +25 *2376:15 *38056:C 0 +26 *2376:15 *3495:36 0 +27 *2388:20 *3495:36 0 +28 *2809:24 *3495:19 0 +29 *2815:101 *3495:36 0 +30 *2970:56 *3495:19 0 +31 *3012:20 *3495:36 0 +32 *3286:114 *3495:19 0 +33 *3288:69 *3495:19 0 +34 *3401:36 *3495:19 0 +35 *3401:36 *3495:36 0 +36 *3476:45 *3495:36 0 +37 *3481:68 *3495:19 0 +38 *3484:46 *3495:19 0 +*RES +1 *40570:X *3495:19 47.2626 +2 *3495:19 *3495:36 31.4484 +3 *3495:36 *3495:37 27.6429 +4 *3495:37 *6063:DIODE 9.3 +5 *3495:37 *38056:C 16.1393 +*END + +*D_NET *3496 0.0180696 +*CONN +*I *8296:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40570:A I *D sky130_fd_sc_hd__buf_6 +*I *40571:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8296:DIODE 0.000171504 +2 *40570:A 4.53482e-05 +3 *40571:X 0.00145886 +4 *3496:34 0.00176602 +5 *3496:21 0.00735908 +6 *3496:19 0.00726877 +7 *8296:DIODE *3950:83 0 +8 *40570:A *3944:19 0 +9 *3496:19 *8309:DIODE 0 +10 *3496:19 *8865:DIODE 0 +11 *3496:19 *3535:14 0 +12 *3496:19 *3793:65 0 +13 *3496:19 *4268:48 0 +14 *3496:34 *38338:B 0 +15 *6754:DIODE *3496:19 0 +16 *363:45 *8296:DIODE 0 +17 *1579:26 *3496:34 0 +18 *2815:70 *8296:DIODE 0 +19 *2825:47 *40570:A 0 +20 *2982:51 *3496:19 0 +21 *2982:51 *3496:21 0 +22 *3092:9 *3496:19 0 +23 *3099:15 *3496:21 0 +24 *3113:35 *3496:21 0 +25 *3184:56 *3496:21 0 +26 *3237:64 *3496:19 0 +27 *3264:37 *3496:21 0 +28 *3389:17 *3496:34 0 +29 *3402:56 *3496:19 0 +30 *3412:136 *3496:34 0 +31 *3491:13 *3496:34 0 +*RES +1 *40571:X *3496:19 48.925 +2 *3496:19 *3496:21 121.286 +3 *3496:21 *3496:34 47.3571 +4 *3496:34 *40570:A 14.7464 +5 *3496:34 *8296:DIODE 17.6393 +*END + +*D_NET *3497 0.0228331 +*CONN +*I *8297:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40571:A I *D sky130_fd_sc_hd__buf_4 +*I *40572:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8297:DIODE 0.000774741 +2 *40571:A 1.21519e-05 +3 *40572:X 0.000975898 +4 *3497:59 0.00932746 +5 *3497:50 0.00965376 +6 *3497:27 0.00208909 +7 *8297:DIODE *8309:DIODE 0 +8 *8297:DIODE *4251:41 0 +9 *8297:DIODE *5188:32 0 +10 *3497:27 *40513:A 0 +11 *3497:27 *4517:62 0 +12 *3497:50 *3511:85 0 +13 *3497:50 *3529:62 0 +14 *3497:59 *3520:45 0 +15 *3497:59 *3522:24 0 +16 *3497:59 *3743:16 0 +17 *3497:59 *4591:67 0 +18 *3497:59 *5034:16 0 +19 *3497:59 *5173:66 0 +20 *3497:59 *5188:32 0 +21 *8169:DIODE *3497:50 0 +22 *1027:80 *3497:59 0 +23 *1435:66 *3497:50 0 +24 *1435:78 *3497:59 0 +25 *2365:76 *3497:50 0 +26 *2386:42 *3497:50 0 +27 *2400:56 *3497:59 0 +28 *2409:10 *3497:27 0 +29 *2716:18 *3497:27 0 +30 *2749:25 *3497:27 0 +31 *2749:40 *3497:27 0 +32 *3105:81 *3497:27 0 +33 *3112:24 *3497:59 0 +34 *3148:20 *3497:50 0 +35 *3150:28 *8297:DIODE 0 +36 *3154:47 *3497:27 0 +37 *3163:19 *8297:DIODE 0 +38 *3163:19 *3497:59 0 +39 *3225:35 *3497:50 0 +40 *3229:18 *3497:59 0 +41 *3250:68 *3497:50 0 +42 *3265:57 *3497:59 0 +43 *3281:24 *3497:59 0 +44 *3289:19 *3497:50 0 +45 *3289:19 *3497:59 0 +46 *3296:29 *3497:27 0 +47 *3319:103 *3497:59 0 +48 *3407:18 *8297:DIODE 0 +49 *3407:18 *3497:59 0 +50 *3422:46 *3497:59 0 +51 *3430:5 *3497:27 0 +52 *3433:20 *3497:59 0 +53 *3434:19 *3497:59 0 +54 *3435:41 *3497:27 0 +55 *3436:64 *3497:50 0 +*RES +1 *40572:X *3497:27 48.8357 +2 *3497:27 *3497:50 47.0804 +3 *3497:50 *3497:59 43.8248 +4 *3497:59 *40571:A 17.4868 +5 *3497:59 *8297:DIODE 20.2723 +*END + +*D_NET *3498 0.0192401 +*CONN +*I *38054:C I *D sky130_fd_sc_hd__and3b_1 +*I *6059:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40573:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38054:C 0.000239455 +2 *6059:DIODE 0 +3 *40573:X 0.00128462 +4 *3498:59 0.000999502 +5 *3498:53 0.00344094 +6 *3498:51 0.00434465 +7 *3498:43 0.00375513 +8 *3498:40 0.00299127 +9 *3498:25 0.00218453 +10 *38054:C *4318:104 0 +11 *3498:25 *3767:26 0 +12 *3498:25 *3970:19 0 +13 *3498:25 *4073:25 0 +14 *3498:25 *4216:45 0 +15 *3498:25 *4219:42 0 +16 *3498:25 *4256:77 0 +17 *3498:25 *4427:93 0 +18 *3498:40 *3810:35 0 +19 *3498:43 *3963:56 0 +20 *3498:43 *4018:86 0 +21 *3498:43 *4065:45 0 +22 *3498:51 *3962:7 0 +23 *3498:53 *3962:7 0 +24 *3498:53 *3978:127 0 +25 *3498:59 *4579:22 0 +26 *6477:DIODE *3498:40 0 +27 *37630:B *3498:40 0 +28 *38336:A *3498:51 0 +29 *1018:73 *38054:C 0 +30 *1214:14 *3498:43 0 +31 *1462:54 *3498:40 0 +32 *1839:23 *38054:C 0 +33 *1840:52 *3498:25 0 +34 *1843:5 *38054:C 0 +35 *1843:5 *3498:59 0 +36 *2388:23 *3498:53 0 +37 *2388:48 *3498:51 0 +38 *2392:13 *3498:59 0 +39 *2422:26 *3498:43 0 +40 *2800:48 *3498:51 0 +41 *2801:26 *3498:43 0 +42 *2815:24 *3498:40 0 +43 *2815:43 *3498:43 0 +44 *2815:70 *3498:51 0 +45 *2871:69 *3498:25 0 +46 *3108:27 *3498:25 0 +47 *3273:27 *3498:51 0 +48 *3288:69 *3498:51 0 +49 *3411:138 *3498:25 0 +50 *3412:71 *3498:25 0 +51 *3412:79 *3498:25 0 +52 *3481:57 *3498:43 0 +53 *3481:57 *3498:51 0 +*RES +1 *40573:X *3498:25 49.3335 +2 *3498:25 *3498:40 41.8661 +3 *3498:40 *3498:43 43.6786 +4 *3498:43 *3498:51 34.7857 +5 *3498:51 *3498:53 55.9821 +6 *3498:53 *3498:59 24.9464 +7 *3498:59 *6059:DIODE 9.3 +8 *3498:59 *38054:C 23.5679 +*END + +*D_NET *3499 0.0327408 +*CONN +*I *40573:A I *D sky130_fd_sc_hd__buf_2 +*I *8299:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40574:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40573:A 0.00014075 +2 *8299:DIODE 0 +3 *40574:X 0.00243535 +4 *3499:79 0.00209515 +5 *3499:70 0.00286266 +6 *3499:67 0.00881349 +7 *3499:48 0.0109316 +8 *3499:27 0.00546174 +9 *40573:A *4427:93 0 +10 *3499:27 *8300:DIODE 0 +11 *3499:27 *40574:A 0 +12 *3499:27 *3758:18 0 +13 *3499:27 *3976:25 0 +14 *3499:27 *3995:36 0 +15 *3499:48 *3543:21 0 +16 *3499:48 *3951:11 0 +17 *3499:67 *3521:26 0 +18 *3499:67 *3521:45 0 +19 *3499:67 *5106:17 0 +20 *3499:79 *3542:41 0 +21 *3499:79 *3963:34 0 +22 *3499:79 *4266:38 0 +23 *3499:79 *4427:93 0 +24 *40216:A *3499:27 0 +25 *40220:A *3499:79 0 +26 *1000:33 *3499:67 0 +27 *1012:10 *3499:67 0 +28 *1012:34 *3499:67 0 +29 *1030:22 *3499:48 0 +30 *1447:9 *3499:27 0 +31 *1551:23 *3499:70 0 +32 *1562:33 *3499:70 0 +33 *2402:30 *3499:67 0 +34 *2402:55 *3499:48 0 +35 *2717:30 *3499:48 0 +36 *2812:20 *3499:27 0 +37 *2817:54 *3499:70 0 +38 *2817:54 *3499:79 0 +39 *2818:51 *3499:70 0 +40 *2829:14 *3499:48 0 +41 *3008:33 *3499:27 0 +42 *3050:11 *3499:48 0 +43 *3050:50 *3499:79 0 +44 *3081:38 *3499:67 0 +45 *3106:96 *3499:27 0 +46 *3257:35 *3499:27 0 +47 *3295:20 *3499:48 0 +48 *3295:33 *3499:67 0 +49 *3299:16 *3499:27 0 +50 *3299:16 *3499:48 0 +51 *3299:20 *3499:70 0 +52 *3412:71 *40573:A 0 +53 *3412:71 *3499:79 0 +54 *3490:17 *3499:67 0 +*RES +1 *40574:X *3499:27 45.2664 +2 *3499:27 *3499:48 45.5846 +3 *3499:48 *3499:67 47.6968 +4 *3499:67 *3499:70 20.6607 +5 *3499:70 *3499:79 48.9375 +6 *3499:79 *8299:DIODE 9.3 +7 *3499:79 *40573:A 12.3 +*END + +*D_NET *3500 0.0222638 +*CONN +*I *40574:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8300:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40575:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40574:A 7.25143e-05 +2 *8300:DIODE 0.000875845 +3 *40575:X 0.00215961 +4 *3500:55 0.00262798 +5 *3500:44 0.00335804 +6 *3500:32 0.00634432 +7 *3500:20 0.00682552 +8 *3500:20 *37628:A 0 +9 *3500:20 *3523:54 0 +10 *3500:20 *3788:40 0 +11 *3500:20 *3849:81 0 +12 *3500:20 *4506:49 0 +13 *3500:20 *4508:23 0 +14 *3500:32 *39024:A 0 +15 *3500:32 *3788:72 0 +16 *3500:32 *4182:20 0 +17 *3500:32 *4204:50 0 +18 *3500:44 *39027:A 0 +19 *3500:44 *4752:24 0 +20 *3500:44 *4754:15 0 +21 *3500:44 *5193:83 0 +22 *3500:55 *4238:18 0 +23 *3500:55 *5193:83 0 +24 *6605:DIODE *8300:DIODE 0 +25 *6731:DIODE *3500:32 0 +26 *8058:DIODE *3500:55 0 +27 *38096:C *3500:44 0 +28 *38461:A *3500:55 0 +29 *393:8 *3500:32 0 +30 *400:29 *8300:DIODE 0 +31 *521:5 *3500:32 0 +32 *526:29 *3500:55 0 +33 *781:30 *8300:DIODE 0 +34 *781:30 *3500:55 0 +35 *782:5 *3500:55 0 +36 *894:8 *3500:20 0 +37 *1220:53 *3500:32 0 +38 *1223:14 *3500:20 0 +39 *1230:27 *3500:55 0 +40 *1236:19 *3500:20 0 +41 *1447:9 *8300:DIODE 0 +42 *1447:9 *40574:A 0 +43 *1447:9 *3500:55 0 +44 *2124:55 *3500:20 0 +45 *2381:35 *8300:DIODE 0 +46 *2404:10 *3500:32 0 +47 *2460:15 *3500:32 0 +48 *2516:30 *3500:32 0 +49 *2538:19 *3500:20 0 +50 *2538:19 *3500:32 0 +51 *2594:21 *3500:20 0 +52 *2683:27 *3500:32 0 +53 *2760:13 *3500:32 0 +54 *2978:8 *3500:20 0 +55 *2984:20 *3500:44 0 +56 *2984:20 *3500:55 0 +57 *3018:21 *3500:44 0 +58 *3018:21 *3500:55 0 +59 *3025:21 *3500:32 0 +60 *3041:11 *3500:32 0 +61 *3106:96 *8300:DIODE 0 +62 *3106:96 *40574:A 0 +63 *3145:74 *3500:55 0 +64 *3235:119 *3500:55 0 +65 *3248:42 *3500:20 0 +66 *3248:42 *3500:32 0 +67 *3276:53 *8300:DIODE 0 +68 *3287:91 *3500:55 0 +69 *3291:21 *3500:44 0 +70 *3430:53 *3500:32 0 +71 *3435:52 *3500:55 0 +72 *3499:27 *8300:DIODE 0 +73 *3499:27 *40574:A 0 +*RES +1 *40575:X *3500:20 37.5034 +2 *3500:20 *3500:32 42.4928 +3 *3500:32 *3500:44 44.6071 +4 *3500:44 *3500:55 45.4286 +5 *3500:55 *8300:DIODE 37.0143 +6 *3500:55 *40574:A 10.9786 +*END + +*D_NET *3501 0.0196248 +*CONN +*I *6055:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38052:C I *D sky130_fd_sc_hd__and3b_1 +*I *40576:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *6055:DIODE 0.000219855 +2 *38052:C 0 +3 *40576:X 0.00110653 +4 *3501:95 0.00109174 +5 *3501:94 0.0020619 +6 *3501:87 0.00307584 +7 *3501:74 0.00345943 +8 *3501:64 0.00305457 +9 *3501:34 0.00296469 +10 *3501:18 0.00259026 +11 *6055:DIODE *3986:13 0 +12 *3501:18 *40204:A 0 +13 *3501:18 *4596:71 0 +14 *3501:34 *8691:DIODE 0 +15 *3501:34 *41025:A 0 +16 *3501:34 *3793:80 0 +17 *3501:34 *3950:41 0 +18 *3501:34 *3954:34 0 +19 *3501:34 *3999:26 0 +20 *3501:34 *4000:35 0 +21 *3501:34 *4013:11 0 +22 *3501:34 *4026:45 0 +23 *3501:64 *41220:A 0 +24 *3501:64 *3936:23 0 +25 *3501:64 *3936:40 0 +26 *3501:64 *4018:57 0 +27 *3501:64 *4073:25 0 +28 *3501:64 *4216:24 0 +29 *3501:64 *4360:77 0 +30 *3501:64 *4360:104 0 +31 *3501:74 *4018:57 0 +32 *3501:74 *4018:71 0 +33 *3501:74 *4216:24 0 +34 *3501:74 *4216:45 0 +35 *3501:87 *4018:86 0 +36 *6127:DIODE *3501:18 0 +37 *6148:DIODE *3501:18 0 +38 *6156:DIODE *3501:87 0 +39 *6443:DIODE *3501:87 0 +40 *38109:A *3501:95 0 +41 *1214:31 *3501:87 0 +42 *1214:43 *6055:DIODE 0 +43 *1454:41 *3501:64 0 +44 *2388:48 *3501:95 0 +45 *2802:21 *3501:94 0 +46 *2803:17 *3501:87 0 +47 *2825:20 *3501:74 0 +48 *2825:43 *3501:74 0 +49 *2825:43 *3501:87 0 +50 *2825:43 *3501:94 0 +51 *2825:47 *3501:94 0 +52 *2980:44 *6055:DIODE 0 +53 *3015:79 *3501:18 0 +54 *3090:16 *3501:64 0 +55 *3097:16 *3501:87 0 +56 *3101:55 *3501:34 0 +57 *3107:73 *3501:34 0 +58 *3237:74 *3501:18 0 +59 *3298:79 *3501:18 0 +60 *3310:87 *3501:64 0 +61 *3400:98 *3501:94 0 +62 *3406:92 *3501:87 0 +63 *3411:61 *3501:34 0 +64 *3411:91 *3501:34 0 +65 *3411:121 *3501:64 0 +66 *3481:57 *3501:87 0 +*RES +1 *40576:X *3501:18 46.3714 +2 *3501:18 *3501:34 49.3036 +3 *3501:34 *3501:64 45.3393 +4 *3501:64 *3501:74 42.6071 +5 *3501:74 *3501:87 48.6429 +6 *3501:87 *3501:94 33.9821 +7 *3501:94 *3501:95 18.1964 +8 *3501:95 *38052:C 9.3 +9 *3501:95 *6055:DIODE 23.1571 +*END + +*D_NET *3502 0.0200261 +*CONN +*I *8301:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40576:A I *D sky130_fd_sc_hd__buf_2 +*I *40577:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8301:DIODE 0.000542087 +2 *40576:A 0 +3 *40577:X 0.00182034 +4 *3502:57 0.00074487 +5 *3502:54 0.00107816 +6 *3502:29 0.00658839 +7 *3502:28 0.00657244 +8 *3502:9 0.00267977 +9 *8301:DIODE *8700:DIODE 0 +10 *8301:DIODE *40204:A 0 +11 *3502:9 *8692:DIODE 0 +12 *3502:9 *4221:78 0 +13 *3502:28 *8660:DIODE 0 +14 *3502:28 *41259:A 0 +15 *3502:29 *3535:19 0 +16 *3502:29 *3972:29 0 +17 *3502:54 *3510:33 0 +18 *3502:54 *4014:40 0 +19 *3502:54 *4044:25 0 +20 *3502:57 *40204:A 0 +21 *40121:A *3502:54 0 +22 *2386:25 *3502:9 0 +23 *2386:42 *3502:9 0 +24 *2425:25 *3502:28 0 +25 *2980:22 *3502:54 0 +26 *2981:128 *8301:DIODE 0 +27 *2981:128 *3502:57 0 +28 *3015:58 *8301:DIODE 0 +29 *3015:58 *3502:29 0 +30 *3184:84 *3502:54 0 +31 *3208:37 *3502:9 0 +32 *3296:62 *3502:28 0 +33 *3298:79 *3502:54 0 +34 *3412:53 *8301:DIODE 0 +35 *3416:44 *8301:DIODE 0 +36 *3423:21 *3502:29 0 +*RES +1 *40577:X *3502:9 47.3536 +2 *3502:9 *3502:28 37.4464 +3 *3502:28 *3502:29 119.232 +4 *3502:29 *3502:54 47.3683 +5 *3502:54 *3502:57 8.73214 +6 *3502:57 *40576:A 9.3 +7 *3502:57 *8301:DIODE 29.9071 +*END + +*D_NET *3503 0.0199615 +*CONN +*I *38050:C I *D sky130_fd_sc_hd__and3b_1 +*I *6051:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40578:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38050:C 0.000342868 +2 *6051:DIODE 1.07853e-05 +3 *40578:X 0.00158531 +4 *3503:37 0.00839546 +5 *3503:16 0.00962712 +6 *3503:37 *3700:65 0 +7 *3503:37 *3743:32 0 +8 *3503:37 *3987:43 0 +9 *3503:37 *3995:36 0 +10 *3503:37 *4094:60 0 +11 *38050:A_N *38050:C 0 +12 *40307:A *3503:16 0 +13 *1561:31 *3503:37 0 +14 *2374:52 *3503:37 0 +15 *2375:27 *3503:37 0 +16 *2968:21 *3503:16 0 +17 *2987:124 *3503:37 0 +18 *3013:47 *38050:C 0 +19 *3045:47 *38050:C 0 +20 *3272:14 *3503:16 0 +21 *3287:91 *3503:16 0 +22 *3492:14 *3503:16 0 +*RES +1 *40578:X *3503:16 32.726 +2 *3503:16 *3503:37 44.1773 +3 *3503:37 *6051:DIODE 17.4868 +4 *3503:37 *38050:C 28.4331 +*END + +*D_NET *3504 0.0225319 +*CONN +*I *40578:A I *D sky130_fd_sc_hd__buf_2 +*I *8303:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40579:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40578:A 6.17901e-05 +2 *8303:DIODE 0.00203454 +3 *40579:X 0.00226222 +4 *3504:48 0.00234575 +5 *3504:42 0.0069074 +6 *3504:20 0.0089202 +7 *8303:DIODE *8515:DIODE 0 +8 *8303:DIODE *3777:65 0 +9 *8303:DIODE *4045:53 0 +10 *40578:A *3783:41 0 +11 *3504:20 *3525:29 0 +12 *3504:20 *3744:63 0 +13 *3504:20 *3785:59 0 +14 *3504:20 *4875:36 0 +15 *3504:20 *4884:14 0 +16 *3504:42 *8486:DIODE 0 +17 *3504:42 *3525:29 0 +18 *3504:42 *3744:63 0 +19 *6594:DIODE *3504:42 0 +20 *7548:DIODE *3504:42 0 +21 *8201:DIODE *40578:A 0 +22 *40248:A *3504:42 0 +23 *40307:A *40578:A 0 +24 *2435:52 *3504:20 0 +25 *3086:51 *3504:20 0 +26 *3154:35 *3504:42 0 +27 *3174:16 *3504:42 0 +28 *3187:12 *3504:42 0 +29 *3234:27 *3504:42 0 +30 *3235:80 *8303:DIODE 0 +31 *3237:22 *3504:42 0 +32 *3245:51 *3504:42 0 +33 *3248:24 *3504:42 0 +34 *3257:23 *8303:DIODE 0 +35 *3257:35 *8303:DIODE 0 +36 *3263:10 *8303:DIODE 0 +37 *3265:18 *3504:48 0 +38 *3266:134 *8303:DIODE 0 +39 *3312:96 *3504:42 0 +*RES +1 *40579:X *3504:20 45.0796 +2 *3504:20 *3504:42 45.8355 +3 *3504:42 *3504:48 14.4018 +4 *3504:48 *8303:DIODE 39.2069 +5 *3504:48 *40578:A 15.2196 +*END + +*D_NET *3505 0.00381676 +*CONN +*I *37478:B I *D sky130_fd_sc_hd__and2_1 +*I *38530:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *37478:B 0.000714448 +2 *38530:X 0.00119393 +3 *3505:10 0.00190838 +4 *3505:10 *4493:34 0 +5 *3505:10 *4693:9 0 +6 *3505:10 *4815:22 0 +7 *3505:10 *4842:17 0 +8 la_data_in_mprj[5] *3505:10 0 +9 *6678:DIODE *3505:10 0 +10 *471:7 *3505:10 0 +11 *599:8 *3505:10 0 +12 *1138:11 *37478:B 0 +13 *3381:39 *37478:B 0 +14 *3390:43 *37478:B 0 +*RES +1 *38530:X *3505:10 44.675 +2 *3505:10 *37478:B 24.2107 +*END + +*D_NET *3506 0.0254314 +*CONN +*I *38048:C I *D sky130_fd_sc_hd__and3b_1 +*I *6048:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40580:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38048:C 0.000168139 +2 *6048:DIODE 0 +3 *40580:X 0.00354723 +4 *3506:41 0.00171526 +5 *3506:37 0.00900034 +6 *3506:24 0.0110005 +7 *3506:24 *8627:DIODE 0 +8 *3506:24 *3509:25 0 +9 *3506:24 *4030:18 0 +10 *3506:24 *4031:81 0 +11 *3506:24 *4241:110 0 +12 *3506:24 *5189:34 0 +13 *39567:A *3506:24 0 +14 *1002:26 *3506:37 0 +15 *1018:62 *3506:24 0 +16 *1018:62 *3506:37 0 +17 *1423:21 *3506:41 0 +18 *1567:22 *3506:41 0 +19 *2368:40 *3506:24 0 +20 *2444:21 *3506:37 0 +21 *2811:59 *38048:C 0 +22 *2811:59 *3506:41 0 +23 *2829:28 *3506:37 0 +24 *2829:40 *3506:37 0 +25 *2980:41 *3506:37 0 +26 *2986:34 *3506:41 0 +27 *3028:25 *3506:24 0 +28 *3029:68 *3506:24 0 +29 *3031:43 *3506:37 0 +30 *3079:33 *3506:24 0 +31 *3117:20 *3506:41 0 +32 *3159:24 *3506:24 0 +33 *3290:30 *3506:37 0 +34 *3290:69 *3506:41 0 +35 *3400:52 *3506:24 0 +36 *3406:45 *3506:24 0 +*RES +1 *40580:X *3506:24 46.9024 +2 *3506:24 *3506:37 33.8607 +3 *3506:37 *3506:41 39.5268 +4 *3506:41 *6048:DIODE 9.3 +5 *3506:41 *38048:C 22.1036 +*END + +*D_NET *3507 0.0209869 +*CONN +*I *8305:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40580:A I *D sky130_fd_sc_hd__buf_2 +*I *40581:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8305:DIODE 0.000734127 +2 *40580:A 0 +3 *40581:X 0.000758377 +4 *3507:60 0.0016172 +5 *3507:42 0.00271329 +6 *3507:35 0.00289836 +7 *3507:19 0.00628767 +8 *3507:18 0.00597791 +9 *8305:DIODE *3954:14 0 +10 *3507:19 *3697:66 0 +11 *3507:19 *3697:67 0 +12 *3507:19 *4255:21 0 +13 *3507:35 *3972:29 0 +14 *3507:42 *3696:27 0 +15 *3507:42 *3955:55 0 +16 *3507:42 *3972:29 0 +17 *3507:42 *4044:25 0 +18 *3507:60 *8646:DIODE 0 +19 *3507:60 *4030:18 0 +20 *3507:60 *4278:37 0 +21 *1435:84 *3507:19 0 +22 *3025:80 *3507:60 0 +23 *3073:17 *3507:60 0 +24 *3119:10 *8305:DIODE 0 +25 *3150:35 *3507:35 0 +26 *3150:43 *3507:19 0 +27 *3167:31 *3507:19 0 +28 *3167:39 *3507:18 0 +29 *3167:59 *3507:18 0 +30 *3178:20 *3507:35 0 +31 *3198:25 *3507:35 0 +32 *3198:37 *3507:19 0 +33 *3225:23 *3507:19 0 +34 *3225:35 *3507:18 0 +35 *3225:35 *3507:19 0 +36 *3237:27 *3507:19 0 +37 *3256:14 *3507:19 0 +38 *3261:53 *3507:18 0 +39 *3348:57 *3507:60 0 +40 *3406:32 *3507:42 0 +41 *3406:45 *8305:DIODE 0 +42 *3410:33 *3507:42 0 +43 *3410:33 *3507:60 0 +44 *3423:11 *3507:35 0 +45 *3423:11 *3507:42 0 +46 *3423:21 *3507:42 0 +47 *3433:37 *3507:60 0 +48 *3434:45 *3507:42 0 +49 *3440:67 *3507:18 0 +50 *3487:45 *8305:DIODE 0 +*RES +1 *40581:X *3507:18 43.5679 +2 *3507:18 *3507:19 108.964 +3 *3507:19 *3507:35 32.0357 +4 *3507:35 *3507:42 47.7679 +5 *3507:42 *3507:60 28.0179 +6 *3507:60 *40580:A 9.3 +7 *3507:60 *8305:DIODE 24.6214 +*END + +*D_NET *3508 0.0203484 +*CONN +*I *40581:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8306:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40582:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40581:A 0.000304648 +2 *8306:DIODE 2.56688e-05 +3 *40582:X 0.00533367 +4 *3508:28 0.00116748 +5 *3508:27 0.00210332 +6 *3508:19 0.00367304 +7 *3508:18 0.00774055 +8 *3508:18 *3511:52 0 +9 *3508:18 *3523:32 0 +10 *3508:18 *3533:19 0 +11 *3508:18 *3949:44 0 +12 *3508:18 *3973:21 0 +13 *3508:18 *3973:49 0 +14 *3508:18 *4494:28 0 +15 *3508:18 *4502:15 0 +16 *3508:18 *4505:22 0 +17 *3508:18 *4507:27 0 +18 *3508:18 *4878:61 0 +19 *3508:28 *5092:21 0 +20 la_data_in_mprj[102] *3508:28 0 +21 *6979:DIODE *3508:28 0 +22 *37408:A *3508:28 0 +23 *1212:16 *3508:18 0 +24 *1435:66 *40581:A 0 +25 *2386:42 *40581:A 0 +26 *2389:29 *3508:27 0 +27 *2393:28 *3508:28 0 +28 *2407:13 *3508:27 0 +29 *2415:10 *3508:28 0 +30 *2418:14 *3508:27 0 +31 *2424:19 *3508:18 0 +32 *2431:112 *3508:18 0 +33 *2445:48 *3508:28 0 +34 *2594:21 *3508:18 0 +35 *3032:20 *3508:18 0 +36 *3032:36 *3508:18 0 +37 *3034:11 *3508:18 0 +38 *3174:40 *3508:28 0 +39 *3289:19 *3508:28 0 +40 *3296:9 *3508:19 0 +*RES +1 *40582:X *3508:18 47.478 +2 *3508:18 *3508:19 50.2321 +3 *3508:19 *3508:27 40.8036 +4 *3508:27 *3508:28 18.9464 +5 *3508:28 *8306:DIODE 14.3357 +6 *3508:28 *40581:A 20.3 +*END + +*D_NET *3509 0.0217334 +*CONN +*I *6045:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38046:C I *D sky130_fd_sc_hd__and3b_1 +*I *40583:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *6045:DIODE 0 +2 *38046:C 0.000357337 +3 *40583:X 0.00135427 +4 *3509:123 0.00157557 +5 *3509:110 0.00290151 +6 *3509:98 0.00300729 +7 *3509:86 0.00282454 +8 *3509:68 0.00254416 +9 *3509:52 0.00342904 +10 *3509:25 0.00373967 +11 *38046:C *3764:45 0 +12 *38046:C *4581:38 0 +13 *3509:25 *4030:18 0 +14 *3509:25 *4360:25 0 +15 *3509:25 *5189:34 0 +16 *3509:52 *3745:27 0 +17 *3509:52 *3976:60 0 +18 *3509:52 *4010:48 0 +19 *3509:52 *4054:66 0 +20 *3509:52 *4247:60 0 +21 *3509:52 *4256:77 0 +22 *3509:52 *4357:45 0 +23 *3509:52 *4360:42 0 +24 *3509:68 *3931:9 0 +25 *3509:68 *3963:34 0 +26 *3509:68 *3978:50 0 +27 *3509:68 *4065:18 0 +28 *3509:86 *3734:21 0 +29 *3509:86 *3745:27 0 +30 *3509:86 *4360:117 0 +31 *3509:98 *40971:A 0 +32 *3509:110 *3931:9 0 +33 *3509:110 *3945:52 0 +34 *3509:110 *3963:84 0 +35 *3509:123 *3963:88 0 +36 *3509:123 *4065:57 0 +37 *3509:123 *4318:79 0 +38 *6449:DIODE *3509:110 0 +39 *7602:DIODE *3509:52 0 +40 *1031:93 *3509:86 0 +41 *1448:22 *3509:68 0 +42 *1448:64 *3509:86 0 +43 *1448:64 *3509:98 0 +44 *1462:54 *3509:86 0 +45 *1579:26 *3509:110 0 +46 *2370:19 *3509:110 0 +47 *2398:60 *3509:25 0 +48 *2682:61 *3509:25 0 +49 *2807:12 *3509:52 0 +50 *2815:24 *3509:98 0 +51 *2815:83 *3509:123 0 +52 *2816:61 *3509:52 0 +53 *2990:9 *38046:C 0 +54 *3110:7 *3509:25 0 +55 *3290:75 *38046:C 0 +56 *3297:81 *3509:98 0 +57 *3298:79 *3509:25 0 +58 *3308:39 *3509:52 0 +59 *3401:27 *3509:98 0 +60 *3406:92 *3509:98 0 +61 *3413:60 *3509:25 0 +62 *3417:119 *3509:86 0 +63 *3506:24 *3509:25 0 +*RES +1 *40583:X *3509:25 47.8781 +2 *3509:25 *3509:52 49.6667 +3 *3509:52 *3509:68 44.8036 +4 *3509:68 *3509:86 49.4786 +5 *3509:86 *3509:98 45.875 +6 *3509:98 *3509:110 48.875 +7 *3509:110 *3509:123 43.6429 +8 *3509:123 *38046:C 26.2643 +9 *3509:123 *6045:DIODE 9.3 +*END + +*D_NET *3510 0.0198332 +*CONN +*I *40583:A I *D sky130_fd_sc_hd__buf_2 +*I *8307:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40584:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40583:A 0 +2 *8307:DIODE 0.000777815 +3 *40584:X 0.000572414 +4 *3510:33 0.00150895 +5 *3510:18 0.00238405 +6 *3510:9 0.00783524 +7 *3510:7 0.00675474 +8 *8307:DIODE *8627:DIODE 0 +9 *8307:DIODE *8694:DIODE 0 +10 *8307:DIODE *4019:45 0 +11 *8307:DIODE *4268:48 0 +12 *8307:DIODE *5084:23 0 +13 *3510:7 *4242:73 0 +14 *3510:9 *4251:9 0 +15 *3510:9 *4259:18 0 +16 *3510:18 *4019:45 0 +17 *3510:18 *4044:10 0 +18 *3510:18 *4270:32 0 +19 *3510:33 *40204:A 0 +20 *3510:33 *4014:40 0 +21 *3510:33 *4044:25 0 +22 *40163:A *8307:DIODE 0 +23 *2398:60 *8307:DIODE 0 +24 *2400:60 *3510:9 0 +25 *2981:128 *3510:33 0 +26 *3028:25 *8307:DIODE 0 +27 *3073:17 *3510:33 0 +28 *3155:59 *8307:DIODE 0 +29 *3167:22 *8307:DIODE 0 +30 *3167:31 *3510:9 0 +31 *3167:39 *3510:9 0 +32 *3184:84 *3510:33 0 +33 *3192:13 *3510:7 0 +34 *3192:13 *3510:9 0 +35 *3192:37 *3510:7 0 +36 *3194:132 *3510:18 0 +37 *3198:47 *3510:9 0 +38 *3198:55 *3510:7 0 +39 *3198:55 *3510:9 0 +40 *3211:49 *3510:9 0 +41 *3237:22 *3510:9 0 +42 *3261:53 *3510:7 0 +43 *3289:29 *3510:18 0 +44 *3296:62 *3510:9 0 +45 *3298:79 *3510:33 0 +46 *3410:15 *3510:18 0 +47 *3434:34 *3510:18 0 +48 *3460:33 *3510:9 0 +49 *3502:54 *3510:33 0 +*RES +1 *40584:X *3510:7 21.2464 +2 *3510:7 *3510:9 129.089 +3 *3510:9 *3510:18 48.9286 +4 *3510:18 *3510:33 20.0357 +5 *3510:33 *8307:DIODE 35.7464 +6 *3510:33 *40583:A 9.3 +*END + +*D_NET *3511 0.0218324 +*CONN +*I *8308:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40584:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40585:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8308:DIODE 2.26741e-05 +2 *40584:A 5.89503e-05 +3 *40585:X 0.00141545 +4 *3511:85 0.00178646 +5 *3511:73 0.00446893 +6 *3511:72 0.00357908 +7 *3511:66 0.002282 +8 *3511:52 0.00295562 +9 *3511:32 0.00266817 +10 *3511:15 0.00259502 +11 *3511:15 *3860:45 0 +12 *3511:15 *4488:74 0 +13 *3511:15 *5170:52 0 +14 *3511:32 *40522:A 0 +15 *3511:32 *3533:49 0 +16 *3511:32 *3797:90 0 +17 *3511:32 *4496:52 0 +18 *3511:32 *4513:48 0 +19 *3511:32 *5192:15 0 +20 *3511:52 *3529:13 0 +21 *3511:52 *3533:49 0 +22 *3511:52 *3973:21 0 +23 *3511:52 *4509:22 0 +24 *3511:52 *4878:61 0 +25 *3511:66 *4027:32 0 +26 *3511:72 *40408:A 0 +27 *3511:72 *3517:21 0 +28 *3511:73 *3523:65 0 +29 *3511:73 *3529:34 0 +30 *3511:73 *3977:91 0 +31 *3511:73 *3977:119 0 +32 *3511:73 *4264:42 0 +33 *3511:73 *4281:59 0 +34 *3511:73 *4518:46 0 +35 *3511:73 *4881:62 0 +36 *3511:85 *40406:A 0 +37 *3511:85 *3513:24 0 +38 *3511:85 *3977:119 0 +39 *3511:85 *4233:71 0 +40 *5252:DIODE *3511:85 0 +41 *6567:DIODE *3511:15 0 +42 *8079:DIODE *3511:73 0 +43 *8169:DIODE *3511:85 0 +44 *40362:A *3511:85 0 +45 *40587:A *3511:85 0 +46 *40593:A *3511:66 0 +47 *40601:A *3511:32 0 +48 *882:9 *3511:15 0 +49 *1227:67 *3511:85 0 +50 *1435:66 *3511:85 0 +51 *2051:49 *3511:72 0 +52 *2383:48 *3511:32 0 +53 *2415:50 *3511:15 0 +54 *2427:26 *3511:66 0 +55 *2472:33 *3511:73 0 +56 *2472:33 *3511:85 0 +57 *2660:17 *3511:73 0 +58 *2727:65 *3511:66 0 +59 *3053:34 *3511:66 0 +60 *3055:89 *3511:73 0 +61 *3140:18 *3511:73 0 +62 *3140:60 *3511:66 0 +63 *3144:46 *3511:66 0 +64 *3144:50 *3511:32 0 +65 *3148:61 *3511:66 0 +66 *3148:74 *3511:32 0 +67 *3148:74 *3511:66 0 +68 *3174:41 *3511:73 0 +69 *3208:47 *8308:DIODE 0 +70 *3208:47 *3511:85 0 +71 *3216:17 *3511:15 0 +72 *3224:63 *3511:32 0 +73 *3244:32 *3511:32 0 +74 *3244:32 *3511:52 0 +75 *3248:24 *3511:85 0 +76 *3259:25 *3511:32 0 +77 *3298:24 *3511:85 0 +78 *3311:16 *3511:72 0 +79 *3316:17 *3511:32 0 +80 *3316:46 *3511:73 0 +81 *3321:39 *3511:72 0 +82 *3436:41 *3511:85 0 +83 *3440:38 *3511:66 0 +84 *3440:59 *3511:73 0 +85 *3442:51 *3511:15 0 +86 *3442:66 *3511:66 0 +87 *3497:50 *3511:85 0 +88 *3508:18 *3511:52 0 +*RES +1 *40585:X *3511:15 48.7821 +2 *3511:15 *3511:32 47.9196 +3 *3511:32 *3511:52 46.7631 +4 *3511:52 *3511:66 40.1786 +5 *3511:66 *3511:72 26.3393 +6 *3511:72 *3511:73 57.625 +7 *3511:73 *3511:85 35.6429 +8 *3511:85 *40584:A 19.6393 +9 *3511:85 *8308:DIODE 9.83571 +*END + +*D_NET *3512 0.0252311 +*CONN +*I *38044:C I *D sky130_fd_sc_hd__and3b_1 +*I *6042:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40586:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38044:C 4.53482e-05 +2 *6042:DIODE 0.000261068 +3 *40586:X 0.00312728 +4 *3512:50 0.00242022 +5 *3512:35 0.00413479 +6 *3512:29 0.00595302 +7 *3512:28 0.00504704 +8 *3512:22 0.00424229 +9 *6042:DIODE *3963:84 0 +10 *6042:DIODE *4065:45 0 +11 *6042:DIODE *4318:63 0 +12 *3512:22 *3543:35 0 +13 *3512:22 *3696:80 0 +14 *3512:22 *4229:51 0 +15 *3512:29 *4102:62 0 +16 *3512:35 *3711:7 0 +17 *3512:50 *38044:B 0 +18 *3512:50 *4010:120 0 +19 *3512:50 *4069:68 0 +20 *3512:50 *4406:28 0 +21 *7574:DIODE *3512:22 0 +22 *261:13 *3512:50 0 +23 *1010:119 *3512:22 0 +24 *1545:32 *3512:28 0 +25 *1552:16 *3512:50 0 +26 *1864:36 *3512:22 0 +27 *2803:42 *3512:50 0 +28 *2839:52 *3512:50 0 +29 *2842:23 *3512:28 0 +30 *2943:17 *3512:28 0 +31 *2957:13 *3512:35 0 +32 *2957:15 *3512:29 0 +33 *2957:15 *3512:35 0 +34 *2980:22 *3512:22 0 +35 *3042:70 *3512:50 0 +36 *3050:47 *3512:29 0 +37 *3079:49 *3512:22 0 +38 *3088:19 *3512:35 0 +39 *3406:112 *38044:C 0 +40 *3414:6 *3512:28 0 +*RES +1 *40586:X *3512:22 46.9736 +2 *3512:22 *3512:28 32.4286 +3 *3512:28 *3512:29 82.0625 +4 *3512:29 *3512:35 46.7411 +5 *3512:35 *3512:50 44.0018 +6 *3512:50 *6042:DIODE 19.9071 +7 *3512:50 *38044:C 14.7464 +*END + +*D_NET *3513 0.0235353 +*CONN +*I *8309:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40586:A I *D sky130_fd_sc_hd__buf_2 +*I *40587:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8309:DIODE 0.000924402 +2 *40586:A 0 +3 *40587:X 0.000755055 +4 *3513:71 0.00096975 +5 *3513:68 0.00751557 +6 *3513:52 0.00906354 +7 *3513:38 0.00257263 +8 *3513:24 0.00173437 +9 *8309:DIODE *3535:14 0 +10 *8309:DIODE *4019:45 0 +11 *8309:DIODE *4251:41 0 +12 *3513:24 *3529:62 0 +13 *3513:24 *3977:119 0 +14 *3513:24 *3977:137 0 +15 *3513:24 *4233:54 0 +16 *3513:38 *3977:137 0 +17 *3513:38 *4221:68 0 +18 *3513:52 *3977:151 0 +19 *3513:52 *4276:34 0 +20 *3513:68 *3515:34 0 +21 *3513:68 *3532:24 0 +22 *3513:68 *3696:27 0 +23 *3513:68 *3722:25 0 +24 *3513:68 *4274:26 0 +25 *3513:68 *5084:22 0 +26 *3513:71 *4019:45 0 +27 *8297:DIODE *8309:DIODE 0 +28 *39569:A *8309:DIODE 0 +29 *39569:A *3513:71 0 +30 *39615:A *3513:38 0 +31 *40268:A *3513:52 0 +32 *1435:78 *3513:68 0 +33 *1441:29 *3513:68 0 +34 *2970:29 *8309:DIODE 0 +35 *2972:84 *8309:DIODE 0 +36 *3100:31 *3513:68 0 +37 *3121:42 *3513:38 0 +38 *3144:17 *3513:38 0 +39 *3144:17 *3513:52 0 +40 *3144:21 *3513:38 0 +41 *3159:40 *3513:52 0 +42 *3167:22 *8309:DIODE 0 +43 *3192:13 *3513:52 0 +44 *3198:47 *3513:52 0 +45 *3202:8 *3513:52 0 +46 *3281:24 *3513:68 0 +47 *3285:60 *3513:68 0 +48 *3286:34 *3513:68 0 +49 *3301:42 *3513:68 0 +50 *3309:49 *3513:52 0 +51 *3348:57 *3513:68 0 +52 *3439:38 *3513:68 0 +53 *3460:33 *3513:68 0 +54 *3496:19 *8309:DIODE 0 +55 *3511:85 *3513:24 0 +*RES +1 *40587:X *3513:24 44.6393 +2 *3513:24 *3513:38 38.625 +3 *3513:38 *3513:52 47.3661 +4 *3513:52 *3513:68 49.7369 +5 *3513:68 *3513:71 5.44643 +6 *3513:71 *40586:A 9.3 +7 *3513:71 *8309:DIODE 43.8475 +*END + +*D_NET *3514 0.0238606 +*CONN +*I *38042:C I *D sky130_fd_sc_hd__and3b_1 +*I *6039:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40588:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38042:C 2.56688e-05 +2 *6039:DIODE 0.000210551 +3 *40588:X 0.00250192 +4 *3514:48 0.00201701 +5 *3514:27 0.0083597 +6 *3514:26 0.00741138 +7 *3514:20 0.00333439 +8 *6039:DIODE *3764:45 0 +9 *3514:20 *4031:81 0 +10 *3514:26 *4229:51 0 +11 *3514:27 *3715:35 0 +12 *3514:48 *3718:33 0 +13 *3514:48 *4729:10 0 +14 *3514:48 *4897:24 0 +15 *360:20 *3514:48 0 +16 *370:36 *3514:48 0 +17 *1010:119 *3514:20 0 +18 *2366:28 *3514:48 0 +19 *2376:24 *3514:48 0 +20 *2471:6 *3514:48 0 +21 *2812:27 *3514:20 0 +22 *2829:40 *3514:27 0 +23 *2837:91 *3514:20 0 +24 *2905:51 *3514:20 0 +25 *2924:11 *3514:26 0 +26 *2970:29 *3514:20 0 +27 *2980:22 *3514:20 0 +28 *2981:128 *3514:20 0 +29 *2989:19 *3514:27 0 +30 *3088:25 *3514:26 0 +31 *3109:24 *3514:48 0 +32 *3413:133 *3514:27 0 +33 *3427:91 *3514:26 0 +34 *3489:17 *3514:48 0 +*RES +1 *40588:X *3514:20 49.2879 +2 *3514:20 *3514:26 26.4821 +3 *3514:26 *3514:27 137.304 +4 *3514:27 *3514:48 48.9038 +5 *3514:48 *6039:DIODE 18.425 +6 *3514:48 *38042:C 14.3357 +*END + +*D_NET *3515 0.0215019 +*CONN +*I *8311:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40588:A I *D sky130_fd_sc_hd__buf_2 +*I *40589:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8311:DIODE 0 +2 *40588:A 0.000768751 +3 *40589:X 0.000360092 +4 *3515:34 0.0103908 +5 *3515:28 0.00998218 +6 *40588:A *3954:14 0 +7 *3515:28 *8271:DIODE 0 +8 *3515:28 *3960:35 0 +9 *3515:28 *4233:54 0 +10 *3515:34 *8855:DIODE 0 +11 *3515:34 *3697:99 0 +12 *3515:34 *3722:69 0 +13 *3515:34 *3759:57 0 +14 *3515:34 *3784:82 0 +15 *3515:34 *3793:42 0 +16 *3515:34 *4193:27 0 +17 *3515:34 *4220:20 0 +18 *3515:34 *4233:71 0 +19 *3515:34 *4238:41 0 +20 *3515:34 *4242:71 0 +21 *3515:34 *4251:41 0 +22 *3515:34 *4252:37 0 +23 *3515:34 *4276:34 0 +24 *8197:DIODE *40588:A 0 +25 *1227:70 *3515:28 0 +26 *1435:78 *3515:34 0 +27 *1449:17 *3515:34 0 +28 *2382:70 *40588:A 0 +29 *2391:76 *3515:34 0 +30 *2425:42 *3515:28 0 +31 *3037:48 *3515:34 0 +32 *3155:59 *40588:A 0 +33 *3179:64 *3515:34 0 +34 *3221:36 *3515:34 0 +35 *3261:53 *3515:28 0 +36 *3265:57 *3515:34 0 +37 *3265:70 *3515:34 0 +38 *3281:24 *3515:34 0 +39 *3287:91 *3515:34 0 +40 *3289:19 *3515:34 0 +41 *3296:62 *3515:34 0 +42 *3308:15 *3515:34 0 +43 *3318:13 *3515:34 0 +44 *3319:103 *3515:34 0 +45 *3412:40 *40588:A 0 +46 *3422:46 *3515:34 0 +47 *3433:20 *3515:28 0 +48 *3513:68 *3515:34 0 +*RES +1 *40589:X *3515:28 25.3796 +2 *3515:28 *3515:34 46.5713 +3 *3515:34 *40588:A 35.6571 +4 *3515:34 *8311:DIODE 9.3 +*END + +*D_NET *3516 0.00408672 +*CONN +*I *40537:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38531:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40537:A 0.0014336 +2 *38531:X 0.000609758 +3 *3516:13 0.00204336 +4 *40537:A *3908:40 0 +5 *40537:A *4835:25 0 +6 *3516:13 *3605:33 0 +7 *3516:13 *3908:28 0 +8 *3516:13 *4462:48 0 +9 *3516:13 *4948:11 0 +10 *3516:13 *4970:19 0 +11 *6667:DIODE *3516:13 0 +12 *3451:9 *40537:A 0 +13 *3451:9 *3516:13 0 +*RES +1 *38531:X *3516:13 31.8 +2 *3516:13 *40537:A 39.55 +*END + +*D_NET *3517 0.0197342 +*CONN +*I *8312:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40589:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40590:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8312:DIODE 5.33659e-05 +2 *40589:A 0.0002348 +3 *40590:X 0.000806016 +4 *3517:68 0.000349023 +5 *3517:65 0.00134601 +6 *3517:50 0.002837 +7 *3517:40 0.00214965 +8 *3517:21 0.00312275 +9 *3517:20 0.00401092 +10 *3517:13 0.00275229 +11 *3517:10 0.00207234 +12 *40589:A *3960:35 0 +13 *3517:10 *4495:36 0 +14 *3517:10 *5159:41 0 +15 *3517:13 *3518:39 0 +16 *3517:13 *3838:47 0 +17 *3517:21 *40404:A 0 +18 *3517:21 *40408:A 0 +19 *3517:21 *3814:56 0 +20 *3517:21 *4501:61 0 +21 *3517:40 *3744:36 0 +22 *3517:65 *37666:B 0 +23 *3517:65 *40396:A 0 +24 *3517:65 *3529:35 0 +25 *3517:65 *4221:60 0 +26 *3517:65 *4281:71 0 +27 *40264:A *3517:40 0 +28 *1215:15 *3517:21 0 +29 *1227:56 *3517:50 0 +30 *1227:67 *40589:A 0 +31 *1238:49 *3517:68 0 +32 *1982:31 *3517:20 0 +33 *2386:63 *3517:65 0 +34 *2425:42 *40589:A 0 +35 *2727:49 *3517:21 0 +36 *2727:49 *3517:40 0 +37 *3105:99 *3517:50 0 +38 *3121:56 *3517:21 0 +39 *3141:46 *3517:40 0 +40 *3144:21 *3517:65 0 +41 *3148:78 *3517:13 0 +42 *3154:47 *3517:50 0 +43 *3154:56 *3517:50 0 +44 *3217:33 *3517:50 0 +45 *3217:33 *3517:65 0 +46 *3217:59 *3517:40 0 +47 *3217:59 *3517:50 0 +48 *3224:63 *3517:20 0 +49 *3224:74 *3517:13 0 +50 *3224:74 *3517:20 0 +51 *3261:59 *40589:A 0 +52 *3272:67 *40589:A 0 +53 *3302:10 *3517:40 0 +54 *3302:11 *3517:50 0 +55 *3302:11 *3517:65 0 +56 *3309:23 *3517:65 0 +57 *3311:16 *3517:21 0 +58 *3311:37 *3517:65 0 +59 *3316:7 *3517:13 0 +60 *3316:7 *3517:20 0 +61 *3316:17 *3517:20 0 +62 *3321:39 *3517:21 0 +63 *3321:73 *3517:50 0 +64 *3436:16 *3517:20 0 +65 *3436:21 *3517:40 0 +66 *3511:72 *3517:21 0 +*RES +1 *40590:X *3517:10 35.8714 +2 *3517:10 *3517:13 26.4286 +3 *3517:13 *3517:20 40.2321 +4 *3517:20 *3517:21 52.6964 +5 *3517:21 *3517:40 31.0357 +6 *3517:40 *3517:50 41.6071 +7 *3517:50 *3517:65 49.6607 +8 *3517:65 *3517:68 1.52679 +9 *3517:68 *40589:A 19.0768 +10 *3517:68 *8312:DIODE 15.2196 +*END + +*D_NET *3518 0.0228714 +*CONN +*I *38040:C I *D sky130_fd_sc_hd__and3b_2 +*I *6035:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40591:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38040:C 0.000385831 +2 *6035:DIODE 0 +3 *40591:X 0.0005187 +4 *3518:131 0.00110587 +5 *3518:122 0.0020684 +6 *3518:90 0.0027884 +7 *3518:72 0.00259102 +8 *3518:54 0.00287589 +9 *3518:39 0.00439051 +10 *3518:23 0.00414686 +11 *3518:10 0.00199996 +12 *38040:C *4113:82 0 +13 *38040:C *4134:69 0 +14 *38040:C *4347:38 0 +15 *38040:C *4347:41 0 +16 *3518:10 *4495:27 0 +17 *3518:23 *4485:41 0 +18 *3518:23 *4489:41 0 +19 *3518:39 *3792:41 0 +20 *3518:39 *3838:47 0 +21 *3518:39 *4500:13 0 +22 *3518:39 *5170:52 0 +23 *3518:54 *3939:62 0 +24 *3518:54 *4120:64 0 +25 *3518:54 *4283:61 0 +26 *3518:54 *4308:52 0 +27 *3518:72 *3702:14 0 +28 *3518:72 *3747:45 0 +29 *3518:72 *3980:68 0 +30 *3518:90 *3747:53 0 +31 *3518:90 *3786:82 0 +32 *3518:90 *3992:38 0 +33 *3518:90 *4119:21 0 +34 *3518:90 *4358:68 0 +35 *3518:122 *6399:DIODE 0 +36 *3518:122 *38078:A_N 0 +37 *3518:122 *3744:20 0 +38 *3518:122 *3968:16 0 +39 *3518:122 *3980:80 0 +40 *3518:122 *4006:45 0 +41 *3518:122 *4060:32 0 +42 *3518:122 *4095:18 0 +43 *3518:122 *4119:70 0 +44 *3518:122 *4120:75 0 +45 *3518:122 *4230:53 0 +46 *3518:131 *3778:24 0 +47 *3518:131 *3942:28 0 +48 *3518:131 *3942:61 0 +49 *3518:131 *4041:29 0 +50 *3518:131 *4113:82 0 +51 *40795:A *3518:122 0 +52 *41005:A *3518:54 0 +53 *1222:32 *38040:C 0 +54 *1222:32 *3518:131 0 +55 *1224:42 *38040:C 0 +56 *1224:66 *38040:C 0 +57 *1226:21 *3518:122 0 +58 *1229:51 *3518:131 0 +59 *1407:24 *3518:131 0 +60 *1429:21 *3518:90 0 +61 *1438:30 *3518:122 0 +62 *1532:36 *38040:C 0 +63 *1537:22 *3518:122 0 +64 *2008:67 *3518:122 0 +65 *2077:62 *3518:23 0 +66 *2089:34 *3518:72 0 +67 *2121:45 *3518:23 0 +68 *2129:29 *3518:54 0 +69 *2361:22 *3518:39 0 +70 *2435:52 *3518:39 0 +71 *2472:21 *3518:39 0 +72 *2835:21 *38040:C 0 +73 *3053:14 *3518:54 0 +74 *3055:33 *3518:23 0 +75 *3140:84 *3518:39 0 +76 *3219:45 *3518:54 0 +77 *3228:37 *3518:23 0 +78 *3228:37 *3518:39 0 +79 *3316:7 *3518:23 0 +80 *3320:169 *3518:122 0 +81 *3321:29 *3518:39 0 +82 *3322:18 *3518:39 0 +83 *3322:131 *3518:90 0 +84 *3445:31 *3518:54 0 +85 *3446:28 *3518:54 0 +86 *3517:13 *3518:39 0 +*RES +1 *40591:X *3518:10 29.9964 +2 *3518:10 *3518:23 40.2143 +3 *3518:23 *3518:39 44.9757 +4 *3518:39 *3518:54 48.1339 +5 *3518:54 *3518:72 47.375 +6 *3518:72 *3518:90 49.735 +7 *3518:90 *3518:122 47.2411 +8 *3518:122 *3518:131 20.2857 +9 *3518:131 *6035:DIODE 9.3 +10 *3518:131 *38040:C 35.8045 +*END + +*D_NET *3519 0.0239027 +*CONN +*I *38038:C I *D sky130_fd_sc_hd__and3b_1 +*I *6031:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40592:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38038:C 2.56688e-05 +2 *6031:DIODE 5.89503e-05 +3 *40592:X 0.00147521 +4 *3519:34 0.0017635 +5 *3519:32 0.00338612 +6 *3519:25 0.00266508 +7 *3519:13 0.00700541 +8 *3519:12 0.00604756 +9 *3519:10 0.00147521 +10 *3519:10 *8926:DIODE 0 +11 *3519:10 *3768:14 0 +12 *3519:10 *3768:18 0 +13 *3519:13 *3979:33 0 +14 *3519:25 *3752:33 0 +15 *3519:32 *3735:44 0 +16 *3519:34 *3735:46 0 +17 *38289:A *3519:10 0 +18 *357:43 *3519:32 0 +19 *357:43 *3519:34 0 +20 *361:37 *3519:25 0 +21 *372:22 *3519:13 0 +22 *373:22 *3519:25 0 +23 *383:12 *3519:32 0 +24 *2943:23 *3519:10 0 +25 *3073:68 *3519:10 0 +26 *3097:21 *3519:25 0 +27 *3097:21 *3519:32 0 +28 *3097:35 *3519:13 0 +29 *3277:25 *3519:13 0 +30 *3277:31 *3519:13 0 +31 *3277:33 *3519:13 0 +32 *3303:39 *3519:32 0 +33 *3424:21 *3519:10 0 +34 *3425:17 *3519:25 0 +35 *3425:17 *3519:32 0 +*RES +1 *40592:X *3519:10 47.3714 +2 *3519:10 *3519:12 4.5 +3 *3519:12 *3519:13 126.214 +4 *3519:13 *3519:25 29.5714 +5 *3519:25 *3519:32 40.9375 +6 *3519:32 *3519:34 38.2232 +7 *3519:34 *6031:DIODE 15.1393 +8 *3519:34 *38038:C 14.3357 +*END + +*D_NET *3520 0.0244282 +*CONN +*I *8313:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40592:A I *D sky130_fd_sc_hd__buf_2 +*I *40593:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8313:DIODE 0 +2 *40592:A 0.000216991 +3 *40593:X 0.00344643 +4 *3520:61 0.00338749 +5 *3520:45 0.00855067 +6 *3520:20 0.0088266 +7 *3520:20 *37666:B 0 +8 *3520:20 *3523:54 0 +9 *3520:20 *3759:45 0 +10 *3520:20 *3777:33 0 +11 *3520:20 *3777:46 0 +12 *3520:20 *3933:49 0 +13 *3520:20 *4500:13 0 +14 *3520:45 *3522:24 0 +15 *3520:45 *3759:45 0 +16 *3520:45 *3759:57 0 +17 *3520:45 *3777:65 0 +18 *3520:45 *3784:82 0 +19 *3520:45 *3933:49 0 +20 *3520:45 *3976:25 0 +21 *3520:45 *4045:43 0 +22 *3520:45 *4045:53 0 +23 *3520:45 *4221:60 0 +24 *3520:45 *4252:50 0 +25 *3520:45 *5092:21 0 +26 *3520:61 *8470:DIODE 0 +27 *3520:61 *3532:24 0 +28 *3520:61 *3726:89 0 +29 *3520:61 *3733:131 0 +30 *3520:61 *4215:74 0 +31 *3520:61 *4340:40 0 +32 *8091:DIODE *3520:45 0 +33 *1215:32 *3520:20 0 +34 *2384:63 *40592:A 0 +35 *2384:63 *3520:61 0 +36 *2386:63 *3520:45 0 +37 *2395:64 *3520:45 0 +38 *2826:11 *3520:61 0 +39 *3025:46 *3520:45 0 +40 *3086:28 *3520:45 0 +41 *3105:42 *3520:45 0 +42 *3105:99 *3520:20 0 +43 *3158:16 *3520:20 0 +44 *3158:16 *3520:45 0 +45 *3174:40 *3520:20 0 +46 *3234:27 *3520:45 0 +47 *3265:86 *3520:20 0 +48 *3281:10 *3520:45 0 +49 *3294:24 *3520:45 0 +50 *3316:29 *3520:20 0 +51 *3388:60 *3520:61 0 +52 *3497:59 *3520:45 0 +*RES +1 *40593:X *3520:20 47.8586 +2 *3520:20 *3520:45 48.2054 +3 *3520:45 *3520:61 42.9058 +4 *3520:61 *40592:A 22.9875 +5 *3520:61 *8313:DIODE 9.3 +*END + +*D_NET *3521 0.0233137 +*CONN +*I *38036:C I *D sky130_fd_sc_hd__and3b_1 +*I *6027:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40594:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38036:C 0.000143745 +2 *6027:DIODE 7.12677e-05 +3 *40594:X 0.00284866 +4 *3521:46 0.00184187 +5 *3521:45 0.00859318 +6 *3521:26 0.00981499 +7 *6027:DIODE *4080:29 0 +8 *38036:C *3657:58 0 +9 *3521:26 *3652:22 0 +10 *3521:26 *4266:18 0 +11 *3521:45 *5106:17 0 +12 *3521:46 *3708:46 0 +13 *3521:46 *4611:6 0 +14 *1000:33 *3521:26 0 +15 *1012:10 *3521:26 0 +16 *1012:34 *3521:26 0 +17 *1012:34 *3521:45 0 +18 *1019:20 *3521:45 0 +19 *1428:19 *3521:26 0 +20 *2402:30 *3521:26 0 +21 *3001:38 *3521:26 0 +22 *3040:21 *3521:26 0 +23 *3081:38 *3521:26 0 +24 *3114:47 *3521:26 0 +25 *3118:6 *3521:46 0 +26 *3295:33 *3521:26 0 +27 *3303:47 *3521:45 0 +28 *3499:67 *3521:26 0 +29 *3499:67 *3521:45 0 +*RES +1 *40594:X *3521:26 48.066 +2 *3521:26 *3521:45 41.6693 +3 *3521:45 *3521:46 37.0089 +4 *3521:46 *6027:DIODE 15.3714 +5 *3521:46 *38036:C 16.8 +*END + +*D_NET *3522 0.0221991 +*CONN +*I *8315:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40594:A I *D sky130_fd_sc_hd__buf_2 +*I *40595:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8315:DIODE 0.000359283 +2 *40594:A 0.000130604 +3 *40595:X 0.000621809 +4 *3522:35 0.00274284 +5 *3522:24 0.00998788 +6 *3522:14 0.00835673 +7 *8315:DIODE *8415:DIODE 0 +8 *8315:DIODE *8850:DIODE 0 +9 *8315:DIODE *3647:63 0 +10 *40594:A *4051:34 0 +11 *40594:A *4099:101 0 +12 *3522:14 *3653:47 0 +13 *3522:14 *4246:45 0 +14 *3522:14 *4288:94 0 +15 *3522:24 *3653:47 0 +16 *3522:24 *3976:40 0 +17 *3522:24 *4215:74 0 +18 *3522:24 *4238:18 0 +19 *3522:24 *4254:19 0 +20 *3522:24 *4288:94 0 +21 *3522:35 *5428:DIODE 0 +22 *3522:35 *8392:DIODE 0 +23 *3522:35 *40996:A 0 +24 *3522:35 *41256:A 0 +25 *3522:35 *3684:34 0 +26 *3522:35 *3967:6 0 +27 *3522:35 *4037:74 0 +28 *3522:35 *4091:26 0 +29 *1319:27 *8315:DIODE 0 +30 *1414:44 *3522:35 0 +31 *1418:25 *8315:DIODE 0 +32 *2440:58 *3522:24 0 +33 *2812:20 *3522:24 0 +34 *2919:43 *3522:35 0 +35 *3163:52 *3522:24 0 +36 *3229:18 *3522:24 0 +37 *3274:79 *3522:35 0 +38 *3299:16 *3522:24 0 +39 *3422:46 *3522:24 0 +40 *3497:59 *3522:24 0 +41 *3520:45 *3522:24 0 +*RES +1 *40595:X *3522:14 29.172 +2 *3522:14 *3522:24 46.8454 +3 *3522:24 *3522:35 39.9557 +4 *3522:35 *40594:A 16.6482 +5 *3522:35 *8315:DIODE 21.6482 +*END + +*D_NET *3523 0.0199954 +*CONN +*I *40595:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8316:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40596:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40595:A 0.000162783 +2 *8316:DIODE 0 +3 *40596:X 0.00601144 +4 *3523:65 0.00115534 +5 *3523:54 0.00382347 +6 *3523:32 0.00884235 +7 *3523:32 *37628:A 0 +8 *3523:32 *40414:A 0 +9 *3523:32 *3533:19 0 +10 *3523:32 *3771:17 0 +11 *3523:32 *3809:30 0 +12 *3523:32 *3838:32 0 +13 *3523:32 *3849:67 0 +14 *3523:32 *3973:21 0 +15 *3523:32 *4495:27 0 +16 *3523:32 *4496:33 0 +17 *3523:32 *4496:52 0 +18 *3523:32 *4502:15 0 +19 *3523:32 *4507:27 0 +20 *3523:32 *4513:31 0 +21 *3523:32 *5159:41 0 +22 *3523:54 *3759:45 0 +23 *3523:54 *4501:56 0 +24 *3523:54 *4513:31 0 +25 *3523:65 *4242:51 0 +26 *40294:A *3523:65 0 +27 *1223:14 *3523:54 0 +28 *2008:59 *3523:54 0 +29 *2115:49 *3523:32 0 +30 *2394:46 *3523:32 0 +31 *2439:38 *3523:32 0 +32 *2472:33 *3523:54 0 +33 *2538:19 *3523:54 0 +34 *2727:49 *3523:54 0 +35 *3032:20 *3523:32 0 +36 *3105:99 *3523:54 0 +37 *3148:36 *40595:A 0 +38 *3207:49 *40595:A 0 +39 *3207:49 *3523:65 0 +40 *3309:16 *3523:54 0 +41 *3446:52 *3523:65 0 +42 *3500:20 *3523:54 0 +43 *3508:18 *3523:32 0 +44 *3511:73 *3523:65 0 +45 *3520:20 *3523:54 0 +*RES +1 *40596:X *3523:32 48.3573 +2 *3523:32 *3523:54 47.0612 +3 *3523:54 *3523:65 30.2857 +4 *3523:65 *8316:DIODE 9.3 +5 *3523:65 *40595:A 12.7286 +*END + +*D_NET *3524 0.027324 +*CONN +*I *38034:C I *D sky130_fd_sc_hd__and3b_1 +*I *6024:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40597:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38034:C 0.000112395 +2 *6024:DIODE 0.000182462 +3 *40597:X 0.00322736 +4 *3524:29 0.00202118 +5 *3524:25 0.0101398 +6 *3524:14 0.0116408 +7 *6024:DIODE *4010:48 0 +8 *6024:DIODE *4319:41 0 +9 *3524:25 *3713:39 0 +10 *3524:25 *3983:17 0 +11 *3524:29 *3673:22 0 +12 *3524:29 *3715:54 0 +13 *3524:29 *4319:41 0 +14 *2395:64 *3524:14 0 +15 *2818:38 *3524:25 0 +16 *2823:19 *3524:29 0 +17 *2829:28 *3524:25 0 +18 *2837:49 *3524:25 0 +19 *2837:91 *3524:25 0 +20 *2905:51 *3524:25 0 +21 *2920:11 *3524:25 0 +22 *2980:41 *3524:25 0 +23 *3023:21 *3524:14 0 +24 *3031:17 *3524:25 0 +25 *3031:43 *3524:25 0 +26 *3154:14 *3524:14 0 +27 *3298:59 *3524:25 0 +28 *3310:20 *3524:14 0 +29 *3429:22 *3524:25 0 +*RES +1 *40597:X *3524:14 39.4363 +2 *3524:14 *3524:25 33.9083 +3 *3524:25 *3524:29 43.5804 +4 *3524:29 *6024:DIODE 13.1393 +5 *3524:29 *38034:C 20.8536 +*END + +*D_NET *3525 0.0184285 +*CONN +*I *8317:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40597:A I *D sky130_fd_sc_hd__buf_2 +*I *40598:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8317:DIODE 9.71952e-05 +2 *40597:A 0.000157817 +3 *40598:X 0.00304329 +4 *3525:29 0.00617098 +5 *3525:17 0.00895925 +6 *3525:17 *3788:40 0 +7 *3525:17 *4513:31 0 +8 *3525:29 *3744:63 0 +9 *3525:29 *3960:35 0 +10 *3525:29 *4082:23 0 +11 *3525:29 *4193:27 0 +12 *3525:29 *4275:56 0 +13 *3525:29 *5092:21 0 +14 *7587:DIODE *40597:A 0 +15 *8131:DIODE *3525:29 0 +16 *40598:A *3525:17 0 +17 *2386:63 *3525:29 0 +18 *2393:44 *3525:29 0 +19 *2435:52 *3525:17 0 +20 *2435:52 *3525:29 0 +21 *2472:21 *3525:17 0 +22 *2472:33 *3525:17 0 +23 *3158:29 *3525:17 0 +24 *3174:16 *8317:DIODE 0 +25 *3174:16 *3525:29 0 +26 *3234:27 *3525:29 0 +27 *3234:35 *3525:17 0 +28 *3244:32 *3525:17 0 +29 *3296:49 *8317:DIODE 0 +30 *3296:49 *3525:29 0 +31 *3312:78 *3525:29 0 +32 *3314:28 *3525:17 0 +33 *3314:28 *3525:29 0 +34 *3430:21 *3525:29 0 +35 *3504:20 *3525:29 0 +36 *3504:42 *3525:29 0 +*RES +1 *40598:X *3525:17 35.6005 +2 *3525:17 *3525:29 29.9243 +3 *3525:29 *40597:A 20.8261 +4 *3525:29 *8317:DIODE 18.5886 +*END + +*D_NET *3526 0.020457 +*CONN +*I *6021:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38032:C I *D sky130_fd_sc_hd__and3b_1 +*I *40599:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6021:DIODE 0.000366874 +2 *38032:C 0 +3 *40599:X 0.00103725 +4 *3526:106 0.00203311 +5 *3526:97 0.00381472 +6 *3526:90 0.00299131 +7 *3526:67 0.00379007 +8 *3526:40 0.00416683 +9 *3526:18 0.00225684 +10 *6021:DIODE *8483:DIODE 0 +11 *6021:DIODE *3819:71 0 +12 *3526:18 *38020:B 0 +13 *3526:18 *4075:38 0 +14 *3526:18 *4253:39 0 +15 *3526:18 *4264:9 0 +16 *3526:40 *3747:30 0 +17 *3526:40 *3980:41 0 +18 *3526:40 *4020:39 0 +19 *3526:40 *4105:45 0 +20 *3526:40 *4292:29 0 +21 *3526:40 *4408:15 0 +22 *3526:67 *3763:21 0 +23 *3526:67 *3826:34 0 +24 *3526:67 *3956:50 0 +25 *3526:67 *4098:42 0 +26 *3526:67 *4098:58 0 +27 *3526:67 *4352:19 0 +28 *3526:90 *40863:A 0 +29 *3526:90 *3674:58 0 +30 *3526:90 *3801:60 0 +31 *3526:90 *3822:21 0 +32 *3526:90 *4345:89 0 +33 *3526:90 *4358:77 0 +34 *3526:97 *8940:DIODE 0 +35 *3526:97 *37664:A 0 +36 *3526:97 *41304:A 0 +37 *3526:97 *3546:56 0 +38 *3526:97 *3653:36 0 +39 *3526:97 *3720:35 0 +40 *3526:97 *3817:83 0 +41 *3526:97 *3819:19 0 +42 *3526:97 *3819:49 0 +43 *3526:97 *4344:17 0 +44 *3526:106 *8756:DIODE 0 +45 *3526:106 *3546:97 0 +46 *3526:106 *3730:67 0 +47 *3526:106 *3742:40 0 +48 *3526:106 *3819:49 0 +49 *3526:106 *4099:29 0 +50 *3526:106 *4128:80 0 +51 *3526:106 *4362:78 0 +52 *3526:106 *4365:100 0 +53 *3526:106 *4374:38 0 +54 *8490:DIODE *3526:40 0 +55 *8538:DIODE *3526:40 0 +56 *38007:A *3526:90 0 +57 *1231:37 *3526:106 0 +58 *1407:27 *3526:97 0 +59 *2069:29 *3526:40 0 +60 *2267:62 *3526:67 0 +61 *2848:22 *3526:90 0 +62 *2858:15 *3526:67 0 +63 *2928:39 *6021:DIODE 0 +64 *2992:22 *6021:DIODE 0 +65 *3354:12 *3526:18 0 +66 *3445:44 *3526:67 0 +*RES +1 *40599:X *3526:18 42.0679 +2 *3526:18 *3526:40 49.0982 +3 *3526:40 *3526:67 46.6999 +4 *3526:67 *3526:90 36.0439 +5 *3526:90 *3526:97 45.0536 +6 *3526:97 *3526:106 43.9643 +7 *3526:106 *38032:C 9.3 +8 *3526:106 *6021:DIODE 26.1393 +*END + +*D_NET *3527 0.00182178 +*CONN +*I *40536:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38532:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40536:A 0 +2 *38532:X 0.000910888 +3 *3527:19 0.000910888 +4 *6668:DIODE *3527:19 0 +5 *6809:DIODE *3527:19 0 +6 *38851:A *3527:19 0 +7 *301:39 *3527:19 0 +8 *461:5 *3527:19 0 +9 *3454:5 *3527:19 0 +10 *3455:8 *3527:19 0 +*RES +1 *38532:X *3527:19 46.6036 +2 *3527:19 *40536:A 9.3 +*END + +*D_NET *3528 0.0307747 +*CONN +*I *6017:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38030:C I *D sky130_fd_sc_hd__and3b_1 +*I *40600:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *6017:DIODE 0 +2 *38030:C 0.000216801 +3 *40600:X 0.00342076 +4 *3528:54 0.00240528 +5 *3528:45 0.00404511 +6 *3528:37 0.00956131 +7 *3528:20 0.0111254 +8 *38030:C *4327:15 0 +9 *38030:C *4360:42 0 +10 *3528:20 *8692:DIODE 0 +11 *3528:20 *41276:A 0 +12 *3528:20 *3701:17 0 +13 *3528:20 *4272:38 0 +14 *3528:45 *4241:110 0 +15 *3528:54 *3673:22 0 +16 *3528:54 *3684:86 0 +17 *3528:54 *3715:54 0 +18 *38092:C *3528:20 0 +19 *380:5 *3528:54 0 +20 *1006:10 *3528:37 0 +21 *1030:8 *3528:37 0 +22 *2385:26 *3528:54 0 +23 *2400:39 *3528:37 0 +24 *2400:39 *3528:45 0 +25 *2400:56 *3528:37 0 +26 *2402:30 *3528:37 0 +27 *2715:18 *3528:37 0 +28 *2823:19 *3528:54 0 +29 *2919:91 *3528:20 0 +30 *3040:21 *3528:37 0 +31 *3079:25 *3528:54 0 +32 *3079:33 *3528:54 0 +33 *3108:37 *3528:37 0 +34 *3114:47 *3528:37 0 +35 *3124:17 *3528:20 0 +36 *3492:17 *3528:45 0 +*RES +1 *40600:X *3528:20 45.7599 +2 *3528:20 *3528:37 47.6379 +3 *3528:37 *3528:45 19.1004 +4 *3528:45 *3528:54 49.8839 +5 *3528:54 *38030:C 18.5321 +6 *3528:54 *6017:DIODE 13.8 +*END + +*D_NET *3529 0.0185779 +*CONN +*I *40600:A I *D sky130_fd_sc_hd__buf_2 +*I *8320:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40601:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40600:A 0.000443796 +2 *8320:DIODE 0.000189476 +3 *40601:X 0.00136333 +4 *3529:66 0.00170587 +5 *3529:62 0.00215078 +6 *3529:35 0.00368185 +7 *3529:34 0.00307561 +8 *3529:28 0.00221595 +9 *3529:22 0.00206596 +10 *3529:13 0.00168528 +11 *40600:A *8692:DIODE 0 +12 *3529:13 *4038:49 0 +13 *3529:35 *3777:46 0 +14 *3529:35 *4281:71 0 +15 *3529:62 *3785:66 0 +16 *3529:62 *4281:71 0 +17 *3529:66 *4221:78 0 +18 *3529:66 *4233:71 0 +19 *38593:A *3529:62 0 +20 *40362:A *3529:66 0 +21 *40577:A *8320:DIODE 0 +22 *1227:26 *3529:13 0 +23 *1987:61 *3529:13 0 +24 *2381:73 *3529:62 0 +25 *2386:42 *3529:66 0 +26 *2393:28 *3529:66 0 +27 *2393:82 *3529:22 0 +28 *2425:42 *3529:66 0 +29 *3053:14 *3529:13 0 +30 *3055:71 *3529:13 0 +31 *3144:17 *8320:DIODE 0 +32 *3144:21 *3529:35 0 +33 *3144:21 *3529:62 0 +34 *3144:29 *3529:35 0 +35 *3158:16 *3529:22 0 +36 *3158:29 *3529:22 0 +37 *3210:15 *40600:A 0 +38 *3217:33 *3529:62 0 +39 *3248:24 *3529:62 0 +40 *3285:29 *40600:A 0 +41 *3305:35 *3529:13 0 +42 *3316:17 *3529:13 0 +43 *3436:16 *3529:22 0 +44 *3436:21 *3529:28 0 +45 *3436:28 *3529:35 0 +46 *3440:39 *3529:28 0 +47 *3440:39 *3529:35 0 +48 *3497:50 *3529:62 0 +49 *3511:52 *3529:13 0 +50 *3511:73 *3529:34 0 +51 *3513:24 *3529:62 0 +52 *3517:65 *3529:35 0 +*RES +1 *40601:X *3529:13 47.175 +2 *3529:13 *3529:22 16.375 +3 *3529:22 *3529:28 45.4821 +4 *3529:28 *3529:34 18.9821 +5 *3529:34 *3529:35 54.3393 +6 *3529:35 *3529:62 42.3929 +7 *3529:62 *3529:66 26.9286 +8 *3529:66 *8320:DIODE 18.0143 +9 *3529:66 *40600:A 23.8179 +*END + +*D_NET *3530 0.0193289 +*CONN +*I *6013:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38028:C I *D sky130_fd_sc_hd__and3b_1 +*I *40602:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6013:DIODE 0 +2 *38028:C 0.000583332 +3 *40602:X 0.00159382 +4 *3530:97 0.00238951 +5 *3530:89 0.00359778 +6 *3530:79 0.00302293 +7 *3530:62 0.00227003 +8 *3530:41 0.00265819 +9 *3530:20 0.00321331 +10 *38028:C *3747:72 0 +11 *38028:C *4134:69 0 +12 *3530:20 *8771:DIODE 0 +13 *3530:20 *4485:41 0 +14 *3530:20 *4485:45 0 +15 *3530:20 *4489:41 0 +16 *3530:41 *3933:49 0 +17 *3530:41 *3939:44 0 +18 *3530:41 *3939:62 0 +19 *3530:41 *5159:63 0 +20 *3530:62 *4046:70 0 +21 *3530:62 *4286:20 0 +22 *3530:62 *4295:26 0 +23 *3530:62 *4300:15 0 +24 *3530:62 *4301:56 0 +25 *3530:62 *4303:140 0 +26 *3530:62 *4513:48 0 +27 *3530:79 *3977:21 0 +28 *3530:79 *4029:49 0 +29 *3530:79 *4039:83 0 +30 *3530:79 *4083:83 0 +31 *3530:79 *4281:26 0 +32 *3530:79 *4295:26 0 +33 *3530:79 *4295:53 0 +34 *3530:79 *4303:140 0 +35 *3530:79 *4408:39 0 +36 *3530:89 *8762:DIODE 0 +37 *3530:89 *37652:B 0 +38 *3530:89 *38316:A 0 +39 *3530:89 *4085:7 0 +40 *3530:89 *4085:11 0 +41 *3530:89 *4119:21 0 +42 *3530:89 *4119:46 0 +43 *3530:89 *4134:61 0 +44 *3530:97 *3702:76 0 +45 *3530:97 *3747:72 0 +46 *3530:97 *4085:11 0 +47 *3530:97 *4119:46 0 +48 *3530:97 *4134:69 0 +49 *5246:DIODE *3530:20 0 +50 *8047:DIODE *3530:97 0 +51 *8319:DIODE *3530:41 0 +52 *8664:DIODE *3530:20 0 +53 *8755:DIODE *3530:79 0 +54 *41114:A *3530:79 0 +55 *1229:51 *3530:97 0 +56 *2077:62 *3530:20 0 +57 *2115:70 *3530:41 0 +58 *2121:30 *3530:20 0 +59 *2394:52 *3530:41 0 +60 *3055:104 *3530:97 0 +61 *3128:39 *3530:41 0 +62 *3154:97 *3530:79 0 +63 *3202:75 *3530:79 0 +64 *3219:12 *3530:79 0 +65 *3228:28 *3530:41 0 +66 *3228:37 *3530:41 0 +67 *3270:42 *3530:62 0 +68 *3270:72 *3530:20 0 +69 *3316:63 *38028:C 0 +70 *3316:77 *38028:C 0 +71 *3322:56 *3530:41 0 +72 *3438:116 *3530:97 0 +*RES +1 *40602:X *3530:20 47.4875 +2 *3530:20 *3530:41 46.8721 +3 *3530:41 *3530:62 45.1786 +4 *3530:62 *3530:79 45.5714 +5 *3530:79 *3530:89 42.3348 +6 *3530:89 *3530:97 46.9152 +7 *3530:97 *38028:C 49.0321 +8 *3530:97 *6013:DIODE 9.3 +*END + +*D_NET *3531 0.023954 +*CONN +*I *38026:C I *D sky130_fd_sc_hd__and3b_1 +*I *6010:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40603:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38026:C 0 +2 *6010:DIODE 8.45994e-05 +3 *40603:X 0.000726639 +4 *3531:44 0.000852906 +5 *3531:36 0.00908585 +6 *3531:17 0.0103975 +7 *3531:10 0.00280657 +8 *6010:DIODE *3658:50 0 +9 *3531:10 *4032:60 0 +10 *3531:10 *4303:112 0 +11 *3531:17 *8950:DIODE 0 +12 *3531:17 *3679:38 0 +13 *3531:17 *3687:19 0 +14 *3531:17 *4055:24 0 +15 *3531:36 *3659:32 0 +16 *3531:36 *3674:86 0 +17 *3531:36 *3681:51 0 +18 *3531:36 *3691:37 0 +19 *3531:36 *3789:65 0 +20 *3531:36 *3791:45 0 +21 *3531:36 *3820:61 0 +22 *3531:36 *3825:42 0 +23 *3531:36 *3979:18 0 +24 *3531:36 *3979:26 0 +25 *3531:36 *4070:63 0 +26 *1029:84 *3531:17 0 +27 *1029:84 *3531:36 0 +28 *1267:18 *3531:17 0 +29 *1287:25 *3531:36 0 +30 *1298:36 *3531:17 0 +31 *1298:36 *3531:36 0 +32 *1409:14 *3531:17 0 +33 *1413:35 *3531:44 0 +34 *1444:32 *3531:44 0 +35 *1539:16 *3531:44 0 +36 *1559:36 *3531:36 0 +37 *1692:21 *3531:36 0 +38 *2225:49 *3531:17 0 +39 *2266:65 *3531:17 0 +40 *2266:65 *3531:36 0 +41 *2369:53 *3531:36 0 +42 *2412:31 *3531:36 0 +43 *2919:91 *3531:36 0 +44 *2925:37 *3531:36 0 +45 *2944:36 *3531:36 0 +46 *3080:76 *3531:36 0 +47 *3197:55 *3531:36 0 +48 *3298:40 *3531:36 0 +49 *3321:152 *3531:44 0 +50 *3323:44 *3531:36 0 +*RES +1 *40603:X *3531:10 30.1929 +2 *3531:10 *3531:17 47.9259 +3 *3531:17 *3531:36 49.1203 +4 *3531:36 *3531:44 15.6475 +5 *3531:44 *6010:DIODE 15.675 +6 *3531:44 *38026:C 13.8 +*END + +*D_NET *3532 0.0217915 +*CONN +*I *6006:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38024:C I *D sky130_fd_sc_hd__and3b_1 +*I *40604:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6006:DIODE 0.000772713 +2 *38024:C 2.56688e-05 +3 *40604:X 0.000242471 +4 *3532:30 0.000979769 +5 *3532:24 0.0098549 +6 *3532:10 0.00991598 +7 *6006:DIODE *5722:DIODE 0 +8 *6006:DIODE *4092:83 0 +9 *3532:24 *3722:13 0 +10 *3532:24 *3722:25 0 +11 *3532:24 *3952:108 0 +12 *3532:24 *3959:23 0 +13 *3532:24 *3965:96 0 +14 *3532:24 *4001:74 0 +15 *3532:24 *4001:105 0 +16 *3532:24 *4017:117 0 +17 *3532:24 *4104:27 0 +18 *3532:24 *4112:119 0 +19 *3532:24 *4117:51 0 +20 *3532:24 *4121:50 0 +21 *3532:24 *4166:60 0 +22 *3532:24 *4215:74 0 +23 *3532:24 *4221:48 0 +24 *3532:24 *4242:44 0 +25 *3532:24 *4254:19 0 +26 *3532:24 *4261:51 0 +27 *3532:24 *4261:62 0 +28 *3532:24 *4268:24 0 +29 *3532:24 *4518:73 0 +30 *3532:24 *4888:26 0 +31 *3532:30 *4109:65 0 +32 *3532:30 *4351:33 0 +33 *1441:19 *3532:24 0 +34 *2421:49 *6006:DIODE 0 +35 *2440:58 *3532:24 0 +36 *2671:44 *3532:24 0 +37 *2822:46 *6006:DIODE 0 +38 *2928:21 *6006:DIODE 0 +39 *2992:57 *3532:30 0 +40 *3053:62 *3532:24 0 +41 *3084:34 *3532:24 0 +42 *3119:22 *3532:24 0 +43 *3178:43 *3532:24 0 +44 *3219:12 *3532:10 0 +45 *3253:32 *3532:24 0 +46 *3256:39 *3532:10 0 +47 *3265:86 *3532:24 0 +48 *3279:51 *3532:24 0 +49 *3306:20 *3532:24 0 +50 *3312:96 *3532:24 0 +51 *3387:14 *6006:DIODE 0 +52 *3388:60 *3532:24 0 +53 *3439:38 *3532:24 0 +54 *3442:92 *3532:10 0 +55 *3446:89 *3532:24 0 +56 *3513:68 *3532:24 0 +57 *3520:61 *3532:24 0 +*RES +1 *40604:X *3532:10 22.4154 +2 *3532:10 *3532:24 48.0414 +3 *3532:24 *3532:30 8.98594 +4 *3532:30 *38024:C 14.3357 +5 *3532:30 *6006:DIODE 31.425 +*END + +*D_NET *3533 0.0188663 +*CONN +*I *40604:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8324:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40605:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40604:A 0.000195123 +2 *8324:DIODE 0 +3 *40605:X 0.00657292 +4 *3533:52 0.000447952 +5 *3533:49 0.00266513 +6 *3533:19 0.00898522 +7 *3533:19 *3771:17 0 +8 *3533:19 *3809:30 0 +9 *3533:19 *3816:20 0 +10 *3533:19 *3849:46 0 +11 *3533:19 *4488:74 0 +12 *3533:19 *4496:33 0 +13 *3533:19 *4496:52 0 +14 *3533:19 *4502:15 0 +15 *3533:19 *5159:20 0 +16 *3533:49 *3813:45 0 +17 *3533:49 *3813:54 0 +18 *3533:49 *3933:49 0 +19 *3533:49 *4275:56 0 +20 *3533:49 *4496:52 0 +21 *3533:49 *4509:22 0 +22 *3533:49 *4513:31 0 +23 *2069:9 *3533:19 0 +24 *2394:46 *3533:19 0 +25 *2427:26 *3533:49 0 +26 *2439:38 *3533:19 0 +27 *2472:21 *3533:19 0 +28 *3128:19 *3533:49 0 +29 *3140:60 *3533:49 0 +30 *3154:76 *3533:49 0 +31 *3154:97 *3533:49 0 +32 *3219:12 *40604:A 0 +33 *3248:46 *3533:19 0 +34 *3317:44 *40604:A 0 +35 *3444:5 *3533:49 0 +36 *3508:18 *3533:19 0 +37 *3511:32 *3533:49 0 +38 *3511:52 *3533:49 0 +39 *3523:32 *3533:19 0 +*RES +1 *40605:X *3533:19 49.2181 +2 *3533:19 *3533:49 48.2057 +3 *3533:49 *3533:52 9.15107 +4 *3533:52 *8324:DIODE 13.8 +5 *3533:52 *40604:A 18.2107 +*END + +*D_NET *3534 0.0195354 +*CONN +*I *5571:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37736:B I *D sky130_fd_sc_hd__and2_2 +*I *40606:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *5571:DIODE 0 +2 *37736:B 0.000707923 +3 *40606:X 0.00174077 +4 *3534:105 0.00146222 +5 *3534:92 0.00223926 +6 *3534:72 0.00362009 +7 *3534:64 0.00311167 +8 *3534:58 0.00181409 +9 *3534:38 0.00196808 +10 *3534:5 0.0028713 +11 *37736:B *8722:DIODE 0 +12 *37736:B *3969:72 0 +13 *37736:B *4062:38 0 +14 *37736:B *4236:30 0 +15 *3534:5 *4029:89 0 +16 *3534:5 *4246:50 0 +17 *3534:5 *4261:51 0 +18 *3534:38 *3697:25 0 +19 *3534:38 *3697:46 0 +20 *3534:38 *4297:108 0 +21 *3534:38 *4509:71 0 +22 *3534:58 *3697:25 0 +23 *3534:58 *3952:122 0 +24 *3534:58 *4029:81 0 +25 *3534:58 *4046:91 0 +26 *3534:58 *4301:79 0 +27 *3534:72 *4029:58 0 +28 *3534:72 *4029:65 0 +29 *3534:72 *4046:79 0 +30 *3534:92 *3782:69 0 +31 *3534:92 *3952:72 0 +32 *3534:92 *3952:75 0 +33 *3534:105 *3952:52 0 +34 *3534:105 *4253:56 0 +35 *3534:105 *4294:47 0 +36 *38082:A_N *3534:5 0 +37 *39627:A *3534:58 0 +38 *40276:A *3534:92 0 +39 *40420:A *3534:5 0 +40 *40521:A *3534:5 0 +41 *1235:38 *3534:58 0 +42 *1440:19 *3534:5 0 +43 *1997:30 *37736:B 0 +44 *2431:15 *3534:58 0 +45 *3153:39 *37736:B 0 +46 *3153:39 *3534:105 0 +47 *3187:21 *3534:5 0 +48 *3187:47 *3534:58 0 +49 *3210:35 *3534:38 0 +50 *3219:45 *3534:92 0 +51 *3256:38 *3534:38 0 +52 *3263:30 *3534:5 0 +53 *3306:20 *3534:38 0 +54 *3313:15 *3534:5 0 +55 *3317:56 *3534:72 0 +56 *3319:33 *3534:64 0 +57 *3319:33 *3534:72 0 +58 *3327:53 *3534:105 0 +59 *3327:61 *3534:72 0 +60 *3327:61 *3534:92 0 +61 *3430:21 *3534:5 0 +62 *3445:31 *3534:92 0 +63 *3446:28 *3534:92 0 +64 *3446:52 *3534:58 0 +65 *3446:89 *3534:38 0 +*RES +1 *40606:X *3534:5 45.5679 +2 *3534:5 *3534:38 48.6875 +3 *3534:38 *3534:58 31.7232 +4 *3534:58 *3534:64 29.4643 +5 *3534:64 *3534:72 49.2321 +6 *3534:72 *3534:92 49.9643 +7 *3534:92 *3534:105 29.5 +8 *3534:105 *37736:B 33.8179 +9 *3534:105 *5571:DIODE 9.3 +*END + +*D_NET *3535 0.0200282 +*CONN +*I *40606:A I *D sky130_fd_sc_hd__buf_6 +*I *8325:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40607:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *40606:A 0 +2 *8325:DIODE 0.000691823 +3 *40607:X 0.00120965 +4 *3535:25 0.00192949 +5 *3535:19 0.00794149 +6 *3535:18 0.00687496 +7 *3535:14 0.00138078 +8 *3535:14 *8865:DIODE 0 +9 *3535:14 *40222:A 0 +10 *3535:14 *3793:65 0 +11 *3535:14 *4268:48 0 +12 *3535:19 *3972:27 0 +13 *3535:19 *3972:29 0 +14 *3535:25 *3972:27 0 +15 *8309:DIODE *3535:14 0 +16 *40316:A *3535:25 0 +17 *1001:65 *3535:14 0 +18 *3015:58 *3535:19 0 +19 *3129:21 *8325:DIODE 0 +20 *3155:61 *3535:19 0 +21 *3155:65 *3535:19 0 +22 *3183:14 *3535:19 0 +23 *3187:13 *8325:DIODE 0 +24 *3237:64 *3535:14 0 +25 *3253:32 *3535:25 0 +26 *3256:23 *8325:DIODE 0 +27 *3286:34 *3535:18 0 +28 *3289:37 *3535:19 0 +29 *3306:29 *3535:19 0 +30 *3306:29 *3535:25 0 +31 *3313:15 *3535:25 0 +32 *3348:21 *3535:19 0 +33 *3416:26 *3535:14 0 +34 *3496:19 *3535:14 0 +35 *3502:29 *3535:19 0 +*RES +1 *40607:X *3535:14 48.6304 +2 *3535:14 *3535:18 8.41964 +3 *3535:18 *3535:19 139.973 +4 *3535:19 *3535:25 25.8304 +5 *3535:25 *8325:DIODE 42.05 +6 *3535:25 *40606:A 9.3 +*END + +*D_NET *3536 0.0207549 +*CONN +*I *8326:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40607:A I *D sky130_fd_sc_hd__buf_4 +*I *40608:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8326:DIODE 0 +2 *40607:A 0.00094707 +3 *40608:X 0.00152216 +4 *3536:44 0.00433751 +5 *3536:33 0.00790822 +6 *3536:7 0.00603994 +7 *40607:A *4251:69 0 +8 *40607:A *4591:34 0 +9 *40607:A *4603:43 0 +10 *3536:7 *4545:48 0 +11 *3536:7 *4547:65 0 +12 *3536:7 *4776:9 0 +13 *3536:7 *5178:33 0 +14 *3536:33 *40300:A 0 +15 *3536:33 *4529:16 0 +16 *3536:33 *4545:69 0 +17 *3536:33 *4545:74 0 +18 *3536:33 *4547:65 0 +19 *3536:33 *4548:65 0 +20 *3536:33 *4563:28 0 +21 *3536:33 *4780:35 0 +22 *3536:33 *4783:42 0 +23 *3536:44 *4427:70 0 +24 *3536:44 *4603:43 0 +25 *3536:44 *4783:42 0 +26 *3536:44 *5173:36 0 +27 *3536:44 *5175:74 0 +28 *3536:44 *5188:20 0 +29 *5459:DIODE *3536:7 0 +30 *7467:DIODE *3536:7 0 +31 *7528:DIODE *3536:7 0 +32 *7968:DIODE *3536:33 0 +33 *7996:DIODE *40607:A 0 +34 *37458:A *3536:7 0 +35 *1248:67 *3536:33 0 +36 *1836:26 *3536:44 0 +37 *2976:14 *3536:33 0 +38 *3102:23 *3536:33 0 +39 *3168:16 *3536:7 0 +40 *3179:23 *3536:33 0 +41 *3198:18 *40607:A 0 +42 *3281:24 *3536:44 0 +43 *3357:30 *3536:33 0 +44 *3394:32 *3536:33 0 +45 *3402:56 *40607:A 0 +46 *3422:67 *40607:A 0 +47 *3471:17 *3536:44 0 +48 *3471:37 *3536:33 0 +49 *3471:37 *3536:44 0 +*RES +1 *40608:X *3536:7 41.0679 +2 *3536:7 *3536:33 49.7376 +3 *3536:33 *3536:44 20.9685 +4 *3536:44 *40607:A 40.1393 +5 *3536:44 *8326:DIODE 9.3 +*END + +*D_NET *3537 0.018663 +*CONN +*I *40608:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8327:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40609:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40608:A 0.000219661 +2 *8327:DIODE 2.56688e-05 +3 *40609:X 0 +4 *3537:16 0.00237869 +5 *3537:5 0.00908617 +6 *3537:4 0.00695282 +7 *8327:DIODE *5178:33 0 +8 *40608:A *7291:DIODE 0 +9 *40608:A *40318:A 0 +10 *40608:A *4776:9 0 +11 *3537:5 *4542:13 0 +12 *3537:5 *4543:20 0 +13 *3537:5 *4546:16 0 +14 *3537:5 *4547:7 0 +15 *3537:5 *4547:9 0 +16 *3537:5 *4547:31 0 +17 *3537:5 *4552:22 0 +18 *3537:5 *4576:23 0 +19 *3537:5 *4579:17 0 +20 *3537:5 *4768:10 0 +21 *3537:16 *7291:DIODE 0 +22 *3537:16 *4533:25 0 +23 *3537:16 *4540:18 0 +24 *3537:16 *4547:54 0 +25 *3537:16 *4576:23 0 +26 mprj_dat_i_core[21] *3537:5 0 +27 mprj_dat_i_core[24] *3537:5 0 +28 mprj_dat_i_core[27] *3537:5 0 +29 mprj_dat_i_core[30] *3537:5 0 +30 *6876:DIODE *40608:A 0 +31 *6885:DIODE *3537:5 0 +32 *6892:DIODE *3537:5 0 +33 *6913:DIODE *3537:16 0 +34 *6923:DIODE *3537:5 0 +35 *6924:DIODE *3537:5 0 +36 *6942:DIODE *3537:5 0 +37 *38754:A *3537:5 0 +38 *915:8 *3537:5 0 +39 *922:10 *3537:5 0 +40 *923:8 *3537:5 0 +41 *1045:10 *3537:5 0 +42 *1047:10 *3537:5 0 +43 *1048:10 *3537:5 0 +44 *1055:12 *3537:5 0 +45 *1096:10 *3537:5 0 +46 *1598:21 *3537:16 0 +47 *1741:11 *3537:5 0 +*RES +1 *40609:X *3537:4 9.3 +2 *3537:4 *3537:5 145.107 +3 *3537:5 *3537:16 27.617 +4 *3537:16 *8327:DIODE 14.3357 +5 *3537:16 *40608:A 18.55 +*END + +*D_NET *3538 0.0186614 +*CONN +*I *37574:B I *D sky130_fd_sc_hd__and2_1 +*I *5386:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38533:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37574:B 0.000370229 +2 *5386:DIODE 4.53482e-05 +3 *38533:X 0.00741214 +4 *3538:18 0.00191857 +5 *3538:13 0.00891514 +6 *5386:DIODE *4152:91 0 +7 *37574:B *5385:DIODE 0 +8 *37574:B *37574:A 0 +9 *37574:B *3897:49 0 +10 *37574:B *4183:39 0 +11 *3538:13 *3605:17 0 +12 *3538:13 *4462:48 0 +13 *3538:13 *4470:43 0 +14 *3538:13 *4822:33 0 +15 *3538:13 *5015:31 0 +16 *3538:13 *5048:66 0 +17 *3538:13 *5070:39 0 +18 *3538:18 *38206:A 0 +19 *3538:18 *3903:8 0 +20 *3538:18 *4444:12 0 +21 *3538:18 *4817:43 0 +22 *6971:DIODE *3538:18 0 +23 *365:11 *37574:B 0 +24 *365:11 *3538:18 0 +25 *1393:14 *37574:B 0 +26 *2055:14 *3538:13 0 +27 *3064:17 *3538:13 0 +28 *3066:11 *3538:13 0 +29 *3347:65 *37574:B 0 +30 *3349:8 *3538:18 0 +31 *3352:15 *3538:13 0 +32 *3356:9 *3538:13 0 +33 *3361:31 *3538:18 0 +34 *3458:11 *3538:13 0 +*RES +1 *38533:X *3538:13 49.8374 +2 *3538:13 *3538:18 34.1339 +3 *3538:18 *5386:DIODE 14.7464 +4 *3538:18 *37574:B 21.9786 +*END + +*D_NET *3539 0.018469 +*CONN +*I *7341:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39380:A I *D sky130_fd_sc_hd__buf_12 +*I *40610:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *7341:DIODE 0 +2 *39380:A 0.000173692 +3 *40610:X 0.000729278 +4 *3539:26 0.000272795 +5 *3539:21 0.0031554 +6 *3539:20 0.00316582 +7 *3539:11 0.00517611 +8 *3539:10 0.00579587 +9 *3539:10 *3962:11 0 +10 *3539:11 *3930:13 0 +11 *3539:11 *4318:104 0 +12 *3539:21 *38392:B 0 +13 *5473:DIODE *3539:11 0 +14 *7483:DIODE *3539:11 0 +15 *39507:A *3539:11 0 +16 *39511:A *3539:11 0 +17 *39511:B *3539:11 0 +18 *1578:11 *3539:10 0 +19 *1590:30 *3539:20 0 +20 *1831:29 *3539:10 0 +21 *1842:9 *3539:21 0 +22 *2444:6 *3539:10 0 +23 *2800:75 *3539:11 0 +24 *2806:116 *3539:11 0 +25 *2815:94 *3539:11 0 +26 *2815:101 *3539:11 0 +27 *3009:71 *3539:11 0 +28 *3012:31 *3539:11 0 +29 *3012:50 *3539:21 0 +30 *3019:42 *3539:11 0 +31 *3019:42 *3539:20 0 +32 *3019:43 *3539:21 0 +*RES +1 *40610:X *3539:10 33.8 +2 *3539:10 *3539:11 105.679 +3 *3539:11 *3539:20 11.7143 +4 *3539:20 *3539:21 63.7857 +5 *3539:21 *3539:26 11.25 +6 *3539:26 *39380:A 12.925 +7 *3539:26 *7341:DIODE 9.3 +*END + +*D_NET *3540 0.0232059 +*CONN +*I *8328:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40610:A I *D sky130_fd_sc_hd__buf_4 +*I *40611:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8328:DIODE 0 +2 *40610:A 0.000197915 +3 *40611:X 0.00115199 +4 *3540:45 0.00119556 +5 *3540:35 0.00344238 +6 *3540:33 0.00307157 +7 *3540:13 0.00681066 +8 *3540:12 0.00733581 +9 *40610:A *3700:82 0 +10 *40610:A *3962:7 0 +11 *40610:A *4318:97 0 +12 *40610:A *4580:30 0 +13 *3540:12 *3684:86 0 +14 *3540:13 *3941:57 0 +15 *3540:13 *4069:41 0 +16 *3540:13 *4069:68 0 +17 *3540:13 *4224:51 0 +18 *3540:33 *3996:20 0 +19 *3540:33 *4897:22 0 +20 *3540:45 *4580:30 0 +21 *39493:A *3540:13 0 +22 *39493:B *3540:13 0 +23 *39493:B *3540:33 0 +24 *39626:A *3540:13 0 +25 *361:41 *3540:45 0 +26 *1243:20 *3540:35 0 +27 *1328:28 *3540:12 0 +28 *1697:7 *3540:35 0 +29 *1697:14 *3540:35 0 +30 *1826:40 *3540:13 0 +31 *1831:29 *40610:A 0 +32 *1849:15 *3540:35 0 +33 *2815:94 *3540:45 0 +34 *3284:11 *3540:13 0 +35 *3284:29 *3540:13 0 +36 *3284:29 *3540:33 0 +37 *3414:18 *3540:45 0 +*RES +1 *40611:X *3540:12 44.6929 +2 *3540:12 *3540:13 129.058 +3 *3540:13 *3540:33 27.0985 +4 *3540:33 *3540:35 51.0536 +5 *3540:35 *3540:45 31.5 +6 *3540:45 *40610:A 22.7107 +7 *3540:45 *8328:DIODE 9.3 +*END + +*D_NET *3541 0.0177834 +*CONN +*I *7343:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39382:A I *D sky130_fd_sc_hd__buf_12 +*I *40612:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *7343:DIODE 0 +2 *39382:A 0.000173692 +3 *40612:X 0.0010752 +4 *3541:28 0.000232682 +5 *3541:23 0.00366631 +6 *3541:21 0.00369866 +7 *3541:15 0.00330357 +8 *3541:13 0.00388518 +9 *3541:10 0.00174815 +10 *3541:10 *4579:32 0 +11 *3541:13 *4610:20 0 +12 *3541:15 *37714:A 0 +13 *3541:15 *4610:20 0 +14 *37714:B *3541:15 0 +15 *37715:A *3541:15 0 +16 *37715:A *3541:21 0 +17 *37715:A *3541:23 0 +18 *39401:B *3541:15 0 +19 *39407:A *3541:23 0 +20 *39407:B *3541:23 0 +21 *40098:A *3541:10 0 +22 *369:31 *3541:10 0 +23 *1247:11 *3541:15 0 +24 *1256:11 *3541:15 0 +25 *1257:13 *3541:13 0 +26 *1257:19 *3541:10 0 +27 *1611:10 *3541:15 0 +28 *1611:10 *3541:21 0 +29 *1611:10 *3541:23 0 +30 *1611:13 *3541:23 0 +31 *1737:22 *3541:15 0 +32 *1739:7 *3541:23 0 +33 *1849:15 *3541:13 0 +34 *2392:13 *3541:10 0 +35 *2802:48 *3541:10 0 +36 *2955:8 *3541:10 0 +37 *3470:93 *3541:10 0 +38 *3474:39 *3541:13 0 +39 *3474:39 *3541:15 0 +*RES +1 *40612:X *3541:10 41.5143 +2 *3541:10 *3541:13 14.1071 +3 *3541:13 *3541:15 67.0714 +4 *3541:15 *3541:21 2.21429 +5 *3541:21 *3541:23 75.2857 +6 *3541:23 *3541:28 10.3393 +7 *3541:28 *39382:A 12.925 +8 *3541:28 *7343:DIODE 9.3 +*END + +*D_NET *3542 0.0198475 +*CONN +*I *40612:A I *D sky130_fd_sc_hd__buf_6 +*I *8330:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40613:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40612:A 0.000143745 +2 *8330:DIODE 0 +3 *40613:X 0.00134864 +4 *3542:56 0.00684914 +5 *3542:41 0.00843137 +6 *3542:20 0.00307461 +7 *40612:A *4601:70 0 +8 *3542:20 *3931:9 0 +9 *3542:20 *3987:43 0 +10 *3542:20 *4048:79 0 +11 *3542:41 *3666:60 0 +12 *3542:41 *3931:9 0 +13 *3542:41 *4018:57 0 +14 *3542:56 *3657:58 0 +15 *3542:56 *3663:17 0 +16 *3542:56 *3696:121 0 +17 *3542:56 *3737:18 0 +18 *3542:56 *3761:55 0 +19 *3542:56 *4313:24 0 +20 *3542:56 *4579:32 0 +21 *3542:56 *4901:17 0 +22 *3542:56 *5019:14 0 +23 *1244:24 *3542:56 0 +24 *1244:30 *3542:56 0 +25 *1250:85 *3542:56 0 +26 *1334:14 *3542:56 0 +27 *1462:54 *3542:41 0 +28 *1549:25 *3542:56 0 +29 *2370:19 *3542:20 0 +30 *2422:48 *3542:41 0 +31 *2802:37 *40612:A 0 +32 *2806:108 *3542:56 0 +33 *3028:44 *3542:56 0 +34 *3301:127 *3542:56 0 +35 *3303:56 *3542:20 0 +36 *3499:79 *3542:41 0 +*RES +1 *40613:X *3542:20 46.0025 +2 *3542:20 *3542:41 48.2447 +3 *3542:41 *3542:56 32.4889 +4 *3542:56 *8330:DIODE 9.3 +5 *3542:56 *40612:A 12.3 +*END + +*D_NET *3543 0.0288512 +*CONN +*I *40613:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8331:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40614:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40613:A 0.00016428 +2 *8331:DIODE 0 +3 *40614:X 0.00636988 +4 *3543:38 0.00212822 +5 *3543:35 0.00789142 +6 *3543:21 0.0122974 +7 *40613:A *4065:7 0 +8 *3543:35 *4229:51 0 +9 *3543:38 *5028:6 0 +10 *1006:28 *3543:38 0 +11 *1018:60 *3543:35 0 +12 *1018:62 *3543:35 0 +13 *1022:16 *3543:21 0 +14 *1022:34 *3543:21 0 +15 *1027:64 *3543:35 0 +16 *1027:70 *3543:35 0 +17 *1029:93 *3543:21 0 +18 *1545:27 *3543:35 0 +19 *2396:40 *3543:38 0 +20 *2402:55 *3543:21 0 +21 *2402:55 *3543:35 0 +22 *2430:54 *3543:35 0 +23 *3000:49 *3543:38 0 +24 *3023:40 *3543:38 0 +25 *3079:33 *3543:35 0 +26 *3081:63 *3543:21 0 +27 *3081:63 *3543:35 0 +28 *3117:51 *3543:38 0 +29 *3245:51 *3543:21 0 +30 *3295:20 *3543:21 0 +31 *3489:8 *3543:38 0 +32 *3490:17 *3543:35 0 +33 *3499:48 *3543:21 0 +34 *3512:22 *3543:35 0 +*RES +1 *40614:X *3543:21 49.0241 +2 *3543:21 *3543:35 34.8302 +3 *3543:35 *3543:38 49.0982 +4 *3543:38 *8331:DIODE 9.3 +5 *3543:38 *40613:A 12.7286 +*END + +*D_NET *3544 0.0189297 +*CONN +*I *37752:A I *D sky130_fd_sc_hd__and2_1 +*I *5590:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40615:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *37752:A 0.000215352 +2 *5590:DIODE 0 +3 *40615:X 1.21715e-05 +4 *3544:14 0.00945266 +5 *3544:8 0.00924948 +6 *37752:A *3754:5 0 +7 *3544:14 *3657:82 0 +8 *3544:14 *3661:25 0 +9 *3544:14 *3661:32 0 +10 *3544:14 *3728:24 0 +11 *3544:14 *3728:25 0 +12 *3544:14 *3739:57 0 +13 *3544:14 *3748:20 0 +14 *3544:14 *3773:32 0 +15 *3544:14 *3780:83 0 +16 *3544:14 *4087:78 0 +17 *3544:14 *4312:19 0 +18 *3544:14 *4339:78 0 +19 *3544:14 *4354:35 0 +20 *3544:14 *4579:32 0 +21 *1018:71 *37752:A 0 +22 *1321:25 *3544:14 0 +23 *1427:31 *3544:14 0 +24 *1460:30 *3544:14 0 +25 *1696:30 *3544:14 0 +26 *3308:118 *3544:14 0 +27 *3432:67 *3544:14 0 +28 *3482:36 *37752:A 0 +*RES +1 *40615:X *3544:8 17.4868 +2 *3544:8 *3544:14 41.6007 +3 *3544:14 *5590:DIODE 9.3 +4 *3544:14 *37752:A 22.8536 +*END + +*D_NET *3545 0.0291958 +*CONN +*I *40615:A I *D sky130_fd_sc_hd__buf_2 +*I *8332:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40616:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40615:A 2.26741e-05 +2 *8332:DIODE 0.000104386 +3 *40616:X 0.00145582 +4 *3545:44 0.00012706 +5 *3545:42 0.00222442 +6 *3545:41 0.00301053 +7 *3545:31 0.00950857 +8 *3545:30 0.0100045 +9 *3545:27 0.00273786 +10 *8332:DIODE *3755:13 0 +11 *40615:A *3755:13 0 +12 *3545:27 *8502:DIODE 0 +13 *3545:27 *40811:A 0 +14 *3545:27 *3750:55 0 +15 *3545:27 *3762:16 0 +16 *3545:27 *4109:65 0 +17 *3545:27 *4171:50 0 +18 *3545:30 *3762:18 0 +19 *3545:30 *4057:16 0 +20 *3545:31 *3625:28 0 +21 *3545:31 *3625:31 0 +22 *3545:31 *3654:15 0 +23 *3545:31 *3654:25 0 +24 *3545:31 *3686:35 0 +25 *3545:31 *3752:19 0 +26 *3545:31 *4314:25 0 +27 *3545:41 *3625:45 0 +28 *3545:41 *3654:25 0 +29 *3545:42 *3762:42 0 +30 *3545:42 *3810:27 0 +31 *3545:42 *4727:20 0 +32 *38289:A *3545:31 0 +33 *40097:A *3545:42 0 +34 *1321:25 *3545:42 0 +35 *1339:46 *3545:30 0 +36 *1547:22 *3545:42 0 +37 *1547:30 *3545:42 0 +38 *1824:11 *8332:DIODE 0 +39 *1824:11 *40615:A 0 +40 *2718:6 *3545:30 0 +41 *2933:8 *3545:30 0 +*RES +1 *40616:X *3545:27 48.8231 +2 *3545:27 *3545:30 33.6161 +3 *3545:30 *3545:31 182.071 +4 *3545:31 *3545:41 21.2143 +5 *3545:41 *3545:42 50.5179 +6 *3545:42 *3545:44 4.5 +7 *3545:44 *8332:DIODE 11.4786 +8 *3545:44 *40615:A 9.83571 +*END + +*D_NET *3546 0.0234951 +*CONN +*I *8333:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40616:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40617:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8333:DIODE 0.000983277 +2 *40616:A 2.56688e-05 +3 *40617:X 0.000615803 +4 *3546:128 0.00261626 +5 *3546:115 0.00257342 +6 *3546:97 0.00260165 +7 *3546:67 0.00366893 +8 *3546:56 0.00320891 +9 *3546:50 0.00388045 +10 *3546:26 0.00332073 +11 *8333:DIODE *3762:16 0 +12 *8333:DIODE *4106:21 0 +13 *40616:A *3750:55 0 +14 *3546:26 *3806:53 0 +15 *3546:26 *3823:66 0 +16 *3546:26 *3984:46 0 +17 *3546:26 *4329:47 0 +18 *3546:26 *4365:34 0 +19 *3546:50 *3685:40 0 +20 *3546:50 *3692:9 0 +21 *3546:50 *3772:20 0 +22 *3546:50 *3956:50 0 +23 *3546:50 *4109:48 0 +24 *3546:50 *4310:59 0 +25 *3546:50 *4328:24 0 +26 *3546:56 *4022:68 0 +27 *3546:56 *4345:111 0 +28 *3546:67 *5434:DIODE 0 +29 *3546:67 *3811:33 0 +30 *3546:67 *4095:40 0 +31 *3546:67 *4113:82 0 +32 *3546:67 *4336:46 0 +33 *3546:97 *38284:B 0 +34 *3546:97 *3658:50 0 +35 *3546:97 *3726:51 0 +36 *3546:97 *3825:75 0 +37 *3546:97 *4113:99 0 +38 *3546:97 *4113:103 0 +39 *3546:115 *37624:A 0 +40 *3546:115 *38284:B 0 +41 *3546:115 *3658:64 0 +42 *3546:115 *3811:33 0 +43 *3546:115 *3811:42 0 +44 *3546:115 *3815:109 0 +45 *3546:115 *4335:13 0 +46 *3546:115 *4408:124 0 +47 *3546:128 *3811:45 0 +48 *3546:128 *4008:103 0 +49 *3546:128 *4041:54 0 +50 *3546:128 *4107:102 0 +51 *3546:128 *4267:80 0 +52 *3546:128 *4335:13 0 +53 *5419:DIODE *3546:56 0 +54 *5435:DIODE *3546:67 0 +55 *37622:B *3546:67 0 +56 *40157:A *3546:97 0 +57 *1210:89 *8333:DIODE 0 +58 *1222:32 *3546:67 0 +59 *1229:65 *3546:67 0 +60 *1536:13 *3546:67 0 +61 *2260:59 *3546:26 0 +62 *2273:63 *3546:50 0 +63 *2276:51 *3546:26 0 +64 *2347:33 *3546:26 0 +65 *2432:33 *8333:DIODE 0 +66 *2841:19 *3546:50 0 +67 *3021:14 *3546:97 0 +68 *3110:25 *3546:128 0 +69 *3191:54 *3546:26 0 +70 *3305:127 *3546:67 0 +71 *3305:142 *3546:97 0 +72 *3305:168 *8333:DIODE 0 +73 *3526:97 *3546:56 0 +74 *3526:106 *3546:97 0 +*RES +1 *40617:X *3546:26 44.3219 +2 *3546:26 *3546:50 38.736 +3 *3546:50 *3546:56 33.8393 +4 *3546:56 *3546:67 47.2143 +5 *3546:67 *3546:97 48.6964 +6 *3546:97 *3546:115 38.4464 +7 *3546:115 *3546:128 39.1429 +8 *3546:128 *40616:A 14.3357 +9 *3546:128 *8333:DIODE 45.1393 +*END + +*D_NET *3547 0.0226718 +*CONN +*I *5842:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37932:B I *D sky130_fd_sc_hd__and3b_1 +*I *40618:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *5842:DIODE 0 +2 *37932:B 0.000163425 +3 *40618:X 0.00188668 +4 *3547:49 0.00162766 +5 *3547:35 0.00400886 +6 *3547:33 0.00256517 +7 *3547:31 0.00384732 +8 *3547:30 0.00525639 +9 *3547:18 0.00331628 +10 *37932:B *37932:A_N 0 +11 *37932:B *3589:25 0 +12 *3547:18 *3556:22 0 +13 *3547:18 *3561:61 0 +14 *3547:18 *3830:28 0 +15 *3547:30 *4620:14 0 +16 *3547:30 *5053:10 0 +17 *3547:35 *3556:62 0 +18 *3547:49 *5833:DIODE 0 +19 *3547:49 *37932:A_N 0 +20 *3547:49 *37932:C 0 +21 *3547:49 *3563:30 0 +22 *3547:49 *3637:46 0 +23 *3547:49 *3644:52 0 +24 *37533:A *3547:49 0 +25 *38145:A *3547:31 0 +26 *39453:B *3547:30 0 +27 *39932:A *3547:31 0 +28 *40047:A *3547:49 0 +29 *294:35 *3547:18 0 +30 *300:10 *3547:30 0 +31 *329:17 *3547:30 0 +32 *1165:14 *3547:49 0 +33 *1365:10 *37932:B 0 +34 *1365:10 *3547:49 0 +35 *1369:19 *3547:35 0 +36 *1369:19 *3547:49 0 +37 *1369:21 *3547:35 0 +38 *1392:22 *3547:18 0 +39 *1392:28 *3547:30 0 +40 *1480:16 *3547:35 0 +41 *1484:46 *3547:30 0 +42 *1489:15 *3547:18 0 +43 *1501:33 *3547:18 0 +44 *1501:33 *3547:30 0 +45 *1653:11 *3547:49 0 +46 *1657:18 *3547:18 0 +47 *1795:14 *3547:18 0 +48 *2502:16 *3547:18 0 +49 *2502:25 *3547:18 0 +50 *2761:20 *3547:30 0 +51 *2772:27 *3547:31 0 +52 *2772:27 *3547:35 0 +53 *2772:37 *3547:31 0 +54 *2789:27 *3547:35 0 +55 *2789:37 *3547:31 0 +56 *2798:31 *3547:31 0 +57 *2798:43 *3547:31 0 +58 *2869:14 *3547:18 0 +59 *2898:10 *3547:49 0 +60 *2911:44 *3547:30 0 +*RES +1 *40618:X *3547:18 47.2729 +2 *3547:18 *3547:30 26.2242 +3 *3547:30 *3547:31 79.8036 +4 *3547:31 *3547:33 0.428571 +5 *3547:33 *3547:35 53.1071 +6 *3547:35 *3547:49 41.6429 +7 *3547:49 *37932:B 12.7107 +8 *3547:49 *5842:DIODE 9.3 +*END + +*D_NET *3548 0.02049 +*CONN +*I *40618:A I *D sky130_fd_sc_hd__buf_6 +*I *8334:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40619:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *40618:A 0 +2 *8334:DIODE 0.000197876 +3 *40619:X 0.00178163 +4 *3548:50 0.00179187 +5 *3548:40 0.00363784 +6 *3548:25 0.00667149 +7 *3548:23 0.00640928 +8 *8334:DIODE *3839:81 0 +9 *3548:23 *3577:43 0 +10 *3548:23 *3602:45 0 +11 *3548:23 *3619:18 0 +12 *3548:23 *3619:26 0 +13 *3548:23 *3844:33 0 +14 *3548:23 *3844:35 0 +15 *3548:25 *3561:49 0 +16 *3548:25 *3573:20 0 +17 *3548:25 *3844:35 0 +18 *3548:40 *3561:49 0 +19 *3548:40 *3853:52 0 +20 *3548:50 *3557:42 0 +21 *3548:50 *3614:49 0 +22 *3548:50 *3618:20 0 +23 *3548:50 *3844:51 0 +24 *7425:DIODE *3548:50 0 +25 *7906:DIODE *3548:23 0 +26 *8552:DIODE *3548:23 0 +27 *39900:A *3548:23 0 +28 *39903:A *3548:23 0 +29 *39908:A *3548:23 0 +30 *39927:A *3548:40 0 +31 *40007:A *3548:23 0 +32 *1277:42 *3548:40 0 +33 *1382:29 *3548:25 0 +34 *1526:27 *3548:23 0 +35 *1526:27 *3548:25 0 +36 *2197:80 *3548:23 0 +37 *2735:14 *3548:23 0 +38 *2739:14 *3548:23 0 +39 *2745:26 *3548:40 0 +40 *2745:33 *3548:25 0 +41 *2854:14 *3548:23 0 +42 *2855:41 *3548:40 0 +43 *2855:69 *3548:23 0 +44 *2887:20 *3548:50 0 +45 *3151:50 *3548:50 0 +46 *3353:42 *3548:50 0 +*RES +1 *40619:X *3548:23 46.9786 +2 *3548:23 *3548:25 96.6429 +3 *3548:25 *3548:40 47.5982 +4 *3548:40 *3548:50 22.5554 +5 *3548:50 *8334:DIODE 18.2107 +6 *3548:50 *40618:A 13.8 +*END + +*D_NET *3549 0.00106799 +*CONN +*I *40535:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38534:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40535:A 0.000533993 +2 *38534:X 0.000533993 +3 *40535:A *5026:13 0 +4 la_data_in_mprj[52] *40535:A 0 +5 *591:9 *40535:A 0 +6 *2007:13 *40535:A 0 +*RES +1 *38534:X *40535:A 39.1714 +*END + +*D_NET *3550 0.0193816 +*CONN +*I *8335:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40619:A I *D sky130_fd_sc_hd__buf_4 +*I *40620:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8335:DIODE 0.000322367 +2 *40619:A 0 +3 *40620:X 0.00017925 +4 *3550:36 0.000488226 +5 *3550:35 0.00180733 +6 *3550:19 0.00615254 +7 *3550:18 0.00471913 +8 *3550:9 0.00287077 +9 *3550:8 0.00284196 +10 *3550:8 *3569:21 0 +11 *3550:8 *3578:22 0 +12 *3550:19 *3581:58 0 +13 *3550:19 *3608:64 0 +14 *3550:19 *3828:68 0 +15 *3550:19 *4338:13 0 +16 *3550:19 *4338:20 0 +17 *3550:35 *3828:74 0 +18 *37604:A *3550:8 0 +19 *1912:26 *3550:35 0 +20 *1920:23 *3550:19 0 +21 *1921:18 *3550:19 0 +22 *1921:18 *3550:35 0 +23 *1921:22 *3550:35 0 +24 *1924:27 *3550:35 0 +25 *1931:36 *3550:18 0 +26 *1932:19 *3550:35 0 +27 *1935:20 *3550:36 0 +28 *1952:33 *3550:35 0 +29 *1952:35 *3550:35 0 +30 *1953:11 *3550:36 0 +31 *2163:14 *3550:19 0 +32 *2192:14 *3550:19 0 +33 *2192:27 *3550:19 0 +34 *2206:12 *3550:19 0 +35 *2217:10 *3550:9 0 +36 *2237:15 *3550:9 0 +37 *2243:14 *3550:8 0 +38 *2246:8 *3550:9 0 +39 *2732:14 *3550:19 0 +40 *2732:15 *3550:9 0 +41 *2732:15 *3550:18 0 +42 *3185:9 *3550:9 0 +43 *3185:9 *3550:18 0 +44 *3190:35 *3550:19 0 +*RES +1 *40620:X *3550:8 22.3714 +2 *3550:8 *3550:9 55.5714 +3 *3550:9 *3550:18 13.4643 +4 *3550:18 *3550:19 94.1786 +5 *3550:19 *3550:35 47.9107 +6 *3550:35 *3550:36 3.76786 +7 *3550:36 *40619:A 13.8 +8 *3550:36 *8335:DIODE 21.1393 +*END + +*D_NET *3551 0.0194638 +*CONN +*I *5839:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37930:B I *D sky130_fd_sc_hd__and3b_1 +*I *40621:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *5839:DIODE 0 +2 *37930:B 0.000183055 +3 *40621:X 0.00198746 +4 *3551:20 0.00774444 +5 *3551:13 0.00954884 +6 *37930:B *3644:37 0 +7 *37930:B *4398:63 0 +8 *3551:13 *3591:56 0 +9 *3551:13 *3595:30 0 +10 *3551:13 *3854:17 0 +11 *3551:13 *3868:44 0 +12 *3551:20 *3595:30 0 +13 *3551:20 *3595:49 0 +14 *3551:20 *3854:17 0 +15 *3551:20 *3854:25 0 +16 *3551:20 *4388:30 0 +17 *3551:20 *4389:55 0 +18 *1364:16 *37930:B 0 +19 *3063:22 *37930:B 0 +20 *3367:40 *3551:20 0 +*RES +1 *40621:X *3551:13 27.9039 +2 *3551:13 *3551:20 35.511 +3 *3551:20 *37930:B 22.2286 +4 *3551:20 *5839:DIODE 9.3 +*END + +*D_NET *3552 0.0224762 +*CONN +*I *8337:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40621:A I *D sky130_fd_sc_hd__buf_2 +*I *40622:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8337:DIODE 0.000111341 +2 *40621:A 0.000294763 +3 *40622:X 0.00222244 +4 *3552:55 0.00190775 +5 *3552:51 0.00860955 +6 *3552:22 0.00933034 +7 *8337:DIODE *3886:19 0 +8 *40621:A *3591:32 0 +9 *40621:A *3645:52 0 +10 *3552:22 *3553:35 0 +11 *3552:22 *3562:49 0 +12 *3552:22 *3899:32 0 +13 *3552:51 *3562:49 0 +14 *3552:51 *3562:61 0 +15 *3552:51 *3834:21 0 +16 *3552:51 *3834:35 0 +17 *3552:55 *3591:32 0 +18 *8925:DIODE *3552:22 0 +19 *300:10 *3552:51 0 +20 *319:12 *3552:51 0 +21 *335:21 *3552:55 0 +22 *1281:18 *3552:55 0 +23 *1302:18 *3552:22 0 +24 *1389:25 *3552:55 0 +25 *1510:20 *3552:51 0 +26 *1889:45 *8337:DIODE 0 +27 *1905:74 *3552:51 0 +28 *1908:9 *3552:22 0 +29 *1911:37 *3552:51 0 +30 *1940:47 *3552:22 0 +31 *1944:19 *3552:51 0 +32 *1949:34 *3552:51 0 +33 *2158:59 *3552:55 0 +34 *2747:80 *3552:22 0 +35 *2857:42 *3552:51 0 +36 *2864:64 *3552:22 0 +37 *2872:17 *3552:22 0 +38 *2879:20 *3552:22 0 +39 *2879:20 *3552:51 0 +40 *2885:51 *3552:22 0 +41 *2889:24 *3552:51 0 +42 *3195:33 *3552:22 0 +43 *3353:13 *3552:51 0 +44 *3353:26 *3552:51 0 +*RES +1 *40622:X *3552:22 48.3561 +2 *3552:22 *3552:51 42.8204 +3 *3552:51 *3552:55 38.2589 +4 *3552:55 *40621:A 15.5143 +5 *3552:55 *8337:DIODE 20.7821 +*END + +*D_NET *3553 0.0196528 +*CONN +*I *8338:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40622:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40623:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8338:DIODE 0 +2 *40622:A 0.00013778 +3 *40623:X 0.00711939 +4 *3553:35 0.00270701 +5 *3553:27 0.00968862 +6 *3553:27 *3562:22 0 +7 *3553:27 *3786:44 0 +8 *3553:27 *3787:22 0 +9 *3553:27 *4317:31 0 +10 *3553:27 *4341:22 0 +11 *3553:27 *4363:28 0 +12 *3553:27 *4363:53 0 +13 *3553:27 *4368:42 0 +14 *3553:27 *4368:47 0 +15 *3553:27 *5149:28 0 +16 *3553:35 *3603:37 0 +17 *3553:35 *3612:56 0 +18 *3553:35 *4363:28 0 +19 *3553:35 *4368:42 0 +20 *1940:47 *3553:35 0 +21 *2059:53 *3553:27 0 +22 *2200:23 *3553:35 0 +23 *2226:33 *3553:27 0 +24 *2235:25 *3553:27 0 +25 *2250:55 *3553:27 0 +26 *2272:26 *3553:27 0 +27 *2304:32 *3553:27 0 +28 *2862:33 *3553:27 0 +29 *2862:33 *3553:35 0 +30 *2941:47 *3553:27 0 +31 *3552:22 *3553:35 0 +*RES +1 *40623:X *3553:27 43.4606 +2 *3553:27 *3553:35 19.7756 +3 *3553:35 *40622:A 21.4607 +4 *3553:35 *8338:DIODE 9.3 +*END + +*D_NET *3554 0.0216182 +*CONN +*I *5836:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37928:B I *D sky130_fd_sc_hd__and3b_1 +*I *40624:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *5836:DIODE 0 +2 *37928:B 0.000241775 +3 *40624:X 0.00303394 +4 *3554:63 0.00315747 +5 *3554:54 0.00501592 +6 *3554:45 0.0044718 +7 *3554:34 0.00251748 +8 *3554:29 0.00317984 +9 *37928:B *37928:C 0 +10 *37928:B *3628:11 0 +11 *37928:B *4153:66 0 +12 *3554:29 *37966:C 0 +13 *3554:29 *3586:20 0 +14 *3554:29 *3587:56 0 +15 *3554:29 *3842:19 0 +16 *3554:29 *3843:15 0 +17 *3554:29 *3858:25 0 +18 *3554:29 *3866:14 0 +19 *3554:29 *3866:32 0 +20 *3554:29 *3881:21 0 +21 *3554:34 *3579:15 0 +22 *3554:45 *3579:33 0 +23 *3554:45 *3579:35 0 +24 *3554:45 *3853:58 0 +25 *3554:54 *3579:35 0 +26 *3554:54 *3586:40 0 +27 *3554:54 *3586:47 0 +28 *3554:54 *3614:66 0 +29 *3554:54 *3855:48 0 +30 *3554:63 *3628:11 0 +31 *6318:DIODE *3554:63 0 +32 *39925:A *3554:45 0 +33 *294:61 *3554:63 0 +34 *1175:11 *3554:63 0 +35 *1182:15 *3554:54 0 +36 *1277:38 *3554:45 0 +37 *1277:52 *3554:54 0 +38 *1292:14 *3554:63 0 +39 *1362:21 *3554:63 0 +40 *1380:16 *3554:63 0 +41 *1391:28 *3554:29 0 +42 *1397:16 *3554:29 0 +43 *1401:12 *3554:29 0 +44 *1483:48 *3554:63 0 +45 *1661:20 *3554:63 0 +46 *1675:66 *3554:29 0 +47 *1798:11 *3554:45 0 +48 *1798:11 *3554:54 0 +49 *1798:40 *3554:29 0 +50 *1897:26 *3554:29 0 +51 *2762:19 *3554:63 0 +52 *2855:22 *3554:54 0 +53 *2869:14 *3554:63 0 +54 *2951:21 *3554:63 0 +55 *3169:27 *3554:34 0 +56 *3169:53 *3554:63 0 +57 *3353:27 *3554:45 0 +*RES +1 *40624:X *3554:29 47.9113 +2 *3554:29 *3554:34 11.2225 +3 *3554:34 *3554:45 49.7143 +4 *3554:45 *3554:54 48.4732 +5 *3554:54 *3554:63 37.1036 +6 *3554:63 *37928:B 23.4786 +7 *3554:63 *5836:DIODE 9.3 +*END + +*D_NET *3555 0.0246209 +*CONN +*I *40624:A I *D sky130_fd_sc_hd__buf_2 +*I *8339:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40625:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40624:A 0 +2 *8339:DIODE 0.000163425 +3 *40625:X 0.00288008 +4 *3555:75 0.00136211 +5 *3555:63 0.00382905 +6 *3555:52 0.0039055 +7 *3555:31 0.00543789 +8 *3555:19 0.00704285 +9 *8339:DIODE *3845:46 0 +10 *8339:DIODE *3884:40 0 +11 *3555:19 *3569:60 0 +12 *3555:19 *3630:14 0 +13 *3555:19 *3636:38 0 +14 *3555:19 *3694:19 0 +15 *3555:19 *3694:20 0 +16 *3555:19 *4346:63 0 +17 *3555:19 *5115:20 0 +18 *3555:31 *3569:60 0 +19 *3555:31 *3636:38 0 +20 *3555:31 *3636:39 0 +21 *3555:31 *3646:30 0 +22 *3555:31 *3873:13 0 +23 *3555:52 *3587:33 0 +24 *3555:52 *3836:22 0 +25 *3555:63 *3870:46 0 +26 *3555:75 *37586:B 0 +27 *3555:75 *3639:19 0 +28 *3555:75 *3845:23 0 +29 *3555:75 *3884:23 0 +30 *3555:75 *3884:40 0 +31 *1183:32 *3555:63 0 +32 *1192:14 *3555:75 0 +33 *1299:21 *3555:19 0 +34 *1300:18 *3555:63 0 +35 *1387:70 *3555:52 0 +36 *1390:10 *3555:52 0 +37 *1397:27 *3555:63 0 +38 *1494:18 *3555:63 0 +39 *1939:12 *3555:19 0 +40 *2046:25 *3555:19 0 +41 *2164:22 *3555:31 0 +42 *2171:10 *3555:31 0 +43 *2171:64 *8339:DIODE 0 +44 *2172:33 *3555:31 0 +45 *2176:14 *3555:52 0 +46 *2195:10 *3555:31 0 +47 *2196:23 *3555:19 0 +48 *2202:23 *3555:19 0 +49 *2202:64 *3555:52 0 +50 *2205:22 *3555:52 0 +51 *2872:16 *3555:52 0 +52 *2876:20 *3555:63 0 +53 *2885:19 *3555:52 0 +54 *2889:14 *3555:63 0 +55 *2890:20 *8339:DIODE 0 +56 *2890:20 *3555:75 0 +57 *3151:24 *3555:63 0 +58 *3200:16 *3555:52 0 +*RES +1 *40625:X *3555:19 47.6574 +2 *3555:19 *3555:31 49.1573 +3 *3555:31 *3555:52 48.3221 +4 *3555:52 *3555:63 49.8989 +5 *3555:63 *3555:75 29.9643 +6 *3555:75 *8339:DIODE 12.7107 +7 *3555:75 *40624:A 9.3 +*END + +*D_NET *3556 0.0231757 +*CONN +*I *37926:B I *D sky130_fd_sc_hd__and3b_1 +*I *5832:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40626:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *37926:B 0.000305031 +2 *5832:DIODE 0.000289984 +3 *40626:X 0.00120171 +4 *3556:62 0.00198422 +5 *3556:58 0.00249856 +6 *3556:41 0.00310296 +7 *3556:38 0.00414882 +8 *3556:29 0.0027918 +9 *3556:25 0.00266741 +10 *3556:22 0.00250715 +11 *3556:14 0.00167803 +12 *5832:DIODE *8385:DIODE 0 +13 *5832:DIODE *3585:25 0 +14 *5832:DIODE *3604:16 0 +15 *37926:B *3628:17 0 +16 *37926:B *3628:26 0 +17 *3556:14 *3573:31 0 +18 *3556:14 *3884:87 0 +19 *3556:14 *4400:29 0 +20 *3556:22 *3561:61 0 +21 *3556:25 *3573:31 0 +22 *3556:25 *3573:41 0 +23 *3556:29 *3573:41 0 +24 *3556:38 *3573:41 0 +25 *3556:38 *3573:50 0 +26 *3556:41 *4724:14 0 +27 *3556:62 *3618:48 0 +28 *3556:62 *3621:21 0 +29 *3556:62 *3637:40 0 +30 *3556:62 *5045:6 0 +31 *37771:A *3556:62 0 +32 *39452:B *3556:41 0 +33 *39926:A *5832:DIODE 0 +34 *39931:A *3556:14 0 +35 *291:33 *3556:58 0 +36 *330:17 *3556:14 0 +37 *1365:26 *3556:58 0 +38 *1365:27 *3556:41 0 +39 *1392:14 *3556:29 0 +40 *1479:11 *3556:58 0 +41 *1483:22 *3556:62 0 +42 *1483:36 *3556:58 0 +43 *1483:37 *3556:38 0 +44 *1483:37 *3556:41 0 +45 *1489:15 *3556:22 0 +46 *1499:15 *3556:14 0 +47 *1780:19 *3556:62 0 +48 *1789:22 *3556:62 0 +49 *1798:11 *3556:14 0 +50 *1802:8 *3556:14 0 +51 *2499:8 *3556:58 0 +52 *2767:14 *3556:58 0 +53 *2790:16 *3556:41 0 +54 *2887:20 *3556:22 0 +55 *2951:28 *3556:58 0 +56 *3353:42 *3556:14 0 +57 *3547:18 *3556:22 0 +58 *3547:35 *3556:62 0 +*RES +1 *40626:X *3556:14 49.0054 +2 *3556:14 *3556:22 13.5328 +3 *3556:22 *3556:25 42.4464 +4 *3556:25 *3556:29 13.2857 +5 *3556:29 *3556:38 49.9464 +6 *3556:38 *3556:41 46.1071 +7 *3556:41 *3556:58 46.4059 +8 *3556:58 *3556:62 35.1607 +9 *3556:62 *5832:DIODE 20.1571 +10 *3556:62 *37926:B 20.2286 +*END + +*D_NET *3557 0.0207088 +*CONN +*I *40626:A I *D sky130_fd_sc_hd__buf_4 +*I *8341:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40627:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *40626:A 0 +2 *8341:DIODE 0.000178196 +3 *40627:X 0.00461605 +4 *3557:42 0.00573835 +5 *3557:29 0.0101762 +6 *3557:29 *3561:28 0 +7 *3557:29 *3640:43 0 +8 *3557:29 *3836:22 0 +9 *3557:29 *3837:23 0 +10 *3557:29 *4386:38 0 +11 *3557:42 *3598:50 0 +12 *3557:42 *3832:83 0 +13 *3557:42 *3837:43 0 +14 *3557:42 *3840:71 0 +15 *3557:42 *3844:51 0 +16 *3557:42 *4386:38 0 +17 *37583:A *3557:42 0 +18 *1277:42 *3557:42 0 +19 *1492:42 *3557:42 0 +20 *1802:8 *3557:42 0 +21 *1914:29 *3557:29 0 +22 *1923:57 *3557:29 0 +23 *1926:56 *3557:29 0 +24 *2174:92 *3557:29 0 +25 *3151:50 *3557:29 0 +26 *3151:50 *3557:42 0 +27 *3353:42 *3557:42 0 +28 *3548:50 *3557:42 0 +*RES +1 *40627:X *3557:29 42.2418 +2 *3557:29 *3557:42 33.5763 +3 *3557:42 *8341:DIODE 17.8 +4 *3557:42 *40626:A 13.8 +*END + +*D_NET *3558 0.0214402 +*CONN +*I *8342:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40627:A I *D sky130_fd_sc_hd__buf_4 +*I *40628:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8342:DIODE 0.000482549 +2 *40627:A 0 +3 *40628:X 0.00106221 +4 *3558:66 0.00210132 +5 *3558:50 0.00917533 +6 *3558:25 0.00861877 +7 *8342:DIODE *3844:14 0 +8 *3558:25 *3612:34 0 +9 *3558:25 *3624:45 0 +10 *3558:25 *4350:80 0 +11 *3558:25 *4378:50 0 +12 *3558:50 *3592:9 0 +13 *3558:50 *3620:17 0 +14 *3558:50 *3620:33 0 +15 *3558:50 *3876:23 0 +16 *3558:50 *4325:17 0 +17 *3558:50 *4333:26 0 +18 *3558:50 *4333:50 0 +19 *3558:50 *4333:59 0 +20 *3558:66 *3828:77 0 +21 *3558:66 *4384:28 0 +22 *7816:DIODE *3558:50 0 +23 *37806:B *3558:50 0 +24 *37816:A *3558:25 0 +25 *37816:A *3558:50 0 +26 *37820:B *3558:25 0 +27 *39894:A *3558:50 0 +28 *39914:A *8342:DIODE 0 +29 *40850:A *3558:25 0 +30 *1299:21 *3558:50 0 +31 *1309:21 *3558:25 0 +32 *1406:35 *3558:25 0 +33 *1681:35 *8342:DIODE 0 +34 *1810:21 *3558:50 0 +35 *1814:19 *3558:50 0 +36 *1906:21 *3558:50 0 +37 *1914:29 *3558:50 0 +38 *1920:43 *8342:DIODE 0 +39 *1928:30 *3558:50 0 +40 *1937:19 *3558:50 0 +41 *1949:34 *8342:DIODE 0 +42 *1949:34 *3558:66 0 +43 *1952:35 *3558:66 0 +44 *2194:26 *3558:66 0 +45 *2243:14 *3558:25 0 +46 *2243:14 *3558:50 0 +47 *2270:12 *3558:25 0 +48 *2740:24 *3558:50 0 +49 *3176:25 *3558:50 0 +50 *3185:25 *3558:50 0 +51 *3190:35 *3558:50 0 +52 *3200:11 *3558:66 0 +53 *3368:21 *3558:50 0 +*RES +1 *40628:X *3558:25 49.8357 +2 *3558:25 *3558:50 48.3468 +3 *3558:50 *3558:66 31.9254 +4 *3558:66 *40627:A 13.8 +5 *3558:66 *8342:DIODE 24.6929 +*END + +*D_NET *3559 0.0203641 +*CONN +*I *5828:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37924:B I *D sky130_fd_sc_hd__and3b_1 +*I *40629:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *5828:DIODE 0 +2 *37924:B 0.000163425 +3 *40629:X 0.000993558 +4 *3559:70 0.00775132 +5 *3559:43 0.00902505 +6 *3559:26 0.00243071 +7 *37924:B *3628:26 0 +8 *3559:26 *5367:DIODE 0 +9 *3559:26 *37566:A 0 +10 *3559:26 *3632:57 0 +11 *3559:26 *3632:68 0 +12 *3559:26 *3837:53 0 +13 *3559:26 *3845:121 0 +14 *3559:26 *3884:108 0 +15 *3559:26 *3884:132 0 +16 *3559:26 *4153:50 0 +17 *3559:26 *4400:48 0 +18 *3559:43 *3606:32 0 +19 *3559:43 *3606:46 0 +20 *3559:43 *3629:133 0 +21 *3559:43 *3637:9 0 +22 *3559:43 *3637:21 0 +23 *3559:43 *3845:121 0 +24 *3559:43 *3858:40 0 +25 *3559:43 *3866:33 0 +26 *3559:43 *3866:46 0 +27 *3559:43 *4153:50 0 +28 *3559:70 *3585:25 0 +29 *3559:70 *3606:83 0 +30 *3559:70 *3618:47 0 +31 *3559:70 *3621:21 0 +32 *3559:70 *3622:37 0 +33 *3559:70 *3644:68 0 +34 *3559:70 *3864:14 0 +35 *3559:70 *3891:13 0 +36 *3559:70 *3891:20 0 +37 *3559:70 *4203:16 0 +38 *37558:B *3559:26 0 +39 *1341:14 *3559:70 0 +40 *1499:12 *3559:26 0 +*RES +1 *40629:X *3559:26 39.8179 +2 *3559:26 *3559:43 48.5714 +3 *3559:43 *3559:70 45.4926 +4 *3559:70 *37924:B 12.7107 +5 *3559:70 *5828:DIODE 9.3 +*END + +*D_NET *3560 0.00466027 +*CONN +*I *40534:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38535:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40534:A 0.00111414 +2 *38535:X 0.001216 +3 *3560:17 0.00233013 +4 *40534:A *3908:28 0 +5 *40534:A *4465:8 0 +6 *3560:17 *3583:15 0 +7 la_data_in_mprj[52] *40534:A 0 +8 la_data_in_mprj[52] *3560:17 0 +9 *6810:DIODE *40534:A 0 +10 *6989:DIODE *40534:A 0 +11 *463:5 *40534:A 0 +12 *846:8 *40534:A 0 +13 *2007:13 *40534:A 0 +14 *3064:17 *40534:A 0 +15 *3339:32 *40534:A 0 +16 *3451:9 *40534:A 0 +*RES +1 *38535:X *3560:17 36.6294 +2 *3560:17 *40534:A 38.0857 +*END + +*D_NET *3561 0.0214783 +*CONN +*I *8344:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40629:A I *D sky130_fd_sc_hd__buf_6 +*I *40630:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8344:DIODE 0 +2 *40629:A 0.000219076 +3 *40630:X 0.00102846 +4 *3561:61 0.0028218 +5 *3561:49 0.00483548 +6 *3561:48 0.00229273 +7 *3561:39 0.0039428 +8 *3561:38 0.00459618 +9 *3561:28 0.00174181 +10 *40629:A *3845:114 0 +11 *40629:A *3884:108 0 +12 *40629:A *4397:59 0 +13 *3561:28 *3836:22 0 +14 *3561:28 *4386:38 0 +15 *3561:38 *3602:45 0 +16 *3561:38 *3844:35 0 +17 *3561:39 *3602:45 0 +18 *3561:39 *3844:35 0 +19 *3561:48 *3602:57 0 +20 *3561:49 *3844:42 0 +21 *3561:49 *3853:52 0 +22 *3561:61 *3614:49 0 +23 *3561:61 *4400:29 0 +24 *38153:A *3561:49 0 +25 *40014:A *3561:28 0 +26 *294:49 *3561:61 0 +27 *333:58 *3561:49 0 +28 *333:58 *3561:61 0 +29 *1176:34 *40629:A 0 +30 *1300:60 *3561:61 0 +31 *1387:84 *3561:28 0 +32 *1513:24 *3561:48 0 +33 *1520:25 *3561:61 0 +34 *1520:36 *3561:61 0 +35 *1663:10 *3561:61 0 +36 *1791:6 *3561:61 0 +37 *1804:8 *3561:38 0 +38 *1919:23 *3561:28 0 +39 *1923:25 *3561:28 0 +40 *1952:35 *3561:28 0 +41 *1952:49 *3561:28 0 +42 *2163:46 *3561:28 0 +43 *2172:65 *3561:28 0 +44 *2174:92 *3561:28 0 +45 *2502:25 *3561:61 0 +46 *2506:31 *3561:61 0 +47 *2735:14 *3561:28 0 +48 *2745:26 *3561:49 0 +49 *2745:33 *3561:49 0 +50 *2790:17 *3561:49 0 +51 *2790:23 *3561:49 0 +52 *2869:31 *3561:61 0 +53 *2887:20 *3561:61 0 +54 *3151:50 *3561:61 0 +55 *3547:18 *3561:61 0 +56 *3548:25 *3561:49 0 +57 *3548:40 *3561:49 0 +58 *3556:22 *3561:61 0 +59 *3557:29 *3561:28 0 +*RES +1 *40630:X *3561:28 48.1995 +2 *3561:28 *3561:38 24.2679 +3 *3561:38 *3561:39 81.0357 +4 *3561:39 *3561:48 10.5 +5 *3561:48 *3561:49 46.5357 +6 *3561:49 *3561:61 42.6527 +7 *3561:61 *40629:A 23.8714 +8 *3561:61 *8344:DIODE 9.3 +*END + +*D_NET *3562 0.0220566 +*CONN +*I *40630:A I *D sky130_fd_sc_hd__buf_4 +*I *8345:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40631:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40630:A 0.000554112 +2 *8345:DIODE 6.50276e-05 +3 *40631:X 0.00175928 +4 *3562:61 0.00254645 +5 *3562:49 0.00864987 +6 *3562:22 0.00848184 +7 *8345:DIODE *3577:43 0 +8 *40630:A *3633:26 0 +9 *3562:22 *3624:45 0 +10 *3562:22 *4363:28 0 +11 *3562:49 *3575:68 0 +12 *3562:49 *3612:84 0 +13 *3562:49 *4342:38 0 +14 *3562:61 *3834:21 0 +15 *37816:A *3562:22 0 +16 *301:31 *40630:A 0 +17 *1302:18 *3562:49 0 +18 *1385:22 *3562:61 0 +19 *1390:10 *40630:A 0 +20 *1390:10 *3562:61 0 +21 *1903:25 *3562:49 0 +22 *1909:47 *3562:61 0 +23 *2172:65 *40630:A 0 +24 *2184:34 *3562:49 0 +25 *2199:14 *3562:49 0 +26 *2221:15 *3562:22 0 +27 *2725:18 *3562:49 0 +28 *2747:80 *3562:49 0 +29 *2852:33 *3562:22 0 +30 *2854:14 *3562:61 0 +31 *2857:42 *3562:49 0 +32 *2857:42 *3562:61 0 +33 *2857:53 *3562:22 0 +34 *2862:15 *3562:49 0 +35 *2862:15 *3562:61 0 +36 *2872:16 *3562:61 0 +37 *2879:20 *3562:49 0 +38 *2885:19 *3562:49 0 +39 *2885:51 *3562:49 0 +40 *2889:24 *3562:61 0 +41 *3195:33 *3562:49 0 +42 *3552:22 *3562:49 0 +43 *3552:51 *3562:49 0 +44 *3552:51 *3562:61 0 +45 *3553:27 *3562:22 0 +*RES +1 *40631:X *3562:22 49.5706 +2 *3562:22 *3562:49 48.9394 +3 *3562:49 *3562:61 23.8722 +4 *3562:61 *8345:DIODE 10.6571 +5 *3562:61 *40630:A 30.675 +*END + +*D_NET *3563 0.0196775 +*CONN +*I *5824:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37922:B I *D sky130_fd_sc_hd__and3b_1 +*I *40632:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *5824:DIODE 0 +2 *37922:B 0.000143745 +3 *40632:X 0.00147382 +4 *3563:59 0.000166419 +5 *3563:56 0.00209493 +6 *3563:52 0.00215712 +7 *3563:44 0.00209694 +8 *3563:39 0.00432526 +9 *3563:32 0.00231318 +10 *3563:30 0.00171612 +11 *3563:19 0.00318993 +12 *37922:B *4463:51 0 +13 *3563:19 *37906:A_N 0 +14 *3563:19 *3585:14 0 +15 *3563:19 *3610:59 0 +16 *3563:19 *3628:17 0 +17 *3563:30 *5796:DIODE 0 +18 *3563:30 *5833:DIODE 0 +19 *3563:30 *38162:A 0 +20 *3563:30 *3593:31 0 +21 *3563:30 *3604:16 0 +22 *3563:30 *3628:17 0 +23 *3563:30 *4433:56 0 +24 *3563:39 *5827:DIODE 0 +25 *3563:39 *37924:A_N 0 +26 *3563:39 *37926:A_N 0 +27 *3563:39 *3585:25 0 +28 *3563:39 *3585:32 0 +29 *3563:39 *3604:28 0 +30 *3563:39 *3628:26 0 +31 *3563:39 *3628:39 0 +32 *3563:39 *4203:16 0 +33 *3563:39 *4399:48 0 +34 *3563:39 *4399:52 0 +35 *3563:44 *4181:47 0 +36 *3563:44 *4399:52 0 +37 *3563:44 *4420:83 0 +38 *3563:44 *4800:28 0 +39 *3563:52 *3585:44 0 +40 *3563:56 *5801:DIODE 0 +41 *3563:56 *3585:45 0 +42 *3563:56 *3589:38 0 +43 *3563:56 *3604:44 0 +44 *3563:56 *3604:56 0 +45 *3563:59 *4463:51 0 +46 *5308:DIODE *3563:56 0 +47 *39926:A *3563:30 0 +48 *40054:A *3563:19 0 +49 *309:19 *3563:30 0 +50 *1168:49 *3563:30 0 +51 *1345:11 *3563:44 0 +52 *1353:19 *3563:44 0 +53 *1355:14 *3563:56 0 +54 *1355:17 *3563:56 0 +55 *1360:14 *37922:B 0 +56 *1360:14 *3563:56 0 +57 *1469:10 *3563:56 0 +58 *1472:9 *37922:B 0 +59 *2789:16 *3563:56 0 +60 *2907:10 *3563:19 0 +61 *3547:49 *3563:30 0 +*RES +1 *40632:X *3563:19 40.675 +2 *3563:19 *3563:30 49.7679 +3 *3563:30 *3563:32 4.5 +4 *3563:32 *3563:39 48.3393 +5 *3563:39 *3563:44 46.8482 +6 *3563:44 *3563:52 11.86 +7 *3563:52 *3563:56 47.8571 +8 *3563:56 *3563:59 5.03571 +9 *3563:59 *37922:B 12.3 +10 *3563:59 *5824:DIODE 9.3 +*END + +*D_NET *3564 0.021389 +*CONN +*I *40632:A I *D sky130_fd_sc_hd__buf_6 +*I *8347:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40633:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *40632:A 4.53482e-05 +2 *8347:DIODE 0.00018381 +3 *40633:X 0.00236124 +4 *3564:20 0.00833325 +5 *3564:16 0.0104653 +6 *8347:DIODE *3590:20 0 +7 *8347:DIODE *3593:5 0 +8 *8347:DIODE *4398:70 0 +9 *8347:DIODE *4431:40 0 +10 *40632:A *3585:14 0 +11 *40632:A *3628:17 0 +12 *3564:16 *3586:20 0 +13 *3564:16 *3598:50 0 +14 *3564:16 *3645:68 0 +15 *3564:16 *3837:43 0 +16 *3564:16 *3839:49 0 +17 *3564:16 *4361:30 0 +18 *3564:16 *4997:8 0 +19 *3564:20 *3601:18 0 +20 *3564:20 *3601:31 0 +21 *3564:20 *3869:20 0 +22 *3564:20 *3880:22 0 +23 *3564:20 *4361:30 0 +24 *3564:20 *4431:40 0 +25 *3564:20 *4972:15 0 +26 *1513:15 *3564:16 0 +27 *1794:18 *3564:20 0 +28 *1914:47 *3564:16 0 +29 *2762:19 *3564:16 0 +30 *2773:12 *3564:20 0 +31 *2951:28 *3564:20 0 +32 *3267:40 *3564:20 0 +33 *3353:42 *3564:20 0 +*RES +1 *40633:X *3564:16 44.2486 +2 *3564:16 *3564:20 40.8902 +3 *3564:20 *8347:DIODE 17.8179 +4 *3564:20 *40632:A 14.7464 +*END + +*D_NET *3565 0.0215956 +*CONN +*I *8348:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40633:A I *D sky130_fd_sc_hd__buf_4 +*I *40634:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8348:DIODE 0.000121302 +2 *40633:A 0 +3 *40634:X 0.0012191 +4 *3565:102 0.00103852 +5 *3565:92 0.00238212 +6 *3565:66 0.00274591 +7 *3565:41 0.00276944 +8 *3565:25 0.00313795 +9 *3565:17 0.0042335 +10 *3565:16 0.00265633 +11 *3565:13 0.00129144 +12 *3565:13 *3599:49 0 +13 *3565:13 *3633:12 0 +14 *3565:13 *3861:20 0 +15 *3565:17 *3643:9 0 +16 *3565:17 *3643:15 0 +17 *3565:25 *3591:17 0 +18 *3565:25 *3643:29 0 +19 *3565:25 *3646:38 0 +20 *3565:41 *3591:17 0 +21 *3565:41 *3839:35 0 +22 *3565:41 *3884:23 0 +23 *3565:66 *3568:22 0 +24 *3565:66 *3850:32 0 +25 *3565:66 *3854:17 0 +26 *3565:66 *3865:20 0 +27 *3565:66 *3884:23 0 +28 *3565:66 *4387:27 0 +29 *3565:66 *4400:16 0 +30 *3565:92 *40660:A 0 +31 *3565:92 *3568:22 0 +32 *3565:92 *3591:32 0 +33 *3565:92 *3595:30 0 +34 *3565:92 *3596:73 0 +35 *3565:92 *3645:52 0 +36 *3565:92 *3841:9 0 +37 *3565:92 *3854:17 0 +38 *3565:92 *4380:43 0 +39 *3565:102 *3850:32 0 +40 *3565:102 *4400:17 0 +41 *5353:DIODE *3565:41 0 +42 *5602:DIODE *3565:41 0 +43 *5978:DIODE *3565:92 0 +44 *7816:DIODE *3565:13 0 +45 *8554:DIODE *3565:25 0 +46 *8566:DIODE *3565:25 0 +47 *8566:DIODE *3565:41 0 +48 *8970:DIODE *3565:66 0 +49 *8971:DIODE *3565:66 0 +50 *40032:A *3565:25 0 +51 *40880:A *3565:25 0 +52 *1198:24 *3565:17 0 +53 *1279:10 *3565:41 0 +54 *1385:13 *3565:25 0 +55 *1523:22 *3565:25 0 +56 *1530:32 *3565:13 0 +57 *1675:66 *3565:102 0 +58 *1887:15 *3565:41 0 +59 *1912:25 *3565:17 0 +60 *1923:57 *3565:66 0 +61 *1924:23 *3565:17 0 +62 *1934:25 *3565:17 0 +63 *1946:15 *3565:17 0 +64 *1951:18 *3565:17 0 +65 *2157:18 *3565:17 0 +66 *2158:17 *3565:13 0 +67 *2160:14 *3565:13 0 +68 *2162:36 *3565:17 0 +69 *2169:12 *3565:13 0 +70 *2171:31 *3565:41 0 +71 *2172:33 *3565:17 0 +72 *2172:41 *3565:17 0 +73 *2179:36 *3565:25 0 +74 *2733:42 *3565:41 0 +75 *2733:56 *3565:25 0 +76 *2890:20 *3565:66 0 +77 *3222:37 *3565:66 0 +*RES +1 *40634:X *3565:13 49.0143 +2 *3565:13 *3565:16 6.14286 +3 *3565:16 *3565:17 53.9286 +4 *3565:17 *3565:25 43.8036 +5 *3565:25 *3565:41 49.4107 +6 *3565:41 *3565:66 47.3182 +7 *3565:66 *3565:92 49.7559 +8 *3565:92 *3565:102 24.1582 +9 *3565:102 *40633:A 13.8 +10 *3565:102 *8348:DIODE 16.5857 +*END + +*D_NET *3566 0.0222782 +*CONN +*I *8349:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40634:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40635:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8349:DIODE 0.000124066 +2 *40634:A 0.000191095 +3 *40635:X 0.00228114 +4 *3566:52 0.000638562 +5 *3566:51 0.00854282 +6 *3566:21 0.0105006 +7 *3566:21 *3714:7 0 +8 *3566:21 *3787:22 0 +9 *3566:21 *3791:45 0 +10 *3566:21 *3808:19 0 +11 *3566:21 *5142:16 0 +12 *3566:51 *3791:24 0 +13 *3566:51 *3797:24 0 +14 *3566:51 *5142:16 0 +15 *8527:DIODE *3566:21 0 +16 *40711:A *3566:21 0 +17 *41326:A *40634:A 0 +18 *315:22 *3566:51 0 +19 *319:10 *3566:51 0 +20 *319:12 *3566:51 0 +21 *1029:83 *3566:51 0 +22 *1818:19 *3566:51 0 +23 *1928:45 *8349:DIODE 0 +24 *2160:14 *3566:52 0 +25 *2217:73 *3566:21 0 +26 *2220:18 *3566:51 0 +27 *2220:30 *3566:51 0 +28 *2246:40 *3566:21 0 +29 *2259:26 *3566:51 0 +30 *2267:57 *3566:21 0 +31 *2292:14 *3566:21 0 +32 *2304:32 *3566:21 0 +33 *2324:52 *3566:21 0 +34 *2324:52 *3566:51 0 +35 *2324:59 *3566:51 0 +36 *2731:12 *3566:52 0 +37 *2740:27 *3566:51 0 +38 *2879:20 *3566:51 0 +39 *3195:26 *3566:51 0 +40 *3362:14 *3566:21 0 +*RES +1 *40635:X *3566:21 44.8359 +2 *3566:21 *3566:51 46.5903 +3 *3566:51 *3566:52 7.41071 +4 *3566:52 *40634:A 18.1571 +5 *3566:52 *8349:DIODE 16.3893 +*END + +*D_NET *3567 0.0205717 +*CONN +*I *5821:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37920:B I *D sky130_fd_sc_hd__and3b_1 +*I *40636:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *5821:DIODE 0 +2 *37920:B 0.000429114 +3 *40636:X 0.00147702 +4 *3567:56 0.00130091 +5 *3567:50 0.00244643 +6 *3567:44 0.00268244 +7 *3567:33 0.00453801 +8 *3567:32 0.00482549 +9 *3567:25 0.00287231 +10 *37920:B *37920:A_N 0 +11 *37920:B *41199:A 0 +12 *37920:B *4195:5 0 +13 *37920:B *4210:13 0 +14 *37920:B *4693:38 0 +15 *3567:25 *3642:98 0 +16 *3567:25 *3645:115 0 +17 *3567:25 *4140:107 0 +18 *3567:25 *4140:115 0 +19 *3567:25 *4147:14 0 +20 *3567:25 *4180:60 0 +21 *3567:25 *4196:41 0 +22 *3567:25 *4202:9 0 +23 *3567:25 *4387:118 0 +24 *3567:32 *4140:115 0 +25 *3567:32 *4183:41 0 +26 *3567:32 *4196:41 0 +27 *3567:32 *4211:37 0 +28 *3567:33 *3885:15 0 +29 *3567:33 *4161:21 0 +30 *3567:33 *4177:11 0 +31 *3567:33 *4432:43 0 +32 *3567:44 *3874:12 0 +33 *3567:44 *4194:19 0 +34 *3567:50 *4194:19 0 +35 *3567:56 *4163:9 0 +36 *3567:56 *4163:29 0 +37 *3567:56 *4637:47 0 +38 *5642:DIODE *3567:56 0 +39 *1381:32 *3567:32 0 +40 *1473:24 *37920:B 0 +41 *1473:24 *3567:56 0 +42 *1664:18 *3567:50 0 +43 *1799:13 *3567:32 0 +44 *2795:17 *37920:B 0 +45 *3059:32 *3567:25 0 +46 *3383:33 *3567:44 0 +*RES +1 *40636:X *3567:25 45.6874 +2 *3567:25 *3567:32 38.4643 +3 *3567:32 *3567:33 71.5893 +4 *3567:33 *3567:44 41.3393 +5 *3567:44 *3567:50 42.0714 +6 *3567:50 *3567:56 27.3036 +7 *3567:56 *37920:B 27.4071 +8 *3567:56 *5821:DIODE 9.3 +*END + +*D_NET *3568 0.018379 +*CONN +*I *8350:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40636:A I *D sky130_fd_sc_hd__buf_6 +*I *40637:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8350:DIODE 0 +2 *40636:A 0.000143745 +3 *40637:X 0.00594326 +4 *3568:34 0.00324624 +5 *3568:22 0.00904575 +6 *40636:A *3645:97 0 +7 *40636:A *4387:83 0 +8 *3568:22 *3646:38 0 +9 *3568:22 *3850:32 0 +10 *3568:22 *3854:17 0 +11 *3568:22 *3865:20 0 +12 *3568:22 *3865:38 0 +13 *3568:22 *3868:25 0 +14 *3568:22 *4380:43 0 +15 *3568:22 *4389:23 0 +16 *3568:22 *4458:48 0 +17 *3568:34 *3576:23 0 +18 *3568:34 *3590:20 0 +19 *3568:34 *3645:89 0 +20 *3568:34 *3850:32 0 +21 *3568:34 *3854:25 0 +22 *3568:34 *3858:25 0 +23 *3568:34 *3858:40 0 +24 *3568:34 *3865:38 0 +25 *3568:34 *4388:26 0 +26 *8249:DIODE *3568:22 0 +27 *37976:A_N *3568:34 0 +28 *40001:A *3568:22 0 +29 *40882:A *3568:22 0 +30 *1403:43 *3568:22 0 +31 *1675:32 *3568:22 0 +32 *1675:32 *3568:34 0 +33 *1675:66 *3568:22 0 +34 *1795:14 *3568:34 0 +35 *1798:40 *3568:22 0 +36 *1806:16 *3568:22 0 +37 *1914:47 *3568:34 0 +38 *1923:57 *3568:22 0 +39 *2179:36 *3568:22 0 +40 *2191:30 *3568:22 0 +41 *2202:64 *3568:22 0 +42 *2733:42 *3568:22 0 +43 *3061:38 *3568:34 0 +44 *3151:24 *3568:22 0 +45 *3565:66 *3568:22 0 +46 *3565:92 *3568:22 0 +*RES +1 *40637:X *3568:22 49.7612 +2 *3568:22 *3568:34 27.2739 +3 *3568:34 *40636:A 12.3 +4 *3568:34 *8350:DIODE 9.3 +*END + +*D_NET *3569 0.0240745 +*CONN +*I *8351:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40637:A I *D sky130_fd_sc_hd__buf_4 +*I *40638:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8351:DIODE 0.000682148 +2 *40637:A 0 +3 *40638:X 0.00353379 +4 *3569:61 0.00237907 +5 *3569:60 0.00782131 +6 *3569:21 0.00965818 +7 *8351:DIODE *3580:65 0 +8 *8351:DIODE *3639:19 0 +9 *8351:DIODE *3646:38 0 +10 *3569:21 *3578:22 0 +11 *3569:21 *3636:19 0 +12 *3569:21 *3799:8 0 +13 *3569:21 *4350:51 0 +14 *3569:60 *37602:B 0 +15 *3569:60 *3630:14 0 +16 *3569:60 *3636:19 0 +17 *3569:60 *3636:38 0 +18 *3569:60 *3671:27 0 +19 *3569:60 *3850:20 0 +20 *3569:61 *3646:38 0 +21 *40473:A *8351:DIODE 0 +22 *1812:23 *3569:60 0 +23 *1815:19 *3569:21 0 +24 *1903:25 *3569:60 0 +25 *1909:15 *3569:60 0 +26 *1939:12 *3569:60 0 +27 *2052:21 *3569:21 0 +28 *2162:27 *3569:60 0 +29 *2164:22 *3569:60 0 +30 *2166:16 *3569:60 0 +31 *2171:10 *8351:DIODE 0 +32 *2171:10 *3569:61 0 +33 *2172:33 *3569:60 0 +34 *2179:10 *3569:61 0 +35 *2179:36 *8351:DIODE 0 +36 *2202:23 *3569:60 0 +37 *2205:10 *3569:61 0 +38 *2210:21 *3569:60 0 +39 *2228:22 *3569:21 0 +40 *2229:18 *3569:21 0 +41 *2240:25 *3569:21 0 +42 *2243:14 *3569:21 0 +43 *2247:15 *3569:21 0 +44 *2258:13 *3569:21 0 +45 *2323:21 *3569:21 0 +46 *2323:21 *3569:60 0 +47 *3384:18 *8351:DIODE 0 +48 *3550:8 *3569:21 0 +49 *3555:19 *3569:60 0 +50 *3555:31 *3569:60 0 +*RES +1 *40638:X *3569:21 47.54 +2 *3569:21 *3569:60 45.0077 +3 *3569:60 *3569:61 35.4464 +4 *3569:61 *40637:A 9.3 +5 *3569:61 *8351:DIODE 23.6929 +*END + +*D_NET *3570 0.019794 +*CONN +*I *5817:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37918:B I *D sky130_fd_sc_hd__and3b_1 +*I *40639:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *5817:DIODE 0 +2 *37918:B 0.000163425 +3 *40639:X 0.0014038 +4 *3570:37 0.00194754 +5 *3570:25 0.00750013 +6 *3570:24 0.00654564 +7 *3570:18 0.00223343 +8 *37918:B *4371:46 0 +9 *3570:18 *3613:7 0 +10 *3570:18 *3634:23 0 +11 *3570:18 *3634:29 0 +12 *3570:18 *3634:31 0 +13 *3570:18 *3637:40 0 +14 *3570:18 *3855:71 0 +15 *3570:24 *3634:31 0 +16 *3570:25 *37520:A 0 +17 *3570:25 *3880:23 0 +18 *3570:25 *3880:40 0 +19 *3570:37 *3600:53 0 +20 *3570:37 *4203:30 0 +21 *3570:37 *4371:46 0 +22 *5325:DIODE *3570:24 0 +23 *7936:DIODE *3570:37 0 +24 *37333:A *3570:37 0 +25 *37521:A *3570:25 0 +26 *37525:A *3570:25 0 +27 *37527:A *3570:37 0 +28 *37533:A *3570:25 0 +29 *37921:A *3570:25 0 +30 *39440:A *3570:25 0 +31 *39440:B *3570:25 0 +32 *39934:A *3570:18 0 +33 *39950:A *3570:18 0 +34 *40059:A *3570:37 0 +35 *40061:A *3570:37 0 +36 *260:17 *3570:37 0 +37 *1159:9 *3570:25 0 +38 *1159:23 *3570:25 0 +39 *1159:25 *3570:25 0 +40 *1162:11 *3570:25 0 +41 *1167:13 *3570:25 0 +42 *1167:19 *3570:25 0 +43 *1167:21 *3570:25 0 +44 *1347:30 *3570:37 0 +45 *1358:15 *3570:25 0 +46 *1368:12 *3570:24 0 +47 *1470:21 *3570:37 0 +48 *1642:13 *3570:37 0 +49 *2773:12 *3570:18 0 +50 *2790:10 *3570:18 0 +51 *2791:47 *3570:24 0 +52 *2797:57 *3570:18 0 +53 *3204:22 *3570:24 0 +54 *3390:62 *3570:37 0 +*RES +1 *40639:X *3570:18 47.7821 +2 *3570:18 *3570:24 26.4643 +3 *3570:24 *3570:25 119.232 +4 *3570:25 *3570:37 31.7822 +5 *3570:37 *37918:B 12.7107 +6 *3570:37 *5817:DIODE 9.3 +*END + +*D_NET *3571 0.0146244 +*CONN +*I *38112:C I *D sky130_fd_sc_hd__and3b_1 +*I *6154:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38536:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *38112:C 0.000187921 +2 *6154:DIODE 2.56688e-05 +3 *38536:X 9.44981e-05 +4 *3571:50 0.000264498 +5 *3571:48 0.00295893 +6 *3571:24 0.00417391 +7 *3571:11 0.00404518 +8 *3571:10 0.00287378 +9 *6154:DIODE *4758:38 0 +10 *3571:10 *40496:A 0 +11 *3571:10 *5182:142 0 +12 *3571:11 *39037:A 0 +13 *3571:11 *5175:108 0 +14 *3571:11 *5182:120 0 +15 *3571:24 *4348:14 0 +16 *3571:24 *4382:17 0 +17 *3571:24 *4536:9 0 +18 *3571:24 *4774:57 0 +19 *3571:48 *4348:34 0 +20 *3571:48 *4524:31 0 +21 *3571:48 *5168:49 0 +22 *3571:48 *5171:107 0 +23 *3571:48 *5175:74 0 +24 *3571:48 *5179:91 0 +25 *3571:48 *5191:27 0 +26 *6152:DIODE *38112:C 0 +27 *39525:A *3571:24 0 +28 *39525:B *3571:24 0 +29 *540:12 *3571:24 0 +30 *540:55 *3571:24 0 +31 *1000:78 *3571:11 0 +32 *1828:23 *3571:48 0 +33 *1829:47 *3571:48 0 +34 *1834:23 *3571:48 0 +35 *2445:22 *3571:11 0 +36 *2445:35 *3571:11 0 +37 *2882:46 *3571:48 0 +38 *2927:33 *3571:11 0 +39 *2938:24 *3571:11 0 +40 *3038:26 *3571:48 0 +41 *3087:49 *3571:11 0 +42 *3106:51 *3571:11 0 +43 *3106:69 *3571:11 0 +44 *3106:71 *3571:11 0 +45 *3184:45 *6154:DIODE 0 +46 *3205:68 *3571:48 0 +47 *3348:112 *38112:C 0 +48 *3407:30 *38112:C 0 +49 *3407:52 *38112:C 0 +50 *3407:52 *3571:48 0 +51 *3413:30 *3571:11 0 +*RES +1 *38536:X *3571:10 20.3804 +2 *3571:10 *3571:11 58.0357 +3 *3571:11 *3571:24 35.6607 +4 *3571:24 *3571:48 49.7381 +5 *3571:48 *3571:50 1.1875 +6 *3571:50 *6154:DIODE 14.3357 +7 *3571:50 *38112:C 18.4607 +*END + +*D_NET *3572 0.0141294 +*CONN +*I *5392:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37580:B I *D sky130_fd_sc_hd__and2_1 +*I *38537:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *5392:DIODE 4.23535e-05 +2 *37580:B 0.000124921 +3 *38537:X 0.00121429 +4 *3572:38 0.00214724 +5 *3572:35 0.00438466 +6 *3572:26 0.00370316 +7 *3572:18 0.00251277 +8 *37580:B *3635:33 0 +9 *3572:18 *40193:A 0 +10 *3572:18 *40444:A 0 +11 *3572:18 *3616:7 0 +12 *3572:18 *3616:11 0 +13 *3572:18 *4993:10 0 +14 *3572:18 *5070:30 0 +15 *3572:35 *3605:33 0 +16 *3572:35 *4993:17 0 +17 *3572:38 *5886:DIODE 0 +18 *3572:38 *3605:36 0 +19 *3572:38 *3616:34 0 +20 *3572:38 *3645:13 0 +21 *3572:38 *4448:16 0 +22 *3572:38 *4793:22 0 +23 *3572:38 *5198:33 0 +24 *6671:DIODE *3572:18 0 +25 *6673:DIODE *3572:18 0 +26 *6992:DIODE *3572:18 0 +27 *1397:27 *37580:B 0 +28 *1402:20 *3572:38 0 +29 *1811:30 *3572:35 0 +30 *1985:9 *3572:18 0 +31 *2000:32 *3572:38 0 +32 *2023:32 *3572:26 0 +33 *2045:45 *3572:38 0 +34 *3332:16 *3572:18 0 +35 *3332:17 *3572:35 0 +36 *3339:32 *3572:26 0 +37 *3343:5 *3572:18 0 +38 *3347:11 *3572:26 0 +39 *3366:7 *3572:18 0 +40 *3366:11 *3572:18 0 +41 *3450:15 *3572:26 0 +42 *3450:37 *3572:38 0 +43 *3494:8 *3572:35 0 +*RES +1 *38537:X *3572:18 48.8893 +2 *3572:18 *3572:26 40.7321 +3 *3572:26 *3572:35 37.5992 +4 *3572:35 *3572:38 49.4018 +5 *3572:38 *37580:B 11.9071 +6 *3572:38 *5392:DIODE 10.2464 +*END + +*D_NET *3573 0.0215385 +*CONN +*I *8353:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40639:A I *D sky130_fd_sc_hd__buf_6 +*I *40640:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8353:DIODE 8.4707e-05 +2 *40639:A 0.000197935 +3 *40640:X 0.000958028 +4 *3573:50 0.00150592 +5 *3573:41 0.0034601 +6 *3573:31 0.00503279 +7 *3573:29 0.00498723 +8 *3573:26 0.0032725 +9 *3573:20 0.00203927 +10 *8353:DIODE *3601:37 0 +11 *40639:A *3613:7 0 +12 *40639:A *3634:23 0 +13 *40639:A *4972:15 0 +14 *3573:20 *3574:20 0 +15 *3573:20 *3632:14 0 +16 *3573:20 *3845:77 0 +17 *3573:26 *3830:25 0 +18 *3573:26 *3832:83 0 +19 *3573:26 *3835:56 0 +20 *37583:A *3573:20 0 +21 *39459:A *3573:31 0 +22 *39943:A *3573:41 0 +23 *40042:A *3573:41 0 +24 *295:13 *3573:20 0 +25 *324:11 *3573:50 0 +26 *1278:10 *3573:50 0 +27 *1365:27 *3573:41 0 +28 *1365:27 *3573:50 0 +29 *1380:22 *3573:31 0 +30 *1380:22 *3573:41 0 +31 *1392:29 *3573:29 0 +32 *1401:12 *3573:20 0 +33 *1480:29 *3573:41 0 +34 *1483:37 *3573:50 0 +35 *1496:16 *40639:A 0 +36 *1498:36 *3573:20 0 +37 *1499:15 *3573:29 0 +38 *1499:15 *3573:31 0 +39 *1501:33 *3573:29 0 +40 *1501:33 *3573:31 0 +41 *1501:37 *3573:29 0 +42 *1513:24 *3573:20 0 +43 *1520:25 *3573:31 0 +44 *1675:32 *3573:20 0 +45 *2761:26 *3573:31 0 +46 *2762:10 *40639:A 0 +47 *2762:10 *3573:50 0 +48 *2784:11 *3573:29 0 +49 *2784:11 *3573:31 0 +50 *2887:21 *3573:26 0 +51 *2887:21 *3573:29 0 +52 *3051:20 *3573:20 0 +53 *3169:9 *3573:20 0 +54 *3548:25 *3573:20 0 +55 *3556:14 *3573:31 0 +56 *3556:25 *3573:31 0 +57 *3556:25 *3573:41 0 +58 *3556:29 *3573:41 0 +59 *3556:38 *3573:41 0 +60 *3556:38 *3573:50 0 +*RES +1 *40640:X *3573:20 48.4071 +2 *3573:20 *3573:26 31.625 +3 *3573:26 *3573:29 45.7321 +4 *3573:29 *3573:31 58.4464 +5 *3573:31 *3573:41 46.7143 +6 *3573:41 *3573:50 30.9464 +7 *3573:50 *40639:A 18.2107 +8 *3573:50 *8353:DIODE 15.5679 +*END + +*D_NET *3574 0.0205805 +*CONN +*I *40640:A I *D sky130_fd_sc_hd__buf_4 +*I *8354:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40641:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40640:A 0 +2 *8354:DIODE 0.000124732 +3 *40641:X 0.000616563 +4 *3574:20 0.00967369 +5 *3574:10 0.0101655 +6 *8354:DIODE *3614:30 0 +7 *8354:DIODE *4383:15 0 +8 *3574:10 *3828:52 0 +9 *3574:20 *3587:33 0 +10 *3574:20 *3587:56 0 +11 *3574:20 *3630:14 0 +12 *3574:20 *3630:37 0 +13 *3574:20 *3633:48 0 +14 *3574:20 *3639:52 0 +15 *3574:20 *3829:20 0 +16 *3574:20 *3831:26 0 +17 *3574:20 *3850:32 0 +18 *3574:20 *3858:25 0 +19 *3574:20 *3881:21 0 +20 *3574:20 *4385:38 0 +21 *39471:B *3574:20 0 +22 *1397:55 *3574:20 0 +23 *1812:13 *3574:20 0 +24 *1942:24 *3574:20 0 +25 *1951:52 *3574:10 0 +26 *2159:23 *3574:20 0 +27 *2168:9 *3574:10 0 +28 *2169:12 *3574:10 0 +29 *2170:9 *3574:10 0 +30 *2171:61 *3574:20 0 +31 *2172:33 *3574:20 0 +32 *2191:20 *3574:20 0 +33 *2202:23 *3574:20 0 +34 *2202:64 *3574:20 0 +35 *2212:25 *3574:10 0 +36 *2747:24 *3574:20 0 +37 *2880:19 *3574:20 0 +38 *3169:9 *3574:20 0 +39 *3573:20 *3574:20 0 +*RES +1 *40641:X *3574:10 30.9154 +2 *3574:10 *3574:20 47.4236 +3 *3574:20 *8354:DIODE 16.5857 +4 *3574:20 *40640:A 13.8 +*END + +*D_NET *3575 0.0206094 +*CONN +*I *8355:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40641:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40642:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8355:DIODE 6.50276e-05 +2 *40641:A 0.000324805 +3 *40642:X 0.00102797 +4 *3575:68 0.00136037 +5 *3575:49 0.00589937 +6 *3575:47 0.00609395 +7 *3575:25 0.00298753 +8 *3575:18 0.00285039 +9 *40641:A *4338:10 0 +10 *3575:18 *4367:26 0 +11 *3575:25 *3791:36 0 +12 *3575:49 *39868:A 0 +13 *3575:49 *4350:33 0 +14 *3575:49 *4678:10 0 +15 *3575:49 *4973:7 0 +16 *3575:68 *3899:32 0 +17 *6344:DIODE *3575:49 0 +18 *7889:DIODE *3575:47 0 +19 *37965:A *3575:49 0 +20 *40837:A *3575:18 0 +21 *40852:A *3575:25 0 +22 *1305:19 *3575:68 0 +23 *1404:11 *3575:49 0 +24 *1404:27 *3575:47 0 +25 *1404:27 *3575:49 0 +26 *1816:13 *3575:25 0 +27 *2170:9 *3575:68 0 +28 *2199:14 *3575:68 0 +29 *2200:23 *8355:DIODE 0 +30 *2212:25 *40641:A 0 +31 *2217:30 *3575:47 0 +32 *2217:49 *3575:47 0 +33 *2217:80 *3575:18 0 +34 *2221:75 *3575:18 0 +35 *2221:75 *3575:25 0 +36 *2221:89 *3575:18 0 +37 *2221:100 *3575:18 0 +38 *2222:28 *3575:49 0 +39 *2222:51 *3575:47 0 +40 *2227:23 *3575:49 0 +41 *2227:41 *3575:47 0 +42 *2227:41 *3575:49 0 +43 *2227:48 *3575:25 0 +44 *2227:75 *3575:18 0 +45 *2272:53 *3575:25 0 +46 *2292:50 *3575:18 0 +47 *2699:18 *3575:49 0 +48 *2875:14 *3575:68 0 +49 *2879:20 *3575:68 0 +50 *2886:65 *3575:68 0 +51 *2886:69 *3575:49 0 +52 *2941:47 *3575:18 0 +53 *3195:33 *3575:68 0 +54 *3562:49 *3575:68 0 +*RES +1 *40642:X *3575:18 49.1393 +2 *3575:18 *3575:25 47.1429 +3 *3575:25 *3575:47 42.6964 +4 *3575:47 *3575:49 102.804 +5 *3575:49 *3575:68 38.2471 +6 *3575:68 *40641:A 21.1929 +7 *3575:68 *8355:DIODE 15.1571 +*END + +*D_NET *3576 0.0205225 +*CONN +*I *5814:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37916:B I *D sky130_fd_sc_hd__and3b_2 +*I *40643:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *5814:DIODE 0.000236214 +2 *37916:B 0.000204497 +3 *40643:X 0.000898898 +4 *3576:69 0.000586494 +5 *3576:66 0.0020665 +6 *3576:60 0.00406037 +7 *3576:51 0.00427444 +8 *3576:40 0.0029054 +9 *3576:29 0.00258069 +10 *3576:23 0.00270898 +11 *5814:DIODE *37906:C 0 +12 *5814:DIODE *4184:48 0 +13 *5814:DIODE *4208:33 0 +14 *5814:DIODE *4421:29 0 +15 *5814:DIODE *4482:22 0 +16 *37916:B *37906:A_N 0 +17 *37916:B *37916:C 0 +18 *37916:B *4431:50 0 +19 *3576:23 *3610:14 0 +20 *3576:23 *3645:89 0 +21 *3576:23 *3839:75 0 +22 *3576:23 *3839:81 0 +23 *3576:23 *3854:25 0 +24 *3576:29 *4400:29 0 +25 *3576:40 *5345:DIODE 0 +26 *3576:40 *3854:25 0 +27 *3576:40 *3865:38 0 +28 *3576:51 *37538:A 0 +29 *3576:51 *40705:A 0 +30 *3576:51 *3644:16 0 +31 *3576:51 *3841:47 0 +32 *3576:51 *4398:43 0 +33 *3576:51 *4398:55 0 +34 *3576:60 *3593:5 0 +35 *3576:60 *3644:22 0 +36 *3576:60 *4383:90 0 +37 *3576:60 *4398:63 0 +38 *3576:60 *4431:34 0 +39 *3576:66 *3593:5 0 +40 *3576:69 *4431:50 0 +41 *3576:69 *4436:23 0 +42 *5337:DIODE *3576:60 0 +43 *37538:B *3576:51 0 +44 *37968:A_N *3576:51 0 +45 *37968:A_N *3576:60 0 +46 *37968:C *3576:60 0 +47 *38002:A_N *3576:51 0 +48 *1357:14 *5814:DIODE 0 +49 *3063:22 *3576:60 0 +50 *3568:34 *3576:23 0 +*RES +1 *40643:X *3576:23 48.1045 +2 *3576:23 *3576:29 46.9107 +3 *3576:29 *3576:40 21.6183 +4 *3576:40 *3576:51 44.6786 +5 *3576:51 *3576:60 49.3393 +6 *3576:60 *3576:66 49.1339 +7 *3576:66 *3576:69 3.96147 +8 *3576:69 *37916:B 18.949 +9 *3576:69 *5814:DIODE 22.5761 +*END + +*D_NET *3577 0.0212156 +*CONN +*I *8356:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40643:A I *D sky130_fd_sc_hd__buf_2 +*I *40644:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8356:DIODE 0 +2 *40643:A 0.000392127 +3 *40644:X 0.000814969 +4 *3577:88 0.000724927 +5 *3577:83 0.00205475 +6 *3577:65 0.00362614 +7 *3577:49 0.00472733 +8 *3577:48 0.00291721 +9 *3577:43 0.0018798 +10 *3577:34 0.00252456 +11 *3577:27 0.0015538 +12 *40643:A *3839:75 0 +13 *40643:A *4380:87 0 +14 *3577:27 *40667:A 0 +15 *3577:27 *3602:23 0 +16 *3577:27 *3608:75 0 +17 *3577:27 *4317:13 0 +18 *3577:34 *3602:42 0 +19 *3577:34 *3847:15 0 +20 *3577:43 *8404:DIODE 0 +21 *3577:43 *3602:42 0 +22 *3577:43 *3828:98 0 +23 *3577:43 *3832:18 0 +24 *3577:43 *3853:34 0 +25 *3577:43 *3873:13 0 +26 *3577:49 *3828:98 0 +27 *3577:49 *3830:25 0 +28 *3577:49 *3847:17 0 +29 *3577:49 *3853:34 0 +30 *3577:49 *3853:35 0 +31 *3577:49 *3863:40 0 +32 *3577:65 *3623:20 0 +33 *3577:65 *3830:25 0 +34 *3577:65 *3853:35 0 +35 *3577:83 *3598:50 0 +36 *3577:83 *3602:57 0 +37 *3577:83 *3832:83 0 +38 *3577:83 *3866:32 0 +39 *3577:88 *3866:32 0 +40 *3577:88 *3884:71 0 +41 *3577:88 *4381:20 0 +42 *8345:DIODE *3577:43 0 +43 *8548:DIODE *3577:27 0 +44 *37804:A *3577:49 0 +45 *37804:B *3577:49 0 +46 *38222:B *3577:34 0 +47 *39908:A *3577:49 0 +48 *40885:A *3577:34 0 +49 *41311:A *3577:27 0 +50 *387:20 *3577:83 0 +51 *1300:60 *3577:83 0 +52 *1377:14 *3577:65 0 +53 *1500:34 *3577:83 0 +54 *1510:20 *3577:34 0 +55 *1675:32 *3577:83 0 +56 *1678:18 *3577:65 0 +57 *1798:40 *3577:83 0 +58 *1906:21 *3577:27 0 +59 *1955:32 *3577:34 0 +60 *2159:40 *3577:27 0 +61 *2159:40 *3577:34 0 +62 *2174:92 *3577:43 0 +63 *2204:58 *3577:27 0 +64 *2745:39 *3577:49 0 +65 *2747:63 *3577:27 0 +66 *2750:29 *3577:83 0 +67 *2765:18 *3577:83 0 +68 *2855:69 *3577:43 0 +69 *2885:19 *3577:43 0 +70 *2887:21 *3577:65 0 +71 *2887:33 *3577:65 0 +72 *2887:38 *3577:49 0 +73 *2887:47 *3577:49 0 +74 *3548:23 *3577:43 0 +*RES +1 *40644:X *3577:27 44.9429 +2 *3577:27 *3577:34 24.5357 +3 *3577:34 *3577:43 46.5357 +4 *3577:43 *3577:48 11.25 +5 *3577:48 *3577:49 58.8571 +6 *3577:49 *3577:65 45.5641 +7 *3577:65 *3577:83 48.1553 +8 *3577:83 *3577:88 15.4725 +9 *3577:88 *40643:A 26.5679 +10 *3577:88 *8356:DIODE 9.3 +*END + +*D_NET *3578 0.0239853 +*CONN +*I *8357:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40644:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40645:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8357:DIODE 0.00033595 +2 *40644:A 0.000249401 +3 *40645:X 0.00272183 +4 *3578:89 0.00119083 +5 *3578:80 0.00220453 +6 *3578:64 0.00306291 +7 *3578:58 0.00252274 +8 *3578:52 0.00202922 +9 *3578:37 0.00368911 +10 *3578:35 0.00298785 +11 *3578:22 0.00299092 +12 *40644:A *3623:16 0 +13 *40644:A *3640:43 0 +14 *3578:22 *3599:14 0 +15 *3578:22 *3603:21 0 +16 *3578:22 *4333:59 0 +17 *3578:35 *3612:34 0 +18 *3578:35 *4350:51 0 +19 *3578:37 *3612:35 0 +20 *3578:37 *4346:63 0 +21 *3578:37 *4350:51 0 +22 *3578:52 *3588:35 0 +23 *3578:52 *4346:63 0 +24 *3578:58 *38010:A_N 0 +25 *3578:58 *3612:67 0 +26 *3578:58 *3831:14 0 +27 *3578:58 *4346:37 0 +28 *3578:58 *4346:50 0 +29 *3578:58 *4480:36 0 +30 *3578:64 *3671:27 0 +31 *3578:80 *4458:74 0 +32 *3578:89 *3623:16 0 +33 *3578:89 *3640:43 0 +34 *8117:DIODE *3578:22 0 +35 *8399:DIODE *3578:58 0 +36 *8921:DIODE *3578:37 0 +37 *8928:DIODE *3578:37 0 +38 *8963:DIODE *3578:37 0 +39 *8963:DIODE *3578:52 0 +40 *37606:A *3578:22 0 +41 *37816:B *3578:22 0 +42 *39481:A *3578:52 0 +43 *39483:A *3578:37 0 +44 *39483:B *3578:37 0 +45 *40873:A *3578:58 0 +46 *41306:A *8357:DIODE 0 +47 *1201:16 *3578:22 0 +48 *1303:14 *8357:DIODE 0 +49 *1307:10 *3578:22 0 +50 *1815:19 *3578:37 0 +51 *1906:21 *40644:A 0 +52 *1912:25 *3578:80 0 +53 *1913:10 *3578:80 0 +54 *1918:13 *8357:DIODE 0 +55 *1925:31 *3578:89 0 +56 *1928:58 *3578:58 0 +57 *1936:8 *3578:80 0 +58 *1942:26 *3578:64 0 +59 *1949:62 *8357:DIODE 0 +60 *2161:12 *3578:80 0 +61 *2188:13 *3578:64 0 +62 *2200:23 *3578:58 0 +63 *2204:58 *40644:A 0 +64 *2234:24 *3578:37 0 +65 *2323:29 *3578:58 0 +66 *2847:5 *3578:80 0 +67 *2852:32 *3578:58 0 +68 *2865:14 *3578:35 0 +69 *2865:14 *3578:37 0 +70 *2866:27 *3578:80 0 +71 *3176:25 *3578:22 0 +72 *3550:8 *3578:22 0 +73 *3569:21 *3578:22 0 +*RES +1 *40645:X *3578:22 47.991 +2 *3578:22 *3578:35 10.5357 +3 *3578:35 *3578:37 56.8036 +4 *3578:37 *3578:52 38.5 +5 *3578:52 *3578:58 31.5357 +6 *3578:58 *3578:64 40.5 +7 *3578:64 *3578:80 47.5268 +8 *3578:80 *3578:89 12.6834 +9 *3578:89 *40644:A 18.7812 +10 *3578:89 *8357:DIODE 24.5404 +*END + +*D_NET *3579 0.0222363 +*CONN +*I *5811:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37914:B I *D sky130_fd_sc_hd__and3b_1 +*I *40646:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *5811:DIODE 0 +2 *37914:B 0.000413803 +3 *40646:X 0.00142072 +4 *3579:62 0.00102342 +5 *3579:59 0.00198717 +6 *3579:47 0.00281417 +7 *3579:35 0.00590683 +8 *3579:33 0.00585987 +9 *3579:15 0.00281037 +10 *37914:B *3618:47 0 +11 *37914:B *3864:14 0 +12 *37914:B *4153:83 0 +13 *3579:15 *3598:50 0 +14 *3579:15 *3632:41 0 +15 *3579:15 *3855:24 0 +16 *3579:15 *3884:54 0 +17 *3579:35 *3586:40 0 +18 *3579:35 *3586:47 0 +19 *3579:35 *3614:60 0 +20 *3579:35 *3614:66 0 +21 *3579:35 *3614:70 0 +22 *3579:35 *4402:26 0 +23 *3579:47 *4667:14 0 +24 *3579:47 *4667:24 0 +25 *3579:47 *4749:13 0 +26 *5357:DIODE *3579:62 0 +27 *37947:A *3579:47 0 +28 *294:62 *3579:59 0 +29 *318:63 *3579:62 0 +30 *376:21 *3579:33 0 +31 *1277:52 *3579:35 0 +32 *1364:16 *3579:59 0 +33 *1380:16 *3579:47 0 +34 *1482:30 *3579:35 0 +35 *1484:10 *3579:62 0 +36 *1661:31 *3579:47 0 +37 *1673:11 *3579:15 0 +38 *1783:10 *37914:B 0 +39 *1789:10 *3579:62 0 +40 *1798:40 *3579:15 0 +41 *2745:20 *3579:35 0 +42 *2745:32 *3579:15 0 +43 *2855:28 *3579:15 0 +44 *2895:10 *3579:35 0 +45 *2908:15 *3579:47 0 +46 *2912:21 *3579:59 0 +47 *3169:54 *3579:59 0 +48 *3353:27 *3579:33 0 +49 *3554:34 *3579:15 0 +50 *3554:45 *3579:33 0 +51 *3554:45 *3579:35 0 +52 *3554:54 *3579:35 0 +*RES +1 *40646:X *3579:15 48.8714 +2 *3579:15 *3579:33 47.3929 +3 *3579:33 *3579:35 93.3571 +4 *3579:35 *3579:47 48.3214 +5 *3579:47 *3579:59 42.5179 +6 *3579:59 *3579:62 18.2857 +7 *3579:62 *37914:B 31.065 +8 *3579:62 *5811:DIODE 9.3 +*END + +*D_NET *3580 0.0239369 +*CONN +*I *40646:A I *D sky130_fd_sc_hd__buf_2 +*I *8358:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40647:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40646:A 0 +2 *8358:DIODE 0.000182036 +3 *40647:X 0.00301402 +4 *3580:143 0.000403857 +5 *3580:138 0.00236849 +6 *3580:122 0.00281423 +7 *3580:102 0.00183423 +8 *3580:81 0.00275666 +9 *3580:65 0.0027184 +10 *3580:43 0.00297968 +11 *3580:28 0.00486529 +12 *8358:DIODE *3884:54 0 +13 *8358:DIODE *4380:68 0 +14 *8358:DIODE *4400:29 0 +15 *8358:DIODE *4454:39 0 +16 *3580:28 *8375:DIODE 0 +17 *3580:28 *3603:37 0 +18 *3580:28 *3607:23 0 +19 *3580:28 *3611:27 0 +20 *3580:28 *3833:19 0 +21 *3580:28 *3856:20 0 +22 *3580:43 *40700:A 0 +23 *3580:43 *3623:20 0 +24 *3580:43 *3640:43 0 +25 *3580:43 *3856:37 0 +26 *3580:43 *4386:38 0 +27 *3580:43 *4458:53 0 +28 *3580:65 *8401:DIODE 0 +29 *3580:65 *3633:48 0 +30 *3580:65 *3635:18 0 +31 *3580:65 *3639:19 0 +32 *3580:65 *4458:48 0 +33 *3580:81 *4361:17 0 +34 *3580:81 *4403:19 0 +35 *3580:102 *37592:A 0 +36 *3580:122 *3856:76 0 +37 *3580:122 *4387:27 0 +38 *3580:122 *4403:31 0 +39 *3580:122 *4403:35 0 +40 *3580:138 *3632:41 0 +41 *3580:138 *3635:40 0 +42 *3580:138 *3892:23 0 +43 *3580:143 *3884:54 0 +44 *3580:143 *4383:15 0 +45 *3580:143 *4400:17 0 +46 *3580:143 *4400:27 0 +47 *3580:143 *4400:29 0 +48 *6283:DIODE *3580:138 0 +49 *7434:DIODE *3580:102 0 +50 *8351:DIODE *3580:65 0 +51 *8555:DIODE *3580:28 0 +52 *37588:A *3580:102 0 +53 *38008:B *3580:138 0 +54 *40032:A *3580:43 0 +55 *1189:20 *3580:122 0 +56 *1195:28 *3580:81 0 +57 *1387:70 *3580:81 0 +58 *1387:93 *3580:28 0 +59 *1397:16 *3580:138 0 +60 *1523:22 *3580:43 0 +61 *1805:34 *3580:102 0 +62 *1887:15 *3580:65 0 +63 *1909:70 *3580:81 0 +64 *1912:41 *3580:102 0 +65 *1920:23 *3580:28 0 +66 *1921:54 *3580:102 0 +67 *1923:39 *3580:102 0 +68 *1930:62 *3580:81 0 +69 *1949:34 *3580:28 0 +70 *2157:28 *3580:43 0 +71 *2164:50 *3580:65 0 +72 *2171:10 *3580:65 0 +73 *2189:23 *3580:81 0 +74 *2191:30 *3580:43 0 +75 *2193:50 *3580:65 0 +76 *2193:50 *3580:81 0 +77 *2195:24 *3580:43 0 +78 *2195:24 *3580:65 0 +79 *2202:39 *3580:43 0 +80 *2206:50 *3580:102 0 +81 *2733:56 *3580:65 0 +82 *2847:5 *3580:43 0 +83 *2855:59 *3580:102 0 +84 *2862:15 *3580:43 0 +85 *2862:33 *3580:28 0 +86 *2876:20 *3580:28 0 +87 *2880:19 *3580:65 0 +88 *3051:14 *3580:122 0 +89 *3151:50 *3580:102 0 +90 *3385:17 *3580:43 0 +91 *3385:28 *3580:43 0 +*RES +1 *40647:X *3580:28 49.1487 +2 *3580:28 *3580:43 48.4812 +3 *3580:43 *3580:65 46.625 +4 *3580:65 *3580:81 47.4503 +5 *3580:81 *3580:102 46.9368 +6 *3580:102 *3580:122 45.7679 +7 *3580:122 *3580:138 49.9107 +8 *3580:138 *3580:143 9.16071 +9 *3580:143 *8358:DIODE 22.2643 +10 *3580:143 *40646:A 9.3 +*END + +*D_NET *3581 0.0215566 +*CONN +*I *8359:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40647:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40648:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8359:DIODE 0.000508374 +2 *40647:A 9.66857e-05 +3 *40648:X 0.00156135 +4 *3581:58 0.00147075 +5 *3581:43 0.00358594 +6 *3581:41 0.0027934 +7 *3581:35 0.00247853 +8 *3581:34 0.00345714 +9 *3581:19 0.00254742 +10 *3581:8 0.003057 +11 *40647:A *3828:52 0 +12 *3581:8 *3612:28 0 +13 *3581:8 *3624:19 0 +14 *3581:8 *3789:22 0 +15 *3581:8 *4316:7 0 +16 *3581:19 *5413:DIODE 0 +17 *3581:19 *3599:34 0 +18 *3581:19 *3624:35 0 +19 *3581:19 *3640:11 0 +20 *3581:19 *5136:18 0 +21 *3581:34 *4333:50 0 +22 *3581:35 *4338:20 0 +23 *3581:35 *4338:21 0 +24 *3581:43 *4338:20 0 +25 *8336:DIODE *3581:34 0 +26 *37604:A *3581:19 0 +27 *37606:A *3581:19 0 +28 *40305:A *3581:19 0 +29 *40672:A *3581:19 0 +30 *40850:A *3581:19 0 +31 *40856:A *3581:34 0 +32 *41346:A *3581:19 0 +33 *1202:20 *3581:19 0 +34 *1902:19 *8359:DIODE 0 +35 *1902:19 *3581:58 0 +36 *1930:17 *3581:58 0 +37 *1932:44 *3581:19 0 +38 *1951:52 *40647:A 0 +39 *2188:13 *3581:43 0 +40 *2190:8 *3581:43 0 +41 *2192:27 *3581:58 0 +42 *2206:10 *3581:43 0 +43 *2206:12 *3581:43 0 +44 *2206:12 *3581:58 0 +45 *2216:11 *3581:35 0 +46 *2224:26 *3581:34 0 +47 *2239:10 *3581:35 0 +48 *2249:16 *3581:35 0 +49 *2250:44 *3581:8 0 +50 *2255:13 *3581:19 0 +51 *2255:13 *3581:34 0 +52 *2257:16 *3581:19 0 +53 *2271:26 *3581:19 0 +54 *2291:16 *3581:8 0 +55 *2329:64 *3581:8 0 +56 *2338:29 *3581:8 0 +57 *2342:22 *3581:34 0 +58 *2732:15 *3581:35 0 +59 *2736:18 *8359:DIODE 0 +60 *2886:65 *8359:DIODE 0 +61 *3190:19 *3581:35 0 +62 *3190:19 *3581:41 0 +63 *3190:19 *3581:43 0 +64 *3195:17 *3581:43 0 +65 *3550:19 *3581:58 0 +*RES +1 *40648:X *3581:8 46.5679 +2 *3581:8 *3581:19 45.0536 +3 *3581:19 *3581:34 31.5357 +4 *3581:34 *3581:35 50.2321 +5 *3581:35 *3581:41 1.80357 +6 *3581:41 *3581:43 56.8036 +7 *3581:43 *3581:58 41.0179 +8 *3581:58 *40647:A 15.8179 +9 *3581:58 *8359:DIODE 25.3536 +*END + +*D_NET *3582 0.0223892 +*CONN +*I *5587:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37750:A I *D sky130_fd_sc_hd__and2_1 +*I *40649:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *5587:DIODE 0.000104386 +2 *37750:A 0 +3 *40649:X 0.000427006 +4 *3582:32 0.000104386 +5 *3582:30 0.00388449 +6 *3582:13 0.0106632 +7 *3582:12 0.0072057 +8 *5587:DIODE *3773:57 0 +9 *5587:DIODE *3779:82 0 +10 *3582:12 *4235:41 0 +11 *3582:13 *37746:B 0 +12 *3582:13 *3802:21 0 +13 *3582:13 *3802:35 0 +14 *3582:13 *3990:45 0 +15 *3582:13 *4040:17 0 +16 *3582:13 *4051:58 0 +17 *3582:13 *4069:41 0 +18 *3582:13 *4077:33 0 +19 *3582:13 *4598:21 0 +20 *3582:30 *4033:77 0 +21 *7459:DIODE *3582:13 0 +22 *370:36 *3582:30 0 +23 *1014:107 *3582:30 0 +24 *1211:113 *3582:12 0 +25 *1451:62 *3582:30 0 +26 *1573:14 *3582:30 0 +27 *1844:23 *3582:30 0 +28 *2810:22 *3582:30 0 +29 *2821:45 *3582:30 0 +30 *2823:26 *3582:30 0 +31 *2839:52 *3582:30 0 +32 *3003:30 *3582:30 0 +33 *3024:61 *3582:30 0 +34 *3040:26 *3582:30 0 +35 *3094:8 *3582:30 0 +36 *3283:110 *3582:12 0 +37 *3284:11 *3582:13 0 +38 *3292:85 *3582:13 0 +*RES +1 *40649:X *3582:12 27.9964 +2 *3582:12 *3582:13 141.411 +3 *3582:13 *3582:30 48.101 +4 *3582:30 *3582:32 4.5 +5 *3582:32 *37750:A 9.3 +6 *3582:32 *5587:DIODE 11.4786 +*END + +*D_NET *3583 0.0212909 +*CONN +*I *5395:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37582:B I *D sky130_fd_sc_hd__and2_1 +*I *38538:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5395:DIODE 0.000325121 +2 *37582:B 2.56688e-05 +3 *38538:X 0.00703206 +4 *3583:62 0.000609852 +5 *3583:55 0.00151893 +6 *3583:32 0.00300353 +7 *3583:15 0.00877573 +8 *5395:DIODE *3856:94 0 +9 *5395:DIODE *4403:35 0 +10 *5395:DIODE *4403:43 0 +11 *3583:15 *3683:12 0 +12 *3583:15 *4454:22 0 +13 *3583:15 *4454:83 0 +14 *3583:15 *4455:62 0 +15 *3583:15 *4459:31 0 +16 *3583:15 *4904:27 0 +17 *3583:15 *5026:32 0 +18 *3583:15 *5048:66 0 +19 *3583:32 *3890:50 0 +20 *3583:32 *3918:21 0 +21 *3583:32 *3925:7 0 +22 *3583:32 *4151:20 0 +23 *3583:32 *4200:24 0 +24 *3583:32 *4447:17 0 +25 *3583:32 *4831:14 0 +26 *3583:32 *4859:21 0 +27 *3583:32 *5015:41 0 +28 *3583:32 *5200:21 0 +29 *3583:55 *37978:C 0 +30 *3583:55 *3614:18 0 +31 *3583:55 *3629:34 0 +32 *3583:55 *3839:49 0 +33 *3583:55 *4173:19 0 +34 *3583:55 *4397:20 0 +35 *3583:62 *40694:A 0 +36 *3583:62 *3858:25 0 +37 *6656:DIODE *3583:32 0 +38 *6994:DIODE *3583:15 0 +39 *39916:A *3583:55 0 +40 *467:5 *3583:15 0 +41 *580:8 *3583:32 0 +42 *595:9 *3583:15 0 +43 *1396:20 *3583:55 0 +44 *1796:20 *3583:62 0 +45 *1796:39 *3583:32 0 +46 *2012:37 *3583:32 0 +47 *2071:23 *3583:32 0 +48 *3331:35 *3583:15 0 +49 *3339:16 *3583:15 0 +50 *3361:18 *3583:15 0 +51 *3377:23 *3583:15 0 +52 *3437:21 *3583:15 0 +53 *3560:17 *3583:15 0 +*RES +1 *38538:X *3583:15 49.6279 +2 *3583:15 *3583:32 49.1933 +3 *3583:32 *3583:55 48.7691 +4 *3583:55 *3583:62 10.4464 +5 *3583:62 *37582:B 14.3357 +6 *3583:62 *5395:DIODE 20.8 +*END + +*D_NET *3584 0.0273316 +*CONN +*I *8360:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40649:A I *D sky130_fd_sc_hd__buf_2 +*I *40650:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8360:DIODE 0.000121071 +2 *40649:A 4.53482e-05 +3 *40650:X 0.000847062 +4 *3584:42 0.000178591 +5 *3584:37 0.0111765 +6 *3584:24 0.0126401 +7 *3584:21 0.00232284 +8 *8360:DIODE *3774:33 0 +9 *8360:DIODE *4080:15 0 +10 *40649:A *3774:33 0 +11 *40649:A *4080:15 0 +12 *3584:21 *3667:19 0 +13 *3584:21 *3691:37 0 +14 *3584:21 *4103:47 0 +15 *3584:24 *39665:A 0 +16 *3584:24 *3647:16 0 +17 *3584:24 *3720:50 0 +18 *3584:24 *3730:78 0 +19 *3584:24 *4735:14 0 +20 *3584:37 *3678:56 0 +21 *3584:37 *4354:27 0 +22 *5586:DIODE *3584:24 0 +23 *1010:119 *3584:37 0 +24 *1030:8 *3584:37 0 +25 *1031:76 *3584:37 0 +26 *1031:80 *3584:37 0 +27 *1330:34 *3584:24 0 +28 *1414:16 *3584:37 0 +29 *1539:16 *3584:24 0 +30 *1864:36 *3584:37 0 +31 *2400:39 *3584:37 0 +32 *2412:16 *3584:21 0 +33 *2715:8 *3584:24 0 +34 *2837:16 *3584:21 0 +35 *3003:24 *3584:37 0 +36 *3014:41 *3584:37 0 +37 *3076:52 *3584:21 0 +38 *3097:44 *3584:37 0 +39 *3114:15 *3584:37 0 +40 *3299:19 *3584:37 0 +41 *3303:17 *3584:37 0 +42 *3492:17 *3584:37 0 +*RES +1 *40650:X *3584:21 35.8787 +2 *3584:21 *3584:24 36.9279 +3 *3584:24 *3584:37 48.2771 +4 *3584:37 *3584:42 8.18679 +5 *3584:42 *40649:A 10.2464 +6 *3584:42 *8360:DIODE 11.8893 +*END + +*D_NET *3585 0.0201032 +*CONN +*I *37912:B I *D sky130_fd_sc_hd__and3b_1 +*I *5808:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40651:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *37912:B 0.000221179 +2 *5808:DIODE 0 +3 *40651:X 0.00121824 +4 *3585:45 0.00103402 +5 *3585:44 0.00371941 +6 *3585:32 0.00473576 +7 *3585:25 0.00489276 +8 *3585:14 0.0042818 +9 *37912:B *37912:A_N 0 +10 *37912:B *3604:56 0 +11 *3585:14 *3606:83 0 +12 *3585:14 *3610:59 0 +13 *3585:14 *3628:17 0 +14 *3585:14 *4153:83 0 +15 *3585:25 *37926:A_N 0 +16 *3585:25 *3617:23 0 +17 *3585:25 *3618:47 0 +18 *3585:25 *3628:17 0 +19 *3585:25 *3864:14 0 +20 *3585:25 *4203:16 0 +21 *3585:25 *4604:33 0 +22 *3585:32 *5827:DIODE 0 +23 *3585:32 *37924:A_N 0 +24 *3585:32 *3628:39 0 +25 *3585:32 *3631:57 0 +26 *3585:32 *3644:72 0 +27 *3585:32 *4203:16 0 +28 *3585:32 *4399:52 0 +29 *3585:44 *37500:A 0 +30 *3585:44 *37890:C 0 +31 *3585:44 *3628:39 0 +32 *3585:44 *3631:61 0 +33 *3585:44 *3631:63 0 +34 *3585:44 *3891:20 0 +35 *3585:44 *4249:53 0 +36 *3585:44 *4420:54 0 +37 *3585:44 *4420:83 0 +38 *3585:45 *3604:56 0 +39 *5832:DIODE *3585:25 0 +40 *37508:B *3585:32 0 +41 *37936:A_N *3585:44 0 +42 *40632:A *3585:14 0 +43 *260:17 *3585:44 0 +44 *1344:8 *3585:44 0 +45 *1350:36 *3585:44 0 +46 *1350:46 *3585:44 0 +47 *1353:11 *3585:44 0 +48 *1361:13 *3585:32 0 +49 *1781:13 *3585:25 0 +50 *1783:10 *3585:14 0 +51 *1789:22 *3585:25 0 +52 *2572:18 *3585:44 0 +53 *2791:14 *3585:44 0 +54 *3127:32 *3585:32 0 +55 *3381:55 *3585:44 0 +56 *3559:70 *3585:25 0 +57 *3563:19 *3585:14 0 +58 *3563:39 *3585:25 0 +59 *3563:39 *3585:32 0 +60 *3563:52 *3585:44 0 +61 *3563:56 *3585:45 0 +*RES +1 *40651:X *3585:14 48.3804 +2 *3585:14 *3585:25 43.8983 +3 *3585:25 *3585:32 46.1868 +4 *3585:32 *3585:44 45.7038 +5 *3585:44 *3585:45 16.9643 +6 *3585:45 *5808:DIODE 9.3 +7 *3585:45 *37912:B 13.9786 +*END + +*D_NET *3586 0.02075 +*CONN +*I *8362:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40651:A I *D sky130_fd_sc_hd__buf_6 +*I *40652:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8362:DIODE 2.56688e-05 +2 *40651:A 0.000134595 +3 *40652:X 0.00213014 +4 *3586:68 0.00149644 +5 *3586:52 0.00336014 +6 *3586:47 0.00351339 +7 *3586:40 0.00250667 +8 *3586:29 0.00323502 +9 *3586:20 0.00434792 +10 *3586:20 *3601:18 0 +11 *3586:20 *3884:54 0 +12 *3586:20 *4361:30 0 +13 *3586:20 *4383:15 0 +14 *3586:29 *37762:A 0 +15 *3586:40 *4620:14 0 +16 *3586:47 *3614:66 0 +17 *3586:68 *4667:14 0 +18 *37762:B *3586:29 0 +19 *37947:A *3586:52 0 +20 *37947:A *3586:68 0 +21 *39451:B *3586:68 0 +22 *39456:A *3586:52 0 +23 *39456:B *3586:52 0 +24 *39457:A *3586:68 0 +25 *39935:A *3586:68 0 +26 *323:16 *3586:52 0 +27 *1168:17 *8362:DIODE 0 +28 *1175:11 *3586:52 0 +29 *1277:52 *3586:40 0 +30 *1383:49 *3586:29 0 +31 *1482:30 *3586:47 0 +32 *1482:30 *3586:52 0 +33 *1498:36 *3586:20 0 +34 *1662:16 *3586:52 0 +35 *1662:23 *3586:52 0 +36 *1675:32 *3586:20 0 +37 *1677:11 *3586:29 0 +38 *1677:19 *3586:29 0 +39 *1798:40 *3586:20 0 +40 *1897:26 *3586:20 0 +41 *2762:10 *3586:68 0 +42 *2762:19 *3586:68 0 +43 *2774:10 *3586:68 0 +44 *2855:16 *3586:29 0 +45 *2884:10 *3586:29 0 +46 *2908:15 *3586:52 0 +47 *2911:44 *3586:52 0 +48 *3169:29 *3586:29 0 +49 *3169:53 *3586:68 0 +50 *3169:54 *3586:68 0 +51 *3554:29 *3586:20 0 +52 *3554:54 *3586:40 0 +53 *3554:54 *3586:47 0 +54 *3564:16 *3586:20 0 +55 *3579:35 *3586:40 0 +56 *3579:35 *3586:47 0 +*RES +1 *40652:X *3586:20 38.8701 +2 *3586:20 *3586:29 46.4107 +3 *3586:29 *3586:40 39.5714 +4 *3586:40 *3586:47 31.3929 +5 *3586:47 *3586:52 46.7946 +6 *3586:52 *3586:68 39.2426 +7 *3586:68 *40651:A 16.8893 +8 *3586:68 *8362:DIODE 14.3357 +*END + +*D_NET *3587 0.02125 +*CONN +*I *8363:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40652:A I *D sky130_fd_sc_hd__buf_4 +*I *40653:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8363:DIODE 0 +2 *40652:A 0.000143745 +3 *40653:X 0.0012505 +4 *3587:56 0.003744 +5 *3587:33 0.00923075 +6 *3587:22 0.00688099 +7 *40652:A *4383:15 0 +8 *40652:A *4400:17 0 +9 *3587:22 *3671:27 0 +10 *3587:22 *3861:10 0 +11 *3587:33 *3615:19 0 +12 *3587:33 *3828:68 0 +13 *3587:33 *3829:20 0 +14 *3587:56 *3615:19 0 +15 *3587:56 *3619:45 0 +16 *3587:56 *3633:98 0 +17 *3587:56 *3635:33 0 +18 *3587:56 *3639:52 0 +19 *3587:56 *3881:21 0 +20 *6316:DIODE *3587:22 0 +21 *37596:A *3587:22 0 +22 *39471:B *3587:56 0 +23 *39894:A *3587:22 0 +24 *40023:A *3587:33 0 +25 *1183:32 *3587:33 0 +26 *1391:28 *3587:56 0 +27 *1403:29 *3587:56 0 +28 *1897:26 *3587:33 0 +29 *1897:26 *3587:56 0 +30 *1902:43 *3587:56 0 +31 *1910:22 *3587:33 0 +32 *1917:13 *3587:33 0 +33 *1925:31 *3587:33 0 +34 *1925:51 *3587:56 0 +35 *1926:33 *3587:33 0 +36 *1927:23 *3587:33 0 +37 *1931:25 *3587:33 0 +38 *1951:43 *3587:33 0 +39 *2044:18 *3587:22 0 +40 *2171:61 *3587:56 0 +41 *2172:33 *3587:33 0 +42 *2191:20 *3587:33 0 +43 *2192:27 *3587:22 0 +44 *2192:27 *3587:33 0 +45 *2212:33 *3587:33 0 +46 *2741:72 *3587:33 0 +47 *3185:9 *3587:22 0 +48 *3185:9 *3587:33 0 +49 *3554:29 *3587:56 0 +50 *3555:52 *3587:33 0 +51 *3574:20 *3587:33 0 +52 *3574:20 *3587:56 0 +*RES +1 *40653:X *3587:22 49.8536 +2 *3587:22 *3587:33 45.6326 +3 *3587:33 *3587:56 48.1962 +4 *3587:56 *40652:A 12.3 +5 *3587:56 *8363:DIODE 9.3 +*END + +*D_NET *3588 0.0193944 +*CONN +*I *8364:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40653:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40654:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8364:DIODE 0 +2 *40653:A 9.90435e-05 +3 *40654:X 0.00189115 +4 *3588:45 0.00182342 +5 *3588:35 0.00560721 +6 *3588:34 0.00388283 +7 *3588:32 0.0020998 +8 *3588:13 0.00399095 +9 *3588:13 *3624:19 0 +10 *3588:13 *4100:11 0 +11 *3588:13 *4345:20 0 +12 *3588:13 *4345:42 0 +13 *3588:13 *4367:26 0 +14 *3588:13 *5147:25 0 +15 *3588:32 *41310:A 0 +16 *3588:32 *3787:22 0 +17 *3588:32 *4317:31 0 +18 *3588:32 *4342:38 0 +19 *3588:32 *5147:42 0 +20 *3588:35 *39872:A 0 +21 *3588:35 *4350:50 0 +22 *3588:35 *5147:49 0 +23 *3588:45 *4346:50 0 +24 *7821:DIODE *3588:45 0 +25 *8121:DIODE *3588:13 0 +26 *8370:DIODE *3588:45 0 +27 *37743:A *3588:13 0 +28 *40852:A *3588:13 0 +29 *1685:26 *3588:35 0 +30 *1685:26 *3588:45 0 +31 *1690:22 *3588:13 0 +32 *1812:13 *40653:A 0 +33 *1818:19 *3588:32 0 +34 *2184:17 *3588:45 0 +35 *2221:15 *3588:35 0 +36 *2222:58 *3588:13 0 +37 *2222:73 *3588:13 0 +38 *2226:36 *3588:13 0 +39 *2227:23 *3588:35 0 +40 *2235:25 *3588:35 0 +41 *2235:38 *3588:32 0 +42 *2343:54 *3588:32 0 +43 *2843:19 *3588:13 0 +44 *2852:21 *3588:45 0 +45 *2852:33 *3588:35 0 +46 *2875:14 *3588:45 0 +47 *2962:87 *3588:13 0 +48 *3578:52 *3588:35 0 +*RES +1 *40654:X *3588:13 49.1393 +2 *3588:13 *3588:32 45.8836 +3 *3588:32 *3588:34 4.5 +4 *3588:34 *3588:35 81.0357 +5 *3588:35 *3588:45 45.5179 +6 *3588:45 *40653:A 20.55 +7 *3588:45 *8364:DIODE 9.3 +*END + +*D_NET *3589 0.020197 +*CONN +*I *5804:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37910:B I *D sky130_fd_sc_hd__and3b_2 +*I *40655:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *5804:DIODE 0 +2 *37910:B 0.000163425 +3 *40655:X 0.00176943 +4 *3589:51 0.000365407 +5 *3589:45 0.00195272 +6 *3589:38 0.00312224 +7 *3589:25 0.00478203 +8 *3589:24 0.0048414 +9 *3589:13 0.0032003 +10 *3589:13 *37938:B 0 +11 *3589:13 *40669:A 0 +12 *3589:13 *3593:5 0 +13 *3589:13 *3593:25 0 +14 *3589:13 *3593:31 0 +15 *3589:13 *3644:37 0 +16 *3589:13 *3644:52 0 +17 *3589:13 *4398:70 0 +18 *3589:24 *38184:A 0 +19 *3589:24 *41209:A 0 +20 *3589:24 *3617:23 0 +21 *3589:24 *3631:52 0 +22 *3589:24 *3864:14 0 +23 *3589:24 *4417:34 0 +24 *3589:25 *37526:A 0 +25 *3589:25 *37932:A_N 0 +26 *3589:25 *37936:B 0 +27 *3589:25 *3593:31 0 +28 *3589:25 *3593:43 0 +29 *3589:25 *3593:54 0 +30 *3589:25 *3617:23 0 +31 *3589:25 *3617:25 0 +32 *3589:25 *3631:52 0 +33 *3589:25 *4420:54 0 +34 *3589:38 *37890:C 0 +35 *3589:38 *3604:44 0 +36 *3589:38 *3891:20 0 +37 *3589:45 *3604:61 0 +38 *3589:45 *3609:38 0 +39 *3589:45 *4371:46 0 +40 *3589:51 *4515:20 0 +41 *37500:B *3589:38 0 +42 *37797:A *3589:13 0 +43 *37932:B *3589:25 0 +44 *37936:A_N *3589:25 0 +45 *39933:A *3589:25 0 +46 *1149:8 *3589:38 0 +47 *1162:11 *3589:25 0 +48 *1347:23 *3589:45 0 +49 *1347:30 *3589:45 0 +50 *1349:14 *3589:51 0 +51 *1350:17 *3589:45 0 +52 *1350:36 *3589:38 0 +53 *1350:36 *3589:45 0 +54 *1354:49 *3589:13 0 +55 *1355:14 *3589:45 0 +56 *1355:17 *3589:25 0 +57 *1355:17 *3589:38 0 +58 *1357:14 *3589:13 0 +59 *1360:14 *3589:51 0 +60 *1367:7 *3589:25 0 +61 *1472:9 *37910:B 0 +62 *1472:9 *3589:51 0 +63 *2797:14 *3589:45 0 +64 *2908:10 *3589:25 0 +65 *3378:51 *3589:24 0 +66 *3378:58 *3589:25 0 +67 *3378:60 *3589:25 0 +68 *3390:62 *3589:45 0 +69 *3563:56 *3589:38 0 +*RES +1 *40655:X *3589:13 46.6571 +2 *3589:13 *3589:24 22.9984 +3 *3589:24 *3589:25 71.1786 +4 *3589:25 *3589:38 38.0893 +5 *3589:38 *3589:45 45.6964 +6 *3589:45 *3589:51 13.6071 +7 *3589:51 *37910:B 12.7107 +8 *3589:51 *5804:DIODE 9.3 +*END + +*D_NET *3590 0.0189719 +*CONN +*I *8365:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40655:A I *D sky130_fd_sc_hd__buf_6 +*I *40656:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8365:DIODE 0 +2 *40655:A 0.000143745 +3 *40656:X 0.000177819 +4 *3590:22 0.000143745 +5 *3590:20 0.00916437 +6 *3590:10 0.00934219 +7 *40655:A *3593:5 0 +8 *40655:A *4398:70 0 +9 *3590:10 *4387:79 0 +10 *3590:20 *3618:47 0 +11 *3590:20 *3622:37 0 +12 *3590:20 *3645:115 0 +13 *3590:20 *3858:40 0 +14 *3590:20 *3864:14 0 +15 *3590:20 *3865:38 0 +16 *3590:20 *4153:22 0 +17 *3590:20 *4388:26 0 +18 *3590:20 *4431:40 0 +19 *3590:20 *4436:23 0 +20 *8347:DIODE *3590:20 0 +21 *1168:16 *3590:20 0 +22 *1185:16 *3590:20 0 +23 *1185:28 *3590:20 0 +24 *1398:20 *3590:10 0 +25 *1675:32 *3590:20 0 +26 *1887:63 *3590:10 0 +27 *3568:34 *3590:20 0 +*RES +1 *40656:X *3590:10 21.1654 +2 *3590:10 *3590:20 45.8006 +3 *3590:20 *3590:22 4.5 +4 *3590:22 *40655:A 12.3 +5 *3590:22 *8365:DIODE 9.3 +*END + +*D_NET *3591 0.0207062 +*CONN +*I *8366:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40656:A I *D sky130_fd_sc_hd__buf_4 +*I *40657:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8366:DIODE 0 +2 *40656:A 0.000190039 +3 *40657:X 0.00103942 +4 *3591:59 0.000350469 +5 *3591:56 0.00138051 +6 *3591:32 0.00234624 +7 *3591:17 0.00770806 +8 *3591:15 0.00661698 +9 *3591:12 0.00107451 +10 *40656:A *4133:27 0 +11 *3591:17 *3646:38 0 +12 *3591:17 *3839:16 0 +13 *3591:17 *3839:49 0 +14 *3591:17 *4387:27 0 +15 *3591:17 *4458:55 0 +16 *3591:32 *37980:A_N 0 +17 *3591:32 *3596:73 0 +18 *3591:32 *3886:19 0 +19 *3591:32 *4133:5 0 +20 *3591:32 *4397:20 0 +21 *3591:56 *3595:30 0 +22 *3591:56 *3629:45 0 +23 *3591:56 *3645:52 0 +24 *3591:56 *3841:9 0 +25 *3591:56 *4387:75 0 +26 *3591:59 *4133:27 0 +27 *5978:DIODE *3591:32 0 +28 *8973:DIODE *3591:17 0 +29 *37994:B *3591:56 0 +30 *38004:B *3591:56 0 +31 *40621:A *3591:32 0 +32 *41376:A *3591:17 0 +33 *1385:13 *3591:17 0 +34 *1387:37 *3591:17 0 +35 *1395:13 *3591:56 0 +36 *1887:15 *3591:17 0 +37 *1887:63 *3591:56 0 +38 *1909:15 *3591:17 0 +39 *2180:29 *3591:12 0 +40 *2205:10 *3591:12 0 +41 *2733:56 *3591:17 0 +42 *2733:72 *3591:17 0 +43 *2747:42 *3591:17 0 +44 *2866:27 *3591:12 0 +45 *3353:13 *3591:17 0 +46 *3450:37 *3591:17 0 +47 *3551:13 *3591:56 0 +48 *3552:55 *3591:32 0 +49 *3565:25 *3591:17 0 +50 *3565:41 *3591:17 0 +51 *3565:92 *3591:32 0 +*RES +1 *40657:X *3591:12 45.7464 +2 *3591:12 *3591:15 5.23214 +3 *3591:15 *3591:17 137.304 +4 *3591:17 *3591:32 46.5357 +5 *3591:32 *3591:56 45.9646 +6 *3591:56 *3591:59 7.91071 +7 *3591:59 *40656:A 22.425 +8 *3591:59 *8366:DIODE 9.3 +*END + +*D_NET *3592 0.0207649 +*CONN +*I *8367:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40657:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40658:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8367:DIODE 0.000265828 +2 *40657:A 6.46019e-05 +3 *40658:X 0.00836768 +4 *3592:17 0.00201476 +5 *3592:9 0.010052 +6 *3592:9 *3599:34 0 +7 *3592:9 *3620:17 0 +8 *3592:9 *3689:15 0 +9 *3592:9 *4317:31 0 +10 *3592:9 *4325:34 0 +11 *3592:9 *4333:50 0 +12 *3592:9 *4333:59 0 +13 *3592:9 *4370:20 0 +14 *3592:9 *4370:32 0 +15 *3592:9 *4379:22 0 +16 *3592:17 *4311:22 0 +17 *37596:A *3592:17 0 +18 *37816:A *3592:9 0 +19 *41326:A *40657:A 0 +20 *1305:19 *3592:9 0 +21 *1813:23 *3592:9 0 +22 *1814:19 *3592:9 0 +23 *2196:23 *3592:17 0 +24 *2198:22 *3592:17 0 +25 *2208:22 *3592:9 0 +26 *2321:36 *3592:9 0 +27 *2327:45 *3592:9 0 +28 *2732:67 *3592:9 0 +29 *2736:15 *8367:DIODE 0 +30 *2852:21 *8367:DIODE 0 +31 *3186:46 *3592:9 0 +32 *3363:14 *8367:DIODE 0 +33 *3363:14 *40657:A 0 +34 *3558:50 *3592:9 0 +*RES +1 *40658:X *3592:9 48.2648 +2 *3592:9 *3592:17 18.9868 +3 *3592:17 *40657:A 15.2196 +4 *3592:17 *8367:DIODE 19.8446 +*END + +*D_NET *3593 0.0195666 +*CONN +*I *5800:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37908:B I *D sky130_fd_sc_hd__and3b_1 +*I *40659:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *5800:DIODE 0 +2 *37908:B 0.000163425 +3 *40659:X 0 +4 *3593:54 0.00164843 +5 *3593:43 0.00384161 +6 *3593:40 0.00242995 +7 *3593:31 0.00234931 +8 *3593:25 0.00341614 +9 *3593:5 0.00342898 +10 *3593:4 0.0022888 +11 *3593:5 *41197:A 0 +12 *3593:5 *4190:16 0 +13 *3593:5 *4398:63 0 +14 *3593:5 *4398:70 0 +15 *3593:5 *4431:34 0 +16 *3593:25 *8379:DIODE 0 +17 *3593:25 *3644:37 0 +18 *3593:25 *3644:52 0 +19 *3593:31 *37924:C 0 +20 *3593:31 *37932:A_N 0 +21 *3593:31 *38162:A 0 +22 *3593:31 *38162:B 0 +23 *3593:31 *3604:16 0 +24 *3593:31 *3617:23 0 +25 *3593:31 *3644:52 0 +26 *3593:31 *4433:56 0 +27 *3593:31 *4593:29 0 +28 *3593:40 *3604:16 0 +29 *3593:40 *4419:46 0 +30 *3593:43 *37526:A 0 +31 *3593:43 *3617:25 0 +32 *3593:54 *3617:25 0 +33 *3593:54 *4419:62 0 +34 *8347:DIODE *3593:5 0 +35 *37936:C *3593:54 0 +36 *39933:A *3593:43 0 +37 *40655:A *3593:5 0 +38 *291:35 *3593:25 0 +39 *1162:11 *3593:43 0 +40 *1353:11 *37908:B 0 +41 *1355:17 *3593:54 0 +42 *2908:10 *3593:43 0 +43 *2952:10 *3593:25 0 +44 *3378:51 *3593:25 0 +45 *3563:30 *3593:31 0 +46 *3576:60 *3593:5 0 +47 *3576:66 *3593:5 0 +48 *3589:13 *3593:5 0 +49 *3589:13 *3593:25 0 +50 *3589:13 *3593:31 0 +51 *3589:25 *3593:31 0 +52 *3589:25 *3593:43 0 +53 *3589:25 *3593:54 0 +*RES +1 *40659:X *3593:4 9.3 +2 *3593:4 *3593:5 47.7679 +3 *3593:5 *3593:25 45.4627 +4 *3593:25 *3593:31 47.5 +5 *3593:31 *3593:40 10.8036 +6 *3593:40 *3593:43 49.4286 +7 *3593:43 *3593:54 40.2679 +8 *3593:54 *37908:B 12.7107 +9 *3593:54 *5800:DIODE 9.3 +*END + +*D_NET *3594 0.0102365 +*CONN +*I *40533:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8282:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38539:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40533:A 0.000161285 +2 *8282:DIODE 0 +3 *38539:X 0.00109286 +4 *3594:35 0.00197778 +5 *3594:34 0.00209164 +6 *3594:26 0.00204762 +7 *3594:14 0.00286534 +8 *40533:A *3906:11 0 +9 *40533:A *4189:27 0 +10 *3594:14 *40444:A 0 +11 *3594:14 *3616:7 0 +12 *3594:14 *4470:10 0 +13 *3594:14 *4473:19 0 +14 *3594:26 *40437:A 0 +15 *3594:26 *40444:A 0 +16 *3594:26 *4131:7 0 +17 *3594:35 *3906:11 0 +18 *6673:DIODE *3594:26 0 +19 *6814:DIODE *3594:14 0 +20 *1806:35 *3594:26 0 +21 *2088:17 *3594:26 0 +22 *2104:26 *3594:26 0 +23 *2150:27 *3594:26 0 +24 *2150:32 *40533:A 0 +25 *2150:32 *3594:35 0 +26 *2150:40 *40533:A 0 +27 *3156:55 *3594:34 0 +28 *3214:30 *3594:35 0 +*RES +1 *38539:X *3594:14 37.0768 +2 *3594:14 *3594:26 47.5625 +3 *3594:26 *3594:34 19.375 +4 *3594:34 *3594:35 37.9107 +5 *3594:35 *8282:DIODE 9.3 +6 *3594:35 *40533:A 12.7286 +*END + +*D_NET *3595 0.0197051 +*CONN +*I *8368:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40659:A I *D sky130_fd_sc_hd__buf_6 +*I *40660:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8368:DIODE 1.05001e-05 +2 *40659:A 0.000202798 +3 *40660:X 0.00505843 +4 *3595:49 0.00479413 +5 *3595:30 0.00963926 +6 *40659:A *4146:32 0 +7 *3595:30 *3854:17 0 +8 *3595:30 *3868:25 0 +9 *3595:30 *3868:44 0 +10 *3595:30 *4142:15 0 +11 *3595:30 *4389:23 0 +12 *3595:30 *4389:55 0 +13 *3595:30 *4394:42 0 +14 *3595:30 *4397:20 0 +15 *3595:49 *3618:47 0 +16 *3595:49 *3897:92 0 +17 *3595:49 *4389:55 0 +18 *3595:49 *4397:72 0 +19 *1796:20 *3595:30 0 +20 *3226:21 *3595:49 0 +21 *3367:40 *3595:49 0 +22 *3551:13 *3595:30 0 +23 *3551:20 *3595:30 0 +24 *3551:20 *3595:49 0 +25 *3565:92 *3595:30 0 +26 *3591:56 *3595:30 0 +*RES +1 *40660:X *3595:30 45.2705 +2 *3595:30 *3595:49 25.4551 +3 *3595:49 *40659:A 18.949 +4 *3595:49 *8368:DIODE 17.4868 +*END + +*D_NET *3596 0.0224433 +*CONN +*I *8369:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40660:A I *D sky130_fd_sc_hd__buf_4 +*I *40661:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8369:DIODE 0 +2 *40660:A 0.000223129 +3 *40661:X 0.00133203 +4 *3596:73 0.000560673 +5 *3596:72 0.00217586 +6 *3596:56 0.00407109 +7 *3596:34 0.00394534 +8 *3596:21 0.00525785 +9 *3596:20 0.00487731 +10 *40660:A *3645:52 0 +11 *3596:20 *4325:17 0 +12 *3596:21 *4212:16 0 +13 *3596:34 *37972:A_N 0 +14 *3596:34 *3660:43 0 +15 *3596:34 *4156:37 0 +16 *3596:34 *4464:12 0 +17 *3596:56 *40706:A 0 +18 *3596:56 *3857:46 0 +19 *3596:56 *3895:18 0 +20 *3596:72 *3868:25 0 +21 *3596:72 *4142:13 0 +22 *3596:72 *4380:21 0 +23 *3596:73 *37980:A_N 0 +24 *3596:73 *3645:52 0 +25 *3596:73 *3841:9 0 +26 *37980:B *3596:73 0 +27 *41162:A *3596:34 0 +28 *1196:14 *3596:56 0 +29 *1375:22 *3596:72 0 +30 *1387:58 *3596:72 0 +31 *1494:14 *3596:56 0 +32 *1517:14 *3596:20 0 +33 *1530:15 *3596:21 0 +34 *1530:15 *3596:34 0 +35 *1675:70 *3596:72 0 +36 *1805:38 *3596:56 0 +37 *1890:19 *3596:72 0 +38 *1898:36 *3596:56 0 +39 *1900:28 *3596:34 0 +40 *1907:20 *3596:34 0 +41 *2061:49 *3596:56 0 +42 *2112:10 *3596:21 0 +43 *2166:17 *3596:21 0 +44 *2166:17 *3596:34 0 +45 *2175:19 *3596:20 0 +46 *2177:14 *3596:20 0 +47 *2177:14 *3596:34 0 +48 *2733:20 *3596:72 0 +49 *2741:48 *3596:34 0 +50 *3152:43 *3596:34 0 +51 *3325:37 *3596:34 0 +52 *3325:37 *3596:56 0 +53 *3565:92 *40660:A 0 +54 *3565:92 *3596:73 0 +55 *3591:32 *3596:73 0 +*RES +1 *40661:X *3596:20 37.8202 +2 *3596:20 *3596:21 74.0536 +3 *3596:21 *3596:34 49.5446 +4 *3596:34 *3596:56 44.7229 +5 *3596:56 *3596:72 44.9021 +6 *3596:72 *3596:73 7.10714 +7 *3596:73 *40660:A 23.1393 +8 *3596:73 *8369:DIODE 9.3 +*END + +*D_NET *3597 0.0237976 +*CONN +*I *5797:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37906:B I *D sky130_fd_sc_hd__and3b_1 +*I *40662:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *5797:DIODE 2.35958e-05 +2 *37906:B 0.00037693 +3 *40662:X 0.000926479 +4 *3597:60 0.00122009 +5 *3597:57 0.00428763 +6 *3597:55 0.00415663 +7 *3597:44 0.00199749 +8 *3597:27 0.00353441 +9 *3597:21 0.00428669 +10 *3597:10 0.00298768 +11 *37906:B *3628:17 0 +12 *37906:B *4153:83 0 +13 *3597:10 *3623:20 0 +14 *3597:10 *3892:38 0 +15 *3597:10 *4400:29 0 +16 *3597:21 *4620:26 0 +17 *3597:21 *5063:10 0 +18 *3597:44 *4960:10 0 +19 *3597:55 *4668:14 0 +20 *5872:DIODE *3597:57 0 +21 *37761:A *3597:21 0 +22 *39452:B *3597:60 0 +23 *39470:A *3597:21 0 +24 *39470:A *3597:27 0 +25 *39470:B *3597:21 0 +26 *39470:B *3597:27 0 +27 *40025:A *3597:10 0 +28 *299:30 *3597:44 0 +29 *310:24 *3597:44 0 +30 *321:13 *3597:60 0 +31 *1276:14 *3597:60 0 +32 *1357:18 *3597:57 0 +33 *1373:13 *3597:57 0 +34 *1802:7 *3597:27 0 +35 *1922:47 *3597:10 0 +36 *2454:11 *3597:27 0 +37 *2454:11 *3597:55 0 +38 *2455:8 *3597:60 0 +39 *2455:9 *3597:57 0 +40 *2487:23 *3597:21 0 +41 *2499:9 *3597:55 0 +42 *2499:9 *3597:57 0 +43 *2499:37 *3597:27 0 +44 *2506:11 *3597:44 0 +45 *2506:31 *3597:21 0 +46 *2506:31 *3597:27 0 +47 *2770:8 *3597:55 0 +48 *2770:28 *3597:21 0 +49 *2770:38 *3597:21 0 +50 *2774:11 *3597:27 0 +51 *2774:11 *3597:57 0 +52 *2778:18 *3597:44 0 +53 *2797:75 *5797:DIODE 0 +54 *2869:31 *3597:21 0 +55 *2869:31 *3597:27 0 +56 *2869:33 *3597:21 0 +57 *2892:25 *3597:44 0 +*RES +1 *40662:X *3597:10 39.3 +2 *3597:10 *3597:21 43.1429 +3 *3597:21 *3597:27 46.5714 +4 *3597:27 *3597:44 45.8929 +5 *3597:44 *3597:55 14.8036 +6 *3597:55 *3597:57 72.4107 +7 *3597:57 *3597:60 23.1429 +8 *3597:60 *37906:B 22.2018 +9 *3597:60 *5797:DIODE 14.3357 +*END + +*D_NET *3598 0.0206326 +*CONN +*I *8371:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40662:A I *D sky130_fd_sc_hd__buf_2 +*I *40663:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8371:DIODE 6.50276e-05 +2 *40662:A 0.000208936 +3 *40663:X 0.000653237 +4 *3598:50 0.00210744 +5 *3598:31 0.00409062 +6 *3598:23 0.00485931 +7 *3598:21 0.00263725 +8 *3598:19 0.00269629 +9 *3598:18 0.00331445 +10 *8371:DIODE *3635:59 0 +11 *8371:DIODE *3845:90 0 +12 *40662:A *3635:59 0 +13 *3598:18 *3612:78 0 +14 *3598:18 *4311:14 0 +15 *3598:18 *4342:28 0 +16 *3598:19 *3828:77 0 +17 *3598:23 *3619:31 0 +18 *3598:31 *3619:31 0 +19 *3598:31 *4385:21 0 +20 *3598:50 *3639:52 0 +21 *3598:50 *3866:32 0 +22 *3598:50 *3892:33 0 +23 *3598:50 *3892:38 0 +24 *3598:50 *4361:30 0 +25 *3598:50 *4381:20 0 +26 *3598:50 *4386:38 0 +27 *5656:DIODE *3598:23 0 +28 *37569:A *3598:31 0 +29 *39469:A *3598:31 0 +30 *39469:B *3598:31 0 +31 *39919:A *3598:31 0 +32 *40014:A *3598:23 0 +33 *387:20 *3598:50 0 +34 *1183:41 *3598:31 0 +35 *1192:26 *3598:31 0 +36 *1198:42 *3598:19 0 +37 *1382:29 *3598:31 0 +38 *1500:37 *3598:31 0 +39 *1526:20 *3598:31 0 +40 *1675:32 *3598:50 0 +41 *1906:21 *3598:18 0 +42 *1911:16 *3598:19 0 +43 *1914:47 *3598:50 0 +44 *1923:8 *3598:19 0 +45 *1941:12 *3598:19 0 +46 *1942:24 *3598:19 0 +47 *1947:19 *3598:19 0 +48 *2163:46 *3598:19 0 +49 *2163:46 *3598:23 0 +50 *2180:29 *3598:18 0 +51 *2188:27 *3598:18 0 +52 *2188:27 *3598:19 0 +53 *2190:29 *3598:19 0 +54 *2862:15 *3598:19 0 +55 *3557:42 *3598:50 0 +56 *3564:16 *3598:50 0 +57 *3577:83 *3598:50 0 +58 *3579:15 *3598:50 0 +*RES +1 *40663:X *3598:18 41.3179 +2 *3598:18 *3598:19 55.5714 +3 *3598:19 *3598:21 0.732143 +4 *3598:21 *3598:23 54.3393 +5 *3598:23 *3598:31 47.1071 +6 *3598:31 *3598:50 38.5191 +7 *3598:50 *40662:A 27.3536 +8 *3598:50 *8371:DIODE 15.1571 +*END + +*D_NET *3599 0.0221776 +*CONN +*I *8372:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40663:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40664:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8372:DIODE 0.000193958 +2 *40663:A 0.000104386 +3 *40664:X 0.00119893 +4 *3599:54 0.00072826 +5 *3599:49 0.00165637 +6 *3599:35 0.0078644 +7 *3599:34 0.00793515 +8 *3599:14 0.00249614 +9 *8372:DIODE *3612:78 0 +10 *8372:DIODE *4346:21 0 +11 *3599:14 *41339:A 0 +12 *3599:14 *3603:21 0 +13 *3599:14 *4346:115 0 +14 *3599:34 *3821:15 0 +15 *3599:34 *5140:22 0 +16 *3599:35 *3643:9 0 +17 *3599:35 *3646:9 0 +18 *3599:35 *3823:25 0 +19 *3599:35 *4353:9 0 +20 *3599:49 *3643:9 0 +21 *3599:54 *4458:74 0 +22 *40672:A *3599:14 0 +23 *40672:A *3599:34 0 +24 *40764:A *3599:14 0 +25 *40768:A *3599:14 0 +26 *1201:16 *3599:14 0 +27 *1936:8 *3599:35 0 +28 *2160:14 *3599:49 0 +29 *2162:13 *3599:49 0 +30 *2169:12 *3599:49 0 +31 *2172:10 *3599:49 0 +32 *2172:33 *3599:49 0 +33 *2176:13 *3599:49 0 +34 *2180:29 *40663:A 0 +35 *2180:29 *3599:49 0 +36 *2201:8 *3599:35 0 +37 *2201:26 *3599:49 0 +38 *2204:31 *40663:A 0 +39 *2243:14 *3599:35 0 +40 *2250:44 *3599:14 0 +41 *2257:16 *3599:35 0 +42 *2267:26 *3599:34 0 +43 *2274:15 *3599:34 0 +44 *2321:36 *3599:34 0 +45 *2328:14 *3599:35 0 +46 *2331:29 *3599:14 0 +47 *2733:100 *3599:49 0 +48 *2741:72 *3599:54 0 +49 *3123:67 *3599:35 0 +50 *3565:13 *3599:49 0 +51 *3578:22 *3599:14 0 +52 *3581:19 *3599:34 0 +53 *3592:9 *3599:34 0 +*RES +1 *40664:X *3599:14 48.0143 +2 *3599:14 *3599:34 42.9421 +3 *3599:34 *3599:35 138.536 +4 *3599:35 *3599:49 48.4107 +5 *3599:49 *3599:54 9.89286 +6 *3599:54 *40663:A 15.9786 +7 *3599:54 *8372:DIODE 18.5321 +*END + +*D_NET *3600 0.0199081 +*CONN +*I *5793:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37904:B I *D sky130_fd_sc_hd__and3b_1 +*I *40665:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *5793:DIODE 0 +2 *37904:B 0.000222414 +3 *40665:X 0.00156152 +4 *3600:58 0.000292022 +5 *3600:57 0.00218129 +6 *3600:53 0.00518007 +7 *3600:40 0.00432758 +8 *3600:23 0.00292046 +9 *3600:7 0.00322278 +10 *37904:B *37904:A_N 0 +11 *37904:B *37904:C 0 +12 *3600:7 *3634:31 0 +13 *3600:7 *4892:14 0 +14 *3600:23 *37888:A_N 0 +15 *3600:23 *37888:B 0 +16 *3600:23 *3621:21 0 +17 *3600:23 *3634:31 0 +18 *3600:23 *3880:34 0 +19 *3600:23 *4207:16 0 +20 *3600:23 *4207:32 0 +21 *3600:40 *5768:DIODE 0 +22 *3600:40 *3621:37 0 +23 *3600:53 *3609:34 0 +24 *3600:53 *3621:51 0 +25 *3600:53 *3891:20 0 +26 *3600:53 *4800:13 0 +27 *3600:57 *5773:DIODE 0 +28 *3600:57 *37894:C 0 +29 *3600:57 *4203:36 0 +30 *3600:57 *4487:37 0 +31 *5349:DIODE *3600:7 0 +32 *7936:DIODE *3600:53 0 +33 *37333:A *3600:53 0 +34 *37525:A *3600:23 0 +35 *37527:A *3600:53 0 +36 *37539:A *3600:7 0 +37 *37540:B *3600:7 0 +38 *40059:A *3600:53 0 +39 *40061:A *3600:53 0 +40 *1149:8 *3600:53 0 +41 *1161:11 *3600:23 0 +42 *1168:49 *3600:7 0 +43 *1346:9 *3600:53 0 +44 *1346:9 *3600:57 0 +45 *1346:24 *3600:53 0 +46 *1346:24 *3600:57 0 +47 *1350:14 *37904:B 0 +48 *1350:46 *3600:40 0 +49 *1351:7 *37904:B 0 +50 *1477:23 *3600:53 0 +51 *1763:10 *37904:B 0 +52 *1763:10 *3600:58 0 +53 *1772:14 *3600:23 0 +54 *1780:19 *3600:7 0 +55 *1780:19 *3600:23 0 +56 *2791:21 *3600:53 0 +57 *2797:26 *3600:53 0 +58 *2797:35 *3600:23 0 +59 *2797:35 *3600:40 0 +60 *2797:57 *3600:7 0 +61 *2797:57 *3600:23 0 +62 *2898:10 *3600:7 0 +63 *3570:37 *3600:53 0 +*RES +1 *40665:X *3600:7 41.8893 +2 *3600:7 *3600:23 48.8702 +3 *3600:23 *3600:40 43.3654 +4 *3600:40 *3600:53 34.6805 +5 *3600:53 *3600:57 48.5714 +6 *3600:57 *3600:58 1.64286 +7 *3600:58 *37904:B 18.55 +8 *3600:58 *5793:DIODE 13.8 +*END + +*D_NET *3601 0.0196844 +*CONN +*I *8373:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40665:A I *D sky130_fd_sc_hd__buf_6 +*I *40666:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8373:DIODE 0 +2 *40665:A 0.000204223 +3 *40666:X 0.00335778 +4 *3601:37 0.00231291 +5 *3601:35 0.00245693 +6 *3601:31 0.00417148 +7 *3601:18 0.00718102 +8 *40665:A *3634:31 0 +9 *3601:18 *3834:36 0 +10 *3601:18 *3855:36 0 +11 *3601:18 *3869:13 0 +12 *3601:18 *3869:20 0 +13 *3601:31 *3848:56 0 +14 *3601:31 *3852:71 0 +15 *3601:31 *3869:20 0 +16 *3601:31 *4381:39 0 +17 *3601:35 *37758:A 0 +18 *3601:35 *3848:56 0 +19 *3601:35 *3852:75 0 +20 *3601:35 *4381:39 0 +21 *3601:37 *39649:A 0 +22 *3601:37 *3848:56 0 +23 *3601:37 *3848:63 0 +24 *3601:37 *3852:75 0 +25 *3601:37 *3852:77 0 +26 *3601:37 *3880:22 0 +27 *3601:37 *3880:23 0 +28 *8353:DIODE *3601:37 0 +29 *39923:A *3601:31 0 +30 *291:33 *40665:A 0 +31 *365:11 *3601:18 0 +32 *1276:11 *3601:37 0 +33 *1278:7 *3601:37 0 +34 *1357:14 *40665:A 0 +35 *1372:24 *3601:31 0 +36 *1383:33 *3601:31 0 +37 *1675:32 *3601:18 0 +38 *1794:18 *3601:31 0 +39 *2797:57 *40665:A 0 +40 *3383:20 *3601:18 0 +41 *3564:20 *3601:18 0 +42 *3564:20 *3601:31 0 +43 *3586:20 *3601:18 0 +*RES +1 *40666:X *3601:18 42.0586 +2 *3601:18 *3601:31 49.5635 +3 *3601:31 *3601:35 7.33036 +4 *3601:35 *3601:37 44.0714 +5 *3601:37 *40665:A 22.8179 +6 *3601:37 *8373:DIODE 9.3 +*END + +*D_NET *3602 0.0205138 +*CONN +*I *8374:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40666:A I *D sky130_fd_sc_hd__buf_4 +*I *40667:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8374:DIODE 0 +2 *40666:A 0.000162141 +3 *40667:X 0.000745082 +4 *3602:61 0.000911423 +5 *3602:57 0.00218808 +6 *3602:45 0.00656144 +7 *3602:44 0.00512263 +8 *3602:42 0.00203895 +9 *3602:23 0.00278403 +10 *40666:A *3635:59 0 +11 *40666:A *3845:90 0 +12 *3602:23 *3608:75 0 +13 *3602:23 *3832:18 0 +14 *3602:23 *4317:13 0 +15 *3602:42 *3607:20 0 +16 *3602:42 *3832:18 0 +17 *3602:42 *3835:26 0 +18 *3602:42 *3835:38 0 +19 *3602:42 *3840:17 0 +20 *3602:42 *3847:15 0 +21 *3602:45 *3844:33 0 +22 *3602:45 *3844:35 0 +23 *3602:57 *3832:68 0 +24 *3602:57 *3832:83 0 +25 *3602:61 *3622:28 0 +26 *3602:61 *3635:59 0 +27 *3602:61 *4644:10 0 +28 *7906:DIODE *3602:45 0 +29 *8555:DIODE *3602:42 0 +30 *38222:B *3602:42 0 +31 *40872:A *3602:42 0 +32 *40881:A *3602:42 0 +33 *40885:A *3602:42 0 +34 *40909:A *3602:23 0 +35 *40911:A *3602:42 0 +36 *282:18 *3602:61 0 +37 *1189:41 *3602:61 0 +38 *1300:60 *3602:57 0 +39 *1513:24 *3602:57 0 +40 *1520:37 *3602:57 0 +41 *1909:47 *3602:42 0 +42 *1916:16 *3602:23 0 +43 *1916:42 *3602:23 0 +44 *1927:23 *3602:23 0 +45 *1945:34 *3602:23 0 +46 *1954:24 *3602:23 0 +47 *2159:40 *3602:23 0 +48 *2159:40 *3602:42 0 +49 *2174:92 *3602:42 0 +50 *2747:63 *3602:23 0 +51 *2750:29 *3602:57 0 +52 *2864:44 *3602:42 0 +53 *2881:13 *3602:42 0 +54 *3548:23 *3602:45 0 +55 *3561:38 *3602:45 0 +56 *3561:39 *3602:45 0 +57 *3561:48 *3602:57 0 +58 *3577:27 *3602:23 0 +59 *3577:34 *3602:42 0 +60 *3577:43 *3602:42 0 +61 *3577:83 *3602:57 0 +*RES +1 *40667:X *3602:23 43.2286 +2 *3602:23 *3602:42 47.7857 +3 *3602:42 *3602:44 4.5 +4 *3602:44 *3602:45 106.911 +5 *3602:45 *3602:57 44.125 +6 *3602:57 *3602:61 21.4643 +7 *3602:61 *40666:A 12.7464 +8 *3602:61 *8374:DIODE 9.3 +*END + +*D_NET *3603 0.0223218 +*CONN +*I *40667:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8375:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40668:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40667:A 0.000233027 +2 *8375:DIODE 0.000230279 +3 *40668:X 0.00141914 +4 *3603:37 0.00771888 +5 *3603:35 0.00927844 +6 *3603:21 0.003442 +7 *8375:DIODE *4317:13 0 +8 *40667:A *3608:75 0 +9 *3603:21 *3823:25 0 +10 *3603:21 *4353:9 0 +11 *3603:35 *3821:15 0 +12 *3603:35 *4356:14 0 +13 *3603:35 *4378:50 0 +14 *3603:37 *4317:13 0 +15 *3603:37 *4363:28 0 +16 *3603:37 *4368:42 0 +17 *5664:DIODE *40667:A 0 +18 *37606:A *3603:35 0 +19 *37606:A *3603:37 0 +20 *1201:16 *3603:21 0 +21 *1296:15 *3603:35 0 +22 *1303:14 *40667:A 0 +23 *1906:21 *40667:A 0 +24 *2173:16 *3603:37 0 +25 *2250:25 *3603:21 0 +26 *2287:20 *3603:21 0 +27 *2852:19 *8375:DIODE 0 +28 *2876:20 *3603:37 0 +29 *3120:50 *3603:35 0 +30 *3553:35 *3603:37 0 +31 *3577:27 *40667:A 0 +32 *3578:22 *3603:21 0 +33 *3580:28 *8375:DIODE 0 +34 *3580:28 *3603:37 0 +35 *3599:14 *3603:21 0 +*RES +1 *40668:X *3603:21 49.5143 +2 *3603:21 *3603:35 24.5809 +3 *3603:35 *3603:37 26.3153 +4 *3603:37 *8375:DIODE 20.5899 +5 *3603:37 *40667:A 22.3796 +*END + +*D_NET *3604 0.0204761 +*CONN +*I *5789:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37902:B I *D sky130_fd_sc_hd__and3b_1 +*I *40669:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *5789:DIODE 0 +2 *37902:B 0.000163425 +3 *40669:X 0.00241771 +4 *3604:65 0.00037868 +5 *3604:61 0.00209079 +6 *3604:56 0.00391594 +7 *3604:44 0.00281637 +8 *3604:34 0.00252917 +9 *3604:28 0.00274978 +10 *3604:16 0.00341429 +11 *37902:B *37902:A_N 0 +12 *3604:16 *38162:A 0 +13 *3604:16 *38162:B 0 +14 *3604:16 *3617:23 0 +15 *3604:16 *3628:26 0 +16 *3604:16 *3631:52 0 +17 *3604:16 *3864:14 0 +18 *3604:16 *4184:70 0 +19 *3604:16 *4424:63 0 +20 *3604:16 *4433:56 0 +21 *3604:28 *3644:68 0 +22 *3604:28 *4399:48 0 +23 *3604:34 *3609:17 0 +24 *3604:44 *6235:DIODE 0 +25 *3604:44 *4371:22 0 +26 *3604:56 *5809:DIODE 0 +27 *3604:56 *37912:A_N 0 +28 *3604:56 *4420:84 0 +29 *3604:61 *3609:38 0 +30 *3604:65 *37902:A_N 0 +31 *5832:DIODE *3604:16 0 +32 *37912:B *3604:56 0 +33 *304:23 *3604:16 0 +34 *1347:23 *3604:61 0 +35 *1350:14 *3604:65 0 +36 *1350:17 *3604:61 0 +37 *1355:14 *3604:56 0 +38 *1355:17 *3604:44 0 +39 *1355:17 *3604:56 0 +40 *1355:25 *3604:34 0 +41 *1360:14 *3604:56 0 +42 *1369:6 *3604:34 0 +43 *1777:10 *3604:16 0 +44 *3378:51 *3604:16 0 +45 *3563:30 *3604:16 0 +46 *3563:39 *3604:28 0 +47 *3563:56 *3604:44 0 +48 *3563:56 *3604:56 0 +49 *3585:45 *3604:56 0 +50 *3589:38 *3604:44 0 +51 *3589:45 *3604:61 0 +52 *3593:31 *3604:16 0 +53 *3593:40 *3604:16 0 +*RES +1 *40669:X *3604:16 46.0886 +2 *3604:16 *3604:28 34.5179 +3 *3604:28 *3604:34 45.9464 +4 *3604:34 *3604:44 25.6071 +5 *3604:44 *3604:56 48.1071 +6 *3604:56 *3604:61 48.1429 +7 *3604:61 *3604:65 9.41071 +8 *3604:65 *37902:B 12.7107 +9 *3604:65 *5789:DIODE 9.3 +*END + +*D_NET *3605 0.0164298 +*CONN +*I *5399:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37586:B I *D sky130_fd_sc_hd__and2_1 +*I *38540:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5399:DIODE 0 +2 *37586:B 0.000282357 +3 *38540:X 0.000708818 +4 *3605:36 0.00213927 +5 *3605:33 0.00483801 +6 *3605:17 0.0053668 +7 *3605:16 0.00309452 +8 *37586:B *3639:19 0 +9 *37586:B *3884:23 0 +10 *3605:16 *4459:45 0 +11 *3605:17 *40194:A 0 +12 *3605:17 *40441:A 0 +13 *3605:17 *3660:33 0 +14 *3605:17 *4462:49 0 +15 *3605:17 *4468:33 0 +16 *3605:17 *5070:39 0 +17 *3605:33 *39093:A 0 +18 *3605:33 *4948:11 0 +19 *3605:33 *4993:17 0 +20 *3605:33 *5070:39 0 +21 *3605:33 *5198:39 0 +22 *3605:36 *3616:34 0 +23 *3605:36 *3616:41 0 +24 *3605:36 *4448:37 0 +25 *3605:36 *5198:33 0 +26 *6676:DIODE *3605:16 0 +27 *6812:DIODE *3605:17 0 +28 *6991:DIODE *3605:17 0 +29 *6996:DIODE *3605:16 0 +30 *37369:A *3605:16 0 +31 *38533:A *3605:17 0 +32 *38856:A *3605:17 0 +33 *469:5 *3605:16 0 +34 *597:5 *3605:16 0 +35 *1811:30 *3605:33 0 +36 *2045:45 *3605:36 0 +37 *2055:10 *3605:16 0 +38 *2098:29 *3605:16 0 +39 *2171:31 *37586:B 0 +40 *3331:35 *3605:16 0 +41 *3331:60 *3605:33 0 +42 *3339:16 *3605:17 0 +43 *3339:32 *3605:33 0 +44 *3356:9 *3605:16 0 +45 *3454:5 *3605:33 0 +46 *3516:13 *3605:33 0 +47 *3538:13 *3605:17 0 +48 *3555:75 *37586:B 0 +49 *3572:35 *3605:33 0 +50 *3572:38 *3605:36 0 +*RES +1 *38540:X *3605:16 33.4291 +2 *3605:16 *3605:17 49.8214 +3 *3605:17 *3605:33 45.5182 +4 *3605:33 *3605:36 46.6696 +5 *3605:36 *37586:B 15.1929 +6 *3605:36 *5399:DIODE 9.3 +*END + +*D_NET *3606 0.020055 +*CONN +*I *8376:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40669:A I *D sky130_fd_sc_hd__buf_6 +*I *40670:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8376:DIODE 8.4707e-05 +2 *40669:A 0.000323074 +3 *40670:X 2.0535e-05 +4 *3606:84 0.00043059 +5 *3606:83 0.00276499 +6 *3606:59 0.00434416 +7 *3606:46 0.00314255 +8 *3606:32 0.00306863 +9 *3606:10 0.00369164 +10 *3606:5 0.00218413 +11 *8376:DIODE *3609:11 0 +12 *40669:A *3644:52 0 +13 *3606:10 *3610:14 0 +14 *3606:10 *3839:81 0 +15 *3606:10 *4383:24 0 +16 *3606:32 *38238:B 0 +17 *3606:32 *40903:A 0 +18 *3606:32 *3610:25 0 +19 *3606:32 *3629:131 0 +20 *3606:32 *3841:47 0 +21 *3606:32 *3897:73 0 +22 *3606:32 *4133:79 0 +23 *3606:32 *4383:24 0 +24 *3606:32 *4383:41 0 +25 *3606:46 *40690:A 0 +26 *3606:46 *3610:35 0 +27 *3606:46 *3628:11 0 +28 *3606:46 *3629:133 0 +29 *3606:46 *3841:64 0 +30 *3606:46 *3841:68 0 +31 *3606:46 *4383:63 0 +32 *3606:59 *3610:35 0 +33 *3606:59 *3610:52 0 +34 *3606:59 *3628:11 0 +35 *3606:59 *3628:15 0 +36 *3606:59 *4153:66 0 +37 *3606:59 *4153:76 0 +38 *3606:59 *4153:83 0 +39 *3606:83 *3610:59 0 +40 *3606:83 *3628:15 0 +41 *3606:83 *3628:17 0 +42 *3606:83 *3631:19 0 +43 *3606:83 *3891:20 0 +44 *5337:DIODE *3606:59 0 +45 *5368:DIODE *3606:32 0 +46 *38002:A_N *3606:10 0 +47 *38238:A *3606:32 0 +48 *39913:A *3606:32 0 +49 *1168:42 *3606:83 0 +50 *1185:28 *3606:46 0 +51 *1363:22 *3606:46 0 +52 *1383:15 *3606:46 0 +53 *2952:10 *40669:A 0 +54 *2952:10 *3606:84 0 +55 *3204:22 *40669:A 0 +56 *3333:61 *3606:10 0 +57 *3559:43 *3606:32 0 +58 *3559:43 *3606:46 0 +59 *3559:70 *3606:83 0 +60 *3585:14 *3606:83 0 +61 *3589:13 *40669:A 0 +*RES +1 *40670:X *3606:5 9.72857 +2 *3606:5 *3606:10 49.7143 +3 *3606:10 *3606:32 45.7143 +4 *3606:32 *3606:46 41.4643 +5 *3606:46 *3606:59 42.5714 +6 *3606:59 *3606:83 49.9098 +7 *3606:83 *3606:84 0.580357 +8 *3606:84 *40669:A 20.8714 +9 *3606:84 *8376:DIODE 15.5679 +*END + +*D_NET *3607 0.021535 +*CONN +*I *40670:A I *D sky130_fd_sc_hd__buf_4 +*I *8377:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40671:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40670:A 0.000100626 +2 *8377:DIODE 0.000240609 +3 *40671:X 0.000638365 +4 *3607:24 0.00112204 +5 *3607:23 0.00978789 +6 *3607:20 0.00964545 +7 *3607:20 *40671:A 0 +8 *3607:20 *3832:18 0 +9 *3607:23 *3614:49 0 +10 *3607:23 *3833:19 0 +11 *3607:23 *3835:26 0 +12 *3607:23 *3853:11 0 +13 *3607:23 *3863:74 0 +14 *3607:23 *3870:28 0 +15 *3607:23 *3870:46 0 +16 *3607:23 *3870:53 0 +17 *3607:24 *3832:84 0 +18 *3607:24 *3835:56 0 +19 *3607:24 *3836:39 0 +20 *37579:A *3607:24 0 +21 *39477:B *3607:20 0 +22 *39914:A *3607:20 0 +23 *333:58 *3607:24 0 +24 *1300:60 *3607:23 0 +25 *1681:14 *3607:23 0 +26 *1681:49 *3607:20 0 +27 *1909:47 *3607:23 0 +28 *1911:16 *3607:20 0 +29 *1920:61 *3607:23 0 +30 *1925:50 *3607:23 0 +31 *1955:32 *3607:20 0 +32 *2751:11 *3607:20 0 +33 *2784:23 *3607:23 0 +34 *2784:23 *3607:24 0 +35 *2857:20 *3607:23 0 +36 *2876:20 *3607:23 0 +37 *3453:32 *8377:DIODE 0 +38 *3580:28 *3607:23 0 +39 *3602:42 *3607:20 0 +*RES +1 *40671:X *3607:20 40.7368 +2 *3607:20 *3607:23 36.0311 +3 *3607:23 *3607:24 17.7321 +4 *3607:24 *8377:DIODE 19.3804 +5 *3607:24 *40670:A 16.2018 +*END + +*D_NET *3608 0.0212878 +*CONN +*I *40671:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8378:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40672:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40671:A 0.00025627 +2 *8378:DIODE 2.56688e-05 +3 *40672:X 0.00058054 +4 *3608:75 0.001406 +5 *3608:64 0.00242402 +6 *3608:55 0.00325271 +7 *3608:51 0.00284025 +8 *3608:42 0.00261729 +9 *3608:24 0.00341479 +10 *3608:18 0.00278735 +11 *3608:12 0.00168289 +12 *3608:12 *3620:17 0 +13 *3608:12 *3624:35 0 +14 *3608:12 *3791:24 0 +15 *3608:18 *3640:11 0 +16 *3608:24 *3624:45 0 +17 *3608:24 *4346:84 0 +18 *3608:24 *4378:50 0 +19 *3608:42 *3624:58 0 +20 *3608:42 *4346:63 0 +21 *3608:51 *37600:B 0 +22 *3608:55 *40934:A 0 +23 *3608:55 *3828:32 0 +24 *3608:64 *3828:68 0 +25 *3608:64 *3856:20 0 +26 *3608:75 *3611:24 0 +27 *8548:DIODE *40671:A 0 +28 *37600:A *3608:51 0 +29 *37808:A *3608:75 0 +30 *37810:B *3608:51 0 +31 *37816:A *3608:24 0 +32 *39477:B *8378:DIODE 0 +33 *39477:B *3608:75 0 +34 *40667:A *3608:75 0 +35 *40683:A *3608:12 0 +36 *40833:A *3608:12 0 +37 *40896:A *3608:55 0 +38 *41315:A *3608:24 0 +39 *41326:A *3608:55 0 +40 *41366:A *3608:51 0 +41 *1199:10 *3608:51 0 +42 *1406:19 *3608:24 0 +43 *1406:35 *3608:24 0 +44 *1809:19 *40671:A 0 +45 *1904:13 *3608:64 0 +46 *1906:21 *3608:75 0 +47 *1915:18 *3608:75 0 +48 *1916:16 *3608:75 0 +49 *1920:43 *8378:DIODE 0 +50 *1955:32 *40671:A 0 +51 *2178:19 *3608:55 0 +52 *2180:29 *3608:75 0 +53 *2183:8 *3608:55 0 +54 *2192:27 *3608:64 0 +55 *2199:57 *3608:55 0 +56 *2204:31 *3608:64 0 +57 *2204:58 *3608:75 0 +58 *2208:22 *3608:51 0 +59 *2208:22 *3608:55 0 +60 *2209:16 *3608:42 0 +61 *2209:16 *3608:51 0 +62 *2213:23 *3608:42 0 +63 *2218:23 *3608:42 0 +64 *2220:18 *3608:42 0 +65 *2256:13 *3608:18 0 +66 *2257:16 *3608:18 0 +67 *2270:12 *3608:12 0 +68 *2274:15 *3608:12 0 +69 *2846:8 *3608:18 0 +70 *2852:19 *3608:75 0 +71 *2879:14 *3608:75 0 +72 *3550:19 *3608:64 0 +73 *3577:27 *3608:75 0 +74 *3602:23 *3608:75 0 +75 *3607:20 *40671:A 0 +*RES +1 *40672:X *3608:12 30.5679 +2 *3608:12 *3608:18 32.2143 +3 *3608:18 *3608:24 44.25 +4 *3608:24 *3608:42 49.9821 +5 *3608:42 *3608:51 23.2679 +6 *3608:51 *3608:55 45.2857 +7 *3608:55 *3608:64 41.7143 +8 *3608:64 *3608:75 32.9643 +9 *3608:75 *8378:DIODE 9.83571 +10 *3608:75 *40671:A 24.3893 +*END + +*D_NET *3609 0.0197525 +*CONN +*I *5785:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37900:B I *D sky130_fd_sc_hd__and3b_1 +*I *40673:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *5785:DIODE 0 +2 *37900:B 0.000288297 +3 *40673:X 0.00110027 +4 *3609:40 0.000288297 +5 *3609:38 0.00205611 +6 *3609:34 0.00328245 +7 *3609:17 0.00588502 +8 *3609:15 0.0052052 +9 *3609:11 0.00164681 +10 *37900:B *37900:A_N 0 +11 *3609:11 *5855:DIODE 0 +12 *3609:11 *3631:37 0 +13 *3609:11 *4207:16 0 +14 *3609:15 *5833:DIODE 0 +15 *3609:15 *3631:37 0 +16 *3609:15 *3883:41 0 +17 *3609:17 *5764:DIODE 0 +18 *3609:17 *37886:A_N 0 +19 *3609:17 *37886:B 0 +20 *3609:17 *3644:68 0 +21 *3609:17 *3883:41 0 +22 *3609:17 *3883:47 0 +23 *3609:17 *3883:49 0 +24 *3609:17 *4203:19 0 +25 *3609:17 *4203:30 0 +26 *3609:17 *4399:40 0 +27 *3609:34 *37890:C 0 +28 *3609:34 *37898:B 0 +29 *3609:34 *3631:63 0 +30 *3609:34 *3891:20 0 +31 *3609:34 *4371:43 0 +32 *3609:34 *4419:62 0 +33 *3609:34 *4420:83 0 +34 *3609:34 *4511:52 0 +35 *3609:38 *37904:C 0 +36 *5786:DIODE *3609:38 0 +37 *8376:DIODE *3609:11 0 +38 *37900:C *37900:B 0 +39 *39942:A *3609:17 0 +40 *40058:A *3609:17 0 +41 *40059:A *3609:34 0 +42 *40060:A *3609:17 0 +43 *311:49 *3609:17 0 +44 *1154:16 *3609:17 0 +45 *1168:42 *3609:11 0 +46 *1347:9 *37900:B 0 +47 *1350:17 *3609:38 0 +48 *1350:36 *3609:34 0 +49 *1350:55 *3609:17 0 +50 *1355:14 *3609:38 0 +51 *1481:19 *3609:17 0 +52 *1763:10 *3609:38 0 +53 *1773:8 *3609:34 0 +54 *1780:19 *3609:15 0 +55 *2767:14 *3609:11 0 +56 *3589:45 *3609:38 0 +57 *3600:53 *3609:34 0 +58 *3604:34 *3609:17 0 +59 *3604:61 *3609:38 0 +*RES +1 *40673:X *3609:11 41.5321 +2 *3609:11 *3609:15 11.4375 +3 *3609:15 *3609:17 97.2589 +4 *3609:17 *3609:34 32.5788 +5 *3609:34 *3609:38 47.5357 +6 *3609:38 *3609:40 4.5 +7 *3609:40 *37900:B 24.425 +8 *3609:40 *5785:DIODE 9.3 +*END + +*D_NET *3610 0.0206493 +*CONN +*I *40673:A I *D sky130_fd_sc_hd__buf_6 +*I *8379:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40674:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *40673:A 0.000286389 +2 *8379:DIODE 0.000211129 +3 *40674:X 0.000471938 +4 *3610:59 0.00216043 +5 *3610:52 0.00317622 +6 *3610:35 0.0032493 +7 *3610:25 0.00331569 +8 *3610:22 0.00228942 +9 *3610:14 0.00286328 +10 *3610:10 0.00262549 +11 *8379:DIODE *4181:31 0 +12 *3610:10 *3884:71 0 +13 *3610:10 *4400:29 0 +14 *3610:10 *4997:7 0 +15 *3610:14 *3839:81 0 +16 *3610:22 *3837:53 0 +17 *3610:22 *3842:30 0 +18 *3610:22 *3843:15 0 +19 *3610:22 *3845:114 0 +20 *3610:22 *3852:37 0 +21 *3610:25 *4383:41 0 +22 *3610:35 *40690:A 0 +23 *3610:35 *3628:11 0 +24 *3610:35 *3629:133 0 +25 *3610:35 *4153:66 0 +26 *3610:52 *3628:11 0 +27 *3610:52 *3628:15 0 +28 *3610:52 *4153:76 0 +29 *3610:52 *4153:83 0 +30 *5368:DIODE *3610:25 0 +31 *39909:A *3610:22 0 +32 *40054:A *3610:59 0 +33 *291:35 *8379:DIODE 0 +34 *1168:42 *40673:A 0 +35 *1357:14 *8379:DIODE 0 +36 *1383:49 *3610:22 0 +37 *2762:10 *3610:52 0 +38 *2907:10 *3610:59 0 +39 *3563:19 *3610:59 0 +40 *3576:23 *3610:14 0 +41 *3585:14 *3610:59 0 +42 *3593:25 *8379:DIODE 0 +43 *3606:10 *3610:14 0 +44 *3606:32 *3610:25 0 +45 *3606:46 *3610:35 0 +46 *3606:59 *3610:35 0 +47 *3606:59 *3610:52 0 +48 *3606:83 *3610:59 0 +*RES +1 *40674:X *3610:10 28.2821 +2 *3610:10 *3610:14 49.8125 +3 *3610:14 *3610:22 17.6955 +4 *3610:22 *3610:25 33 +5 *3610:25 *3610:35 45.3214 +6 *3610:35 *3610:52 49.8393 +7 *3610:52 *3610:59 39.2679 +8 *3610:59 *8379:DIODE 18.5143 +9 *3610:59 *40673:A 29.175 +*END + +*D_NET *3611 0.0212657 +*CONN +*I *8380:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40674:A I *D sky130_fd_sc_hd__buf_4 +*I *40675:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8380:DIODE 0.00023789 +2 *40674:A 0 +3 *40675:X 0.000784148 +4 *3611:30 0.000891751 +5 *3611:27 0.00961081 +6 *3611:24 0.0097411 +7 *8380:DIODE *3834:36 0 +8 *8380:DIODE *3884:71 0 +9 *3611:27 *3614:49 0 +10 *3611:27 *3623:16 0 +11 *3611:27 *3623:20 0 +12 *3611:27 *3640:43 0 +13 *3611:27 *3830:17 0 +14 *3611:27 *3830:24 0 +15 *3611:27 *3832:58 0 +16 *3611:27 *3833:19 0 +17 *3611:27 *3833:24 0 +18 *3611:27 *3840:71 0 +19 *3611:27 *3863:40 0 +20 *3611:27 *3870:28 0 +21 *3611:27 *3870:46 0 +22 *3611:27 *3870:53 0 +23 *3611:30 *3834:36 0 +24 *37808:A *3611:24 0 +25 *365:11 *8380:DIODE 0 +26 *1189:37 *3611:27 0 +27 *1195:40 *3611:27 0 +28 *1303:14 *3611:24 0 +29 *1374:8 *3611:30 0 +30 *1500:34 *3611:27 0 +31 *1503:19 *3611:24 0 +32 *1506:22 *3611:27 0 +33 *1807:17 *3611:24 0 +34 *1909:47 *3611:27 0 +35 *1909:70 *3611:27 0 +36 *2196:44 *3611:24 0 +37 *2852:19 *3611:24 0 +38 *2862:33 *3611:24 0 +39 *2885:19 *3611:24 0 +40 *3353:26 *3611:27 0 +41 *3580:28 *3611:27 0 +42 *3608:75 *3611:24 0 +*RES +1 *40675:X *3611:24 44.1118 +2 *3611:24 *3611:27 35.851 +3 *3611:27 *3611:30 19.3482 +4 *3611:30 *40674:A 9.3 +5 *3611:30 *8380:DIODE 23.6214 +*END + +*D_NET *3612 0.0209179 +*CONN +*I *40675:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8381:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40676:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40675:A 0.000298666 +2 *8381:DIODE 0 +3 *40676:X 0.000653852 +4 *3612:84 0.000660704 +5 *3612:78 0.00221433 +6 *3612:67 0.00357394 +7 *3612:56 0.00272163 +8 *3612:35 0.00368237 +9 *3612:34 0.00457049 +10 *3612:28 0.00254195 +11 *3612:28 *41310:A 0 +12 *3612:28 *3786:22 0 +13 *3612:28 *3806:15 0 +14 *3612:28 *4363:28 0 +15 *3612:34 *5413:DIODE 0 +16 *3612:34 *3786:22 0 +17 *3612:34 *3795:14 0 +18 *3612:34 *3806:15 0 +19 *3612:34 *4350:51 0 +20 *3612:34 *4350:80 0 +21 *3612:35 *4350:51 0 +22 *3612:56 *4350:50 0 +23 *3612:67 *4346:37 0 +24 *3612:67 *4480:36 0 +25 *3612:78 *3861:10 0 +26 *3612:78 *4333:26 0 +27 *5670:DIODE *3612:34 0 +28 *8372:DIODE *3612:78 0 +29 *37824:B *3612:28 0 +30 *39481:B *3612:67 0 +31 *39997:A *3612:28 0 +32 *40628:A *3612:34 0 +33 *40638:A *3612:34 0 +34 *40834:A *3612:34 0 +35 *40840:A *3612:34 0 +36 *41326:A *3612:78 0 +37 *1915:18 *40675:A 0 +38 *1930:17 *3612:78 0 +39 *1940:47 *3612:67 0 +40 *1955:32 *40675:A 0 +41 *2158:17 *3612:78 0 +42 *2175:19 *3612:78 0 +43 *2178:19 *3612:78 0 +44 *2180:29 *3612:78 0 +45 *2184:34 *3612:84 0 +46 *2200:23 *3612:67 0 +47 *2277:21 *3612:34 0 +48 *2852:32 *3612:67 0 +49 *2852:33 *3612:56 0 +50 *2857:53 *3612:56 0 +51 *2862:33 *3612:56 0 +52 *2877:23 *3612:78 0 +53 *2885:19 *3612:84 0 +54 *3553:35 *3612:56 0 +55 *3558:25 *3612:34 0 +56 *3562:49 *3612:84 0 +57 *3578:35 *3612:34 0 +58 *3578:37 *3612:35 0 +59 *3578:58 *3612:67 0 +60 *3581:8 *3612:28 0 +61 *3598:18 *3612:78 0 +*RES +1 *40676:X *3612:28 32.6929 +2 *3612:28 *3612:34 48.4643 +3 *3612:34 *3612:35 55.9821 +4 *3612:35 *3612:56 44.4681 +5 *3612:56 *3612:67 49.6071 +6 *3612:67 *3612:78 48.5446 +7 *3612:78 *3612:84 8.36892 +8 *3612:84 *8381:DIODE 13.8 +9 *3612:84 *40675:A 29.4964 +*END + +*D_NET *3613 0.0194455 +*CONN +*I *5781:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37898:B I *D sky130_fd_sc_hd__and3b_1 +*I *40677:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *5781:DIODE 0 +2 *37898:B 0.000240846 +3 *40677:X 0.00169842 +4 *3613:37 0.00211723 +5 *3613:31 0.00300132 +6 *3613:17 0.00481397 +7 *3613:16 0.00478215 +8 *3613:7 0.00279153 +9 *37898:B *4203:30 0 +10 *37898:B *4371:43 0 +11 *3613:7 *3634:23 0 +12 *3613:7 *3855:71 0 +13 *3613:7 *3880:13 0 +14 *3613:16 *3869:20 0 +15 *3613:16 *4399:40 0 +16 *3613:17 *37886:C 0 +17 *3613:17 *3883:41 0 +18 *3613:17 *3883:47 0 +19 *3613:17 *3883:49 0 +20 *3613:31 *37508:A 0 +21 *3613:31 *3883:49 0 +22 *3613:31 *3891:20 0 +23 *3613:31 *4203:16 0 +24 *3613:31 *4203:19 0 +25 *3613:31 *4451:75 0 +26 *3613:37 *37508:A 0 +27 *3613:37 *37510:A 0 +28 *3613:37 *3880:41 0 +29 *3613:37 *4203:19 0 +30 *3613:37 *4203:30 0 +31 *5599:DIODE *3613:7 0 +32 *5942:DIODE *3613:7 0 +33 *37508:B *3613:37 0 +34 *37510:B *3613:37 0 +35 *40059:A *37898:B 0 +36 *40639:A *3613:7 0 +37 *302:13 *3613:31 0 +38 *1162:11 *3613:31 0 +39 *1165:11 *3613:16 0 +40 *1394:24 *3613:7 0 +41 *1485:34 *3613:31 0 +42 *1485:34 *3613:37 0 +43 *1773:8 *37898:B 0 +44 *3570:18 *3613:7 0 +45 *3609:34 *37898:B 0 +*RES +1 *40677:X *3613:7 49.2464 +2 *3613:7 *3613:16 18.8064 +3 *3613:16 *3613:17 76.9286 +4 *3613:17 *3613:31 33.0392 +5 *3613:31 *3613:37 39.2857 +6 *3613:37 *37898:B 23.6036 +7 *3613:37 *5781:DIODE 9.3 +*END + +*D_NET *3614 0.0217624 +*CONN +*I *8382:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40677:A I *D sky130_fd_sc_hd__buf_6 +*I *40678:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8382:DIODE 0 +2 *40677:A 0.000163425 +3 *40678:X 0.00088226 +4 *3614:73 0.000186099 +5 *3614:70 0.00198134 +6 *3614:66 0.00296119 +7 *3614:60 0.00199312 +8 *3614:49 0.00418489 +9 *3614:30 0.00586103 +10 *3614:18 0.003549 +11 *40677:A *3634:23 0 +12 *40677:A *3855:63 0 +13 *3614:18 *5394:DIODE 0 +14 *3614:18 *40694:A 0 +15 *3614:18 *3845:46 0 +16 *3614:18 *3884:40 0 +17 *3614:18 *3892:23 0 +18 *3614:30 *3833:24 0 +19 *3614:30 *3842:19 0 +20 *3614:30 *3845:46 0 +21 *3614:30 *3881:21 0 +22 *3614:30 *4381:20 0 +23 *3614:30 *4383:15 0 +24 *3614:30 *4400:17 0 +25 *3614:49 *3832:83 0 +26 *3614:49 *3844:51 0 +27 *3614:49 *3870:53 0 +28 *3614:49 *4985:26 0 +29 *3614:70 *37524:A 0 +30 *3614:73 *3634:23 0 +31 *5330:DIODE *3614:70 0 +32 *8354:DIODE *3614:30 0 +33 *8969:DIODE *3614:30 0 +34 *37549:A *3614:49 0 +35 *37571:A *3614:49 0 +36 *39463:B *3614:49 0 +37 *39466:A *3614:49 0 +38 *39466:B *3614:49 0 +39 *40905:A *3614:18 0 +40 *40929:A *3614:18 0 +41 *41370:A *3614:30 0 +42 *294:50 *3614:49 0 +43 *329:17 *3614:66 0 +44 *1277:42 *3614:49 0 +45 *1280:14 *3614:60 0 +46 *1291:8 *3614:18 0 +47 *1300:60 *3614:49 0 +48 *1370:20 *3614:60 0 +49 *1372:44 *3614:70 0 +50 *1375:22 *3614:18 0 +51 *1482:30 *3614:70 0 +52 *1500:34 *3614:49 0 +53 *1520:25 *3614:49 0 +54 *1520:36 *3614:49 0 +55 *1529:18 *3614:18 0 +56 *1663:10 *3614:49 0 +57 *1785:10 *3614:60 0 +58 *2502:16 *3614:60 0 +59 *2745:26 *3614:49 0 +60 *2784:23 *3614:49 0 +61 *2790:17 *3614:49 0 +62 *2887:20 *3614:49 0 +63 *3548:50 *3614:49 0 +64 *3554:54 *3614:66 0 +65 *3561:61 *3614:49 0 +66 *3579:35 *3614:60 0 +67 *3579:35 *3614:66 0 +68 *3579:35 *3614:70 0 +69 *3583:55 *3614:18 0 +70 *3586:47 *3614:66 0 +71 *3607:23 *3614:49 0 +72 *3611:27 *3614:49 0 +*RES +1 *40678:X *3614:18 46.4607 +2 *3614:18 *3614:30 48.703 +3 *3614:30 *3614:49 49.8004 +4 *3614:49 *3614:60 38.9643 +5 *3614:60 *3614:66 29.9821 +6 *3614:66 *3614:70 45.9286 +7 *3614:70 *3614:73 5.03571 +8 *3614:73 *40677:A 12.7107 +9 *3614:73 *8382:DIODE 9.3 +*END + +*D_NET *3615 0.0200533 +*CONN +*I *8383:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40678:A I *D sky130_fd_sc_hd__buf_4 +*I *40679:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8383:DIODE 9.41867e-06 +2 *40678:A 0.000124375 +3 *40679:X 0.000735394 +4 *3615:19 0.00929128 +5 *3615:10 0.00989288 +6 *40678:A *3635:40 0 +7 *3615:10 *38010:A_N 0 +8 *3615:10 *4378:28 0 +9 *3615:10 *4480:36 0 +10 *3615:19 *3630:14 0 +11 *3615:19 *3630:37 0 +12 *3615:19 *3829:20 0 +13 *3615:19 *3831:26 0 +14 *3615:19 *3831:30 0 +15 *3615:19 *3856:54 0 +16 *3615:19 *3881:21 0 +17 *40873:A *3615:10 0 +18 *1291:8 *8383:DIODE 0 +19 *1291:8 *40678:A 0 +20 *1897:26 *3615:19 0 +21 *1919:23 *3615:19 0 +22 *2044:18 *3615:19 0 +23 *2187:11 *3615:10 0 +24 *2189:18 *3615:19 0 +25 *2859:24 *3615:19 0 +26 *3339:67 *40678:A 0 +27 *3353:13 *3615:19 0 +28 *3587:33 *3615:19 0 +29 *3587:56 *3615:19 0 +*RES +1 *40679:X *3615:10 33.4689 +2 *3615:10 *3615:19 44.5257 +3 *3615:19 *40678:A 16.5411 +4 *3615:19 *8383:DIODE 14.0768 +*END + +*D_NET *3616 0.0186273 +*CONN +*I *5401:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37588:B I *D sky130_fd_sc_hd__and2_1 +*I *38541:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5401:DIODE 0 +2 *37588:B 0.000172597 +3 *38541:X 0.00185371 +4 *3616:44 0.000652595 +5 *3616:41 0.00236194 +6 *3616:34 0.0035758 +7 *3616:19 0.00372109 +8 *3616:11 0.00323153 +9 *3616:7 0.00305801 +10 *3616:7 *4470:10 0 +11 *3616:7 *4473:19 0 +12 *3616:7 *4844:18 0 +13 *3616:7 *5037:13 0 +14 *3616:7 *5048:20 0 +15 *3616:7 *5070:30 0 +16 *3616:11 *4470:10 0 +17 *3616:11 *4993:10 0 +18 *3616:11 *5015:7 0 +19 *3616:11 *5015:11 0 +20 *3616:11 *5048:54 0 +21 *3616:11 *5070:30 0 +22 *3616:19 *4462:13 0 +23 *3616:19 *4470:22 0 +24 *3616:19 *5015:11 0 +25 *3616:34 *4470:34 0 +26 *3616:34 *4793:22 0 +27 *3616:34 *4829:13 0 +28 *3616:34 *4834:36 0 +29 *3616:34 *5048:66 0 +30 *3616:41 *3854:17 0 +31 *3616:41 *3857:46 0 +32 *3616:41 *3921:41 0 +33 *3616:41 *4186:12 0 +34 *3616:44 *3645:13 0 +35 *5400:DIODE *3616:44 0 +36 *6662:DIODE *3616:34 0 +37 *6675:DIODE *3616:7 0 +38 *6815:DIODE *3616:7 0 +39 *6995:DIODE *3616:7 0 +40 *7434:DIODE *3616:44 0 +41 *37588:A *37588:B 0 +42 *38190:A *3616:41 0 +43 *38537:A *3616:11 0 +44 *38540:A *3616:7 0 +45 *38541:A *3616:7 0 +46 *38677:A *3616:11 0 +47 *38679:A *3616:7 0 +48 *38682:A *3616:7 0 +49 *38857:A *3616:7 0 +50 *38859:A *3616:7 0 +51 *459:5 *3616:19 0 +52 *465:10 *3616:11 0 +53 *1675:70 *3616:44 0 +54 *1803:8 *3616:41 0 +55 *1912:41 *37588:B 0 +56 *2191:30 *3616:41 0 +57 *2855:59 *37588:B 0 +58 *3331:35 *3616:7 0 +59 *3331:35 *3616:11 0 +60 *3352:15 *3616:19 0 +61 *3379:18 *3616:41 0 +62 *3483:12 *3616:34 0 +63 *3572:18 *3616:7 0 +64 *3572:18 *3616:11 0 +65 *3572:38 *3616:34 0 +66 *3594:14 *3616:7 0 +67 *3605:36 *3616:34 0 +68 *3605:36 *3616:41 0 +*RES +1 *38541:X *3616:7 48.05 +2 *3616:7 *3616:11 25.1964 +3 *3616:11 *3616:19 49.1107 +4 *3616:19 *3616:34 49.4279 +5 *3616:34 *3616:41 46.4257 +6 *3616:41 *3616:44 15.4018 +7 *3616:44 *37588:B 21.9786 +8 *3616:44 *5401:DIODE 9.3 +*END + +*D_NET *3617 0.0192053 +*CONN +*I *5777:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37896:B I *D sky130_fd_sc_hd__and3b_1 +*I *40680:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *5777:DIODE 0 +2 *37896:B 0.000204495 +3 *40680:X 0.00159957 +4 *3617:33 0.00196195 +5 *3617:31 0.00284923 +6 *3617:25 0.00604115 +7 *3617:23 0.00654894 +8 *37896:B *5776:DIODE 0 +9 *3617:23 *37924:C 0 +10 *3617:23 *37926:A_N 0 +11 *3617:23 *3628:17 0 +12 *3617:23 *3631:52 0 +13 *3617:23 *3864:14 0 +14 *3617:23 *4439:49 0 +15 *3617:23 *4593:29 0 +16 *3617:23 *4693:38 0 +17 *3617:25 *37912:A_N 0 +18 *3617:25 *4420:84 0 +19 *3617:31 *5809:DIODE 0 +20 *3617:31 *37910:A_N 0 +21 *3617:31 *37912:A_N 0 +22 *3617:33 *5776:DIODE 0 +23 *3617:33 *37900:A_N 0 +24 *3617:33 *4523:40 0 +25 *37936:C *3617:25 0 +26 *1347:9 *3617:33 0 +27 *1349:14 *3617:31 0 +28 *1349:14 *3617:33 0 +29 *1355:17 *3617:25 0 +30 *3585:25 *3617:23 0 +31 *3589:24 *3617:23 0 +32 *3589:25 *3617:23 0 +33 *3589:25 *3617:25 0 +34 *3593:31 *3617:23 0 +35 *3593:43 *3617:25 0 +36 *3593:54 *3617:25 0 +37 *3604:16 *3617:23 0 +*RES +1 *40680:X *3617:23 49.6479 +2 *3617:23 *3617:25 103.42 +3 *3617:25 *3617:31 22.8482 +4 *3617:31 *3617:33 36.6786 +5 *3617:33 *37896:B 13.5679 +6 *3617:33 *5777:DIODE 9.3 +*END + +*D_NET *3618 0.0217858 +*CONN +*I *40680:A I *D sky130_fd_sc_hd__buf_6 +*I *8385:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40681:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *40680:A 0.000124066 +2 *8385:DIODE 0.000139176 +3 *40681:X 0.00285471 +4 *3618:48 0.000315507 +5 *3618:47 0.00777497 +6 *3618:20 0.0105774 +7 *8385:DIODE *5045:6 0 +8 *40680:A *3628:17 0 +9 *3618:20 *3632:49 0 +10 *3618:20 *3632:57 0 +11 *3618:20 *3855:48 0 +12 *3618:20 *4400:29 0 +13 *3618:47 *3622:37 0 +14 *3618:47 *3843:15 0 +15 *3618:47 *3864:14 0 +16 *3618:47 *3881:36 0 +17 *3618:47 *3884:132 0 +18 *5832:DIODE *8385:DIODE 0 +19 *37914:B *3618:47 0 +20 *39926:A *40680:A 0 +21 *294:49 *3618:20 0 +22 *1168:16 *3618:47 0 +23 *1176:20 *3618:20 0 +24 *1185:16 *3618:47 0 +25 *1489:15 *3618:20 0 +26 *1499:12 *3618:47 0 +27 *1501:18 *3618:47 0 +28 *1802:8 *3618:20 0 +29 *2745:20 *3618:20 0 +30 *2779:16 *3618:20 0 +31 *2869:14 *3618:20 0 +32 *2887:20 *3618:20 0 +33 *3548:50 *3618:20 0 +34 *3556:62 *3618:48 0 +35 *3559:70 *3618:47 0 +36 *3585:25 *3618:47 0 +37 *3590:20 *3618:47 0 +38 *3595:49 *3618:47 0 +*RES +1 *40681:X *3618:20 48.6404 +2 *3618:20 *3618:47 49.7749 +3 *3618:47 *3618:48 1.1875 +4 *3618:48 *8385:DIODE 16.9607 +5 *3618:48 *40680:A 16.3893 +*END + +*D_NET *3619 0.0204823 +*CONN +*I *40681:A I *D sky130_fd_sc_hd__buf_6 +*I *8386:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40682:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *40681:A 0.000104386 +2 *8386:DIODE 0.000217614 +3 *40682:X 0.000960567 +4 *3619:60 0.00049189 +5 *3619:57 0.00329218 +6 *3619:45 0.00512993 +7 *3619:31 0.00439869 +8 *3619:26 0.00365878 +9 *3619:18 0.0022283 +10 *8386:DIODE *3839:81 0 +11 *40681:A *3632:49 0 +12 *3619:18 *4384:28 0 +13 *3619:26 *3844:33 0 +14 *3619:45 *3633:85 0 +15 *3619:57 *3843:15 0 +16 *3619:57 *4383:15 0 +17 *3619:57 *4390:20 0 +18 *3619:57 *4985:26 0 +19 *5656:DIODE *3619:31 0 +20 *37569:A *3619:45 0 +21 *39903:A *3619:26 0 +22 *39908:A *3619:26 0 +23 *40007:A *3619:26 0 +24 *1176:20 *40681:A 0 +25 *1192:26 *3619:31 0 +26 *1192:26 *3619:45 0 +27 *1277:32 *3619:45 0 +28 *1382:39 *3619:31 0 +29 *1382:45 *3619:31 0 +30 *1526:8 *3619:60 0 +31 *1677:19 *3619:57 0 +32 *1677:33 *3619:57 0 +33 *1897:26 *3619:45 0 +34 *1912:57 *3619:45 0 +35 *1919:47 *3619:31 0 +36 *1954:24 *3619:18 0 +37 *2197:80 *3619:18 0 +38 *2733:86 *3619:18 0 +39 *2792:43 *3619:57 0 +40 *2864:28 *3619:26 0 +41 *3200:11 *3619:18 0 +42 *3548:23 *3619:18 0 +43 *3548:23 *3619:26 0 +44 *3587:56 *3619:45 0 +45 *3598:23 *3619:31 0 +46 *3598:31 *3619:31 0 +*RES +1 *40682:X *3619:18 41.0675 +2 *3619:18 *3619:26 35.6429 +3 *3619:26 *3619:31 49.9643 +4 *3619:31 *3619:45 47.8971 +5 *3619:45 *3619:57 49.4257 +6 *3619:57 *3619:60 7.32964 +7 *3619:60 *8386:DIODE 18.6214 +8 *3619:60 *40681:A 15.9786 +*END + +*D_NET *3620 0.0204615 +*CONN +*I *40682:A I *D sky130_fd_sc_hd__buf_4 +*I *8387:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40683:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40682:A 0 +2 *8387:DIODE 0.000531732 +3 *40683:X 0.00619855 +4 *3620:33 0.00403219 +5 *3620:17 0.00969901 +6 *8387:DIODE *3623:20 0 +7 *8387:DIODE *3640:43 0 +8 *8387:DIODE *3870:28 0 +9 *3620:17 *3624:35 0 +10 *3620:17 *3624:45 0 +11 *3620:17 *4311:22 0 +12 *3620:17 *4317:31 0 +13 *3620:17 *4346:90 0 +14 *3620:17 *4370:20 0 +15 *3620:17 *4370:32 0 +16 *3620:33 *5411:DIODE 0 +17 *3620:33 *3640:43 0 +18 *3620:33 *3870:28 0 +19 *3620:33 *3876:23 0 +20 *3620:33 *4311:22 0 +21 *3620:33 *4384:28 0 +22 *39894:A *3620:33 0 +23 *41357:A *3620:33 0 +24 *1305:19 *3620:17 0 +25 *1810:21 *3620:33 0 +26 *1815:19 *3620:17 0 +27 *1914:29 *3620:33 0 +28 *1916:42 *3620:33 0 +29 *1928:30 *3620:33 0 +30 *1943:14 *8387:DIODE 0 +31 *1945:34 *3620:33 0 +32 *1954:24 *3620:33 0 +33 *1955:16 *8387:DIODE 0 +34 *2174:25 *3620:33 0 +35 *2188:39 *3620:33 0 +36 *2197:80 *8387:DIODE 0 +37 *2208:22 *3620:17 0 +38 *2740:24 *3620:33 0 +39 *3368:21 *3620:33 0 +40 *3558:50 *3620:17 0 +41 *3558:50 *3620:33 0 +42 *3592:9 *3620:17 0 +43 *3608:12 *3620:17 0 +*RES +1 *40683:X *3620:17 45.9795 +2 *3620:17 *3620:33 28.2274 +3 *3620:33 *8387:DIODE 30.3414 +4 *3620:33 *40682:A 9.3 +*END + +*D_NET *3621 0.0197829 +*CONN +*I *37894:B I *D sky130_fd_sc_hd__and3b_1 +*I *5774:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40684:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *37894:B 0.000200644 +2 *5774:DIODE 0 +3 *40684:X 0.00144956 +4 *3621:53 0.00129884 +5 *3621:51 0.00294207 +6 *3621:48 0.00213767 +7 *3621:37 0.00268399 +8 *3621:32 0.002656 +9 *3621:21 0.00261518 +10 *3621:9 0.00379894 +11 *37894:B *5773:DIODE 0 +12 *3621:21 *37888:B 0 +13 *3621:21 *3644:68 0 +14 *3621:21 *3891:20 0 +15 *3621:21 *4207:32 0 +16 *3621:21 *5045:6 0 +17 *3621:32 *37888:A_N 0 +18 *3621:32 *3891:20 0 +19 *3621:51 *4800:13 0 +20 *37898:C *3621:48 0 +21 *39947:A *3621:37 0 +22 *40060:A *3621:37 0 +23 *306:11 *3621:48 0 +24 *1167:13 *3621:9 0 +25 *1346:9 *37894:B 0 +26 *1346:9 *3621:51 0 +27 *1346:9 *3621:53 0 +28 *1346:24 *3621:51 0 +29 *1350:46 *3621:37 0 +30 *1485:12 *3621:37 0 +31 *1485:34 *3621:32 0 +32 *1772:14 *3621:21 0 +33 *1779:10 *3621:32 0 +34 *1780:19 *3621:21 0 +35 *1780:29 *3621:21 0 +36 *2791:21 *3621:48 0 +37 *2791:25 *3621:37 0 +38 *2791:25 *3621:48 0 +39 *2791:38 *3621:37 0 +40 *2797:35 *3621:37 0 +41 *2913:10 *3621:37 0 +42 *3556:62 *3621:21 0 +43 *3559:70 *3621:21 0 +44 *3600:23 *3621:21 0 +45 *3600:40 *3621:37 0 +46 *3600:53 *3621:51 0 +*RES +1 *40684:X *3621:9 48.7107 +2 *3621:9 *3621:21 46.6336 +3 *3621:21 *3621:32 17.7484 +4 *3621:32 *3621:37 49.9821 +5 *3621:37 *3621:48 15.3571 +6 *3621:48 *3621:51 38.5446 +7 *3621:51 *3621:53 22.9196 +8 *3621:53 *5774:DIODE 9.3 +9 *3621:53 *37894:B 13.55 +*END + +*D_NET *3622 0.0197732 +*CONN +*I *8389:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40684:A I *D sky130_fd_sc_hd__buf_6 +*I *40685:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8389:DIODE 7.78942e-05 +2 *40684:A 0.00015821 +3 *40685:X 0.0022438 +4 *3622:37 0.00764278 +5 *3622:28 0.00965048 +6 *8389:DIODE *3631:37 0 +7 *8389:DIODE *3883:41 0 +8 *40684:A *37914:C 0 +9 *40684:A *3891:20 0 +10 *3622:28 *3635:59 0 +11 *3622:28 *3842:19 0 +12 *3622:28 *3881:36 0 +13 *3622:28 *4388:26 0 +14 *3622:28 *4644:6 0 +15 *3622:28 *4644:10 0 +16 *3622:37 *37536:A 0 +17 *3622:37 *37914:C 0 +18 *3622:37 *3858:40 0 +19 *3622:37 *3881:36 0 +20 *3622:37 *3883:25 0 +21 *3622:37 *3884:108 0 +22 *3622:37 *3884:132 0 +23 *3622:37 *3891:13 0 +24 *3622:37 *3891:20 0 +25 *3622:37 *3892:56 0 +26 *3622:37 *4153:22 0 +27 *3622:37 *4397:59 0 +28 *1161:11 *3622:37 0 +29 *1165:11 *3622:37 0 +30 *1185:16 *3622:37 0 +31 *1352:8 *8389:DIODE 0 +32 *1352:8 *40684:A 0 +33 *1363:22 *3622:37 0 +34 *3559:70 *3622:37 0 +35 *3590:20 *3622:37 0 +36 *3602:61 *3622:28 0 +37 *3618:47 *3622:37 0 +*RES +1 *40685:X *3622:28 42.3729 +2 *3622:28 *3622:37 26.8965 +3 *3622:37 *40684:A 19.0363 +4 *3622:37 *8389:DIODE 18.9332 +*END + +*D_NET *3623 0.0202008 +*CONN +*I *8390:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40685:A I *D sky130_fd_sc_hd__buf_4 +*I *40686:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8390:DIODE 0 +2 *40685:A 0.000249038 +3 *40686:X 0.00188615 +4 *3623:20 0.00821426 +5 *3623:16 0.00985137 +6 *40685:A *3635:59 0 +7 *40685:A *3845:90 0 +8 *3623:16 *3640:43 0 +9 *3623:16 *3833:19 0 +10 *3623:16 *4311:22 0 +11 *3623:16 *4317:13 0 +12 *3623:20 *3640:43 0 +13 *3623:20 *3853:52 0 +14 *3623:20 *3870:28 0 +15 *3623:20 *3892:38 0 +16 *3623:20 *4386:38 0 +17 *8387:DIODE *3623:20 0 +18 *40644:A *3623:16 0 +19 *1183:41 *3623:20 0 +20 *1277:32 *3623:20 0 +21 *1500:34 *3623:20 0 +22 *1524:47 *3623:16 0 +23 *1678:18 *3623:20 0 +24 *1910:22 *3623:16 0 +25 *2197:80 *3623:20 0 +26 *2200:30 *3623:16 0 +27 *2747:63 *3623:16 0 +28 *3577:65 *3623:20 0 +29 *3578:89 *3623:16 0 +30 *3580:43 *3623:20 0 +31 *3597:10 *3623:20 0 +32 *3611:27 *3623:16 0 +33 *3611:27 *3623:20 0 +*RES +1 *40686:X *3623:16 35.1025 +2 *3623:16 *3623:20 40.9208 +3 *3623:20 *40685:A 19.1571 +4 *3623:20 *8390:DIODE 13.8 +*END + +*D_NET *3624 0.0220583 +*CONN +*I *8391:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40686:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40687:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8391:DIODE 0.00034335 +2 *40686:A 0.00018396 +3 *40687:X 0.000885932 +4 *3624:75 0.000861694 +5 *3624:71 0.00395102 +6 *3624:58 0.00529237 +7 *3624:51 0.00275528 +8 *3624:45 0.00279094 +9 *3624:35 0.00290959 +10 *3624:19 0.00208412 +11 *40686:A *40457:A 0 +12 *3624:19 *4346:115 0 +13 *3624:51 *4346:84 0 +14 *3624:58 *38014:C 0 +15 *3624:71 *4101:25 0 +16 *3624:71 *4333:26 0 +17 *3624:75 *40457:A 0 +18 *8125:DIODE *3624:58 0 +19 *8250:DIODE *3624:45 0 +20 *37740:B *3624:51 0 +21 *37810:A *3624:58 0 +22 *37814:A *3624:51 0 +23 *37814:B *3624:51 0 +24 *37814:B *3624:58 0 +25 *37816:A *3624:45 0 +26 *38014:A_N *3624:58 0 +27 *38014:B *3624:58 0 +28 *40004:A *3624:45 0 +29 *40017:A *3624:45 0 +30 *40017:A *3624:51 0 +31 *40683:A *3624:35 0 +32 *40692:A *3624:58 0 +33 *40833:A *3624:35 0 +34 *40843:A *3624:45 0 +35 *41343:A *3624:51 0 +36 *1269:12 *3624:51 0 +37 *1269:12 *3624:58 0 +38 *1406:19 *3624:45 0 +39 *1406:19 *3624:51 0 +40 *1406:19 *3624:58 0 +41 *1406:35 *3624:45 0 +42 *1406:49 *3624:35 0 +43 *1406:49 *3624:45 0 +44 *1931:42 *3624:58 0 +45 *1933:19 *3624:71 0 +46 *1937:19 *3624:71 0 +47 *2180:29 *3624:75 0 +48 *2185:22 *3624:71 0 +49 *2194:26 *3624:71 0 +50 *2198:10 *3624:71 0 +51 *2200:30 *40686:A 0 +52 *2204:7 *3624:58 0 +53 *2206:10 *3624:58 0 +54 *2209:16 *3624:58 0 +55 *2213:23 *3624:58 0 +56 *2215:13 *3624:58 0 +57 *2215:20 *3624:45 0 +58 *2324:72 *3624:58 0 +59 *2331:29 *3624:19 0 +60 *2847:24 *3624:71 0 +61 *2866:39 *3624:51 0 +62 *2886:65 *8391:DIODE 0 +63 *3190:35 *3624:71 0 +64 *3200:11 *3624:71 0 +65 *3324:48 *3624:58 0 +66 *3363:15 *3624:71 0 +67 *3558:25 *3624:45 0 +68 *3562:22 *3624:45 0 +69 *3581:8 *3624:19 0 +70 *3581:19 *3624:35 0 +71 *3588:13 *3624:19 0 +72 *3608:12 *3624:35 0 +73 *3608:24 *3624:45 0 +74 *3608:42 *3624:58 0 +75 *3620:17 *3624:35 0 +76 *3620:17 *3624:45 0 +*RES +1 *40687:X *3624:19 46.1571 +2 *3624:19 *3624:35 43.4464 +3 *3624:35 *3624:45 49.375 +4 *3624:45 *3624:51 27.125 +5 *3624:51 *3624:58 44.8393 +6 *3624:58 *3624:71 49.8227 +7 *3624:71 *3624:75 12.0089 +8 *3624:75 *40686:A 13.1393 +9 *3624:75 *8391:DIODE 25.8714 +*END + +*D_NET *3625 0.0289441 +*CONN +*I *5585:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37748:A I *D sky130_fd_sc_hd__and2_1 +*I *40688:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *5585:DIODE 0.000255106 +2 *37748:A 9.90632e-05 +3 *40688:X 0.00141011 +4 *3625:50 0.00293671 +5 *3625:49 0.004583 +6 *3625:45 0.00369448 +7 *3625:31 0.00526199 +8 *3625:30 0.00356796 +9 *3625:28 0.0019764 +10 *3625:20 0.0028628 +11 *3625:16 0.0022965 +12 *5585:DIODE *3764:8 0 +13 *5585:DIODE *4330:46 0 +14 *5585:DIODE *4334:58 0 +15 *3625:16 *40996:A 0 +16 *3625:16 *3678:32 0 +17 *3625:16 *3704:10 0 +18 *3625:16 *3967:6 0 +19 *3625:16 *4007:12 0 +20 *3625:20 *3704:10 0 +21 *3625:20 *3967:6 0 +22 *3625:28 *3654:15 0 +23 *3625:28 *3946:48 0 +24 *3625:31 *3654:15 0 +25 *3625:45 *3654:25 0 +26 *3625:45 *3708:36 0 +27 *3625:49 *39643:A 0 +28 *3625:49 *3708:36 0 +29 *3625:49 *4902:11 0 +30 *3625:50 *3967:40 0 +31 *261:8 *3625:49 0 +32 *357:38 *3625:45 0 +33 *375:20 *3625:45 0 +34 *375:20 *3625:49 0 +35 *1002:26 *3625:45 0 +36 *1023:98 *3625:45 0 +37 *1339:16 *3625:16 0 +38 *1445:53 *3625:16 0 +39 *1446:52 *3625:16 0 +40 *1544:20 *3625:28 0 +41 *2426:12 *3625:50 0 +42 *2440:49 *3625:16 0 +43 *2447:6 *3625:50 0 +44 *2473:21 *3625:50 0 +45 *2480:16 *3625:20 0 +46 *2682:45 *3625:16 0 +47 *2839:40 *3625:50 0 +48 *2924:10 *3625:50 0 +49 *3076:26 *3625:16 0 +50 *3118:20 *3625:50 0 +51 *3295:74 *3625:50 0 +52 *3424:36 *3625:45 0 +53 *3545:31 *3625:28 0 +54 *3545:31 *3625:31 0 +55 *3545:41 *3625:45 0 +*RES +1 *40688:X *3625:16 46.2464 +2 *3625:16 *3625:20 24.6607 +3 *3625:20 *3625:28 46.1607 +4 *3625:28 *3625:30 4.5 +5 *3625:30 *3625:31 74.4643 +6 *3625:31 *3625:45 40.6986 +7 *3625:45 *3625:49 46.3125 +8 *3625:49 *3625:50 58.7143 +9 *3625:50 *37748:A 16.05 +10 *3625:50 *5585:DIODE 28.2821 +*END + +*D_NET *3626 0.0211996 +*CONN +*I *8392:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40688:A I *D sky130_fd_sc_hd__buf_2 +*I *40689:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8392:DIODE 0.000460751 +2 *40688:A 0 +3 *40689:X 0.0033022 +4 *3626:68 0.00087124 +5 *3626:45 0.00683684 +6 *3626:29 0.00972855 +7 *8392:DIODE *5428:DIODE 0 +8 *8392:DIODE *3667:76 0 +9 *8392:DIODE *3942:151 0 +10 *8392:DIODE *4084:11 0 +11 *8392:DIODE *4257:36 0 +12 *3626:29 *3675:24 0 +13 *3626:29 *3766:48 0 +14 *3626:29 *3784:29 0 +15 *3626:29 *3815:47 0 +16 *3626:29 *3826:41 0 +17 *3626:29 *3942:61 0 +18 *3626:29 *4320:23 0 +19 *3626:29 *4345:111 0 +20 *3626:29 *4372:22 0 +21 *3626:29 *4372:39 0 +22 *3626:45 *3725:22 0 +23 *3626:45 *3758:53 0 +24 *3626:45 *3774:25 0 +25 *3626:45 *4247:21 0 +26 *3626:45 *4319:16 0 +27 *3626:45 *4340:40 0 +28 *3626:45 *4372:39 0 +29 *3626:68 *3758:53 0 +30 *8030:DIODE *3626:68 0 +31 *1207:17 *3626:68 0 +32 *1437:30 *3626:29 0 +33 *1537:22 *3626:29 0 +34 *2835:31 *3626:29 0 +35 *3008:33 *3626:29 0 +36 *3008:33 *3626:45 0 +37 *3522:35 *8392:DIODE 0 +*RES +1 *40689:X *3626:29 41.8449 +2 *3626:29 *3626:45 49.0662 +3 *3626:45 *3626:68 17.9725 +4 *3626:68 *40688:A 9.3 +5 *3626:68 *8392:DIODE 28.2464 +*END + +*D_NET *3627 0.0092421 +*CONN +*I *5290:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37480:B I *D sky130_fd_sc_hd__and2_1 +*I *38542:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5290:DIODE 0.000254915 +2 *37480:B 0 +3 *38542:X 5.89896e-05 +4 *3627:16 0.000580833 +5 *3627:9 0.00430714 +6 *3627:8 0.00404022 +7 *5290:DIODE *3993:22 0 +8 *5290:DIODE *4604:30 0 +9 *3627:9 *4560:9 0 +10 *3627:9 *4854:9 0 +11 *3627:9 *4866:11 0 +12 *3627:16 *4504:21 0 +13 *3627:16 *4854:8 0 +14 la_data_in_mprj[14] *3627:16 0 +15 *6860:DIODE *5290:DIODE 0 +16 *6860:DIODE *3627:16 0 +17 *421:5 *3627:16 0 +18 *599:8 *3627:8 0 +19 *855:5 *3627:8 0 +20 *1775:16 *3627:9 0 +21 *1819:11 *5290:DIODE 0 +22 *2357:11 *3627:9 0 +*RES +1 *38542:X *3627:8 19.6393 +2 *3627:8 *3627:9 83.0893 +3 *3627:9 *3627:16 11.9643 +4 *3627:16 *37480:B 13.8 +5 *3627:16 *5290:DIODE 19.5321 +*END + +*D_NET *3628 0.0194963 +*CONN +*I *5772:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37892:B I *D sky130_fd_sc_hd__and3b_1 +*I *40690:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *5772:DIODE 0 +2 *37892:B 0.000222463 +3 *40690:X 0.00172066 +4 *3628:45 0.000535479 +5 *3628:39 0.00238962 +6 *3628:26 0.00343272 +7 *3628:17 0.00464407 +8 *3628:15 0.0040593 +9 *3628:11 0.00249201 +10 *37892:B *4181:46 0 +11 *37892:B *4190:41 0 +12 *3628:11 *5369:DIODE 0 +13 *3628:11 *6319:DIODE 0 +14 *3628:11 *3841:68 0 +15 *3628:15 *4153:83 0 +16 *3628:17 *37906:A_N 0 +17 *3628:17 *37926:A_N 0 +18 *3628:17 *38184:B 0 +19 *3628:17 *4153:83 0 +20 *3628:17 *4153:97 0 +21 *3628:17 *4436:23 0 +22 *3628:26 *4399:48 0 +23 *3628:39 *3631:61 0 +24 *3628:39 *3891:20 0 +25 *3628:45 *4181:46 0 +26 *5337:DIODE *3628:15 0 +27 *37508:B *3628:39 0 +28 *37906:B *3628:17 0 +29 *37924:B *3628:26 0 +30 *37926:B *3628:17 0 +31 *37926:B *3628:26 0 +32 *37928:B *3628:11 0 +33 *39926:A *3628:17 0 +34 *40632:A *3628:17 0 +35 *40680:A *3628:17 0 +36 *303:13 *3628:45 0 +37 *304:23 *3628:26 0 +38 *313:39 *3628:45 0 +39 *1185:28 *3628:11 0 +40 *1361:13 *3628:26 0 +41 *3554:63 *3628:11 0 +42 *3563:19 *3628:17 0 +43 *3563:30 *3628:17 0 +44 *3563:39 *3628:26 0 +45 *3563:39 *3628:39 0 +46 *3585:14 *3628:17 0 +47 *3585:25 *3628:17 0 +48 *3585:32 *3628:39 0 +49 *3585:44 *3628:39 0 +50 *3604:16 *3628:26 0 +51 *3606:46 *3628:11 0 +52 *3606:59 *3628:11 0 +53 *3606:59 *3628:15 0 +54 *3606:83 *3628:15 0 +55 *3606:83 *3628:17 0 +56 *3610:35 *3628:11 0 +57 *3610:52 *3628:11 0 +58 *3610:52 *3628:15 0 +59 *3617:23 *3628:17 0 +*RES +1 *40690:X *3628:11 45.2107 +2 *3628:11 *3628:15 16.1607 +3 *3628:15 *3628:17 68.7143 +4 *3628:17 *3628:26 37.3929 +5 *3628:26 *3628:39 48.7076 +6 *3628:39 *3628:45 15.875 +7 *3628:45 *37892:B 13.9429 +8 *3628:45 *5772:DIODE 9.3 +*END + +*D_NET *3629 0.0228381 +*CONN +*I *8394:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40690:A I *D sky130_fd_sc_hd__buf_6 +*I *40691:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8394:DIODE 0 +2 *40690:A 0.00016428 +3 *40691:X 0.000957346 +4 *3629:133 0.000872739 +5 *3629:131 0.00204376 +6 *3629:115 0.00278827 +7 *3629:95 0.00268146 +8 *3629:77 0.00295459 +9 *3629:68 0.00261131 +10 *3629:45 0.00275099 +11 *3629:34 0.0029609 +12 *3629:24 0.00205246 +13 *40690:A *3841:64 0 +14 *3629:24 *3839:35 0 +15 *3629:24 *3884:40 0 +16 *3629:24 *4400:17 0 +17 *3629:34 *8398:DIODE 0 +18 *3629:34 *3645:29 0 +19 *3629:34 *3839:49 0 +20 *3629:45 *3875:25 0 +21 *3629:45 *4157:46 0 +22 *3629:45 *4168:39 0 +23 *3629:45 *4380:43 0 +24 *3629:68 *4380:68 0 +25 *3629:68 *4380:87 0 +26 *3629:77 *3645:97 0 +27 *3629:77 *4387:83 0 +28 *3629:77 *4400:29 0 +29 *3629:95 *3645:97 0 +30 *3629:95 *3839:81 0 +31 *3629:95 *4387:83 0 +32 *3629:95 *4397:37 0 +33 *3629:115 *37566:A 0 +34 *3629:115 *3637:9 0 +35 *3629:115 *3852:37 0 +36 *3629:115 *3855:59 0 +37 *3629:115 *3884:132 0 +38 *3629:131 *38238:B 0 +39 *3629:131 *40903:A 0 +40 *3629:131 *4133:79 0 +41 *3629:131 *4383:41 0 +42 *3629:133 *3841:64 0 +43 *3629:133 *4383:41 0 +44 *3629:133 *4383:63 0 +45 *5381:DIODE *3629:115 0 +46 *8586:DIODE *3629:24 0 +47 *37566:B *3629:115 0 +48 *38238:A *3629:131 0 +49 *39479:A *3629:34 0 +50 *40037:A *3629:115 0 +51 *1182:15 *3629:115 0 +52 *1371:10 *3629:115 0 +53 *1381:49 *3629:115 0 +54 *1387:29 *3629:68 0 +55 *1387:37 *3629:45 0 +56 *1395:13 *3629:45 0 +57 *1395:20 *3629:45 0 +58 *1398:20 *3629:68 0 +59 *1513:15 *3629:45 0 +60 *1518:17 *3629:131 0 +61 *1521:23 *3629:45 0 +62 *1683:15 *3629:34 0 +63 *1887:34 *3629:24 0 +64 *1887:34 *3629:34 0 +65 *1887:43 *3629:45 0 +66 *2171:61 *3629:24 0 +67 *2741:14 *3629:45 0 +68 *2745:14 *3629:115 0 +69 *2855:16 *3629:115 0 +70 *2887:20 *3629:115 0 +71 *3384:87 *3629:115 0 +72 *3559:43 *3629:133 0 +73 *3583:55 *3629:34 0 +74 *3591:56 *3629:45 0 +75 *3606:32 *3629:131 0 +76 *3606:46 *40690:A 0 +77 *3606:46 *3629:133 0 +78 *3610:35 *40690:A 0 +79 *3610:35 *3629:133 0 +*RES +1 *40691:X *3629:24 47.9429 +2 *3629:24 *3629:34 31.9643 +3 *3629:34 *3629:45 48.625 +4 *3629:45 *3629:68 37.5714 +5 *3629:68 *3629:77 49.8571 +6 *3629:77 *3629:95 48.4821 +7 *3629:95 *3629:115 49.1964 +8 *3629:115 *3629:131 37.3929 +9 *3629:131 *3629:133 14.9107 +10 *3629:133 *40690:A 12.7286 +11 *3629:133 *8394:DIODE 9.3 +*END + +*D_NET *3630 0.0213802 +*CONN +*I *8395:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40691:A I *D sky130_fd_sc_hd__buf_4 +*I *40692:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8395:DIODE 4.23535e-05 +2 *40691:A 0.000182658 +3 *40692:X 0.00343694 +4 *3630:46 0.00070552 +5 *3630:37 0.00702814 +6 *3630:14 0.00998458 +7 *40691:A *3839:35 0 +8 *3630:14 *3829:20 0 +9 *3630:14 *4101:20 0 +10 *3630:14 *4480:35 0 +11 *3630:37 *8407:DIODE 0 +12 *3630:37 *3633:48 0 +13 *3630:37 *3842:19 0 +14 *3630:37 *3850:32 0 +15 *3630:37 *3854:17 0 +16 *3630:37 *3888:24 0 +17 *3630:37 *4142:13 0 +18 *3630:46 *3642:32 0 +19 *3630:46 *3868:25 0 +20 *3630:46 *4142:13 0 +21 *37544:A *3630:37 0 +22 *37810:A *3630:14 0 +23 *41363:A *3630:14 0 +24 *41386:A *8395:DIODE 0 +25 *41386:A *40691:A 0 +26 *1183:32 *3630:37 0 +27 *1395:44 *3630:37 0 +28 *1397:55 *3630:37 0 +29 *1497:10 *3630:46 0 +30 *1675:70 *3630:46 0 +31 *1806:35 *3630:37 0 +32 *1812:13 *3630:14 0 +33 *1887:15 *40691:A 0 +34 *1939:12 *3630:14 0 +35 *2044:18 *3630:14 0 +36 *2179:36 *3630:37 0 +37 *2182:61 *3630:37 0 +38 *2189:18 *3630:37 0 +39 *2191:20 *3630:14 0 +40 *2202:64 *3630:37 0 +41 *2208:22 *3630:14 0 +42 *2733:42 *3630:37 0 +43 *2859:24 *3630:37 0 +44 *2880:19 *3630:37 0 +45 *3555:19 *3630:14 0 +46 *3569:60 *3630:14 0 +47 *3574:20 *3630:14 0 +48 *3574:20 *3630:37 0 +49 *3615:19 *3630:14 0 +50 *3615:19 *3630:37 0 +*RES +1 *40692:X *3630:14 47.5343 +2 *3630:14 *3630:37 49.5325 +3 *3630:37 *3630:46 11.6206 +4 *3630:46 *40691:A 22.2464 +5 *3630:46 *8395:DIODE 10.2464 +*END + +*D_NET *3631 0.0201656 +*CONN +*I *5770:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37890:B I *D sky130_fd_sc_hd__and3b_1 +*I *40693:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *5770:DIODE 0 +2 *37890:B 0.000163425 +3 *40693:X 0.00168302 +4 *3631:63 0.000832524 +5 *3631:61 0.00266485 +6 *3631:57 0.00349823 +7 *3631:52 0.00330966 +8 *3631:37 0.00406903 +9 *3631:19 0.00394486 +10 *3631:19 *38194:B 0 +11 *3631:19 *3883:25 0 +12 *3631:37 *5833:DIODE 0 +13 *3631:37 *37914:C 0 +14 *3631:37 *41212:A 0 +15 *3631:37 *3883:39 0 +16 *3631:37 *3883:41 0 +17 *3631:37 *4153:97 0 +18 *3631:37 *4207:16 0 +19 *3631:52 *5831:DIODE 0 +20 *3631:52 *37924:C 0 +21 *3631:52 *4430:50 0 +22 *3631:52 *4439:49 0 +23 *3631:52 *4693:38 0 +24 *3631:57 *4399:52 0 +25 *3631:61 *38160:A 0 +26 *3631:61 *38160:B 0 +27 *3631:61 *4181:47 0 +28 *3631:61 *4399:52 0 +29 *3631:61 *4420:83 0 +30 *3631:63 *37500:A 0 +31 *3631:63 *4420:83 0 +32 *5314:DIODE *3631:61 0 +33 *5317:DIODE *3631:61 0 +34 *5851:DIODE *3631:61 0 +35 *6216:DIODE *3631:19 0 +36 *7410:DIODE *3631:37 0 +37 *8389:DIODE *3631:37 0 +38 *37990:A_N *3631:19 0 +39 *39929:A *3631:37 0 +40 *304:23 *3631:52 0 +41 *1168:17 *3631:19 0 +42 *1168:42 *3631:19 0 +43 *1168:42 *3631:37 0 +44 *1350:36 *37890:B 0 +45 *1350:36 *3631:63 0 +46 *1353:11 *3631:61 0 +47 *1353:19 *3631:61 0 +48 *1479:10 *3631:61 0 +49 *1780:19 *3631:37 0 +50 *1789:22 *3631:52 0 +51 *2797:75 *3631:19 0 +52 *3378:51 *3631:52 0 +53 *3378:58 *3631:52 0 +54 *3585:32 *3631:57 0 +55 *3585:44 *3631:61 0 +56 *3585:44 *3631:63 0 +57 *3589:24 *3631:52 0 +58 *3589:25 *3631:52 0 +59 *3604:16 *3631:52 0 +60 *3606:83 *3631:19 0 +61 *3609:11 *3631:37 0 +62 *3609:15 *3631:37 0 +63 *3609:34 *3631:63 0 +64 *3617:23 *3631:52 0 +65 *3628:39 *3631:61 0 +*RES +1 *40693:X *3631:19 44.675 +2 *3631:19 *3631:37 47.5179 +3 *3631:37 *3631:52 47.1559 +4 *3631:52 *3631:57 35.8571 +5 *3631:57 *3631:61 41.7143 +6 *3631:61 *3631:63 14.0893 +7 *3631:63 *37890:B 12.7107 +8 *3631:63 *5770:DIODE 9.3 +*END + +*D_NET *3632 0.0196998 +*CONN +*I *8397:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40693:A I *D sky130_fd_sc_hd__buf_6 +*I *40694:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8397:DIODE 0 +2 *40693:A 0.000189364 +3 *40694:X 0.00123901 +4 *3632:69 0.00216329 +5 *3632:68 0.00351223 +6 *3632:57 0.00336998 +7 *3632:49 0.00375021 +8 *3632:41 0.00307761 +9 *3632:14 0.00239809 +10 *40693:A *3883:25 0 +11 *40693:A *4399:13 0 +12 *3632:14 *3845:46 0 +13 *3632:14 *3845:77 0 +14 *3632:14 *4944:10 0 +15 *3632:41 *8563:DIODE 0 +16 *3632:41 *3635:59 0 +17 *3632:41 *3845:77 0 +18 *3632:41 *3856:94 0 +19 *3632:41 *3866:32 0 +20 *3632:41 *3884:54 0 +21 *3632:41 *3884:71 0 +22 *3632:41 *4390:14 0 +23 *3632:41 *4400:29 0 +24 *3632:49 *3884:71 0 +25 *3632:49 *3884:87 0 +26 *3632:49 *4400:29 0 +27 *3632:57 *5367:DIODE 0 +28 *3632:57 *37566:A 0 +29 *3632:57 *3845:114 0 +30 *3632:57 *3884:87 0 +31 *3632:57 *3884:108 0 +32 *3632:68 *5367:DIODE 0 +33 *3632:68 *8587:DIODE 0 +34 *3632:68 *3845:121 0 +35 *3632:68 *3883:12 0 +36 *5390:DIODE *3632:49 0 +37 *37554:B *3632:49 0 +38 *37558:B *3632:68 0 +39 *37578:B *3632:49 0 +40 *37579:A *3632:49 0 +41 *38008:A_N *3632:14 0 +42 *38008:C *3632:14 0 +43 *40681:A *3632:49 0 +44 *1168:17 *3632:69 0 +45 *1176:20 *3632:49 0 +46 *1391:28 *3632:41 0 +47 *1403:16 *3632:14 0 +48 *1490:33 *3632:49 0 +49 *1513:15 *3632:14 0 +50 *3559:26 *3632:57 0 +51 *3559:26 *3632:68 0 +52 *3573:20 *3632:14 0 +53 *3579:15 *3632:41 0 +54 *3580:138 *3632:41 0 +55 *3618:20 *3632:49 0 +56 *3618:20 *3632:57 0 +*RES +1 *40694:X *3632:14 48.925 +2 *3632:14 *3632:41 47.2321 +3 *3632:41 *3632:49 40.1339 +4 *3632:49 *3632:57 38.4732 +5 *3632:57 *3632:68 41.4107 +6 *3632:68 *3632:69 41.1964 +7 *3632:69 *40693:A 22.3357 +8 *3632:69 *8397:DIODE 9.3 +*END + +*D_NET *3633 0.0240436 +*CONN +*I *40694:A I *D sky130_fd_sc_hd__buf_4 +*I *8398:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40695:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40694:A 0.000313749 +2 *8398:DIODE 0.000540471 +3 *40695:X 0.000382449 +4 *3633:98 0.00196224 +5 *3633:85 0.00241888 +6 *3633:61 0.00314619 +7 *3633:48 0.00264082 +8 *3633:26 0.00279383 +9 *3633:20 0.00360385 +10 *3633:12 0.0037371 +11 *3633:8 0.00250405 +12 *8398:DIODE *3839:49 0 +13 *8398:DIODE *3886:19 0 +14 *40694:A *3845:46 0 +15 *40694:A *4400:17 0 +16 *3633:12 *3861:20 0 +17 *3633:26 *3856:37 0 +18 *3633:48 *8401:DIODE 0 +19 *3633:48 *3635:18 0 +20 *3633:48 *3639:14 0 +21 *3633:61 *4403:19 0 +22 *3633:85 *3829:20 0 +23 *3633:85 *3845:23 0 +24 *3633:85 *3856:54 0 +25 *3633:85 *3856:76 0 +26 *3633:85 *4385:21 0 +27 *3633:85 *4385:38 0 +28 *3633:98 *5394:DIODE 0 +29 *7433:DIODE *3633:61 0 +30 *7833:DIODE *3633:85 0 +31 *8370:DIODE *3633:8 0 +32 *8959:DIODE *3633:8 0 +33 *37580:A *3633:61 0 +34 *39471:A *3633:61 0 +35 *40010:A *3633:48 0 +36 *40630:A *3633:26 0 +37 *1171:17 *3633:61 0 +38 *1171:34 *3633:61 0 +39 *1195:40 *3633:61 0 +40 *1377:14 *3633:98 0 +41 *1397:55 *3633:48 0 +42 *1507:12 *3633:98 0 +43 *1803:7 *3633:61 0 +44 *1889:45 *8398:DIODE 0 +45 *1897:26 *3633:85 0 +46 *1897:26 *3633:98 0 +47 *1908:9 *3633:12 0 +48 *1912:57 *3633:85 0 +49 *1919:47 *3633:85 0 +50 *1935:20 *3633:26 0 +51 *1936:7 *3633:20 0 +52 *1946:15 *3633:26 0 +53 *1952:59 *3633:61 0 +54 *2157:18 *3633:20 0 +55 *2162:36 *3633:26 0 +56 *2162:58 *3633:61 0 +57 *2164:50 *3633:61 0 +58 *2169:12 *3633:12 0 +59 *2172:33 *3633:20 0 +60 *2172:41 *3633:26 0 +61 *2172:65 *3633:26 0 +62 *2179:10 *3633:20 0 +63 *2188:13 *3633:12 0 +64 *2201:26 *3633:12 0 +65 *2202:39 *3633:26 0 +66 *2205:10 *3633:20 0 +67 *2754:9 *3633:85 0 +68 *3190:19 *3633:12 0 +69 *3363:15 *3633:12 0 +70 *3565:13 *3633:12 0 +71 *3574:20 *3633:48 0 +72 *3580:65 *3633:48 0 +73 *3583:62 *40694:A 0 +74 *3587:56 *3633:98 0 +75 *3614:18 *40694:A 0 +76 *3619:45 *3633:85 0 +77 *3629:34 *8398:DIODE 0 +78 *3630:37 *3633:48 0 +*RES +1 *40695:X *3633:8 26.925 +2 *3633:8 *3633:12 49.2321 +3 *3633:12 *3633:20 47.5714 +4 *3633:20 *3633:26 49.6511 +5 *3633:26 *3633:48 28.8511 +6 *3633:48 *3633:61 48.0893 +7 *3633:61 *3633:85 47.2914 +8 *3633:85 *3633:98 23.7631 +9 *3633:98 *8398:DIODE 34.6929 +10 *3633:98 *40694:A 29.675 +*END + +*D_NET *3634 0.0193074 +*CONN +*I *5767:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37888:B I *D sky130_fd_sc_hd__and3b_1 +*I *40696:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *5767:DIODE 0 +2 *37888:B 0.00030001 +3 *40696:X 0.0012689 +4 *3634:31 0.00383161 +5 *3634:29 0.00360625 +6 *3634:23 0.00439043 +7 *3634:21 0.00447856 +8 *3634:16 0.00143169 +9 *3634:16 *5367:DIODE 0 +10 *3634:16 *3637:9 0 +11 *3634:16 *3637:21 0 +12 *3634:16 *3842:30 0 +13 *3634:16 *3852:37 0 +14 *3634:16 *3855:48 0 +15 *3634:16 *3855:59 0 +16 *3634:16 *3866:46 0 +17 *3634:16 *4381:21 0 +18 *3634:21 *3637:21 0 +19 *3634:21 *3637:23 0 +20 *3634:21 *3855:63 0 +21 *3634:23 *37552:A 0 +22 *3634:23 *40918:A 0 +23 *3634:23 *3637:23 0 +24 *3634:23 *3855:63 0 +25 *3634:23 *3855:71 0 +26 *3634:31 *37540:A 0 +27 *5331:DIODE *3634:31 0 +28 *37539:A *3634:31 0 +29 *37540:B *3634:31 0 +30 *37558:B *3634:16 0 +31 *37909:A *3634:21 0 +32 *39909:A *3634:16 0 +33 *39950:A *3634:23 0 +34 *39950:A *3634:29 0 +35 *40639:A *3634:23 0 +36 *40665:A *3634:31 0 +37 *40677:A *3634:23 0 +38 *1168:49 *3634:31 0 +39 *1169:11 *3634:31 0 +40 *1181:24 *3634:16 0 +41 *1485:34 *37888:B 0 +42 *1518:17 *3634:16 0 +43 *1777:10 *37888:B 0 +44 *1785:10 *3634:16 0 +45 *2781:6 *37888:B 0 +46 *2791:45 *3634:31 0 +47 *2791:47 *3634:29 0 +48 *2791:47 *3634:31 0 +49 *2797:35 *37888:B 0 +50 *2797:35 *3634:31 0 +51 *2797:57 *3634:31 0 +52 *2797:75 *3634:23 0 +53 *2898:10 *3634:31 0 +54 *3570:18 *3634:23 0 +55 *3570:18 *3634:29 0 +56 *3570:18 *3634:31 0 +57 *3570:24 *3634:31 0 +58 *3600:7 *3634:31 0 +59 *3600:23 *37888:B 0 +60 *3600:23 *3634:31 0 +61 *3613:7 *3634:23 0 +62 *3614:73 *3634:23 0 +63 *3621:21 *37888:B 0 +*RES +1 *40696:X *3634:16 49.675 +2 *3634:16 *3634:21 7.92857 +3 *3634:21 *3634:23 90.0714 +4 *3634:23 *3634:29 1.80357 +5 *3634:29 *3634:31 73.6429 +6 *3634:31 *37888:B 33.7286 +7 *3634:31 *5767:DIODE 9.3 +*END + +*D_NET *3635 0.0195937 +*CONN +*I *8400:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40696:A I *D sky130_fd_sc_hd__buf_6 +*I *40697:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8400:DIODE 0 +2 *40696:A 0.000143745 +3 *40697:X 0.000739939 +4 *3635:87 0.000465461 +5 *3635:80 0.00200557 +6 *3635:70 0.00255638 +7 *3635:59 0.00252368 +8 *3635:40 0.00375484 +9 *3635:33 0.00438392 +10 *3635:18 0.00302018 +11 *40696:A *3848:31 0 +12 *40696:A *3852:37 0 +13 *3635:18 *3865:20 0 +14 *3635:33 *4385:21 0 +15 *3635:40 *37582:A 0 +16 *3635:40 *3845:77 0 +17 *3635:40 *4380:68 0 +18 *3635:59 *40892:A 0 +19 *3635:59 *3845:77 0 +20 *3635:59 *3845:90 0 +21 *3635:59 *3852:15 0 +22 *3635:59 *3855:24 0 +23 *3635:59 *3870:53 0 +24 *3635:70 *4381:21 0 +25 *3635:80 *3848:19 0 +26 *3635:80 *4381:21 0 +27 *3635:80 *4385:47 0 +28 *3635:87 *3848:31 0 +29 *3635:87 *3852:37 0 +30 *3635:87 *4381:21 0 +31 *5595:DIODE *3635:33 0 +32 *6283:DIODE *3635:40 0 +33 *8248:DIODE *3635:87 0 +34 *8371:DIODE *3635:59 0 +35 *37580:B *3635:33 0 +36 *37583:A *3635:40 0 +37 *37764:B *3635:33 0 +38 *37996:A_N *3635:40 0 +39 *38008:A_N *3635:40 0 +40 *38008:C *3635:40 0 +41 *40184:A *3635:33 0 +42 *40662:A *3635:59 0 +43 *40666:A *3635:59 0 +44 *40678:A *3635:40 0 +45 *40685:A *3635:59 0 +46 *41377:A *3635:59 0 +47 *1171:34 *3635:33 0 +48 *1371:10 *3635:80 0 +49 *1397:16 *3635:40 0 +50 *1397:27 *3635:33 0 +51 *1403:16 *3635:40 0 +52 *1403:29 *3635:33 0 +53 *1915:46 *3635:33 0 +54 *2164:69 *3635:33 0 +55 *2171:61 *3635:33 0 +56 *2176:28 *3635:18 0 +57 *2193:50 *3635:18 0 +58 *2205:22 *3635:33 0 +59 *2205:27 *3635:33 0 +60 *2855:16 *3635:80 0 +61 *3339:67 *3635:40 0 +62 *3384:95 *3635:87 0 +63 *3580:65 *3635:18 0 +64 *3580:138 *3635:40 0 +65 *3587:56 *3635:33 0 +66 *3602:61 *3635:59 0 +67 *3622:28 *3635:59 0 +68 *3632:41 *3635:59 0 +69 *3633:48 *3635:18 0 +*RES +1 *40697:X *3635:18 34.0143 +2 *3635:18 *3635:33 48.0714 +3 *3635:33 *3635:40 48.5 +4 *3635:40 *3635:59 48.1607 +5 *3635:59 *3635:70 36.5 +6 *3635:70 *3635:80 48.7857 +7 *3635:80 *3635:87 11.3393 +8 *3635:87 *40696:A 12.3 +9 *3635:87 *8400:DIODE 9.3 +*END + +*D_NET *3636 0.0214188 +*CONN +*I *40697:A I *D sky130_fd_sc_hd__buf_4 +*I *8401:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40698:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40697:A 3.2228e-05 +2 *8401:DIODE 0.000458616 +3 *40698:X 0.0033566 +4 *3636:39 0.00246178 +5 *3636:38 0.00686194 +6 *3636:19 0.0082476 +7 *8401:DIODE *3639:14 0 +8 *3636:19 *3694:19 0 +9 *3636:19 *4321:8 0 +10 *3636:19 *4353:8 0 +11 *3636:19 *4376:19 0 +12 *3636:38 *3646:30 0 +13 *3636:38 *3671:27 0 +14 *3636:38 *3694:19 0 +15 *3636:39 *3639:14 0 +16 *3636:39 *3873:13 0 +17 *8250:DIODE *3636:19 0 +18 *40010:A *3636:39 0 +19 *1524:47 *3636:38 0 +20 *1939:12 *3636:38 0 +21 *2044:18 *3636:19 0 +22 *2046:25 *3636:38 0 +23 *2052:21 *3636:19 0 +24 *2164:23 *3636:39 0 +25 *2171:10 *3636:39 0 +26 *2186:18 *3636:38 0 +27 *2193:50 *8401:DIODE 0 +28 *2195:10 *3636:39 0 +29 *2202:23 *3636:38 0 +30 *2216:11 *3636:19 0 +31 *2224:26 *3636:19 0 +32 *2228:22 *3636:19 0 +33 *2236:25 *3636:19 0 +34 *2237:9 *3636:19 0 +35 *3555:19 *3636:38 0 +36 *3555:31 *3636:38 0 +37 *3555:31 *3636:39 0 +38 *3569:21 *3636:19 0 +39 *3569:60 *3636:19 0 +40 *3569:60 *3636:38 0 +41 *3580:65 *8401:DIODE 0 +42 *3633:48 *8401:DIODE 0 +*RES +1 *40698:X *3636:19 46.3918 +2 *3636:19 *3636:38 39.6649 +3 *3636:38 *3636:39 41.1964 +4 *3636:39 *8401:DIODE 18.8714 +5 *3636:39 *40697:A 19.0321 +*END + +*D_NET *3637 0.0201611 +*CONN +*I *5763:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37886:B I *D sky130_fd_sc_hd__and3b_1 +*I *40699:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *5763:DIODE 0 +2 *37886:B 0.000253443 +3 *40699:X 0.000379256 +4 *3637:46 0.000910968 +5 *3637:40 0.00271914 +6 *3637:23 0.006276 +7 *3637:21 0.00452013 +8 *3637:9 0.00251433 +9 *3637:7 0.00258784 +10 *37886:B *37886:A_N 0 +11 *37886:B *3883:41 0 +12 *3637:7 *3852:15 0 +13 *3637:7 *3852:37 0 +14 *3637:7 *3892:39 0 +15 *3637:9 *3852:37 0 +16 *3637:9 *3855:59 0 +17 *3637:9 *3866:33 0 +18 *3637:9 *3892:39 0 +19 *3637:21 *3866:46 0 +20 *3637:23 *3866:46 0 +21 *3637:23 *4972:15 0 +22 *3637:40 *4399:40 0 +23 *5594:DIODE *3637:23 0 +24 *37558:B *3637:9 0 +25 *37559:A *3637:9 0 +26 *37566:B *3637:9 0 +27 *37585:A *3637:7 0 +28 *37909:A *3637:21 0 +29 *39909:A *3637:9 0 +30 *40047:A *3637:46 0 +31 *1165:11 *3637:40 0 +32 *1167:13 *3637:46 0 +33 *1175:11 *3637:23 0 +34 *1356:10 *3637:40 0 +35 *1383:33 *3637:21 0 +36 *1394:39 *3637:23 0 +37 *1496:16 *3637:23 0 +38 *1783:10 *3637:40 0 +39 *2745:14 *3637:9 0 +40 *2797:57 *3637:40 0 +41 *2797:75 *3637:23 0 +42 *2898:10 *3637:46 0 +43 *2908:31 *3637:21 0 +44 *3384:87 *3637:9 0 +45 *3547:49 *3637:46 0 +46 *3556:62 *3637:40 0 +47 *3559:43 *3637:9 0 +48 *3559:43 *3637:21 0 +49 *3570:18 *3637:40 0 +50 *3609:17 *37886:B 0 +51 *3629:115 *3637:9 0 +52 *3634:16 *3637:9 0 +53 *3634:16 *3637:21 0 +54 *3634:21 *3637:21 0 +55 *3634:21 *3637:23 0 +56 *3634:23 *3637:23 0 +*RES +1 *40699:X *3637:7 17.2464 +2 *3637:7 *3637:9 46.125 +3 *3637:9 *3637:21 16.2679 +4 *3637:21 *3637:23 88.0179 +5 *3637:23 *3637:40 42.8957 +6 *3637:40 *3637:46 23.0536 +7 *3637:46 *37886:B 23.8179 +8 *3637:46 *5763:DIODE 9.3 +*END + +*D_NET *3638 0.00386899 +*CONN +*I *40532:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38543:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40532:A 0.00112179 +2 *38543:X 0.0008127 +3 *3638:12 0.00193449 +4 *3638:12 *39103:A 0 +5 *3638:12 *4474:11 0 +6 *3638:12 *4474:22 0 +7 *3638:12 *4476:10 0 +8 *3638:12 *4477:24 0 +9 la_data_in_mprj[60] *3638:12 0 +10 *6679:DIODE *3638:12 0 +11 *472:8 *3638:12 0 +12 *1812:23 *3638:12 0 +13 *1959:10 *40532:A 0 +14 *1962:21 *40532:A 0 +15 *2003:8 *40532:A 0 +16 *2033:31 *3638:12 0 +17 *2082:31 *3638:12 0 +18 *2098:29 *3638:12 0 +19 *2120:21 *40532:A 0 +20 *2120:35 *3638:12 0 +21 *2149:19 *40532:A 0 +*RES +1 *38543:X *3638:12 36.8179 +2 *3638:12 *40532:A 41.9429 +*END + +*D_NET *3639 0.0182177 +*CONN +*I *8403:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40699:A I *D sky130_fd_sc_hd__buf_4 +*I *40700:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8403:DIODE 0 +2 *40699:A 0.000124066 +3 *40700:X 0.000596859 +4 *3639:69 0.000891562 +5 *3639:67 0.00234786 +6 *3639:52 0.00408919 +7 *3639:34 0.00364602 +8 *3639:19 0.00353123 +9 *3639:14 0.0029909 +10 *40699:A *3852:15 0 +11 *3639:19 *3854:17 0 +12 *3639:34 *4385:38 0 +13 *3639:34 *4403:31 0 +14 *3639:34 *4403:35 0 +15 *3639:52 *37966:C 0 +16 *3639:52 *3843:15 0 +17 *3639:52 *3866:32 0 +18 *3639:52 *4383:15 0 +19 *3639:52 *4385:38 0 +20 *3639:52 *4390:20 0 +21 *3639:52 *4403:35 0 +22 *3639:67 *3843:15 0 +23 *3639:67 *3852:15 0 +24 *3639:67 *4383:15 0 +25 *3639:67 *4385:47 0 +26 *3639:67 *4403:51 0 +27 *3639:69 *5389:DIODE 0 +28 *3639:69 *3845:103 0 +29 *3639:69 *3852:15 0 +30 *5391:DIODE *3639:19 0 +31 *5405:DIODE *3639:34 0 +32 *5602:DIODE *3639:19 0 +33 *8351:DIODE *3639:19 0 +34 *8401:DIODE *3639:14 0 +35 *8566:DIODE *3639:19 0 +36 *37579:A *3639:67 0 +37 *37581:A *3639:67 0 +38 *37586:B *3639:19 0 +39 *37760:B *3639:19 0 +40 *39931:A *40699:A 0 +41 *39931:A *3639:69 0 +42 *40010:A *3639:14 0 +43 *40921:A *3639:19 0 +44 *1189:20 *3639:34 0 +45 *1189:41 *3639:67 0 +46 *1195:28 *3639:34 0 +47 *1375:22 *3639:34 0 +48 *1391:28 *3639:52 0 +49 *1675:32 *3639:52 0 +50 *1805:15 *3639:34 0 +51 *1908:31 *3639:34 0 +52 *2164:23 *3639:14 0 +53 *2171:10 *3639:19 0 +54 *2171:31 *3639:19 0 +55 *2176:14 *3639:14 0 +56 *2179:36 *3639:19 0 +57 *2202:65 *3639:19 0 +58 *2855:51 *3639:34 0 +59 *2855:59 *3639:34 0 +60 *3555:75 *3639:19 0 +61 *3574:20 *3639:52 0 +62 *3580:65 *3639:19 0 +63 *3587:56 *3639:52 0 +64 *3598:50 *3639:52 0 +65 *3633:48 *3639:14 0 +66 *3636:39 *3639:14 0 +*RES +1 *40700:X *3639:14 30.8893 +2 *3639:14 *3639:19 49.9643 +3 *3639:19 *3639:34 46.9286 +4 *3639:34 *3639:52 38.1479 +5 *3639:52 *3639:67 42.0048 +6 *3639:67 *3639:69 16.1429 +7 *3639:69 *40699:A 11.8893 +8 *3639:69 *8403:DIODE 9.3 +*END + +*D_NET *3640 0.0224123 +*CONN +*I *8404:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40700:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40701:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8404:DIODE 8.8532e-05 +2 *40700:A 0.000656 +3 *40701:X 0.000871153 +4 *3640:43 0.00600691 +5 *3640:19 0.0073545 +6 *3640:15 0.00432809 +7 *3640:11 0.00310712 +8 *40700:A *3844:14 0 +9 *3640:19 *38010:C 0 +10 *3640:19 *4366:10 0 +11 *3640:43 *3836:22 0 +12 *3640:43 *3870:28 0 +13 *3640:43 *3890:24 0 +14 *3640:43 *4311:22 0 +15 *3640:43 *4350:13 0 +16 *3640:43 *4386:38 0 +17 *8387:DIODE *3640:43 0 +18 *37602:A *3640:19 0 +19 *37603:A *3640:19 0 +20 *37606:A *3640:11 0 +21 *37810:A *3640:19 0 +22 *37812:A *3640:19 0 +23 *38010:B *3640:19 0 +24 *40644:A *3640:43 0 +25 *40843:A *3640:15 0 +26 *41357:A *3640:43 0 +27 *1304:10 *3640:19 0 +28 *1305:19 *3640:19 0 +29 *1390:10 *8404:DIODE 0 +30 *1390:10 *40700:A 0 +31 *1404:10 *3640:19 0 +32 *1506:22 *40700:A 0 +33 *1687:21 *3640:15 0 +34 *1687:21 *3640:19 0 +35 *1914:29 *3640:43 0 +36 *1919:23 *40700:A 0 +37 *2157:28 *40700:A 0 +38 *2164:23 *40700:A 0 +39 *2174:25 *3640:43 0 +40 *2176:14 *40700:A 0 +41 *2185:22 *3640:43 0 +42 *2188:39 *3640:43 0 +43 *2197:80 *3640:43 0 +44 *2202:64 *40700:A 0 +45 *2203:19 *3640:19 0 +46 *2212:25 *3640:19 0 +47 *2215:20 *3640:15 0 +48 *2219:13 *3640:15 0 +49 *2224:26 *3640:11 0 +50 *2224:26 *3640:15 0 +51 *2255:13 *3640:11 0 +52 *2747:63 *3640:43 0 +53 *3176:37 *3640:19 0 +54 *3557:29 *3640:43 0 +55 *3577:43 *8404:DIODE 0 +56 *3578:89 *3640:43 0 +57 *3580:43 *40700:A 0 +58 *3580:43 *3640:43 0 +59 *3581:19 *3640:11 0 +60 *3608:18 *3640:11 0 +61 *3611:27 *3640:43 0 +62 *3620:33 *3640:43 0 +63 *3623:16 *3640:43 0 +64 *3623:20 *3640:43 0 +*RES +1 *40701:X *3640:11 36.6214 +2 *3640:11 *3640:15 46.7589 +3 *3640:15 *3640:19 43.6786 +4 *3640:19 *3640:43 49.2423 +5 *3640:43 *40700:A 28.3268 +6 *3640:43 *8404:DIODE 15.8268 +*END + +*D_NET *3641 0.0193231 +*CONN +*I *5759:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37884:B I *D sky130_fd_sc_hd__and3b_2 +*I *40702:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *5759:DIODE 9.23777e-05 +2 *37884:B 0.000177834 +3 *40702:X 0.00159708 +4 *3641:39 0.00291787 +5 *3641:25 0.00666525 +6 *3641:24 0.00514662 +7 *3641:14 0.00272611 +8 *5759:DIODE *4396:32 0 +9 *5759:DIODE *4424:63 0 +10 *5759:DIODE *4429:36 0 +11 *37884:B *4184:70 0 +12 *37884:B *4396:32 0 +13 *37884:B *4419:35 0 +14 *3641:14 *3868:44 0 +15 *3641:14 *4185:21 0 +16 *3641:14 *4208:21 0 +17 *3641:24 *4168:72 0 +18 *3641:25 *4150:17 0 +19 *3641:25 *4150:27 0 +20 *3641:25 *4158:11 0 +21 *3641:25 *4158:45 0 +22 *3641:25 *4168:72 0 +23 *3641:25 *4180:82 0 +24 *3641:25 *4184:17 0 +25 *3641:25 *4184:38 0 +26 *3641:25 *4824:14 0 +27 *3641:39 *4158:45 0 +28 *3641:39 *4158:54 0 +29 *3641:39 *4190:40 0 +30 *3641:39 *4195:5 0 +31 *3641:39 *4201:18 0 +32 *3641:39 *4424:33 0 +33 *3641:39 *4424:55 0 +34 *3641:39 *4424:63 0 +35 *5845:DIODE *3641:39 0 +36 *37561:A *5759:DIODE 0 +37 *1473:24 *3641:39 0 +38 *1664:18 *3641:39 0 +39 *2795:17 *3641:39 0 +*RES +1 *40702:X *3641:14 34.1766 +2 *3641:14 *3641:24 33 +3 *3641:24 *3641:25 83.9107 +4 *3641:25 *3641:39 35.9455 +5 *3641:39 *37884:B 18.3418 +6 *3641:39 *5759:DIODE 19.3082 +*END + +*D_NET *3642 0.023277 +*CONN +*I *8406:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40702:A I *D sky130_fd_sc_hd__buf_6 +*I *40703:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8406:DIODE 0 +2 *40702:A 0.000184815 +3 *40703:X 0.00144767 +4 *3642:101 0.000305886 +5 *3642:98 0.00208786 +6 *3642:94 0.002735 +7 *3642:81 0.0029383 +8 *3642:78 0.00307045 +9 *3642:62 0.00222666 +10 *3642:48 0.00265263 +11 *3642:32 0.00275318 +12 *3642:21 0.00287452 +13 *40702:A *3886:51 0 +14 *40702:A *4205:8 0 +15 *3642:21 *8407:DIODE 0 +16 *3642:21 *3646:53 0 +17 *3642:21 *3839:35 0 +18 *3642:21 *4380:19 0 +19 *3642:21 *4380:21 0 +20 *3642:21 *4458:48 0 +21 *3642:21 *4464:24 0 +22 *3642:21 *4464:26 0 +23 *3642:32 *40706:A 0 +24 *3642:32 *3645:13 0 +25 *3642:32 *3839:35 0 +26 *3642:62 *3840:71 0 +27 *3642:62 *3974:23 0 +28 *3642:78 *3840:71 0 +29 *3642:78 *3921:46 0 +30 *3642:78 *3974:23 0 +31 *3642:78 *4760:28 0 +32 *3642:81 *37562:A 0 +33 *3642:81 *3929:8 0 +34 *3642:81 *3974:25 0 +35 *3642:94 *3921:46 0 +36 *3642:94 *3974:25 0 +37 *3642:94 *4151:41 0 +38 *3642:98 *40930:A 0 +39 *3642:98 *3897:60 0 +40 *3642:98 *4173:42 0 +41 *3642:98 *4440:16 0 +42 *3642:98 *4726:19 0 +43 *3642:101 *3886:51 0 +44 *5353:DIODE *3642:21 0 +45 *37544:B *3642:21 0 +46 *37562:B *3642:81 0 +47 *37563:A *3642:94 0 +48 *41368:A *3642:21 0 +49 *1402:20 *3642:48 0 +50 *1497:10 *3642:32 0 +51 *1502:28 *3642:48 0 +52 *1533:43 *3642:48 0 +53 *1887:15 *3642:21 0 +54 *1887:15 *3642:32 0 +55 *1890:19 *3642:21 0 +56 *1901:35 *3642:48 0 +57 *2000:32 *3642:48 0 +58 *2022:31 *3642:48 0 +59 *2063:22 *3642:48 0 +60 *2148:18 *3642:62 0 +61 *2166:61 *3642:48 0 +62 *3058:28 *3642:78 0 +63 *3231:23 *3642:98 0 +64 *3331:94 *3642:48 0 +65 *3333:58 *3642:98 0 +66 *3344:17 *3642:94 0 +67 *3384:51 *3642:78 0 +68 *3384:51 *3642:81 0 +69 *3384:63 *3642:81 0 +70 *3384:63 *3642:94 0 +71 *3384:77 *3642:98 0 +72 *3458:16 *3642:98 0 +73 *3567:25 *3642:98 0 +74 *3630:46 *3642:32 0 +*RES +1 *40703:X *3642:21 48.9339 +2 *3642:21 *3642:32 40.0982 +3 *3642:32 *3642:48 46.8214 +4 *3642:48 *3642:62 46.1786 +5 *3642:62 *3642:78 32.5679 +6 *3642:78 *3642:81 45.3214 +7 *3642:81 *3642:94 24.5233 +8 *3642:94 *3642:98 46.9107 +9 *3642:98 *3642:101 7.08929 +10 *3642:101 *40702:A 13.2821 +11 *3642:101 *8406:DIODE 9.3 +*END + +*D_NET *3643 0.0220363 +*CONN +*I *40703:A I *D sky130_fd_sc_hd__buf_4 +*I *8407:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40704:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40703:A 1.90377e-05 +2 *8407:DIODE 0.000850904 +3 *40704:X 0.000580182 +4 *3643:29 0.00199065 +5 *3643:15 0.00289072 +6 *3643:9 0.00844731 +7 *3643:8 0.00725749 +8 *8407:DIODE *3646:53 0 +9 *8407:DIODE *3888:24 0 +10 *8407:DIODE *4464:24 0 +11 *3643:9 *3646:9 0 +12 *3643:9 *4101:23 0 +13 *3643:9 *4101:25 0 +14 *3643:29 *37982:A_N 0 +15 *3643:29 *37982:C 0 +16 *5378:DIODE *8407:DIODE 0 +17 *37982:B *3643:29 0 +18 *40843:A *3643:8 0 +19 *1183:32 *8407:DIODE 0 +20 *1523:22 *3643:29 0 +21 *1888:17 *3643:29 0 +22 *1890:19 *8407:DIODE 0 +23 *1931:25 *3643:9 0 +24 *1933:16 *3643:9 0 +25 *1934:25 *3643:15 0 +26 *2161:35 *3643:29 0 +27 *2161:63 *8407:DIODE 0 +28 *2162:13 *3643:9 0 +29 *2162:36 *3643:15 0 +30 *2172:33 *3643:9 0 +31 *2176:13 *3643:9 0 +32 *2176:14 *3643:15 0 +33 *2195:21 *3643:29 0 +34 *2242:17 *3643:8 0 +35 *2733:56 *3643:29 0 +36 *3123:67 *3643:9 0 +37 *3222:37 *8407:DIODE 0 +38 *3385:28 *3643:29 0 +39 *3386:48 *3643:8 0 +40 *3565:17 *3643:9 0 +41 *3565:17 *3643:15 0 +42 *3565:25 *3643:29 0 +43 *3599:35 *3643:9 0 +44 *3599:49 *3643:9 0 +45 *3630:37 *8407:DIODE 0 +46 *3642:21 *8407:DIODE 0 +*RES +1 *40704:X *3643:8 31.4786 +2 *3643:8 *3643:9 139.357 +3 *3643:9 *3643:15 46 +4 *3643:15 *3643:29 33.25 +5 *3643:29 *8407:DIODE 36.0554 +6 *3643:29 *40703:A 9.72857 +*END + +*D_NET *3644 0.0205549 +*CONN +*I *37882:B I *D sky130_fd_sc_hd__and3b_1 +*I *5756:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40705:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *37882:B 0.000248402 +2 *5756:DIODE 4.56186e-05 +3 *40705:X 0.00080038 +4 *3644:72 0.000784393 +5 *3644:68 0.00270437 +6 *3644:52 0.00411433 +7 *3644:37 0.00505588 +8 *3644:22 0.00457832 +9 *3644:16 0.00222316 +10 *3644:16 *3841:64 0 +11 *3644:16 *4383:85 0 +12 *3644:22 *4383:85 0 +13 *3644:22 *4383:90 0 +14 *3644:22 *4398:55 0 +15 *3644:37 *5336:DIODE 0 +16 *3644:37 *37906:C 0 +17 *3644:37 *37938:B 0 +18 *3644:37 *37964:B 0 +19 *3644:37 *4180:108 0 +20 *3644:37 *4190:16 0 +21 *3644:37 *4208:33 0 +22 *3644:37 *4388:30 0 +23 *3644:37 *4398:63 0 +24 *3644:37 *4398:70 0 +25 *3644:37 *4422:35 0 +26 *3644:37 *4436:15 0 +27 *3644:37 *4436:23 0 +28 *3644:68 *3891:20 0 +29 *3644:68 *4203:16 0 +30 *3644:72 *4399:52 0 +31 *5384:DIODE *3644:22 0 +32 *5894:DIODE *3644:37 0 +33 *37508:B *3644:72 0 +34 *37930:B *3644:37 0 +35 *37968:A_N *3644:22 0 +36 *40669:A *3644:52 0 +37 *297:13 *5756:DIODE 0 +38 *297:13 *3644:72 0 +39 *1340:10 *37882:B 0 +40 *1353:19 *3644:72 0 +41 *1365:10 *3644:52 0 +42 *1784:12 *3644:37 0 +43 *1792:29 *3644:37 0 +44 *3127:32 *5756:DIODE 0 +45 *3127:32 *37882:B 0 +46 *3127:32 *3644:72 0 +47 *3378:51 *3644:37 0 +48 *3378:60 *37882:B 0 +49 *3383:48 *3644:37 0 +50 *3547:49 *3644:52 0 +51 *3559:70 *3644:68 0 +52 *3576:51 *3644:16 0 +53 *3576:60 *3644:22 0 +54 *3585:32 *3644:72 0 +55 *3589:13 *3644:37 0 +56 *3589:13 *3644:52 0 +57 *3593:25 *3644:37 0 +58 *3593:25 *3644:52 0 +59 *3593:31 *3644:52 0 +60 *3604:28 *3644:68 0 +61 *3609:17 *3644:68 0 +62 *3621:21 *3644:68 0 +*RES +1 *40705:X *3644:16 35.4429 +2 *3644:16 *3644:22 38.8036 +3 *3644:22 *3644:37 47.1189 +4 *3644:37 *3644:52 47.9814 +5 *3644:52 *3644:68 46.355 +6 *3644:68 *3644:72 15.0714 +7 *3644:72 *5756:DIODE 14.8357 +8 *3644:72 *37882:B 28.0679 +*END + +*D_NET *3645 0.020889 +*CONN +*I *8409:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40705:A I *D sky130_fd_sc_hd__buf_6 +*I *40706:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8409:DIODE 0 +2 *40705:A 0.000186079 +3 *40706:X 0.000846363 +4 *3645:119 0.000317766 +5 *3645:115 0.00240462 +6 *3645:97 0.0037419 +7 *3645:89 0.00298125 +8 *3645:68 0.002623 +9 *3645:52 0.00253148 +10 *3645:29 0.00291549 +11 *3645:13 0.00234109 +12 *40705:A *4146:23 0 +13 *40705:A *4398:55 0 +14 *3645:13 *3839:35 0 +15 *3645:13 *4380:21 0 +16 *3645:29 *3839:49 0 +17 *3645:29 *3841:9 0 +18 *3645:29 *4380:21 0 +19 *3645:29 *4389:23 0 +20 *3645:29 *4397:20 0 +21 *3645:29 *4400:16 0 +22 *3645:52 *3841:9 0 +23 *3645:52 *4387:75 0 +24 *3645:68 *3839:49 0 +25 *3645:68 *3841:23 0 +26 *3645:68 *4380:43 0 +27 *3645:68 *4380:68 0 +28 *3645:68 *4387:75 0 +29 *3645:68 *4387:79 0 +30 *3645:89 *5917:DIODE 0 +31 *3645:89 *37576:A 0 +32 *3645:89 *3839:75 0 +33 *3645:89 *3841:32 0 +34 *3645:89 *3854:25 0 +35 *3645:89 *3862:26 0 +36 *3645:89 *4387:83 0 +37 *3645:97 *41163:A 0 +38 *3645:97 *3841:32 0 +39 *3645:97 *3841:36 0 +40 *3645:97 *4387:83 0 +41 *3645:97 *4387:118 0 +42 *3645:115 *3841:36 0 +43 *3645:115 *3841:47 0 +44 *3645:115 *3865:38 0 +45 *3645:115 *4380:99 0 +46 *3645:115 *4383:24 0 +47 *3645:115 *4387:118 0 +48 *3645:115 *4388:30 0 +49 *3645:115 *4398:25 0 +50 *3645:119 *4146:23 0 +51 *5947:DIODE *3645:68 0 +52 *5948:DIODE *3645:68 0 +53 *5978:DIODE *3645:52 0 +54 *37577:A *3645:89 0 +55 *38004:C *3645:52 0 +56 *40621:A *3645:52 0 +57 *40636:A *3645:97 0 +58 *40660:A *3645:52 0 +59 *41383:A *3645:29 0 +60 *41386:A *3645:13 0 +61 *282:18 *3645:89 0 +62 *335:21 *3645:52 0 +63 *1181:24 *3645:115 0 +64 *1185:28 *3645:115 0 +65 *1381:48 *3645:115 0 +66 *1387:29 *3645:89 0 +67 *1396:20 *3645:52 0 +68 *1675:70 *3645:13 0 +69 *1887:34 *3645:29 0 +70 *1887:63 *3645:52 0 +71 *1888:17 *3645:13 0 +72 *1890:42 *3645:13 0 +73 *2890:20 *3645:29 0 +74 *2907:20 *3645:89 0 +75 *3451:40 *3645:52 0 +76 *3564:16 *3645:68 0 +77 *3565:92 *3645:52 0 +78 *3567:25 *3645:115 0 +79 *3568:34 *3645:89 0 +80 *3572:38 *3645:13 0 +81 *3576:23 *3645:89 0 +82 *3576:51 *40705:A 0 +83 *3590:20 *3645:115 0 +84 *3591:56 *3645:52 0 +85 *3596:73 *3645:52 0 +86 *3616:44 *3645:13 0 +87 *3629:34 *3645:29 0 +88 *3629:77 *3645:97 0 +89 *3629:95 *3645:97 0 +90 *3642:32 *3645:13 0 +*RES +1 *40706:X *3645:13 36.1214 +2 *3645:13 *3645:29 49.5179 +3 *3645:29 *3645:52 48.2321 +4 *3645:52 *3645:68 41.5 +5 *3645:68 *3645:89 47.0763 +6 *3645:89 *3645:97 38.6154 +7 *3645:97 *3645:115 48.6617 +8 *3645:115 *3645:119 7.74107 +9 *3645:119 *40705:A 22.55 +10 *3645:119 *8409:DIODE 9.3 +*END + +*D_NET *3646 0.021443 +*CONN +*I *8410:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40706:A I *D sky130_fd_sc_hd__buf_4 +*I *40707:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8410:DIODE 0 +2 *40706:A 0.000184485 +3 *40707:X 0.000379696 +4 *3646:53 0.00173738 +5 *3646:38 0.00360526 +6 *3646:30 0.00503644 +7 *3646:9 0.00655204 +8 *3646:8 0.00394766 +9 *3646:53 *3888:24 0 +10 *3646:53 *4142:13 0 +11 *3646:53 *4464:24 0 +12 *8351:DIODE *3646:38 0 +13 *8407:DIODE *3646:53 0 +14 *40010:A *3646:53 0 +15 *1288:17 *3646:30 0 +16 *1494:14 *40706:A 0 +17 *1523:21 *3646:53 0 +18 *1533:74 *3646:30 0 +19 *1687:21 *3646:8 0 +20 *1887:15 *40706:A 0 +21 *1890:19 *3646:53 0 +22 *1933:16 *3646:9 0 +23 *1955:16 *3646:38 0 +24 *2046:25 *3646:30 0 +25 *2161:35 *3646:38 0 +26 *2164:22 *3646:30 0 +27 *2179:36 *3646:38 0 +28 *2189:18 *3646:9 0 +29 *2195:21 *3646:38 0 +30 *2201:8 *3646:9 0 +31 *2205:10 *3646:38 0 +32 *2222:10 *3646:8 0 +33 *2223:10 *3646:8 0 +34 *2733:72 *3646:38 0 +35 *2747:42 *3646:38 0 +36 *2866:23 *3646:38 0 +37 *3384:18 *3646:38 0 +38 *3555:31 *3646:30 0 +39 *3565:25 *3646:38 0 +40 *3568:22 *3646:38 0 +41 *3569:61 *3646:38 0 +42 *3591:17 *3646:38 0 +43 *3596:56 *40706:A 0 +44 *3599:35 *3646:9 0 +45 *3636:38 *3646:30 0 +46 *3642:21 *3646:53 0 +47 *3642:32 *40706:A 0 +48 *3643:9 *3646:9 0 +*RES +1 *40707:X *3646:8 26.925 +2 *3646:8 *3646:9 74.4643 +3 *3646:9 *3646:30 34.3071 +4 *3646:30 *3646:38 47.75 +5 *3646:38 *3646:53 32.8147 +6 *3646:53 *40706:A 22.4071 +7 *3646:53 *8410:DIODE 9.3 +*END + +*D_NET *3647 0.0209165 +*CONN +*I *37880:A I *D sky130_fd_sc_hd__and2_1 +*I *5752:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40708:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37880:A 0.000199789 +2 *5752:DIODE 0 +3 *40708:X 0.00218561 +4 *3647:63 0.00179981 +5 *3647:62 0.00234657 +6 *3647:40 0.00263614 +7 *3647:34 0.00572628 +8 *3647:16 0.0060223 +9 *3647:16 *3651:26 0 +10 *3647:16 *3670:37 0 +11 *3647:16 *3674:86 0 +12 *3647:16 *3681:51 0 +13 *3647:16 *3692:34 0 +14 *3647:16 *3730:78 0 +15 *3647:16 *4114:53 0 +16 *3647:16 *4323:14 0 +17 *3647:16 *4331:6 0 +18 *3647:34 *6408:DIODE 0 +19 *3647:34 *8479:DIODE 0 +20 *3647:34 *8758:DIODE 0 +21 *3647:34 *3692:34 0 +22 *3647:34 *3720:60 0 +23 *3647:34 *4048:25 0 +24 *3647:34 *4050:44 0 +25 *3647:34 *4103:47 0 +26 *3647:40 *8505:DIODE 0 +27 *3647:40 *4097:29 0 +28 *3647:40 *4241:45 0 +29 *3647:63 *6066:DIODE 0 +30 *3647:63 *3669:51 0 +31 *8002:DIODE *3647:63 0 +32 *8315:DIODE *3647:63 0 +33 *37742:B *3647:34 0 +34 *37880:B *37880:A 0 +35 *38039:A *3647:62 0 +36 *1318:26 *3647:16 0 +37 *1319:27 *3647:34 0 +38 *1320:28 *3647:62 0 +39 *1418:25 *37880:A 0 +40 *1418:25 *3647:63 0 +41 *1449:24 *37880:A 0 +42 *1449:24 *3647:63 0 +43 *2384:53 *37880:A 0 +44 *2384:53 *3647:63 0 +45 *2412:31 *3647:16 0 +46 *2919:91 *3647:16 0 +47 *2924:20 *3647:62 0 +48 *2926:40 *3647:62 0 +49 *2987:35 *3647:34 0 +50 *2987:35 *3647:62 0 +51 *2987:78 *3647:62 0 +52 *3054:70 *3647:16 0 +53 *3076:52 *3647:34 0 +54 *3112:37 *3647:34 0 +55 *3112:37 *3647:62 0 +56 *3277:20 *3647:34 0 +57 *3306:68 *3647:63 0 +58 *3318:66 *3647:34 0 +59 *3584:24 *3647:16 0 +*RES +1 *40708:X *3647:16 48.7875 +2 *3647:16 *3647:34 48.9982 +3 *3647:34 *3647:40 48.6429 +4 *3647:40 *3647:62 38.75 +5 *3647:62 *3647:63 33.3929 +6 *3647:63 *5752:DIODE 9.3 +7 *3647:63 *37880:A 13.5321 +*END + +*D_NET *3648 0.0202055 +*CONN +*I *37878:A I *D sky130_fd_sc_hd__and2_1 +*I *5749:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40709:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37878:A 0.000329855 +2 *5749:DIODE 0 +3 *40709:X 0.00138316 +4 *3648:61 0.000650715 +5 *3648:58 0.00191778 +6 *3648:42 0.00275506 +7 *3648:17 0.00635949 +8 *3648:16 0.00531382 +9 *3648:11 0.00149563 +10 *37878:A *4097:29 0 +11 *3648:11 *3670:31 0 +12 *3648:11 *3772:32 0 +13 *3648:11 *4098:58 0 +14 *3648:17 *8450:DIODE 0 +15 *3648:17 *8949:DIODE 0 +16 *3648:17 *3650:14 0 +17 *3648:17 *3672:27 0 +18 *3648:17 *3772:91 0 +19 *3648:17 *3803:25 0 +20 *3648:17 *4336:28 0 +21 *3648:42 *3803:52 0 +22 *3648:42 *4109:65 0 +23 *3648:42 *4218:52 0 +24 *3648:58 *41118:A 0 +25 *3648:58 *3740:46 0 +26 *3648:58 *3768:14 0 +27 *3648:61 *8505:DIODE 0 +28 *3648:61 *4097:29 0 +29 *5708:DIODE *3648:17 0 +30 *6073:DIODE *3648:58 0 +31 *38024:A_N *3648:58 0 +32 *39982:A *37878:A 0 +33 *40088:A *3648:42 0 +34 *1318:13 *3648:42 0 +35 *1329:14 *3648:42 0 +36 *1436:34 *37878:A 0 +37 *1446:45 *3648:58 0 +38 *1541:23 *3648:42 0 +39 *2293:25 *3648:16 0 +40 *2384:63 *37878:A 0 +41 *2384:63 *3648:58 0 +42 *2384:63 *3648:61 0 +43 *2384:77 *3648:58 0 +44 *2822:55 *3648:58 0 +45 *2841:50 *3648:17 0 +46 *2841:61 *3648:58 0 +47 *2937:14 *3648:58 0 +48 *2944:10 *3648:42 0 +49 *2947:47 *3648:11 0 +50 *2948:16 *3648:11 0 +51 *2981:79 *3648:58 0 +52 *3047:10 *37878:A 0 +53 *3312:147 *3648:42 0 +*RES +1 *40709:X *3648:11 47.3 +2 *3648:11 *3648:16 11.5536 +3 *3648:16 *3648:17 108.554 +4 *3648:17 *3648:42 44.9116 +5 *3648:42 *3648:58 45.8102 +6 *3648:58 *3648:61 11.1964 +7 *3648:61 *5749:DIODE 9.3 +8 *3648:61 *37878:A 25.5679 +*END + +*D_NET *3649 0.00261866 +*CONN +*I *40531:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38544:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40531:A 0 +2 *38544:X 0.00130933 +3 *3649:11 0.00130933 +4 *3649:11 *39105:A 0 +5 *3649:11 *5081:8 0 +6 *6822:DIODE *3649:11 0 +7 *3351:7 *3649:11 0 +8 *3351:9 *3649:11 0 +*RES +1 *38544:X *3649:11 46.1036 +2 *3649:11 *40531:A 9.3 +*END + +*D_NET *3650 0.022075 +*CONN +*I *5746:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37876:A I *D sky130_fd_sc_hd__and2_1 +*I *40710:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5746:DIODE 0.000262429 +2 *37876:A 0.00016428 +3 *40710:X 8.56726e-05 +4 *3650:93 0.00169165 +5 *3650:75 0.00276466 +6 *3650:59 0.00372948 +7 *3650:58 0.00288665 +8 *3650:43 0.00210612 +9 *3650:23 0.00310304 +10 *3650:14 0.00342457 +11 *3650:8 0.00185643 +12 *5746:DIODE *3684:75 0 +13 *37876:A *3802:20 0 +14 *37876:A *4040:15 0 +15 *3650:23 *40772:A 0 +16 *3650:23 *3674:93 0 +17 *3650:23 *3803:25 0 +18 *3650:23 *4241:21 0 +19 *3650:43 *8843:DIODE 0 +20 *3650:43 *4021:8 0 +21 *3650:43 *4098:59 0 +22 *3650:58 *8919:DIODE 0 +23 *3650:58 *4241:45 0 +24 *3650:59 *5722:DIODE 0 +25 *3650:59 *3685:61 0 +26 *3650:59 *3803:66 0 +27 *3650:59 *4040:11 0 +28 *3650:59 *4041:95 0 +29 *3650:59 *4092:83 0 +30 *3650:59 *4099:99 0 +31 *3650:59 *4723:13 0 +32 *3650:75 *41228:A 0 +33 *3650:75 *3667:93 0 +34 *3650:75 *3669:69 0 +35 *3650:75 *3780:26 0 +36 *3650:75 *3780:49 0 +37 *3650:75 *3803:94 0 +38 *3650:75 *3810:9 0 +39 *3650:75 *3991:108 0 +40 *3650:75 *4099:99 0 +41 *3650:75 *4225:69 0 +42 *3650:93 *8635:DIODE 0 +43 *3650:93 *8732:DIODE 0 +44 *3650:93 *3669:69 0 +45 *3650:93 *3780:49 0 +46 *3650:93 *3802:20 0 +47 *3650:93 *3810:9 0 +48 *3650:93 *4040:15 0 +49 *3650:93 *4051:34 0 +50 *3650:93 *4069:5 0 +51 *3650:93 *4070:95 0 +52 *6036:DIODE *3650:75 0 +53 *6073:DIODE *3650:58 0 +54 *6415:DIODE *3650:59 0 +55 *7869:DIODE *3650:59 0 +56 *7944:DIODE *3650:75 0 +57 *37860:B *3650:58 0 +58 *37864:B *5746:DIODE 0 +59 *38282:A *3650:58 0 +60 *39618:A *3650:93 0 +61 *40071:A *3650:59 0 +62 *40129:A *3650:59 0 +63 *40183:A *3650:59 0 +64 *1206:24 *3650:23 0 +65 *1206:41 *3650:59 0 +66 *1271:25 *3650:23 0 +67 *1325:44 *3650:23 0 +68 *2384:77 *3650:58 0 +69 *2419:55 *3650:43 0 +70 *2421:18 *3650:75 0 +71 *2421:51 *3650:43 0 +72 *2822:46 *3650:58 0 +73 *2824:23 *3650:23 0 +74 *2834:18 *3650:75 0 +75 *2841:53 *3650:23 0 +76 *2937:14 *3650:59 0 +77 *2987:35 *3650:43 0 +78 *2989:12 *3650:75 0 +79 *3050:10 *3650:59 0 +80 *3317:183 *3650:43 0 +81 *3323:69 *3650:23 0 +82 *3648:17 *3650:14 0 +*RES +1 *40710:X *3650:8 20.2464 +2 *3650:8 *3650:14 46.0893 +3 *3650:14 *3650:23 48.3214 +4 *3650:23 *3650:43 49.5 +5 *3650:43 *3650:58 36.7857 +6 *3650:58 *3650:59 46.5357 +7 *3650:59 *3650:75 49.7143 +8 *3650:75 *3650:93 44.8036 +9 *3650:93 *37876:A 12.7286 +10 *3650:93 *5746:DIODE 23.9607 +*END + +*D_NET *3651 0.0254131 +*CONN +*I *40710:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8414:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40711:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40710:A 6.50276e-05 +2 *8414:DIODE 8.56922e-05 +3 *40711:X 0.000527896 +4 *3651:26 0.00210493 +5 *3651:23 0.0107766 +6 *3651:22 0.0100737 +7 *3651:14 0.00177924 +8 *8414:DIODE *3820:34 0 +9 *3651:14 *4367:34 0 +10 *3651:22 *4690:19 0 +11 *3651:22 *4986:10 0 +12 *3651:22 *5109:16 0 +13 *3651:23 *4024:41 0 +14 *3651:23 *5133:14 0 +15 *3651:26 *3820:61 0 +16 *3651:26 *4331:6 0 +17 *3651:26 *4362:68 0 +18 *3651:26 *4369:67 0 +19 *3651:26 *4719:8 0 +20 *6373:DIODE *3651:14 0 +21 *347:20 *3651:23 0 +22 *1408:29 *3651:26 0 +23 *2276:32 *3651:23 0 +24 *2329:24 *3651:14 0 +25 *2732:67 *3651:14 0 +26 *2841:50 *3651:26 0 +27 *2867:19 *3651:23 0 +28 *2946:12 *3651:26 0 +29 *3083:67 *3651:14 0 +30 *3323:57 *3651:26 0 +31 *3647:16 *3651:26 0 +*RES +1 *40711:X *3651:14 25.9964 +2 *3651:14 *3651:22 33.0179 +3 *3651:22 *3651:23 184.125 +4 *3651:23 *3651:26 48.9464 +5 *3651:26 *8414:DIODE 15.7464 +6 *3651:26 *40710:A 15.1571 +*END + +*D_NET *3652 0.02537 +*CONN +*I *5743:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37874:A I *D sky130_fd_sc_hd__and2_1 +*I *40712:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *5743:DIODE 0 +2 *37874:A 0.000223318 +3 *40712:X 0.00158082 +4 *3652:46 0.00192647 +5 *3652:41 0.00989577 +6 *3652:40 0.00917773 +7 *3652:22 0.00256593 +8 *37874:A *3755:31 0 +9 *3652:22 *3667:93 0 +10 *3652:40 *3951:23 0 +11 *263:10 *3652:41 0 +12 *266:22 *3652:41 0 +13 *368:39 *3652:46 0 +14 *386:12 *3652:41 0 +15 *1428:19 *3652:22 0 +16 *2813:46 *37874:A 0 +17 *2924:19 *3652:40 0 +18 *2943:17 *3652:40 0 +19 *2958:28 *3652:40 0 +20 *2977:31 *3652:22 0 +21 *2992:82 *3652:22 0 +22 *3001:38 *3652:40 0 +23 *3088:18 *3652:46 0 +24 *3094:11 *3652:41 0 +25 *3097:35 *3652:41 0 +26 *3108:37 *3652:41 0 +27 *3118:20 *3652:41 0 +28 *3118:21 *3652:41 0 +29 *3277:33 *3652:41 0 +30 *3277:51 *3652:41 0 +31 *3278:21 *3652:40 0 +32 *3280:15 *3652:40 0 +33 *3521:26 *3652:22 0 +*RES +1 *40712:X *3652:22 48.4952 +2 *3652:22 *3652:40 48.1786 +3 *3652:40 *3652:41 170.982 +4 *3652:41 *3652:46 47.6786 +5 *3652:46 *37874:A 13.9607 +6 *3652:46 *5743:DIODE 9.3 +*END + +*D_NET *3653 0.0274253 +*CONN +*I *8415:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40712:A I *D sky130_fd_sc_hd__buf_2 +*I *40713:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8415:DIODE 0.000684614 +2 *40712:A 6.50276e-05 +3 *40713:X 0.00195648 +4 *3653:56 0.00248 +5 *3653:47 0.0110065 +6 *3653:36 0.0112327 +7 *8415:DIODE *8672:DIODE 0 +8 *8415:DIODE *8850:DIODE 0 +9 *8415:DIODE *3994:17 0 +10 *8415:DIODE *4309:63 0 +11 *8415:DIODE *4314:28 0 +12 *40712:A *3780:49 0 +13 *40712:A *3941:19 0 +14 *3653:36 *40848:A 0 +15 *3653:36 *41304:A 0 +16 *3653:36 *3720:35 0 +17 *3653:36 *3784:59 0 +18 *3653:36 *3803:24 0 +19 *3653:36 *3819:19 0 +20 *3653:36 *3819:49 0 +21 *3653:36 *3942:61 0 +22 *3653:36 *3952:108 0 +23 *3653:36 *3956:80 0 +24 *3653:36 *4022:68 0 +25 *3653:36 *4061:119 0 +26 *3653:36 *4367:69 0 +27 *3653:47 *3697:46 0 +28 *3653:47 *3743:16 0 +29 *3653:47 *4121:27 0 +30 *3653:47 *4238:18 0 +31 *3653:47 *4288:94 0 +32 *3653:47 *5188:44 0 +33 *3653:56 *3994:17 0 +34 *3653:56 *4309:63 0 +35 *8315:DIODE *8415:DIODE 0 +36 *1208:41 *8415:DIODE 0 +37 *1319:27 *8415:DIODE 0 +38 *2428:17 *3653:47 0 +39 *2835:31 *3653:36 0 +40 *2977:31 *8415:DIODE 0 +41 *2977:31 *3653:56 0 +42 *3037:15 *3653:47 0 +43 *3188:87 *3653:47 0 +44 *3301:18 *3653:47 0 +45 *3434:19 *3653:47 0 +46 *3487:20 *3653:56 0 +47 *3493:11 *3653:47 0 +48 *3522:14 *3653:47 0 +49 *3522:24 *3653:47 0 +50 *3526:97 *3653:36 0 +*RES +1 *40713:X *3653:36 47.2138 +2 *3653:36 *3653:47 48.3681 +3 *3653:47 *3653:56 16.1207 +4 *3653:56 *40712:A 15.1571 +5 *3653:56 *8415:DIODE 29.8536 +*END + +*D_NET *3654 0.0282553 +*CONN +*I *37746:A I *D sky130_fd_sc_hd__and2_1 +*I *5582:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40714:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *37746:A 0.000162141 +2 *5582:DIODE 4.53482e-05 +3 *40714:X 0.00013985 +4 *3654:34 0.000600655 +5 *3654:29 0.00226043 +6 *3654:25 0.00339328 +7 *3654:15 0.00957788 +8 *3654:14 0.00999385 +9 *3654:10 0.00208183 +10 *37746:A *4069:21 0 +11 *3654:14 *4057:16 0 +12 *3654:15 *3946:43 0 +13 *3654:15 *3946:47 0 +14 *3654:15 *4314:25 0 +15 *3654:15 *5003:10 0 +16 *3654:25 *4021:22 0 +17 *3654:29 *3729:30 0 +18 *3654:29 *4217:26 0 +19 *38289:A *3654:15 0 +20 *357:38 *3654:25 0 +21 *1015:109 *3654:14 0 +22 *1206:41 *3654:10 0 +23 *1428:23 *3654:15 0 +24 *1428:23 *3654:25 0 +25 *1545:20 *3654:29 0 +26 *1547:30 *3654:29 0 +27 *1551:33 *3654:29 0 +28 *2385:22 *3654:29 0 +29 *2430:11 *5582:DIODE 0 +30 *2718:6 *3654:14 0 +31 *2926:20 *3654:29 0 +32 *2929:21 *5582:DIODE 0 +33 *3303:17 *3654:14 0 +34 *3303:47 *3654:29 0 +35 *3428:29 *3654:29 0 +36 *3489:16 *3654:29 0 +37 *3545:31 *3654:15 0 +38 *3545:31 *3654:25 0 +39 *3545:41 *3654:25 0 +40 *3625:28 *3654:15 0 +41 *3625:31 *3654:15 0 +42 *3625:45 *3654:25 0 +*RES +1 *40714:X *3654:10 16.925 +2 *3654:10 *3654:14 48.6429 +3 *3654:14 *3654:15 168.107 +4 *3654:15 *3654:25 36.4107 +5 *3654:25 *3654:29 46.6786 +6 *3654:29 *3654:34 17.9286 +7 *3654:34 *5582:DIODE 10.2464 +8 *3654:34 *37746:A 12.8714 +*END + +*D_NET *3655 0.0267446 +*CONN +*I *8417:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40714:A I *D sky130_fd_sc_hd__buf_2 +*I *40715:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8417:DIODE 0.00016043 +2 *40714:A 0.000254372 +3 *40715:X 0.00143694 +4 *3655:62 0.00205449 +5 *3655:41 0.00663141 +6 *3655:39 0.00501226 +7 *3655:37 0.00268324 +8 *3655:35 0.0038178 +9 *3655:31 0.00220591 +10 *3655:18 0.00248775 +11 *40714:A *3803:52 0 +12 *3655:18 *8944:DIODE 0 +13 *3655:18 *3674:33 0 +14 *3655:18 *3789:65 0 +15 *3655:18 *3806:53 0 +16 *3655:18 *3984:46 0 +17 *3655:18 *4218:24 0 +18 *3655:18 *4345:84 0 +19 *3655:18 *4746:8 0 +20 *3655:18 *5111:15 0 +21 *3655:18 *5125:22 0 +22 *3655:31 *4695:14 0 +23 *3655:31 *5121:22 0 +24 *3655:35 *4701:26 0 +25 *3655:37 *4991:7 0 +26 *3655:41 *39665:A 0 +27 *3655:62 *3735:22 0 +28 *3655:62 *3983:20 0 +29 *3655:62 *4171:80 0 +30 *6388:DIODE *3655:37 0 +31 *38001:A *3655:31 0 +32 *1009:104 *3655:31 0 +33 *1206:41 *8417:DIODE 0 +34 *1206:41 *40714:A 0 +35 *1505:48 *3655:18 0 +36 *1531:43 *3655:18 0 +37 *2268:35 *3655:31 0 +38 *2276:41 *3655:31 0 +39 *2278:48 *3655:31 0 +40 *2278:54 *3655:18 0 +41 *2278:54 *3655:31 0 +42 *2298:28 *3655:31 0 +43 *2298:28 *3655:35 0 +44 *2402:55 *3655:62 0 +45 *2466:19 *3655:37 0 +46 *2466:19 *3655:41 0 +47 *2466:23 *3655:41 0 +48 *2466:25 *3655:41 0 +49 *2474:5 *3655:41 0 +50 *2843:61 *3655:31 0 +51 *2843:61 *3655:35 0 +52 *2843:63 *3655:35 0 +53 *2843:63 *3655:37 0 +54 *2931:22 *3655:31 0 +55 *2981:79 *3655:62 0 +56 *3076:52 *3655:62 0 +57 *3162:22 *3655:62 0 +58 *3162:23 *3655:41 0 +59 *3277:25 *3655:41 0 +60 *3354:27 *3655:35 0 +61 *3445:51 *3655:35 0 +62 *3445:51 *3655:37 0 +63 *3445:53 *3655:37 0 +64 *3445:53 *3655:41 0 +65 *3445:67 *3655:41 0 +*RES +1 *40715:X *3655:18 46.5411 +2 *3655:18 *3655:31 36.6518 +3 *3655:31 *3655:35 24.1696 +4 *3655:35 *3655:37 55.5714 +5 *3655:37 *3655:39 0.428571 +6 *3655:39 *3655:41 104.241 +7 *3655:41 *3655:62 42.9962 +8 *3655:62 *40714:A 23.6929 +9 *3655:62 *8417:DIODE 12.7107 +*END + +*D_NET *3656 0.019383 +*CONN +*I *37872:A I *D sky130_fd_sc_hd__and2_1 +*I *5740:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40716:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *37872:A 0.00016428 +2 *5740:DIODE 9.91025e-05 +3 *40716:X 0.00132125 +4 *3656:54 0.00033299 +5 *3656:51 0.00230236 +6 *3656:49 0.00382914 +7 *3656:45 0.00249236 +8 *3656:31 0.00314542 +9 *3656:29 0.00331217 +10 *3656:13 0.00238397 +11 *5740:DIODE *37710:A 0 +12 *37872:A *37872:B 0 +13 *3656:13 *3718:60 0 +14 *3656:13 *3761:84 0 +15 *3656:29 *3718:64 0 +16 *3656:29 *4906:8 0 +17 *3656:31 *3718:64 0 +18 *3656:45 *4919:11 0 +19 *3656:49 *4631:7 0 +20 *3656:49 *4919:11 0 +21 *3656:51 *5741:DIODE 0 +22 *3656:51 *37710:A 0 +23 *3656:51 *3737:33 0 +24 *3656:51 *3737:37 0 +25 *3656:54 *37710:A 0 +26 *5521:DIODE *3656:45 0 +27 *7377:DIODE *3656:51 0 +28 *37645:A *3656:45 0 +29 *37700:B *3656:51 0 +30 *37701:A *3656:51 0 +31 *37710:B *5740:DIODE 0 +32 *38121:A *3656:49 0 +33 *39416:A *3656:49 0 +34 *39494:B *3656:29 0 +35 *283:18 *3656:51 0 +36 *287:17 *3656:13 0 +37 *378:19 *3656:45 0 +38 *1247:11 *3656:31 0 +39 *1251:16 *3656:13 0 +40 *1335:16 *37872:A 0 +41 *1620:9 *3656:51 0 +42 *1698:11 *3656:31 0 +43 *1698:32 *3656:31 0 +44 *1710:11 *3656:31 0 +45 *1710:11 *3656:45 0 +46 *1710:19 *3656:29 0 +47 *1710:19 *3656:31 0 +48 *1740:8 *5740:DIODE 0 +49 *2802:60 *3656:45 0 +50 *3028:44 *3656:13 0 +51 *3473:47 *3656:31 0 +52 *3480:39 *3656:45 0 +53 *3480:39 *3656:49 0 +54 *3480:49 *3656:51 0 +*RES +1 *40716:X *3656:13 45.9964 +2 *3656:13 *3656:29 31.6429 +3 *3656:29 *3656:31 46.9464 +4 *3656:31 *3656:45 27.9107 +5 *3656:45 *3656:49 33.4107 +6 *3656:49 *3656:51 46.5357 +7 *3656:51 *3656:54 6.14286 +8 *3656:54 *5740:DIODE 16.05 +9 *3656:54 *37872:A 17.2286 +*END + +*D_NET *3657 0.0209663 +*CONN +*I *40716:A I *D sky130_fd_sc_hd__buf_6 +*I *8419:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40717:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *40716:A 0.000237864 +2 *8419:DIODE 0 +3 *40717:X 0.000635822 +4 *3657:83 0.00175917 +5 *3657:82 0.00242022 +6 *3657:58 0.00293062 +7 *3657:45 0.00329653 +8 *3657:42 0.00234212 +9 *3657:31 0.00339689 +10 *3657:23 0.00281544 +11 *3657:19 0.00113166 +12 *40716:A *3718:60 0 +13 *3657:19 *3732:35 0 +14 *3657:19 *3755:11 0 +15 *3657:19 *4330:11 0 +16 *3657:23 *3732:35 0 +17 *3657:23 *3732:39 0 +18 *3657:31 *8501:DIODE 0 +19 *3657:31 *3663:16 0 +20 *3657:31 *3684:111 0 +21 *3657:31 *3719:61 0 +22 *3657:31 *3732:39 0 +23 *3657:42 *3684:111 0 +24 *3657:42 *3718:14 0 +25 *3657:42 *3739:33 0 +26 *3657:42 *3761:19 0 +27 *3657:45 *37844:B 0 +28 *3657:45 *3666:66 0 +29 *3657:45 *4566:49 0 +30 *3657:58 *3696:121 0 +31 *3657:58 *3761:55 0 +32 *3657:58 *4566:49 0 +33 *3657:58 *4739:21 0 +34 *3657:82 *3739:57 0 +35 *3657:83 *3666:80 0 +36 *3657:83 *3718:60 0 +37 *3657:83 *3761:69 0 +38 *38036:C *3657:58 0 +39 *39979:A *3657:31 0 +40 *1244:30 *3657:58 0 +41 *1251:16 *40716:A 0 +42 *1251:16 *3657:83 0 +43 *1274:11 *3657:82 0 +44 *1416:47 *3657:31 0 +45 *1424:33 *3657:58 0 +46 *1552:16 *3657:82 0 +47 *1566:11 *3657:45 0 +48 *1824:54 *3657:19 0 +49 *1826:9 *3657:82 0 +50 *1826:28 *3657:82 0 +51 *2370:38 *3657:31 0 +52 *2813:16 *3657:45 0 +53 *2824:63 *3657:31 0 +54 *2929:44 *3657:23 0 +55 *3048:13 *3657:31 0 +56 *3295:50 *3657:42 0 +57 *3295:74 *3657:58 0 +58 *3432:102 *3657:82 0 +59 *3479:61 *3657:31 0 +60 *3542:56 *3657:58 0 +61 *3544:14 *3657:82 0 +*RES +1 *40717:X *3657:19 41.0143 +2 *3657:19 *3657:23 10.4107 +3 *3657:23 *3657:31 48.75 +4 *3657:31 *3657:42 31.6607 +5 *3657:42 *3657:45 26.4286 +6 *3657:45 *3657:58 49.6074 +7 *3657:58 *3657:82 38.138 +8 *3657:82 *3657:83 31.75 +9 *3657:83 *8419:DIODE 9.3 +10 *3657:83 *40716:A 14.8 +*END + +*D_NET *3658 0.0231151 +*CONN +*I *8420:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40717:A I *D sky130_fd_sc_hd__buf_4 +*I *40718:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8420:DIODE 0.000214802 +2 *40717:A 4.05652e-05 +3 *40718:X 0.000696943 +4 *3658:98 0.000946983 +5 *3658:85 0.00236824 +6 *3658:73 0.0055156 +7 *3658:71 0.00395299 +8 *3658:65 0.00253907 +9 *3658:64 0.00346645 +10 *3658:50 0.00185895 +11 *3658:28 0.0015145 +12 *3658:28 *3670:37 0 +13 *3658:28 *3824:23 0 +14 *3658:28 *3824:39 0 +15 *3658:50 *4365:100 0 +16 *3658:64 *38284:B 0 +17 *3658:64 *3811:42 0 +18 *3658:64 *4241:14 0 +19 *3658:64 *4335:13 0 +20 *3658:64 *4357:20 0 +21 *3658:65 *3774:15 0 +22 *3658:65 *4119:122 0 +23 *3658:65 *4171:26 0 +24 *3658:65 *4267:80 0 +25 *3658:71 *3774:15 0 +26 *3658:71 *4364:18 0 +27 *3658:73 *3774:25 0 +28 *3658:85 *3740:81 0 +29 *3658:85 *3774:25 0 +30 *3658:85 *4220:23 0 +31 *3658:98 *3941:56 0 +32 *6010:DIODE *3658:50 0 +33 *1310:12 *3658:64 0 +34 *1414:26 *3658:85 0 +35 *2367:8 *3658:85 0 +36 *2434:47 *3658:28 0 +37 *2919:43 *3658:73 0 +38 *2919:62 *3658:65 0 +39 *2972:64 *40717:A 0 +40 *3014:58 *3658:98 0 +41 *3024:34 *3658:98 0 +42 *3070:108 *3658:65 0 +43 *3090:25 *3658:85 0 +44 *3183:14 *3658:85 0 +45 *3274:91 *3658:73 0 +46 *3275:39 *3658:73 0 +47 *3279:95 *3658:65 0 +48 *3279:95 *3658:71 0 +49 *3279:95 *3658:73 0 +50 *3285:139 *3658:98 0 +51 *3546:97 *3658:50 0 +52 *3546:115 *3658:64 0 +*RES +1 *40718:X *3658:28 47.6929 +2 *3658:28 *3658:50 40.2679 +3 *3658:50 *3658:64 40.2143 +4 *3658:64 *3658:65 50.6429 +5 *3658:65 *3658:71 2.625 +6 *3658:71 *3658:73 80.2143 +7 *3658:73 *3658:85 49.1964 +8 *3658:85 *3658:98 24.7679 +9 *3658:98 *40717:A 14.8357 +10 *3658:98 *8420:DIODE 18.6214 +*END + +*D_NET *3659 0.0213604 +*CONN +*I *40718:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8421:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40719:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40718:A 0.000161017 +2 *8421:DIODE 0 +3 *40719:X 0.00162117 +4 *3659:39 0.000725405 +5 *3659:33 0.00375844 +6 *3659:32 0.0045492 +7 *3659:17 0.00513958 +8 *3659:16 0.00378443 +9 *3659:14 0.00162117 +10 *3659:14 *3679:22 0 +11 *3659:14 *3698:17 0 +12 *3659:14 *3714:7 0 +13 *3659:14 *3714:18 0 +14 *3659:14 *3723:24 0 +15 *3659:14 *3741:22 0 +16 *3659:14 *4324:20 0 +17 *3659:14 *4332:17 0 +18 *3659:14 *4377:16 0 +19 *3659:14 *5117:14 0 +20 *3659:17 *3681:23 0 +21 *3659:17 *4055:31 0 +22 *3659:17 *4362:23 0 +23 *3659:17 *4369:22 0 +24 *3659:32 *3791:54 0 +25 *3659:32 *3795:60 0 +26 *3659:33 *3681:23 0 +27 *3659:33 *4055:31 0 +28 *3659:39 *3670:37 0 +29 *3659:39 *3709:27 0 +30 *3659:39 *3736:47 0 +31 *3659:39 *3740:17 0 +32 *3659:39 *5130:8 0 +33 *6353:DIODE *3659:14 0 +34 *355:21 *3659:32 0 +35 *2059:53 *3659:14 0 +36 *2232:63 *3659:14 0 +37 *2304:51 *3659:32 0 +38 *2317:55 *3659:32 0 +39 *2346:25 *3659:14 0 +40 *2347:16 *3659:14 0 +41 *2752:10 *3659:14 0 +42 *2925:37 *3659:32 0 +43 *2944:42 *3659:32 0 +44 *2962:56 *3659:14 0 +45 *3080:50 *3659:39 0 +46 *3307:46 *3659:32 0 +47 *3531:36 *3659:32 0 +*RES +1 *40719:X *3659:14 45.7378 +2 *3659:14 *3659:16 4.5 +3 *3659:16 *3659:17 78.9821 +4 *3659:17 *3659:32 33.4958 +5 *3659:32 *3659:33 66.6607 +6 *3659:33 *3659:39 21.625 +7 *3659:39 *8421:DIODE 9.3 +8 *3659:39 *40718:A 21.9071 +*END + +*D_NET *3660 0.0154134 +*CONN +*I *37594:B I *D sky130_fd_sc_hd__and2_1 +*I *5408:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38545:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *37594:B 0.000387172 +2 *5408:DIODE 4.53482e-05 +3 *38545:X 0.0015516 +4 *3660:43 0.00174004 +5 *3660:33 0.00255854 +6 *3660:22 0.00441504 +7 *3660:10 0.00471563 +8 *37594:B *37972:A_N 0 +9 *3660:10 *4841:17 0 +10 *3660:10 *4844:18 0 +11 *3660:22 *3683:12 0 +12 *3660:22 *4468:34 0 +13 *3660:22 *4840:15 0 +14 *3660:22 *5037:13 0 +15 *3660:22 *5048:20 0 +16 *3660:33 *3906:11 0 +17 *3660:33 *3909:8 0 +18 *3660:33 *4466:16 0 +19 la_data_in_mprj[57] *3660:22 0 +20 la_data_in_mprj[58] *3660:22 0 +21 la_data_in_mprj[60] *3660:10 0 +22 *5407:DIODE *37594:B 0 +23 *6670:DIODE *3660:22 0 +24 *6811:DIODE *3660:33 0 +25 *6812:DIODE *3660:33 0 +26 *6995:DIODE *3660:22 0 +27 *8568:DIODE *37594:B 0 +28 *38533:A *3660:33 0 +29 *38544:A *3660:10 0 +30 *38545:A *3660:10 0 +31 *38863:A *3660:10 0 +32 *301:31 *37594:B 0 +33 *596:7 *3660:22 0 +34 *854:8 *3660:22 0 +35 *1506:22 *3660:43 0 +36 *1974:21 *3660:43 0 +37 *2007:13 *3660:33 0 +38 *2007:13 *3660:43 0 +39 *2016:14 *3660:43 0 +40 *2043:27 *3660:43 0 +41 *2068:8 *3660:43 0 +42 *2074:30 *3660:43 0 +43 *2166:17 *3660:43 0 +44 *2210:25 *37594:B 0 +45 *2210:25 *3660:43 0 +46 *3152:43 *37594:B 0 +47 *3232:24 *3660:43 0 +48 *3325:11 *3660:43 0 +49 *3331:19 *3660:10 0 +50 *3339:16 *3660:22 0 +51 *3339:32 *3660:33 0 +52 *3356:9 *3660:22 0 +53 *3596:34 *3660:43 0 +54 *3605:17 *3660:33 0 +*RES +1 *38545:X *3660:10 46.2821 +2 *3660:10 *3660:22 49.0711 +3 *3660:22 *3660:33 45.4464 +4 *3660:33 *3660:43 42.375 +5 *3660:43 *5408:DIODE 10.2464 +6 *3660:43 *37594:B 26.8714 +*END + +*D_NET *3661 0.0196024 +*CONN +*I *37870:A I *D sky130_fd_sc_hd__and2_1 +*I *5737:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40720:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *37870:A 0.000202783 +2 *5737:DIODE 0 +3 *40720:X 0.00666689 +4 *3661:32 0.0031343 +5 *3661:25 0.0095984 +6 *3661:25 *8422:DIODE 0 +7 *3661:25 *3728:24 0 +8 *3661:25 *3731:12 0 +9 *3661:25 *3765:34 0 +10 *3661:25 *3780:83 0 +11 *3661:25 *3994:17 0 +12 *3661:25 *3994:27 0 +13 *3661:25 *4040:31 0 +14 *3661:25 *4351:33 0 +15 *3661:32 *3728:25 0 +16 *3661:32 *3731:12 0 +17 *3661:32 *4069:68 0 +18 *3661:32 *4087:78 0 +19 *38048:A_N *37870:A 0 +20 *1019:23 *3661:32 0 +21 *1321:25 *3661:25 0 +22 *1424:33 *3661:32 0 +23 *1567:19 *3661:32 0 +24 *2403:52 *3661:25 0 +25 *2833:30 *37870:A 0 +26 *2975:14 *3661:25 0 +27 *3095:37 *3661:32 0 +28 *3308:118 *3661:25 0 +29 *3470:59 *3661:32 0 +30 *3470:67 *37870:A 0 +31 *3544:14 *3661:25 0 +32 *3544:14 *3661:32 0 +*RES +1 *40720:X *3661:25 42.7077 +2 *3661:25 *3661:32 23.6225 +3 *3661:32 *5737:DIODE 9.3 +4 *3661:32 *37870:A 13.5321 +*END + +*D_NET *3662 0.0247004 +*CONN +*I *8422:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40720:A I *D sky130_fd_sc_hd__buf_2 +*I *40721:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8422:DIODE 0.000465392 +2 *40720:A 0 +3 *40721:X 0.00124675 +4 *3662:52 0.0013264 +5 *3662:49 0.00240201 +6 *3662:35 0.00392605 +7 *3662:31 0.00242014 +8 *3662:29 0.00387855 +9 *3662:28 0.00581589 +10 *3662:14 0.00321918 +11 *8422:DIODE *3756:43 0 +12 *8422:DIODE *3780:61 0 +13 *8422:DIODE *4084:35 0 +14 *3662:14 *3741:79 0 +15 *3662:14 *4365:100 0 +16 *3662:29 *3719:21 0 +17 *3662:35 *3678:32 0 +18 *3662:35 *3719:33 0 +19 *3662:49 *3719:33 0 +20 *3662:49 *3719:37 0 +21 *3662:52 *3666:13 0 +22 *1207:74 *8422:DIODE 0 +23 *1207:74 *3662:52 0 +24 *1207:83 *8422:DIODE 0 +25 *1273:62 *3662:49 0 +26 *1557:45 *3662:28 0 +27 *1824:69 *8422:DIODE 0 +28 *2369:35 *3662:28 0 +29 *2429:17 *3662:29 0 +30 *2429:17 *3662:35 0 +31 *2434:29 *3662:29 0 +32 *2478:18 *3662:49 0 +33 *2717:19 *3662:29 0 +34 *2717:21 *3662:29 0 +35 *2814:37 *3662:49 0 +36 *2834:24 *3662:49 0 +37 *2837:31 *3662:29 0 +38 *2837:31 *3662:35 0 +39 *2919:24 *3662:52 0 +40 *2935:20 *3662:28 0 +41 *2972:64 *3662:49 0 +42 *2975:14 *3662:52 0 +43 *2981:53 *3662:29 0 +44 *2991:30 *3662:49 0 +45 *3042:48 *3662:49 0 +46 *3074:45 *3662:28 0 +47 *3097:51 *3662:52 0 +48 *3283:27 *3662:14 0 +49 *3661:25 *8422:DIODE 0 +*RES +1 *40721:X *3662:14 46.6393 +2 *3662:14 *3662:28 39.9525 +3 *3662:28 *3662:29 80.2143 +4 *3662:29 *3662:31 0.732143 +5 *3662:31 *3662:35 49.8393 +6 *3662:35 *3662:49 46.2857 +7 *3662:49 *3662:52 24.0536 +8 *3662:52 *40720:A 9.3 +9 *3662:52 *8422:DIODE 28.1214 +*END + +*D_NET *3663 0.019419 +*CONN +*I *37868:A I *D sky130_fd_sc_hd__and2_1 +*I *5734:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40722:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *37868:A 0.000138036 +2 *5734:DIODE 7.88888e-05 +3 *40722:X 0.00185918 +4 *3663:17 0.00785032 +5 *3663:16 0.00949258 +6 *37868:A *4579:32 0 +7 *3663:16 *3725:80 0 +8 *3663:16 *3748:20 0 +9 *3663:16 *4097:94 0 +10 *3663:16 *4351:33 0 +11 *3663:17 *3696:121 0 +12 *3663:17 *3739:57 0 +13 *3663:17 *3748:20 0 +14 *3663:17 *3761:55 0 +15 *3663:17 *4354:27 0 +16 *3663:17 *4566:34 0 +17 *3663:17 *4579:32 0 +18 *3663:17 *4901:17 0 +19 *3663:17 *5019:14 0 +20 *7868:DIODE *3663:17 0 +21 *1334:14 *3663:17 0 +22 *1336:38 *3663:16 0 +23 *1460:30 *3663:17 0 +24 *1549:25 *3663:17 0 +25 *2806:108 *37868:A 0 +26 *2806:108 *3663:17 0 +27 *2816:61 *3663:16 0 +28 *3432:67 *3663:16 0 +29 *3470:40 *3663:17 0 +30 *3542:56 *3663:17 0 +31 *3657:31 *3663:16 0 +*RES +1 *40722:X *3663:16 34.2639 +2 *3663:16 *3663:17 27.6485 +3 *3663:17 *5734:DIODE 19.0046 +4 *3663:17 *37868:A 17.9662 +*END + +*D_NET *3664 0.0294946 +*CONN +*I *40722:A I *D sky130_fd_sc_hd__buf_2 +*I *8424:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40723:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40722:A 0.000716021 +2 *8424:DIODE 0.000559929 +3 *40723:X 0 +4 *3664:18 0.00304346 +5 *3664:17 0.00328637 +6 *3664:9 0.00923918 +7 *3664:8 0.00772031 +8 *3664:6 0.00246468 +9 *3664:5 0.00246468 +10 *40722:A *4241:110 0 +11 *40722:A *4354:27 0 +12 *3664:6 *39244:A 0 +13 *3664:6 *3753:22 0 +14 *3664:9 *3946:35 0 +15 *3664:17 *5002:13 0 +16 *358:24 *3664:17 0 +17 *358:31 *3664:18 0 +18 *362:8 *3664:9 0 +19 *364:8 *3664:9 0 +20 *1002:8 *3664:17 0 +21 *1002:26 *3664:17 0 +22 *1010:119 *3664:17 0 +23 *1328:36 *8424:DIODE 0 +24 *1328:36 *3664:18 0 +25 *1516:22 *3664:6 0 +26 *2417:43 *40722:A 0 +27 *2417:52 *3664:18 0 +28 *2446:18 *3664:17 0 +29 *2473:40 *3664:18 0 +30 *2821:26 *40722:A 0 +31 *2956:16 *3664:9 0 +32 *2995:42 *40722:A 0 +33 *3218:60 *40722:A 0 +34 *3280:12 *3664:6 0 +35 *3427:48 *3664:6 0 +36 *3427:52 *3664:6 0 +37 *3427:91 *3664:18 0 +*RES +1 *40723:X *3664:5 13.8 +2 *3664:5 *3664:6 55.9821 +3 *3664:6 *3664:8 4.5 +4 *3664:8 *3664:9 161.125 +5 *3664:9 *3664:17 20.1151 +6 *3664:17 *3664:18 40.1964 +7 *3664:18 *8424:DIODE 26.5768 +8 *3664:18 *40722:A 30.5274 +*END + +*D_NET *3665 0.0211205 +*CONN +*I *40723:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8425:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40724:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40723:A 0.000217788 +2 *8425:DIODE 6.50276e-05 +3 *40724:X 0.00480216 +4 *3665:42 0.00148894 +5 *3665:38 0.00257055 +6 *3665:27 0.00424361 +7 *3665:26 0.00290472 +8 *3665:21 0.0048277 +9 *40723:A *3750:45 0 +10 *40723:A *4055:58 0 +11 *40723:A *4365:101 0 +12 *3665:21 *3689:15 0 +13 *3665:21 *3751:23 0 +14 *3665:21 *3757:11 0 +15 *3665:21 *3757:22 0 +16 *3665:21 *3786:74 0 +17 *3665:21 *4050:29 0 +18 *3665:21 *4055:24 0 +19 *3665:27 *3709:16 0 +20 *3665:27 *3723:61 0 +21 *3665:27 *3723:79 0 +22 *3665:27 *3741:65 0 +23 *3665:27 *4362:68 0 +24 *3665:27 *4708:19 0 +25 *3665:38 *3669:17 0 +26 *3665:38 *3775:61 0 +27 *3665:38 *4369:47 0 +28 *3665:42 *3669:17 0 +29 *38015:A *3665:27 0 +30 *39489:A *3665:21 0 +31 *40724:A *3665:21 0 +32 *1270:19 *3665:42 0 +33 *1413:49 *3665:42 0 +34 *2222:89 *3665:21 0 +35 *2466:8 *3665:27 0 +36 *2841:19 *3665:21 0 +37 *2962:19 *3665:27 0 +38 *3112:82 *3665:27 0 +39 *3120:24 *3665:21 0 +40 *3186:32 *3665:21 0 +41 *3186:46 *3665:21 0 +42 *3427:44 *40723:A 0 +43 *3427:48 *40723:A 0 +44 *3427:48 *3665:42 0 +*RES +1 *40724:X *3665:21 49.6555 +2 *3665:21 *3665:26 8.49036 +3 *3665:26 *3665:27 60.0893 +4 *3665:27 *3665:38 46.7679 +5 *3665:38 *3665:42 30.5714 +6 *3665:42 *8425:DIODE 15.1571 +7 *3665:42 *40723:A 18.8893 +*END + +*D_NET *3666 0.0246039 +*CONN +*I *37866:A I *D sky130_fd_sc_hd__and2_1 +*I *5731:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40725:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *37866:A 0.000206763 +2 *5731:DIODE 0 +3 *40725:X 0.000652406 +4 *3666:83 0.000429226 +5 *3666:80 0.00228986 +6 *3666:76 0.00345473 +7 *3666:66 0.00255507 +8 *3666:60 0.00301827 +9 *3666:45 0.00348104 +10 *3666:28 0.00325534 +11 *3666:22 0.00311682 +12 *3666:13 0.0021444 +13 *3666:13 *8491:DIODE 0 +14 *3666:13 *3945:23 0 +15 *3666:13 *4051:47 0 +16 *3666:22 *4048:52 0 +17 *3666:28 *3945:25 0 +18 *3666:28 *4048:62 0 +19 *3666:45 *37858:A 0 +20 *3666:45 *3755:11 0 +21 *3666:45 *3773:14 0 +22 *3666:45 *4048:74 0 +23 *3666:45 *4080:15 0 +24 *3666:45 *4080:17 0 +25 *3666:45 *4087:47 0 +26 *3666:45 *4339:23 0 +27 *3666:45 *4339:35 0 +28 *3666:60 *3696:96 0 +29 *3666:60 *3700:36 0 +30 *3666:60 *4087:47 0 +31 *3666:60 *4339:35 0 +32 *3666:66 *3718:20 0 +33 *3666:76 *3755:13 0 +34 *3666:76 *3761:28 0 +35 *3666:80 *3739:33 0 +36 *3666:80 *3761:69 0 +37 *3666:80 *4566:47 0 +38 *3666:80 *4897:22 0 +39 *37858:B *3666:45 0 +40 *1207:74 *3666:13 0 +41 *1208:53 *3666:28 0 +42 *1423:21 *3666:80 0 +43 *1696:16 *3666:60 0 +44 *1824:54 *3666:45 0 +45 *1826:9 *37866:A 0 +46 *1826:9 *3666:83 0 +47 *2422:48 *3666:60 0 +48 *2444:48 *3666:45 0 +49 *2812:27 *3666:22 0 +50 *2813:16 *3666:66 0 +51 *2822:123 *3666:28 0 +52 *2836:43 *37866:A 0 +53 *2905:51 *3666:22 0 +54 *2919:24 *3666:13 0 +55 *3014:55 *3666:22 0 +56 *3079:25 *3666:45 0 +57 *3090:16 *3666:60 0 +58 *3094:31 *3666:22 0 +59 *3117:12 *37866:A 0 +60 *3290:69 *3666:80 0 +61 *3308:69 *3666:60 0 +62 *3542:41 *3666:60 0 +63 *3657:45 *3666:66 0 +64 *3657:83 *3666:80 0 +65 *3662:52 *3666:13 0 +*RES +1 *40725:X *3666:13 36.9429 +2 *3666:13 *3666:22 39.6438 +3 *3666:22 *3666:28 43.5357 +4 *3666:28 *3666:45 43.5893 +5 *3666:45 *3666:60 34.7612 +6 *3666:60 *3666:66 33.5536 +7 *3666:66 *3666:76 38.125 +8 *3666:76 *3666:80 47.9286 +9 *3666:80 *3666:83 9.14286 +10 *3666:83 *5731:DIODE 9.3 +11 *3666:83 *37866:A 22.8357 +*END + +*D_NET *3667 0.0219414 +*CONN +*I *8426:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40725:A I *D sky130_fd_sc_hd__buf_2 +*I *40726:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8426:DIODE 0.000113825 +2 *40725:A 0.000498116 +3 *40726:X 0.0013948 +4 *3667:93 0.00250316 +5 *3667:76 0.00345885 +6 *3667:56 0.00248322 +7 *3667:45 0.00442451 +8 *3667:44 0.00458951 +9 *3667:19 0.00247539 +10 *40725:A *4074:61 0 +11 *40725:A *4084:35 0 +12 *40725:A *4245:51 0 +13 *3667:19 *38018:B 0 +14 *3667:19 *3750:27 0 +15 *3667:19 *3756:14 0 +16 *3667:19 *3819:71 0 +17 *3667:19 *4365:100 0 +18 *3667:19 *4377:105 0 +19 *3667:19 *4713:13 0 +20 *3667:44 *38022:B 0 +21 *3667:44 *40802:A 0 +22 *3667:44 *3753:25 0 +23 *3667:45 *3730:101 0 +24 *3667:45 *3733:115 0 +25 *3667:45 *3750:55 0 +26 *3667:45 *4078:89 0 +27 *3667:45 *4225:59 0 +28 *3667:45 *4335:13 0 +29 *3667:56 *40996:A 0 +30 *3667:56 *3740:64 0 +31 *3667:56 *3756:25 0 +32 *3667:76 *8631:DIODE 0 +33 *3667:76 *3732:17 0 +34 *3667:76 *3941:19 0 +35 *3667:76 *3987:19 0 +36 *3667:76 *4070:95 0 +37 *3667:76 *4078:92 0 +38 *3667:76 *4084:11 0 +39 *3667:76 *4351:33 0 +40 *3667:93 *8672:DIODE 0 +41 *3667:93 *3684:53 0 +42 *3667:93 *4225:69 0 +43 *3667:93 *4314:28 0 +44 *6003:DIODE *3667:44 0 +45 *8361:DIODE *3667:19 0 +46 *8392:DIODE *3667:76 0 +47 *39571:A *40725:A 0 +48 *39989:A *3667:93 0 +49 *1207:74 *40725:A 0 +50 *1410:40 *3667:19 0 +51 *1410:40 *3667:44 0 +52 *1442:16 *3667:45 0 +53 *2384:53 *8426:DIODE 0 +54 *2421:18 *3667:93 0 +55 *2440:49 *3667:93 0 +56 *2834:18 *3667:93 0 +57 *2839:20 *3667:93 0 +58 *2928:21 *3667:45 0 +59 *2928:28 *3667:45 0 +60 *2928:39 *3667:44 0 +61 *2928:39 *3667:45 0 +62 *2929:51 *3667:45 0 +63 *2992:22 *3667:19 0 +64 *2992:82 *3667:93 0 +65 *3274:79 *3667:45 0 +66 *3279:103 *3667:45 0 +67 *3316:115 *3667:44 0 +68 *3319:115 *3667:44 0 +69 *3319:126 *3667:45 0 +70 *3321:152 *3667:19 0 +71 *3411:17 *3667:93 0 +72 *3584:21 *3667:19 0 +73 *3650:75 *3667:93 0 +74 *3652:22 *3667:93 0 +*RES +1 *40726:X *3667:19 47.7643 +2 *3667:19 *3667:44 41.1786 +3 *3667:44 *3667:45 73.2321 +4 *3667:45 *3667:56 37.7679 +5 *3667:56 *3667:76 48.4844 +6 *3667:76 *3667:93 43.0054 +7 *3667:93 *40725:A 25.1304 +8 *3667:93 *8426:DIODE 16.2554 +*END + +*D_NET *3668 0.0277986 +*CONN +*I *8427:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40726:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40727:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8427:DIODE 4.23535e-05 +2 *40726:A 0.000262785 +3 *40727:X 0.00146909 +4 *3668:36 0.000305139 +5 *3668:34 0.00221062 +6 *3668:33 0.00221062 +7 *3668:31 0.00819262 +8 *3668:30 0.00819262 +9 *3668:28 0.00172183 +10 *3668:20 0.00319091 +11 *8427:DIODE *3730:67 0 +12 *40726:A *3730:67 0 +13 *3668:20 *4690:19 0 +14 *3668:20 *5121:34 0 +15 *3668:28 *4689:8 0 +16 *3668:28 *4690:19 0 +17 *3668:28 *4691:20 0 +18 *3668:31 *3796:17 0 +19 *3668:31 *4257:27 0 +20 *3668:31 *4983:5 0 +21 *3668:34 *4245:16 0 +22 *3668:34 *4331:6 0 +23 *5675:DIODE *3668:20 0 +24 *38255:A *3668:31 0 +25 *40837:A *3668:20 0 +26 *337:29 *3668:28 0 +27 *348:25 *3668:28 0 +28 *349:10 *3668:28 0 +29 *352:18 *3668:31 0 +30 *353:14 *3668:28 0 +31 *1232:23 *3668:34 0 +32 *1267:31 *3668:28 0 +33 *2232:51 *3668:28 0 +34 *2272:69 *3668:20 0 +35 *2282:33 *3668:28 0 +36 *2312:38 *3668:34 0 +37 *2329:52 *3668:20 0 +38 *2338:18 *3668:20 0 +39 *2344:7 *3668:28 0 +40 *2344:23 *3668:28 0 +41 *2532:14 *3668:20 0 +42 *2607:8 *3668:28 0 +43 *2734:19 *3668:31 0 +44 *2856:38 *3668:20 0 +45 *3076:52 *3668:34 0 +*RES +1 *40727:X *3668:20 47.5054 +2 *3668:20 *3668:28 46.3482 +3 *3668:28 *3668:30 4.5 +4 *3668:30 *3668:31 170.982 +5 *3668:31 *3668:33 4.5 +6 *3668:33 *3668:34 50.2143 +7 *3668:34 *3668:36 4.5 +8 *3668:36 *40726:A 24.0679 +9 *3668:36 *8427:DIODE 10.2464 +*END + +*D_NET *3669 0.0251393 +*CONN +*I *5728:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37864:A I *D sky130_fd_sc_hd__and2_1 +*I *40728:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5728:DIODE 0.000464175 +2 *37864:A 2.55228e-05 +3 *40728:X 0.00116839 +4 *3669:69 0.00187278 +5 *3669:51 0.00319376 +6 *3669:33 0.0037846 +7 *3669:30 0.00399731 +8 *3669:22 0.00288437 +9 *3669:17 0.00372049 +10 *3669:16 0.0028595 +11 *3669:14 0.00116839 +12 *5728:DIODE *3802:20 0 +13 *5728:DIODE *3994:17 0 +14 *5728:DIODE *4036:11 0 +15 *5728:DIODE *4224:27 0 +16 *3669:14 *3709:16 0 +17 *3669:14 *3709:27 0 +18 *3669:14 *3741:79 0 +19 *3669:14 *3820:61 0 +20 *3669:14 *4098:59 0 +21 *3669:17 *3681:51 0 +22 *3669:17 *3730:95 0 +23 *3669:17 *3775:61 0 +24 *3669:17 *4613:10 0 +25 *3669:22 *3720:60 0 +26 *3669:51 *4097:44 0 +27 *3669:69 *8732:DIODE 0 +28 *3669:69 *8850:DIODE 0 +29 *3669:69 *3810:9 0 +30 *3669:69 *3991:133 0 +31 *3669:69 *3994:17 0 +32 *3669:69 *4070:95 0 +33 *3669:69 *4224:27 0 +34 *5717:DIODE *3669:51 0 +35 *8002:DIODE *3669:51 0 +36 *39618:A *3669:69 0 +37 *40102:A *3669:51 0 +38 *1211:36 *3669:17 0 +39 *1237:53 *3669:14 0 +40 *1270:19 *3669:17 0 +41 *1272:45 *3669:51 0 +42 *1418:25 *3669:51 0 +43 *1436:25 *3669:30 0 +44 *1439:46 *3669:14 0 +45 *1538:20 *3669:22 0 +46 *1541:23 *3669:17 0 +47 *1546:12 *3669:69 0 +48 *2309:43 *3669:14 0 +49 *2384:53 *3669:51 0 +50 *2384:63 *3669:51 0 +51 *2384:103 *3669:17 0 +52 *2419:68 *3669:22 0 +53 *2434:15 *5728:DIODE 0 +54 *2822:113 *3669:69 0 +55 *2834:18 *3669:69 0 +56 *2924:20 *3669:51 0 +57 *2975:9 *3669:30 0 +58 *2989:12 *3669:51 0 +59 *3001:29 *3669:33 0 +60 *3029:55 *3669:30 0 +61 *3029:57 *3669:30 0 +62 *3078:20 *3669:30 0 +63 *3159:40 *3669:22 0 +64 *3647:63 *3669:51 0 +65 *3650:75 *3669:69 0 +66 *3650:93 *3669:69 0 +67 *3665:38 *3669:17 0 +68 *3665:42 *3669:17 0 +*RES +1 *40728:X *3669:14 48.2643 +2 *3669:14 *3669:16 4.5 +3 *3669:16 *3669:17 59.6786 +4 *3669:17 *3669:22 28.5536 +5 *3669:22 *3669:30 46.875 +6 *3669:30 *3669:33 45.6964 +7 *3669:33 *3669:51 48.6429 +8 *3669:51 *3669:69 47.5157 +9 *3669:69 *37864:A 17.7904 +10 *3669:69 *5728:DIODE 26.4101 +*END + +*D_NET *3670 0.0219956 +*CONN +*I *5725:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37862:A I *D sky130_fd_sc_hd__and2_1 +*I *40729:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5725:DIODE 0.000647514 +2 *37862:A 0 +3 *40729:X 0.000876649 +4 *3670:71 0.000682595 +5 *3670:68 0.00254273 +6 *3670:50 0.00361259 +7 *3670:37 0.00349022 +8 *3670:31 0.00550274 +9 *3670:29 0.00344069 +10 *3670:24 0.00119986 +11 *5725:DIODE *3780:18 0 +12 *5725:DIODE *4048:25 0 +13 *3670:24 *3692:9 0 +14 *3670:24 *3781:24 0 +15 *3670:24 *4024:30 0 +16 *3670:29 *3781:24 0 +17 *3670:29 *4746:15 0 +18 *3670:31 *3736:46 0 +19 *3670:31 *3736:47 0 +20 *3670:31 *3772:32 0 +21 *3670:31 *3772:42 0 +22 *3670:31 *3781:24 0 +23 *3670:31 *4336:46 0 +24 *3670:37 *37846:A 0 +25 *3670:37 *3736:47 0 +26 *3670:37 *3736:77 0 +27 *3670:37 *3772:66 0 +28 *3670:37 *3772:91 0 +29 *3670:50 *8949:DIODE 0 +30 *3670:50 *3752:14 0 +31 *3670:50 *3772:91 0 +32 *3670:50 *4099:38 0 +33 *3670:68 *6390:DIODE 0 +34 *3670:68 *8188:DIODE 0 +35 *3670:68 *3680:107 0 +36 *3670:68 *3692:38 0 +37 *3670:68 *3736:91 0 +38 *3670:68 *3984:137 0 +39 *3670:68 *4070:95 0 +40 *3670:68 *4099:49 0 +41 *3670:68 *4124:43 0 +42 *3670:68 *4248:109 0 +43 *3670:68 *4351:33 0 +44 *3670:68 *4352:67 0 +45 *37846:B *3670:37 0 +46 *38019:A *3670:29 0 +47 *38029:A *3670:50 0 +48 *38270:A *3670:68 0 +49 *39633:A *3670:24 0 +50 *39973:A *5725:DIODE 0 +51 *40103:A *3670:31 0 +52 *40104:A *3670:31 0 +53 *40708:A *3670:37 0 +54 *1210:69 *3670:68 0 +55 *1231:17 *3670:31 0 +56 *1231:37 *3670:31 0 +57 *1270:10 *3670:68 0 +58 *1271:36 *3670:50 0 +59 *1317:19 *3670:37 0 +60 *1324:16 *3670:37 0 +61 *1324:16 *3670:50 0 +62 *1408:73 *3670:29 0 +63 *1411:15 *3670:68 0 +64 *1413:49 *3670:37 0 +65 *1439:46 *3670:31 0 +66 *1534:20 *3670:68 0 +67 *2928:76 *3670:31 0 +68 *2947:14 *3670:37 0 +69 *2947:47 *3670:29 0 +70 *2947:47 *3670:31 0 +71 *2947:59 *3670:24 0 +72 *2947:70 *3670:24 0 +73 *2981:62 *3670:50 0 +74 *3085:33 *3670:24 0 +75 *3161:34 *3670:24 0 +76 *3183:42 *3670:50 0 +77 *3252:25 *3670:37 0 +78 *3305:168 *3670:68 0 +79 *3445:70 *3670:50 0 +80 *3647:16 *3670:37 0 +81 *3648:11 *3670:31 0 +82 *3658:28 *3670:37 0 +83 *3659:39 *3670:37 0 +*RES +1 *40729:X *3670:24 46.438 +2 *3670:24 *3670:29 11.2143 +3 *3670:29 *3670:31 65.0625 +4 *3670:31 *3670:37 49.8125 +5 *3670:37 *3670:50 42.0536 +6 *3670:50 *3670:68 46.1674 +7 *3670:68 *3670:71 5.23214 +8 *3670:71 *37862:A 9.3 +9 *3670:71 *5725:DIODE 32.6571 +*END + +*D_NET *3671 0.00984365 +*CONN +*I *5409:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37596:B I *D sky130_fd_sc_hd__and2_1 +*I *38546:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5409:DIODE 0.000112356 +2 *37596:B 8.55626e-05 +3 *38546:X 0.00324763 +4 *3671:27 0.00167419 +5 *3671:14 0.00472391 +6 *37596:B *4346:37 0 +7 *3671:14 *40190:A 0 +8 *3671:14 *40435:A 0 +9 *3671:14 *3898:15 0 +10 *3671:14 *4479:10 0 +11 *3671:14 *5104:10 0 +12 *3671:27 *4346:37 0 +13 *37596:A *3671:27 0 +14 *38687:A *3671:14 0 +15 *38865:A *3671:14 0 +16 *38866:A *3671:14 0 +17 *1812:13 *3671:27 0 +18 *1928:58 *3671:27 0 +19 *1939:12 *3671:27 0 +20 *2012:17 *3671:14 0 +21 *2055:10 *3671:14 0 +22 *2149:14 *3671:14 0 +23 *2168:9 *3671:27 0 +24 *2200:23 *37596:B 0 +25 *2847:24 *3671:27 0 +26 *3172:29 *3671:14 0 +27 *3569:60 *3671:27 0 +28 *3578:64 *3671:27 0 +29 *3587:22 *3671:27 0 +30 *3636:38 *3671:27 0 +*RES +1 *38546:X *3671:14 47.8166 +2 *3671:14 *3671:27 34.4665 +3 *3671:27 *37596:B 11.0857 +4 *3671:27 *5409:DIODE 20.8536 +*END + +*D_NET *3672 0.020277 +*CONN +*I *5722:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37860:A I *D sky130_fd_sc_hd__and2_1 +*I *40730:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5722:DIODE 0.000406185 +2 *37860:A 0 +3 *40730:X 0.000864046 +4 *3672:63 0.000982663 +5 *3672:50 0.00172117 +6 *3672:27 0.00618626 +7 *3672:25 0.00707474 +8 *3672:22 0.00210552 +9 *3672:17 0.000936387 +10 *5722:DIODE *8919:DIODE 0 +11 *5722:DIODE *4092:83 0 +12 *3672:17 *3823:66 0 +13 *3672:17 *4746:15 0 +14 *3672:25 *4336:22 0 +15 *3672:25 *4336:28 0 +16 *3672:25 *4365:67 0 +17 *3672:27 *3803:25 0 +18 *3672:27 *3804:79 0 +19 *3672:27 *4336:28 0 +20 *3672:27 *4714:13 0 +21 *3672:50 *3719:17 0 +22 *3672:63 *3803:52 0 +23 *3672:63 *4092:83 0 +24 *3672:63 *4171:80 0 +25 *3672:63 *4217:14 0 +26 *6006:DIODE *5722:DIODE 0 +27 *37860:B *5722:DIODE 0 +28 *38025:A *3672:27 0 +29 *1206:41 *5722:DIODE 0 +30 *1206:41 *3672:63 0 +31 *1271:46 *3672:27 0 +32 *1324:47 *3672:27 0 +33 *1324:51 *3672:25 0 +34 *1324:51 *3672:27 0 +35 *2419:68 *3672:50 0 +36 *2822:46 *5722:DIODE 0 +37 *2822:46 *3672:50 0 +38 *2841:61 *3672:50 0 +39 *2928:83 *3672:25 0 +40 *2948:19 *3672:17 0 +41 *3177:56 *3672:25 0 +42 *3177:57 *3672:17 0 +43 *3312:133 *3672:50 0 +44 *3318:75 *5722:DIODE 0 +45 *3323:59 *3672:50 0 +46 *3648:17 *3672:27 0 +47 *3650:59 *5722:DIODE 0 +*RES +1 *40730:X *3672:17 45.55 +2 *3672:17 *3672:22 10.6429 +3 *3672:22 *3672:25 42.433 +4 *3672:25 *3672:27 105.281 +5 *3672:27 *3672:50 46.9643 +6 *3672:50 *3672:63 16.8393 +7 *3672:63 *37860:A 9.3 +8 *3672:63 *5722:DIODE 27.1393 +*END + +*D_NET *3673 0.0288311 +*CONN +*I *5719:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37858:A I *D sky130_fd_sc_hd__and2_2 +*I *40731:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5719:DIODE 0 +2 *37858:A 0.000149966 +3 *40731:X 4.53482e-05 +4 *3673:26 0.000341977 +5 *3673:22 0.00263564 +6 *3673:21 0.0039601 +7 *3673:11 0.00904 +8 *3673:10 0.00752352 +9 *3673:8 0.00254459 +10 *3673:7 0.00258994 +11 *37858:A *4339:23 0 +12 *3673:7 *3756:14 0 +13 *3673:7 *3822:86 0 +14 *3673:8 *5422:DIODE 0 +15 *3673:8 *3686:8 0 +16 *3673:11 *3762:21 0 +17 *3673:21 *39265:A 0 +18 *3673:22 *3686:36 0 +19 *3673:22 *3752:40 0 +20 *5708:DIODE *3673:8 0 +21 *357:26 *3673:11 0 +22 *358:16 *3673:11 0 +23 *359:19 *3673:11 0 +24 *360:14 *3673:11 0 +25 *361:37 *3673:22 0 +26 *372:27 *3673:22 0 +27 *375:19 *3673:11 0 +28 *380:5 *3673:22 0 +29 *1003:13 *3673:22 0 +30 *1324:16 *3673:8 0 +31 *2459:16 *3673:21 0 +32 *2476:11 *3673:21 0 +33 *2476:16 *3673:11 0 +34 *2720:11 *3673:11 0 +35 *2942:9 *3673:11 0 +36 *3097:35 *3673:22 0 +37 *3445:70 *3673:8 0 +38 *3524:29 *3673:22 0 +39 *3528:54 *3673:22 0 +40 *3666:45 *37858:A 0 +*RES +1 *40731:X *3673:7 14.7464 +2 *3673:7 *3673:8 57.8036 +3 *3673:8 *3673:10 4.5 +4 *3673:10 *3673:11 157.018 +5 *3673:11 *3673:21 45.2857 +6 *3673:21 *3673:22 55.5268 +7 *3673:22 *3673:26 4.45536 +8 *3673:26 *37858:A 17.0143 +9 *3673:26 *5719:DIODE 13.8 +*END + +*D_NET *3674 0.0298634 +*CONN +*I *8431:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40731:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40732:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8431:DIODE 0 +2 *40731:A 0.000431392 +3 *40732:X 0.00159564 +4 *3674:93 0.00165234 +5 *3674:86 0.00477552 +6 *3674:68 0.00626279 +7 *3674:58 0.0045231 +8 *3674:44 0.00381594 +9 *3674:33 0.00360605 +10 *3674:14 0.00320065 +11 *40731:A *40742:A 0 +12 *40731:A *3715:32 0 +13 *40731:A *3750:45 0 +14 *40731:A *3822:86 0 +15 *3674:14 *3956:50 0 +16 *3674:14 *4345:84 0 +17 *3674:14 *4365:34 0 +18 *3674:33 *3808:40 0 +19 *3674:33 *4697:11 0 +20 *3674:33 *5127:24 0 +21 *3674:44 *3798:54 0 +22 *3674:44 *5127:18 0 +23 *3674:58 *40865:A 0 +24 *3674:58 *3733:20 0 +25 *3674:58 *3812:65 0 +26 *3674:58 *3820:11 0 +27 *3674:58 *3822:21 0 +28 *3674:58 *3823:69 0 +29 *3674:58 *4356:45 0 +30 *3674:58 *4702:12 0 +31 *3674:68 *3676:8 0 +32 *3674:68 *3720:41 0 +33 *3674:68 *3822:38 0 +34 *3674:68 *3968:22 0 +35 *3674:68 *4067:39 0 +36 *3674:86 *3679:64 0 +37 *3674:86 *3733:72 0 +38 *3674:86 *3820:61 0 +39 *3674:86 *4055:52 0 +40 *3674:86 *4070:63 0 +41 *3674:93 *3803:25 0 +42 *3674:93 *4218:52 0 +43 *3674:93 *4717:6 0 +44 *38091:A *3674:93 0 +45 *1027:64 *3674:44 0 +46 *1029:84 *3674:86 0 +47 *1298:36 *3674:14 0 +48 *1316:29 *3674:33 0 +49 *1316:31 *3674:33 0 +50 *1330:34 *3674:86 0 +51 *1505:34 *3674:44 0 +52 *1559:18 *3674:14 0 +53 *1559:26 *3674:33 0 +54 *1560:26 *3674:33 0 +55 *2268:40 *3674:44 0 +56 *2268:43 *3674:44 0 +57 *2281:55 *3674:14 0 +58 *2309:30 *3674:33 0 +59 *2309:36 *3674:33 0 +60 *2369:53 *3674:86 0 +61 *2715:8 *3674:86 0 +62 *2846:22 *3674:44 0 +63 *2865:43 *3674:33 0 +64 *2925:37 *3674:86 0 +65 *2932:12 *3674:68 0 +66 *2935:20 *3674:86 0 +67 *2944:36 *3674:86 0 +68 *3074:45 *3674:68 0 +69 *3078:31 *3674:44 0 +70 *3081:68 *3674:86 0 +71 *3165:14 *3674:14 0 +72 *3166:24 *40731:A 0 +73 *3252:34 *3674:68 0 +74 *3334:39 *3674:68 0 +75 *3372:76 *3674:58 0 +76 *3387:44 *3674:44 0 +77 *3447:40 *3674:14 0 +78 *3526:90 *3674:58 0 +79 *3531:36 *3674:86 0 +80 *3647:16 *3674:86 0 +81 *3650:23 *3674:93 0 +82 *3655:18 *3674:33 0 +*RES +1 *40732:X *3674:14 48.484 +2 *3674:14 *3674:33 47.4286 +3 *3674:33 *3674:44 47.8102 +4 *3674:44 *3674:58 47.7321 +5 *3674:58 *3674:68 49.2343 +6 *3674:68 *3674:86 42.9918 +7 *3674:86 *3674:93 34.7857 +8 *3674:93 *40731:A 27.9786 +9 *3674:93 *8431:DIODE 9.3 +*END + +*D_NET *3675 0.0261891 +*CONN +*I *5716:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37856:A I *D sky130_fd_sc_hd__and2_1 +*I *40733:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5716:DIODE 0.00052605 +2 *37856:A 0 +3 *40733:X 0.0022047 +4 *3675:74 0.00183772 +5 *3675:67 0.00804067 +6 *3675:53 0.00905212 +7 *3675:24 0.00452782 +8 *5716:DIODE *3803:94 0 +9 *5716:DIODE *3987:19 0 +10 *5716:DIODE *4078:92 0 +11 *5716:DIODE *4723:13 0 +12 *3675:24 *3720:35 0 +13 *3675:24 *3763:21 0 +14 *3675:24 *3766:48 0 +15 *3675:24 *3942:61 0 +16 *3675:24 *4125:58 0 +17 *3675:24 *4320:23 0 +18 *3675:53 *8710:DIODE 0 +19 *3675:53 *8866:DIODE 0 +20 *3675:53 *38072:B 0 +21 *3675:53 *3995:25 0 +22 *3675:53 *4037:24 0 +23 *3675:53 *4088:18 0 +24 *3675:53 *4117:51 0 +25 *3675:53 *4236:79 0 +26 *3675:53 *4261:51 0 +27 *3675:53 *4272:38 0 +28 *3675:53 *4888:26 0 +29 *3675:67 *6108:DIODE 0 +30 *3675:67 *40769:A 0 +31 *3675:67 *41276:A 0 +32 *3675:67 *3722:13 0 +33 *3675:67 *3722:25 0 +34 *3675:67 *4149:31 0 +35 *3675:67 *4182:20 0 +36 *3675:67 *4259:40 0 +37 *3675:67 *4274:26 0 +38 *3675:67 *4279:55 0 +39 *3675:67 *4518:73 0 +40 *1226:80 *3675:53 0 +41 *1231:17 *3675:24 0 +42 *1432:41 *3675:53 0 +43 *1445:37 *3675:67 0 +44 *1532:36 *3675:24 0 +45 *2683:27 *3675:67 0 +46 *2835:31 *3675:24 0 +47 *3015:25 *3675:67 0 +48 *3037:20 *3675:67 0 +49 *3053:62 *3675:67 0 +50 *3084:34 *3675:53 0 +51 *3084:62 *3675:53 0 +52 *3119:22 *3675:67 0 +53 *3202:24 *3675:67 0 +54 *3275:32 *3675:74 0 +55 *3292:13 *3675:67 0 +56 *3443:36 *3675:53 0 +57 *3443:88 *3675:67 0 +58 *3490:14 *3675:74 0 +59 *3626:29 *3675:24 0 +*RES +1 *40733:X *3675:24 49.2056 +2 *3675:24 *3675:53 46.6608 +3 *3675:53 *3675:67 45.254 +4 *3675:67 *3675:74 12.644 +5 *3675:74 *37856:A 13.8 +6 *3675:74 *5716:DIODE 26.5143 +*END + +*D_NET *3676 0.0275831 +*CONN +*I *5713:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37854:A I *D sky130_fd_sc_hd__and2_1 +*I *40734:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5713:DIODE 0.000258827 +2 *37854:A 2.0535e-05 +3 *40734:X 2.56688e-05 +4 *3676:17 0.000305031 +5 *3676:14 0.00208938 +6 *3676:13 0.00206371 +7 *3676:11 0.00915691 +8 *3676:10 0.00915691 +9 *3676:8 0.00224023 +10 *3676:7 0.0022659 +11 *5713:DIODE *3780:26 0 +12 *5713:DIODE *4051:24 0 +13 *3676:7 *3822:21 0 +14 *3676:8 *3706:10 0 +15 *3676:8 *3984:68 0 +16 *3676:11 *3701:21 0 +17 *3676:11 *3704:15 0 +18 *3676:11 *3706:11 0 +19 *3676:11 *4706:7 0 +20 *3676:14 *4257:36 0 +21 *3676:14 *5006:11 0 +22 *351:14 *3676:11 0 +23 *1327:19 *5713:DIODE 0 +24 *1327:19 *3676:17 0 +25 *1515:6 *3676:8 0 +26 *2304:51 *3676:8 0 +27 *2715:18 *3676:14 0 +28 *2717:33 *3676:11 0 +29 *2843:66 *3676:8 0 +30 *2924:20 *3676:14 0 +31 *3427:53 *3676:11 0 +32 *3427:61 *3676:11 0 +33 *3674:68 *3676:8 0 +*RES +1 *40734:X *3676:7 14.3357 +2 *3676:7 *3676:8 50.8214 +3 *3676:8 *3676:10 4.5 +4 *3676:10 *3676:11 191.107 +5 *3676:11 *3676:13 4.5 +6 *3676:13 *3676:14 46.875 +7 *3676:14 *3676:17 5.03571 +8 *3676:17 *37854:A 9.72857 +9 *3676:17 *5713:DIODE 14.7643 +*END + +*D_NET *3677 0.0201175 +*CONN +*I *5579:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37744:A I *D sky130_fd_sc_hd__and2_1 +*I *40735:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5579:DIODE 0.000463521 +2 *37744:A 0.000210256 +3 *40735:X 0.00017153 +4 *3677:17 0.00988723 +5 *3677:10 0.00938499 +6 *5579:DIODE *4021:8 0 +7 *37744:A *3942:125 0 +8 *3677:10 *4134:50 0 +9 *3677:17 *3680:92 0 +10 *3677:17 *3692:38 0 +11 *3677:17 *3742:19 0 +12 *3677:17 *3742:40 0 +13 *3677:17 *3772:91 0 +14 *3677:17 *3984:90 0 +15 *3677:17 *3984:125 0 +16 *3677:17 *4070:95 0 +17 *3677:17 *4328:24 0 +18 *3677:17 *4329:47 0 +19 *3677:17 *4365:34 0 +20 *40209:A *5579:DIODE 0 +21 *2253:53 *3677:10 0 +22 *2858:30 *3677:17 0 +23 *2992:44 *37744:A 0 +24 *3070:117 *37744:A 0 +25 *3197:30 *3677:17 0 +26 *3305:168 *3677:17 0 +*RES +1 *40735:X *3677:10 21.0582 +2 *3677:10 *3677:17 36.8082 +3 *3677:17 *37744:A 18.3089 +4 *3677:17 *5579:DIODE 24.3982 +*END + +*D_NET *3678 0.0242009 +*CONN +*I *37852:A I *D sky130_fd_sc_hd__and2_1 +*I *5710:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40736:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37852:A 0.000196387 +2 *5710:DIODE 0 +3 *40736:X 0.00309352 +4 *3678:56 0.000999476 +5 *3678:45 0.00512186 +6 *3678:32 0.00800743 +7 *3678:19 0.00678218 +8 *37852:A *4084:43 0 +9 *3678:19 *3685:40 0 +10 *3678:19 *3717:31 0 +11 *3678:19 *3750:27 0 +12 *3678:19 *3756:14 0 +13 *3678:19 *3822:86 0 +14 *3678:19 *4377:129 0 +15 *3678:32 *3719:33 0 +16 *3678:32 *3967:6 0 +17 *3678:32 *3968:35 0 +18 *1029:84 *3678:19 0 +19 *1029:84 *3678:32 0 +20 *1823:18 *3678:56 0 +21 *2365:71 *3678:19 0 +22 *2369:20 *3678:45 0 +23 *2391:59 *3678:45 0 +24 *2413:98 *3678:19 0 +25 *2682:45 *3678:45 0 +26 *2812:20 *3678:32 0 +27 *2812:27 *3678:45 0 +28 *2837:31 *3678:32 0 +29 *2905:51 *3678:45 0 +30 *3003:24 *3678:56 0 +31 *3021:19 *3678:45 0 +32 *3023:21 *3678:32 0 +33 *3054:78 *3678:19 0 +34 *3074:18 *3678:32 0 +35 *3075:45 *3678:45 0 +36 *3114:15 *3678:56 0 +37 *3275:65 *3678:45 0 +38 *3298:40 *3678:19 0 +39 *3298:40 *3678:32 0 +40 *3298:40 *3678:45 0 +41 *3310:40 *3678:32 0 +42 *3310:40 *3678:45 0 +43 *3321:153 *3678:19 0 +44 *3420:20 *3678:32 0 +45 *3584:37 *3678:56 0 +46 *3625:16 *3678:32 0 +47 *3662:35 *3678:32 0 +*RES +1 *40736:X *3678:19 43.4146 +2 *3678:19 *3678:32 42.6754 +3 *3678:32 *3678:45 47.4871 +4 *3678:45 *3678:56 18.4609 +5 *3678:56 *5710:DIODE 9.3 +6 *3678:56 *37852:A 22.5321 +*END + +*D_NET *3679 0.0234704 +*CONN +*I *8435:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40736:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40737:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8435:DIODE 2.56688e-05 +2 *40736:A 0.000125726 +3 *40737:X 0.00142549 +4 *3679:64 0.00174104 +5 *3679:41 0.00856214 +6 *3679:40 0.0069725 +7 *3679:38 0.00159619 +8 *3679:22 0.00302168 +9 *3679:22 *3714:18 0 +10 *3679:22 *3757:11 0 +11 *3679:22 *4067:17 0 +12 *3679:38 *3710:17 0 +13 *3679:38 *3753:12 0 +14 *3679:38 *3789:43 0 +15 *3679:41 *4702:17 0 +16 *3679:41 *5130:9 0 +17 *3679:64 *3733:72 0 +18 *3679:64 *3979:26 0 +19 *37831:A *3679:38 0 +20 *1018:54 *3679:38 0 +21 *1232:28 *3679:64 0 +22 *1267:28 *3679:38 0 +23 *1436:25 *3679:41 0 +24 *2242:47 *3679:38 0 +25 *2266:65 *3679:38 0 +26 *2267:57 *3679:22 0 +27 *2274:47 *3679:38 0 +28 *2277:34 *3679:41 0 +29 *2286:54 *3679:41 0 +30 *2286:63 *3679:41 0 +31 *2336:25 *3679:22 0 +32 *2420:40 *3679:41 0 +33 *2580:16 *3679:38 0 +34 *2752:10 *3679:22 0 +35 *2919:91 *3679:64 0 +36 *2935:20 *3679:64 0 +37 *2941:47 *3679:22 0 +38 *3186:46 *3679:22 0 +39 *3358:72 *3679:38 0 +40 *3531:17 *3679:38 0 +41 *3659:14 *3679:22 0 +42 *3674:86 *3679:64 0 +*RES +1 *40737:X *3679:22 45.8632 +2 *3679:22 *3679:38 49.9824 +3 *3679:38 *3679:40 4.5 +4 *3679:40 *3679:41 145.518 +5 *3679:41 *3679:64 41.5841 +6 *3679:64 *40736:A 16.6571 +7 *3679:64 *8435:DIODE 14.3357 +*END + +*D_NET *3680 0.0204052 +*CONN +*I *5707:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37850:A I *D sky130_fd_sc_hd__and2_2 +*I *40738:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5707:DIODE 4.23535e-05 +2 *37850:A 0.000205874 +3 *40738:X 0.000343619 +4 *3680:107 0.00097722 +5 *3680:92 0.00233429 +6 *3680:54 0.00349637 +7 *3680:48 0.00365065 +8 *3680:42 0.00221122 +9 *3680:30 0.00245934 +10 *3680:26 0.00317417 +11 *3680:16 0.0015101 +12 *3680:16 *3819:13 0 +13 *3680:16 *4345:84 0 +14 *3680:16 *4353:31 0 +15 *3680:26 *3801:21 0 +16 *3680:26 *3806:53 0 +17 *3680:30 *3720:23 0 +18 *3680:30 *3720:35 0 +19 *3680:30 *3801:60 0 +20 *3680:42 *37664:A 0 +21 *3680:42 *3822:21 0 +22 *3680:42 *4345:89 0 +23 *3680:48 *4345:111 0 +24 *3680:48 *4365:82 0 +25 *3680:48 *4367:69 0 +26 *3680:54 *3730:67 0 +27 *3680:54 *3730:78 0 +28 *3680:54 *3824:23 0 +29 *3680:54 *3824:39 0 +30 *3680:92 *3742:40 0 +31 *3680:92 *3772:91 0 +32 *3680:92 *3824:39 0 +33 *3680:92 *4070:95 0 +34 *3680:92 *4377:129 0 +35 *3680:107 *3687:55 0 +36 *3680:107 *3736:91 0 +37 *3680:107 *4241:21 0 +38 *6401:DIODE *37850:A 0 +39 *8416:DIODE *3680:48 0 +40 *8428:DIODE *3680:54 0 +41 *38027:A *3680:92 0 +42 *40713:A *3680:48 0 +43 *40734:A *3680:48 0 +44 *1237:53 *3680:54 0 +45 *1317:19 *3680:92 0 +46 *1324:16 *3680:107 0 +47 *1407:27 *3680:30 0 +48 *1439:31 *3680:54 0 +49 *1514:21 *3680:48 0 +50 *1516:20 *3680:92 0 +51 *1516:22 *3680:92 0 +52 *1534:20 *37850:A 0 +53 *2992:32 *3680:92 0 +54 *3159:40 *37850:A 0 +55 *3197:30 *3680:92 0 +56 *3312:125 *3680:92 0 +57 *3670:68 *3680:107 0 +58 *3677:17 *3680:92 0 +*RES +1 *40738:X *3680:16 34.7464 +2 *3680:16 *3680:26 33.625 +3 *3680:26 *3680:30 46.6071 +4 *3680:30 *3680:42 23.2321 +5 *3680:42 *3680:48 46.0536 +6 *3680:48 *3680:54 48.4643 +7 *3680:54 *3680:92 47.8077 +8 *3680:92 *3680:107 19.8393 +9 *3680:107 *37850:A 22.9786 +10 *3680:107 *5707:DIODE 10.2464 +*END + +*D_NET *3681 0.0204022 +*CONN +*I *37846:A I *D sky130_fd_sc_hd__and2_1 +*I *5701:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40739:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37846:A 0.000223318 +2 *5701:DIODE 0 +3 *40739:X 0.00126787 +4 *3681:56 0.00056302 +5 *3681:51 0.00139063 +6 *3681:23 0.00802342 +7 *3681:22 0.00731928 +8 *3681:14 0.00161465 +9 *37846:A *3736:77 0 +10 *37846:A *3772:66 0 +11 *3681:14 *3714:21 0 +12 *3681:14 *4055:24 0 +13 *3681:14 *4332:25 0 +14 *3681:22 *4100:13 0 +15 *3681:23 *4055:31 0 +16 *3681:23 *4377:79 0 +17 *3681:51 *3709:27 0 +18 *3681:51 *3740:19 0 +19 *3681:51 *4098:59 0 +20 *3681:56 *3818:15 0 +21 *1318:26 *3681:51 0 +22 *1322:12 *37846:A 0 +23 *2217:94 *3681:14 0 +24 *2222:89 *3681:14 0 +25 *2325:32 *3681:14 0 +26 *2347:16 *3681:14 0 +27 *2413:69 *3681:56 0 +28 *2945:10 *3681:23 0 +29 *2981:39 *3681:51 0 +30 *2987:33 *3681:23 0 +31 *3186:32 *3681:14 0 +32 *3252:55 *3681:14 0 +33 *3531:36 *3681:51 0 +34 *3647:16 *3681:51 0 +35 *3659:17 *3681:23 0 +36 *3659:33 *3681:23 0 +37 *3669:17 *3681:51 0 +38 *3670:37 *37846:A 0 +*RES +1 *40739:X *3681:14 49.8 +2 *3681:14 *3681:22 20.8214 +3 *3681:22 *3681:23 145.518 +4 *3681:23 *3681:51 49.5938 +5 *3681:51 *3681:56 16.7143 +6 *3681:56 *5701:DIODE 9.3 +7 *3681:56 *37846:A 13.9607 +*END + +*D_NET *3682 0.0188258 +*CONN +*I *38114:C I *D sky130_fd_sc_hd__and3b_1 +*I *6158:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38547:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38114:C 0.000203489 +2 *6158:DIODE 0 +3 *38547:X 0.00117314 +4 *3682:46 0.00690676 +5 *3682:32 0.00803628 +6 *3682:15 0.00250615 +7 *38114:C *3950:51 0 +8 *3682:15 *4019:37 0 +9 *3682:32 *3959:54 0 +10 *3682:32 *4278:27 0 +11 *3682:46 *3904:49 0 +12 *3682:46 *3904:80 0 +13 *3682:46 *3959:54 0 +14 *3682:46 *3970:19 0 +15 *3682:46 *3999:26 0 +16 *3682:46 *4000:35 0 +17 *3682:46 *4003:42 0 +18 *3682:46 *4228:22 0 +19 *3682:46 *4251:92 0 +20 *3682:46 *5182:81 0 +21 *6600:DIODE *3682:15 0 +22 *39582:A *3682:15 0 +23 *405:35 *3682:15 0 +24 *532:35 *3682:15 0 +25 *1564:16 *38114:C 0 +26 *3070:32 *3682:46 0 +27 *3145:54 *3682:15 0 +28 *3163:40 *3682:46 0 +29 *3194:135 *3682:15 0 +30 *3235:96 *3682:15 0 +31 *3261:15 *3682:15 0 +32 *3266:134 *3682:15 0 +33 *3406:32 *3682:32 0 +34 *3411:91 *3682:46 0 +35 *3423:11 *3682:32 0 +36 *3423:20 *3682:32 0 +37 *3428:14 *3682:32 0 +38 *3428:21 *3682:32 0 +39 *3490:25 *38114:C 0 +*RES +1 *38547:X *3682:15 48.8893 +2 *3682:15 *3682:32 42.2225 +3 *3682:32 *3682:46 41.1685 +4 *3682:46 *6158:DIODE 9.3 +5 *3682:46 *38114:C 22.7286 +*END + +*D_NET *3683 0.0156581 +*CONN +*I *5411:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37598:B I *D sky130_fd_sc_hd__and2_1 +*I *38548:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *5411:DIODE 0.000275736 +2 *37598:B 0 +3 *38548:X 0.00737686 +4 *3683:25 0.000452205 +5 *3683:12 0.00755333 +6 *3683:12 *4473:19 0 +7 *3683:12 *5070:20 0 +8 *3683:25 *3870:28 0 +9 *1807:17 *3683:12 0 +10 *1929:18 *3683:12 0 +11 *1945:34 *5411:DIODE 0 +12 *1945:34 *3683:25 0 +13 *1954:24 *5411:DIODE 0 +14 *2188:39 *3683:25 0 +15 *3331:35 *3683:12 0 +16 *3339:16 *3683:12 0 +17 *3356:9 *3683:12 0 +18 *3583:15 *3683:12 0 +19 *3620:33 *5411:DIODE 0 +20 *3660:22 *3683:12 0 +*RES +1 *38548:X *3683:12 48.8916 +2 *3683:12 *3683:25 14.1454 +3 *3683:25 *37598:B 9.3 +4 *3683:25 *5411:DIODE 15.1929 +*END + +*D_NET *3684 0.0202953 +*CONN +*I *37844:A I *D sky130_fd_sc_hd__and2_1 +*I *5698:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40740:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *37844:A 0.000255618 +2 *5698:DIODE 0 +3 *40740:X 0.00132062 +4 *3684:111 0.00137637 +5 *3684:95 0.00277314 +6 *3684:86 0.00346918 +7 *3684:75 0.00310015 +8 *3684:53 0.00264482 +9 *3684:34 0.00269811 +10 *3684:13 0.00265726 +11 *37844:A *3718:20 0 +12 *37844:A *3739:33 0 +13 *37844:A *3761:19 0 +14 *3684:13 *8508:DIODE 0 +15 *3684:13 *3803:82 0 +16 *3684:34 *8738:DIODE 0 +17 *3684:34 *3967:6 0 +18 *3684:34 *4037:74 0 +19 *3684:34 *4051:24 0 +20 *3684:34 *4257:36 0 +21 *3684:34 *4266:18 0 +22 *3684:53 *8676:DIODE 0 +23 *3684:53 *8936:DIODE 0 +24 *3684:53 *41017:A 0 +25 *3684:53 *3990:16 0 +26 *3684:53 *3991:133 0 +27 *3684:53 *3996:10 0 +28 *3684:53 *4037:95 0 +29 *3684:53 *4241:49 0 +30 *3684:53 *4241:75 0 +31 *3684:75 *8936:DIODE 0 +32 *3684:75 *3802:21 0 +33 *3684:75 *3990:21 0 +34 *3684:75 *4033:56 0 +35 *3684:75 *4051:47 0 +36 *3684:75 *4074:47 0 +37 *3684:86 *3802:21 0 +38 *3684:86 *3941:57 0 +39 *3684:95 *3755:13 0 +40 *3684:95 *3780:65 0 +41 *3684:95 *4069:21 0 +42 *3684:111 *3718:14 0 +43 *3684:111 *3718:20 0 +44 *3684:111 *3739:21 0 +45 *3684:111 *3761:19 0 +46 *3684:111 *3765:34 0 +47 *5746:DIODE *3684:75 0 +48 *7878:DIODE *3684:13 0 +49 *7988:DIODE *3684:75 0 +50 *37864:B *3684:75 0 +51 *37876:B *3684:75 0 +52 *38035:A *3684:86 0 +53 *39993:A *3684:53 0 +54 *40065:A *3684:75 0 +55 *40065:A *3684:86 0 +56 *1321:25 *37844:A 0 +57 *1824:11 *3684:95 0 +58 *2370:81 *3684:75 0 +59 *2818:17 *3684:34 0 +60 *2822:55 *3684:13 0 +61 *2822:113 *3684:53 0 +62 *2822:123 *3684:75 0 +63 *2839:20 *3684:53 0 +64 *2926:61 *3684:13 0 +65 *2992:70 *3684:13 0 +66 *2992:70 *3684:34 0 +67 *2992:82 *3684:53 0 +68 *3094:31 *3684:75 0 +69 *3097:51 *3684:75 0 +70 *3284:11 *3684:86 0 +71 *3479:61 *3684:111 0 +72 *3522:35 *3684:34 0 +73 *3528:54 *3684:86 0 +74 *3540:12 *3684:86 0 +75 *3657:31 *3684:111 0 +76 *3657:42 *3684:111 0 +77 *3667:93 *3684:53 0 +*RES +1 *40740:X *3684:13 46.6036 +2 *3684:13 *3684:34 39.5526 +3 *3684:34 *3684:53 41.6042 +4 *3684:53 *3684:75 36.3929 +5 *3684:75 *3684:86 47.3393 +6 *3684:86 *3684:95 48.1429 +7 *3684:95 *3684:111 37.3214 +8 *3684:111 *5698:DIODE 9.3 +9 *3684:111 *37844:A 14.9429 +*END + +*D_NET *3685 0.0228123 +*CONN +*I *8437:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40740:A I *D sky130_fd_sc_hd__buf_2 +*I *40741:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8437:DIODE 0 +2 *40740:A 0 +3 *40741:X 0.00126166 +4 *3685:61 0.00110826 +5 *3685:40 0.0101445 +6 *3685:22 0.0102979 +7 *3685:22 *3772:20 0 +8 *3685:22 *3799:38 0 +9 *3685:22 *4352:19 0 +10 *3685:22 *4356:37 0 +11 *3685:22 *4365:34 0 +12 *3685:40 *3725:22 0 +13 *3685:40 *3733:20 0 +14 *3685:40 *3733:115 0 +15 *3685:40 *3742:48 0 +16 *3685:40 *3758:53 0 +17 *3685:40 *3763:36 0 +18 *3685:40 *3766:48 0 +19 *3685:40 *3766:81 0 +20 *3685:40 *3811:58 0 +21 *3685:40 *3815:106 0 +22 *3685:40 *3817:83 0 +23 *3685:40 *3822:111 0 +24 *3685:40 *3956:50 0 +25 *3685:40 *3988:25 0 +26 *3685:40 *4041:86 0 +27 *3685:40 *4267:62 0 +28 *3685:40 *4328:24 0 +29 *3685:40 *4344:17 0 +30 *3685:40 *4352:19 0 +31 *3685:40 *4352:58 0 +32 *3685:40 *4374:21 0 +33 *3685:61 *8510:DIODE 0 +34 *3685:61 *8685:DIODE 0 +35 *3685:61 *3740:64 0 +36 *3685:61 *3756:25 0 +37 *3685:61 *3780:26 0 +38 *3685:61 *4095:93 0 +39 *3685:61 *4723:13 0 +40 *39633:A *3685:22 0 +41 *40729:A *3685:22 0 +42 *1204:25 *3685:40 0 +43 *1327:19 *3685:61 0 +44 *1413:35 *3685:40 0 +45 *2814:11 *3685:61 0 +46 *2919:62 *3685:40 0 +47 *2919:91 *3685:40 0 +48 *2935:13 *3685:61 0 +49 *3177:26 *3685:40 0 +50 *3305:168 *3685:40 0 +51 *3546:50 *3685:40 0 +52 *3650:59 *3685:61 0 +53 *3678:19 *3685:40 0 +*RES +1 *40741:X *3685:22 36.5228 +2 *3685:22 *3685:40 41.5122 +3 *3685:40 *40740:A 9.3 +4 *3685:40 *3685:61 41.8571 +5 *3685:61 *8437:DIODE 9.3 +*END + +*D_NET *3686 0.0277926 +*CONN +*I *5695:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37842:A I *D sky130_fd_sc_hd__and2_1 +*I *40742:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5695:DIODE 0 +2 *37842:A 0.00026257 +3 *40742:X 2.56688e-05 +4 *3686:36 0.00244397 +5 *3686:35 0.00351671 +6 *3686:22 0.00252263 +7 *3686:11 0.00808109 +8 *3686:10 0.00689378 +9 *3686:8 0.00201025 +10 *3686:7 0.00203592 +11 *37842:A *3755:11 0 +12 *37842:A *4084:43 0 +13 *3686:7 *3824:39 0 +14 *3686:8 *3715:32 0 +15 *3686:8 *4229:32 0 +16 *3686:11 *4007:13 0 +17 *3686:11 *4024:41 0 +18 *3686:11 *4057:17 0 +19 *3686:11 *4217:19 0 +20 *3686:22 *3708:19 0 +21 *3686:35 *3704:27 0 +22 *3686:35 *5016:25 0 +23 *3686:36 *3701:37 0 +24 *380:5 *3686:35 0 +25 *1003:13 *3686:36 0 +26 *1003:22 *3686:36 0 +27 *1015:121 *3686:36 0 +28 *1324:16 *3686:8 0 +29 *2458:20 *3686:22 0 +30 *2477:5 *3686:22 0 +31 *2905:66 *3686:36 0 +32 *3166:24 *3686:8 0 +33 *3545:31 *3686:35 0 +34 *3673:8 *3686:8 0 +35 *3673:22 *3686:36 0 +*RES +1 *40742:X *3686:7 14.3357 +2 *3686:7 *3686:8 45.6607 +3 *3686:8 *3686:10 4.5 +4 *3686:10 *3686:11 143.875 +5 *3686:11 *3686:22 43.0714 +6 *3686:22 *3686:35 41.8214 +7 *3686:35 *3686:36 49.6071 +8 *3686:36 *37842:A 19.3893 +9 *3686:36 *5695:DIODE 13.8 +*END + +*D_NET *3687 0.0208917 +*CONN +*I *8439:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40742:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40743:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8439:DIODE 4.23535e-05 +2 *40742:A 0.000124752 +3 *40743:X 0.000844912 +4 *3687:55 0.00143199 +5 *3687:42 0.00301127 +6 *3687:31 0.00387733 +7 *3687:21 0.00642254 +8 *3687:19 0.00513652 +9 *3687:19 *3823:48 0 +10 *3687:19 *4100:13 0 +11 *3687:21 *3789:75 0 +12 *3687:21 *3825:5 0 +13 *3687:21 *3825:25 0 +14 *3687:21 *4100:13 0 +15 *3687:21 *5127:30 0 +16 *3687:31 *3789:98 0 +17 *3687:42 *3822:76 0 +18 *3687:55 *3740:19 0 +19 *3687:55 *4098:59 0 +20 *3687:55 *4218:52 0 +21 *3687:55 *4241:21 0 +22 *3687:55 *4717:6 0 +23 *39883:A *3687:42 0 +24 *40090:A *3687:42 0 +25 *40731:A *40742:A 0 +26 *1411:55 *3687:31 0 +27 *1532:42 *3687:21 0 +28 *2217:94 *3687:19 0 +29 *2307:34 *3687:19 0 +30 *2421:63 *3687:31 0 +31 *2432:33 *3687:55 0 +32 *2915:10 *3687:42 0 +33 *2937:29 *3687:42 0 +34 *2937:37 *3687:31 0 +35 *2937:37 *3687:42 0 +36 *2937:39 *3687:21 0 +37 *2937:39 *3687:31 0 +38 *2939:59 *3687:21 0 +39 *2950:11 *3687:19 0 +40 *2962:46 *3687:19 0 +41 *3073:74 *3687:55 0 +42 *3080:83 *3687:19 0 +43 *3080:83 *3687:21 0 +44 *3080:95 *3687:19 0 +45 *3085:46 *3687:21 0 +46 *3124:29 *3687:31 0 +47 *3124:29 *3687:42 0 +48 *3124:31 *3687:21 0 +49 *3124:31 *3687:31 0 +50 *3124:39 *3687:21 0 +51 *3166:24 *40742:A 0 +52 *3177:67 *3687:19 0 +53 *3531:17 *3687:19 0 +54 *3680:107 *3687:55 0 +*RES +1 *40743:X *3687:19 37.2643 +2 *3687:19 *3687:21 89.6607 +3 *3687:21 *3687:31 44.6607 +4 *3687:31 *3687:42 45.625 +5 *3687:42 *3687:55 45.3571 +6 *3687:55 *40742:A 21.0857 +7 *3687:55 *8439:DIODE 10.2464 +*END + +*D_NET *3688 0.0287326 +*CONN +*I *5692:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37840:A I *D sky130_fd_sc_hd__and2_2 +*I *40744:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5692:DIODE 0.000593377 +2 *37840:A 0.000110004 +3 *40744:X 6.50276e-05 +4 *3688:37 0.00103206 +5 *3688:32 0.00219803 +6 *3688:23 0.00866174 +7 *3688:22 0.00775631 +8 *3688:16 0.00259695 +9 *3688:8 0.00364356 +10 *3688:7 0.00207557 +11 *5692:DIODE *41323:A 0 +12 *5692:DIODE *4084:35 0 +13 *3688:7 *4022:94 0 +14 *3688:7 *4377:105 0 +15 *3688:8 *3951:8 0 +16 *3688:8 *4031:20 0 +17 *3688:8 *4070:63 0 +18 *3688:16 *3753:13 0 +19 *3688:16 *4245:33 0 +20 *3688:22 *3979:32 0 +21 *3688:23 *4245:35 0 +22 *3688:32 *3951:29 0 +23 *3688:32 *4245:35 0 +24 *3688:32 *4245:40 0 +25 *3688:37 *3951:29 0 +26 *3688:37 *4074:61 0 +27 *37840:B *37840:A 0 +28 *38265:A *3688:22 0 +29 *38323:A *3688:32 0 +30 *374:5 *3688:32 0 +31 *1408:58 *3688:8 0 +32 *1439:46 *3688:8 0 +33 *1539:16 *3688:16 0 +34 *2318:37 *3688:8 0 +35 *2365:51 *3688:22 0 +36 *2367:17 *3688:16 0 +37 *2367:17 *3688:23 0 +38 *2367:23 *3688:16 0 +39 *2369:53 *3688:8 0 +40 *2440:49 *3688:32 0 +41 *2715:21 *3688:23 0 +42 *2715:21 *3688:32 0 +43 *2917:11 *3688:16 0 +44 *2987:101 *5692:DIODE 0 +45 *2987:101 *3688:37 0 +46 *3166:36 *3688:8 0 +47 *3428:24 *3688:37 0 +*RES +1 *40744:X *3688:7 15.1571 +2 *3688:7 *3688:8 45.6607 +3 *3688:8 *3688:16 47.7143 +4 *3688:16 *3688:22 29.25 +5 *3688:22 *3688:23 141.821 +6 *3688:23 *3688:32 46.6429 +7 *3688:32 *3688:37 11.9464 +8 *3688:37 *37840:A 20.7821 +9 *3688:37 *5692:DIODE 21.7464 +*END + +*D_NET *3689 0.0204824 +*CONN +*I *40744:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8441:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40745:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40744:A 0 +2 *8441:DIODE 0.000106838 +3 *40745:X 0.00770127 +4 *3689:28 0.00253991 +5 *3689:15 0.0101343 +6 *8441:DIODE *3730:67 0 +7 *3689:15 *3698:17 0 +8 *3689:15 *3736:46 0 +9 *3689:15 *3757:22 0 +10 *3689:15 *3769:18 0 +11 *3689:15 *3786:59 0 +12 *3689:15 *3787:67 0 +13 *3689:15 *4050:29 0 +14 *3689:15 *4103:47 0 +15 *3689:15 *4109:15 0 +16 *3689:15 *4218:43 0 +17 *3689:15 *4324:20 0 +18 *3689:15 *4324:43 0 +19 *3689:15 *4325:34 0 +20 *3689:15 *4377:16 0 +21 *3689:15 *4377:72 0 +22 *3689:28 *3741:65 0 +23 *3689:28 *3751:28 0 +24 *3689:28 *3778:24 0 +25 *3689:28 *4031:17 0 +26 *3689:28 *4050:44 0 +27 *3689:28 *4070:43 0 +28 *3689:28 *4352:19 0 +29 *37837:A *3689:15 0 +30 *1231:37 *8441:DIODE 0 +31 *1693:20 *3689:28 0 +32 *2315:21 *3689:15 0 +33 *2321:36 *3689:15 0 +34 *2337:23 *3689:15 0 +35 *2346:25 *3689:15 0 +36 *2347:33 *3689:15 0 +37 *2437:20 *3689:15 0 +38 *2841:19 *3689:15 0 +39 *2841:41 *3689:15 0 +40 *2928:83 *3689:15 0 +41 *2947:91 *3689:15 0 +42 *3186:46 *3689:15 0 +43 *3307:66 *3689:28 0 +44 *3592:9 *3689:15 0 +45 *3665:21 *3689:15 0 +*RES +1 *40745:X *3689:15 49.2809 +2 *3689:15 *3689:28 26.6171 +3 *3689:28 *8441:DIODE 16.2107 +4 *3689:28 *40744:A 13.8 +*END + +*D_NET *3690 0.0224524 +*CONN +*I *5689:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37838:A I *D sky130_fd_sc_hd__and2_1 +*I *40746:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5689:DIODE 0 +2 *37838:A 0.000285244 +3 *40746:X 0.00162059 +4 *3690:28 0.00251504 +5 *3690:19 0.00932037 +6 *3690:18 0.00709057 +7 *3690:16 0.00162059 +8 *37838:A *3772:98 0 +9 *37838:A *3803:52 0 +10 *37838:A *4021:8 0 +11 *3690:16 *3824:21 0 +12 *3690:16 *4067:17 0 +13 *3690:16 *4707:8 0 +14 *3690:28 *3692:34 0 +15 *3690:28 *4021:8 0 +16 *37838:B *37838:A 0 +17 *39886:A *3690:19 0 +18 *1206:24 *37838:A 0 +19 *1271:25 *37838:A 0 +20 *1271:25 *3690:28 0 +21 *1329:20 *3690:28 0 +22 *1330:22 *3690:28 0 +23 *1419:41 *3690:28 0 +24 *1535:14 *3690:16 0 +25 *1535:16 *3690:16 0 +26 *1560:26 *3690:16 0 +27 *2264:57 *3690:19 0 +28 *2265:56 *3690:16 0 +29 *2279:49 *3690:16 0 +30 *2695:20 *3690:19 0 +31 *2923:69 *3690:28 0 +32 *2933:19 *3690:19 0 +33 *2939:31 *3690:28 0 +34 *2940:20 *3690:19 0 +35 *2959:32 *3690:28 0 +36 *3011:25 *3690:19 0 +37 *3078:14 *3690:28 0 +38 *3197:34 *3690:28 0 +39 *3197:55 *3690:16 0 +40 *3334:39 *3690:16 0 +*RES +1 *40746:X *3690:16 49.5369 +2 *3690:16 *3690:18 4.5 +3 *3690:18 *3690:19 147.982 +4 *3690:19 *3690:28 36.7127 +5 *3690:28 *37838:A 19.925 +6 *3690:28 *5689:DIODE 13.8 +*END + +*D_NET *3691 0.0221443 +*CONN +*I *37836:A I *D sky130_fd_sc_hd__and2_1 +*I *5686:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40747:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37836:A 0.000243853 +2 *5686:DIODE 0 +3 *40747:X 0.00078278 +4 *3691:52 0.000670329 +5 *3691:49 0.00219799 +6 *3691:37 0.00961902 +7 *3691:20 0.00863028 +8 *3691:20 *5117:14 0 +9 *3691:37 *3751:28 0 +10 *3691:37 *3757:22 0 +11 *3691:37 *3790:42 0 +12 *3691:37 *4103:44 0 +13 *3691:37 *4103:47 0 +14 *3691:37 *4114:53 0 +15 *3691:37 *4369:67 0 +16 *3691:37 *4709:10 0 +17 *3691:37 *4711:16 0 +18 *3691:49 *3692:34 0 +19 *3691:49 *4716:6 0 +20 *3691:52 *8450:DIODE 0 +21 *3691:52 *8949:DIODE 0 +22 *8485:DIODE *3691:20 0 +23 *40093:A *3691:37 0 +24 *1210:54 *37836:A 0 +25 *1329:30 *3691:49 0 +26 *1539:16 *3691:49 0 +27 *1557:30 *3691:37 0 +28 *2325:78 *3691:37 0 +29 *2374:76 *3691:37 0 +30 *2412:31 *3691:37 0 +31 *2436:27 *3691:49 0 +32 *2837:24 *3691:49 0 +33 *3076:52 *3691:37 0 +34 *3076:52 *3691:49 0 +35 *3120:50 *3691:37 0 +36 *3181:50 *3691:20 0 +37 *3191:57 *3691:20 0 +38 *3191:59 *3691:20 0 +39 *3197:30 *3691:52 0 +40 *3252:28 *3691:37 0 +41 *3354:30 *3691:49 0 +42 *3531:36 *3691:37 0 +43 *3584:21 *3691:37 0 +*RES +1 *40747:X *3691:20 49.0054 +2 *3691:20 *3691:37 49.9563 +3 *3691:37 *3691:49 45.758 +4 *3691:49 *3691:52 14.1875 +5 *3691:52 *5686:DIODE 9.3 +6 *3691:52 *37836:A 14.5143 +*END + +*D_NET *3692 0.0240378 +*CONN +*I *5576:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37742:A I *D sky130_fd_sc_hd__and2_1 +*I *40748:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5576:DIODE 0.000809638 +2 *37742:A 0 +3 *40748:X 0.00698384 +4 *3692:38 0.00186417 +5 *3692:34 0.00422543 +6 *3692:9 0.0101547 +7 *5576:DIODE *4048:25 0 +8 *5576:DIODE *4103:47 0 +9 *5576:DIODE *4171:80 0 +10 *5576:DIODE *4241:45 0 +11 *3692:9 *3742:19 0 +12 *3692:9 *3769:51 0 +13 *3692:9 *3781:24 0 +14 *3692:9 *3781:45 0 +15 *3692:9 *3984:46 0 +16 *3692:9 *3984:125 0 +17 *3692:9 *4070:95 0 +18 *3692:9 *4109:48 0 +19 *3692:9 *4124:18 0 +20 *3692:9 *4218:24 0 +21 *3692:9 *4310:59 0 +22 *3692:34 *3736:47 0 +23 *3692:34 *3820:77 0 +24 *3692:38 *4070:95 0 +25 *1317:19 *3692:34 0 +26 *1322:12 *3692:34 0 +27 *1329:14 *5576:DIODE 0 +28 *1407:44 *3692:9 0 +29 *1408:29 *3692:9 0 +30 *1408:73 *3692:9 0 +31 *2264:69 *3692:9 0 +32 *2959:32 *3692:34 0 +33 *3054:70 *3692:34 0 +34 *3103:22 *3692:9 0 +35 *3310:20 *3692:34 0 +36 *3318:66 *3692:34 0 +37 *3354:30 *3692:34 0 +38 *3372:76 *3692:9 0 +39 *3386:42 *3692:9 0 +40 *3546:50 *3692:9 0 +41 *3647:16 *3692:34 0 +42 *3647:34 *3692:34 0 +43 *3670:24 *3692:9 0 +44 *3670:68 *3692:38 0 +45 *3677:17 *3692:38 0 +46 *3690:28 *3692:34 0 +47 *3691:49 *3692:34 0 +*RES +1 *40748:X *3692:9 47.5881 +2 *3692:9 *3692:34 49.8107 +3 *3692:34 *3692:38 7.9803 +4 *3692:38 *37742:A 13.8 +5 *3692:38 *5576:DIODE 33.1759 +*END + +*D_NET *3693 0.02911 +*CONN +*I *5680:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37832:A I *D sky130_fd_sc_hd__and2_1 +*I *40749:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5680:DIODE 0.000202783 +2 *37832:A 0 +3 *40749:X 0 +4 *3693:38 0.000202783 +5 *3693:36 0.0026783 +6 *3693:35 0.0026783 +7 *3693:33 0.0054375 +8 *3693:32 0.00699212 +9 *3693:21 0.00427268 +10 *3693:8 0.00468181 +11 *3693:5 0.00196374 +12 *5680:DIODE *3820:34 0 +13 *5680:DIODE *4022:94 0 +14 *5680:DIODE *4377:105 0 +15 *3693:8 *5123:11 0 +16 *3693:32 *4696:9 0 +17 *3693:33 *4316:17 0 +18 *3693:36 *3942:64 0 +19 *3693:36 *4089:41 0 +20 *3693:36 *4714:14 0 +21 *346:10 *3693:33 0 +22 *348:10 *3693:33 0 +23 *351:11 *3693:33 0 +24 *353:10 *3693:33 0 +25 *901:8 *3693:21 0 +26 *1010:86 *3693:21 0 +27 *1011:218 *3693:21 0 +28 *1016:176 *3693:21 0 +29 *1016:176 *3693:32 0 +30 *1017:86 *3693:21 0 +31 *1021:16 *3693:21 0 +32 *1028:46 *3693:21 0 +33 *1515:14 *3693:32 0 +34 *1515:18 *3693:32 0 +35 *2217:73 *3693:8 0 +36 *2305:14 *3693:8 0 +37 *2309:21 *3693:8 0 +38 *2482:35 *3693:8 0 +39 *2529:16 *3693:8 0 +40 *2724:8 *3693:8 0 +41 *2752:27 *3693:21 0 +42 *2931:8 *3693:36 0 +43 *3161:43 *3693:33 0 +44 *3362:21 *3693:8 0 +45 *3362:23 *3693:33 0 +*RES +1 *40749:X *3693:5 13.8 +2 *3693:5 *3693:8 48.0082 +3 *3693:8 *3693:21 49.4925 +4 *3693:21 *3693:32 49.6332 +5 *3693:32 *3693:33 113.482 +6 *3693:33 *3693:35 4.5 +7 *3693:35 *3693:36 60.8393 +8 *3693:36 *3693:38 4.5 +9 *3693:38 *37832:A 9.3 +10 *3693:38 *5680:DIODE 13.5321 +*END + +*D_NET *3694 0.00812963 +*CONN +*I *5412:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37600:B I *D sky130_fd_sc_hd__and2_1 +*I *38549:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5412:DIODE 0.000134635 +2 *37600:B 0.000183104 +3 *38549:X 0.00305324 +4 *3694:20 0.00101157 +5 *3694:19 0.00374708 +6 *5412:DIODE *4346:63 0 +7 *3694:19 *4481:14 0 +8 *3694:19 *5115:20 0 +9 *37374:A *3694:19 0 +10 *37600:A *37600:B 0 +11 *477:9 *3694:19 0 +12 *1299:21 *3694:19 0 +13 *1939:12 *3694:19 0 +14 *2027:16 *3694:19 0 +15 *2120:55 *3694:19 0 +16 *2149:14 *3694:19 0 +17 *2193:9 *3694:20 0 +18 *2194:26 *3694:20 0 +19 *2195:9 *3694:20 0 +20 *2196:23 *3694:20 0 +21 *3146:8 *3694:19 0 +22 *3328:11 *3694:19 0 +23 *3359:20 *3694:19 0 +24 *3555:19 *3694:19 0 +25 *3555:19 *3694:20 0 +26 *3608:51 *37600:B 0 +27 *3636:19 *3694:19 0 +28 *3636:38 *3694:19 0 +*RES +1 *38549:X *3694:19 48.7272 +2 *3694:19 *3694:20 15.7589 +3 *3694:20 *37600:B 17.6214 +4 *3694:20 *5412:DIODE 16.8 +*END + +*D_NET *3695 0.0187161 +*CONN +*I *5567:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37732:A I *D sky130_fd_sc_hd__and2_1 +*I *40750:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *5567:DIODE 0.000124066 +2 *37732:A 0.000139196 +3 *40750:X 0.00117532 +4 *3695:34 0.000426466 +5 *3695:31 0.00361159 +6 *3695:29 0.00356924 +7 *3695:23 0.00423 +8 *3695:22 0.00418701 +9 *3695:18 0.00125319 +10 *37732:A *5209:8 0 +11 *37732:A *5209:15 0 +12 *37732:A *5209:30 0 +13 *3695:18 *4413:33 0 +14 *3695:18 *4449:51 0 +15 *3695:18 *4529:16 0 +16 *3695:18 *4585:28 0 +17 *3695:18 *4601:19 0 +18 *3695:18 *5166:53 0 +19 *3695:22 *5166:53 0 +20 *3695:23 *7290:DIODE 0 +21 *3695:23 *7525:DIODE 0 +22 *3695:23 *41406:A 0 +23 *3695:23 *4545:48 0 +24 *3695:23 *4575:14 0 +25 *3695:23 *4773:49 0 +26 *3695:23 *4783:13 0 +27 *3695:31 *7545:DIODE 0 +28 *3695:31 *40221:A 0 +29 *3695:31 *4578:12 0 +30 *3695:31 *4587:15 0 +31 *5469:DIODE *3695:23 0 +32 *5556:DIODE *3695:31 0 +33 *6896:DIODE *37732:A 0 +34 *6896:DIODE *3695:34 0 +35 *6914:DIODE *3695:23 0 +36 *6929:DIODE *3695:31 0 +37 *6941:DIODE *3695:23 0 +38 *7475:DIODE *3695:23 0 +39 *7535:DIODE *3695:23 0 +40 *37427:A *3695:23 0 +41 *37468:A *5567:DIODE 0 +42 *39496:B *3695:18 0 +43 *39504:B *3695:23 0 +44 *368:79 *3695:18 0 +45 *1248:67 *3695:18 0 +46 *1248:67 *3695:23 0 +47 *1259:31 *3695:23 0 +48 *1259:31 *3695:29 0 +49 *1259:31 *3695:31 0 +50 *1259:41 *3695:31 0 +51 *1625:10 *3695:31 0 +52 *1755:29 *3695:23 0 +53 *1836:16 *3695:23 0 +54 *3091:27 *3695:23 0 +55 *3091:41 *3695:23 0 +56 *3091:54 *3695:18 0 +57 *3179:23 *3695:18 0 +58 *3189:16 *3695:31 0 +59 *3189:17 *3695:23 0 +60 *3199:18 *3695:23 0 +61 *3357:61 *3695:22 0 +62 *3395:60 *3695:18 0 +63 *3486:5 *3695:18 0 +*RES +1 *40750:X *3695:18 48.4964 +2 *3695:18 *3695:22 6.26786 +3 *3695:22 *3695:23 85.7589 +4 *3695:23 *3695:29 2.83036 +5 *3695:29 *3695:31 72 +6 *3695:31 *3695:34 8.26786 +7 *3695:34 *37732:A 16.9607 +8 *3695:34 *5567:DIODE 16.3893 +*END + +*D_NET *3696 0.0280954 +*CONN +*I *40750:A I *D sky130_fd_sc_hd__buf_6 +*I *8445:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40751:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *40750:A 0.00014075 +2 *8445:DIODE 0 +3 *40751:X 0.00133648 +4 *3696:139 0.000166419 +5 *3696:136 0.00180189 +6 *3696:121 0.00409511 +7 *3696:96 0.00678789 +8 *3696:80 0.00610211 +9 *3696:62 0.00398071 +10 *3696:27 0.00368409 +11 *40750:A *5074:49 0 +12 *3696:27 *3972:29 0 +13 *3696:62 *8642:DIODE 0 +14 *3696:62 *8865:DIODE 0 +15 *3696:62 *3959:54 0 +16 *3696:62 *4014:40 0 +17 *3696:62 *4232:40 0 +18 *3696:62 *4259:40 0 +19 *3696:62 *5189:34 0 +20 *3696:80 *8642:DIODE 0 +21 *3696:80 *3954:14 0 +22 *3696:80 *5189:34 0 +23 *3696:96 *40821:A 0 +24 *3696:96 *3700:36 0 +25 *3696:96 *3739:20 0 +26 *3696:96 *4247:51 0 +27 *3696:96 *4313:24 0 +28 *3696:96 *4339:23 0 +29 *3696:121 *4051:68 0 +30 *3696:121 *4087:78 0 +31 *3696:121 *4339:66 0 +32 *3696:136 *4018:95 0 +33 *3696:136 *4026:90 0 +34 *7868:DIODE *3696:121 0 +35 *40121:A *3696:80 0 +36 *901:62 *3696:27 0 +37 *1009:127 *3696:96 0 +38 *1248:51 *40750:A 0 +39 *1248:51 *3696:139 0 +40 *1424:33 *3696:121 0 +41 *1549:25 *3696:121 0 +42 *2367:8 *3696:62 0 +43 *2387:10 *3696:136 0 +44 *2387:63 *3696:62 0 +45 *2422:48 *3696:96 0 +46 *2426:65 *3696:62 0 +47 *2977:89 *3696:136 0 +48 *2980:22 *3696:80 0 +49 *2986:13 *3696:80 0 +50 *2989:36 *3696:121 0 +51 *3021:33 *3696:80 0 +52 *3025:80 *3696:62 0 +53 *3040:21 *3696:27 0 +54 *3091:54 *3696:136 0 +55 *3097:16 *3696:136 0 +56 *3100:31 *3696:27 0 +57 *3110:7 *3696:80 0 +58 *3116:22 *3696:136 0 +59 *3118:6 *3696:121 0 +60 *3118:6 *3696:136 0 +61 *3194:125 *3696:62 0 +62 *3251:35 *3696:136 0 +63 *3264:34 *3696:136 0 +64 *3290:21 *3696:27 0 +65 *3292:13 *3696:62 0 +66 *3297:81 *3696:121 0 +67 *3301:42 *3696:62 0 +68 *3313:46 *3696:62 0 +69 *3407:18 *3696:62 0 +70 *3409:66 *3696:96 0 +71 *3470:40 *3696:121 0 +72 *3484:14 *3696:136 0 +73 *3507:42 *3696:27 0 +74 *3512:22 *3696:80 0 +75 *3513:68 *3696:27 0 +76 *3542:56 *3696:121 0 +77 *3657:58 *3696:121 0 +78 *3663:17 *3696:121 0 +79 *3666:60 *3696:96 0 +*RES +1 *40751:X *3696:27 48.1255 +2 *3696:27 *3696:62 47.9626 +3 *3696:62 *3696:80 34.7273 +4 *3696:80 *3696:96 47.1817 +5 *3696:96 *3696:121 49.9748 +6 *3696:121 *3696:136 49.0179 +7 *3696:136 *3696:139 5.03571 +8 *3696:139 *8445:DIODE 9.3 +9 *3696:139 *40750:A 12.3 +*END + +*D_NET *3697 0.0250458 +*CONN +*I *8446:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40751:A I *D sky130_fd_sc_hd__buf_4 +*I *40752:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8446:DIODE 0 +2 *40751:A 0.000365272 +3 *40752:X 0.0012849 +4 *3697:99 0.00220001 +5 *3697:73 0.0042707 +6 *3697:67 0.00426972 +7 *3697:66 0.00431509 +8 *3697:59 0.00286091 +9 *3697:46 0.00265221 +10 *3697:25 0.00282698 +11 *3697:25 *4520:20 0 +12 *3697:46 *4288:94 0 +13 *3697:46 *4509:71 0 +14 *3697:46 *4518:73 0 +15 *3697:59 *8893:DIODE 0 +16 *3697:59 *4518:73 0 +17 *3697:66 *4255:21 0 +18 *3697:99 *8855:DIODE 0 +19 *3697:99 *3722:69 0 +20 *3697:99 *4149:121 0 +21 *3697:99 *5182:84 0 +22 *5260:DIODE *3697:46 0 +23 *7581:DIODE *3697:99 0 +24 *40272:A *3697:59 0 +25 *1233:18 *3697:25 0 +26 *1449:17 *40751:A 0 +27 *2397:21 *3697:73 0 +28 *2397:33 *3697:59 0 +29 *2549:45 *3697:46 0 +30 *3005:22 *3697:59 0 +31 *3037:15 *3697:46 0 +32 *3043:75 *3697:99 0 +33 *3113:117 *40751:A 0 +34 *3122:35 *3697:73 0 +35 *3122:35 *3697:99 0 +36 *3148:20 *3697:46 0 +37 *3148:36 *3697:25 0 +38 *3150:43 *3697:66 0 +39 *3150:45 *3697:59 0 +40 *3150:45 *3697:66 0 +41 *3178:43 *3697:59 0 +42 *3179:64 *3697:99 0 +43 *3192:11 *3697:73 0 +44 *3207:15 *3697:59 0 +45 *3207:49 *3697:25 0 +46 *3210:11 *3697:66 0 +47 *3210:15 *3697:66 0 +48 *3211:49 *3697:73 0 +49 *3211:49 *3697:99 0 +50 *3221:36 *3697:99 0 +51 *3225:23 *3697:67 0 +52 *3225:35 *3697:59 0 +53 *3225:59 *3697:59 0 +54 *3237:22 *3697:73 0 +55 *3240:63 *40751:A 0 +56 *3263:10 *3697:99 0 +57 *3291:68 *3697:99 0 +58 *3314:62 *3697:46 0 +59 *3318:28 *3697:59 0 +60 *3407:13 *40751:A 0 +61 *3493:11 *3697:46 0 +62 *3507:19 *3697:66 0 +63 *3507:19 *3697:67 0 +64 *3515:34 *3697:99 0 +65 *3534:38 *3697:25 0 +66 *3534:38 *3697:46 0 +67 *3534:58 *3697:25 0 +68 *3653:47 *3697:46 0 +*RES +1 *40752:X *3697:25 47.1036 +2 *3697:25 *3697:46 48.3348 +3 *3697:46 *3697:59 28.1429 +4 *3697:59 *3697:66 45.6875 +5 *3697:66 *3697:67 53.5179 +6 *3697:67 *3697:73 44.75 +7 *3697:73 *40751:A 26.55 +8 *3697:73 *3697:99 42.5998 +9 *3697:99 *8446:DIODE 9.3 +*END + +*D_NET *3698 0.0226276 +*CONN +*I *8447:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40752:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40753:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8447:DIODE 0.00027098 +2 *40752:A 0.0002067 +3 *40753:X 0.00371586 +4 *3698:48 0.00759795 +5 *3698:17 0.0108361 +6 *8447:DIODE *4107:63 0 +7 *8447:DIODE *4408:108 0 +8 *40752:A *4039:131 0 +9 *40752:A *4078:7 0 +10 *3698:17 *3787:38 0 +11 *3698:17 *3797:59 0 +12 *3698:17 *4324:20 0 +13 *3698:48 *3736:46 0 +14 *3698:48 *3769:18 0 +15 *3698:48 *3984:46 0 +16 *3698:48 *3984:90 0 +17 *3698:48 *4058:18 0 +18 *3698:48 *4103:47 0 +19 *3698:48 *4109:48 0 +20 *3698:48 *4218:43 0 +21 *3698:48 *4267:25 0 +22 *3698:48 *4310:59 0 +23 *3698:48 *4324:20 0 +24 *3698:48 *4324:43 0 +25 *1408:58 *3698:48 0 +26 *2347:33 *3698:17 0 +27 *2347:33 *3698:48 0 +28 *2437:20 *3698:48 0 +29 *2840:27 *3698:48 0 +30 *3084:62 *40752:A 0 +31 *3176:25 *3698:17 0 +32 *3181:46 *3698:48 0 +33 *3186:46 *3698:17 0 +34 *3345:78 *3698:17 0 +35 *3372:76 *3698:48 0 +36 *3386:42 *3698:17 0 +37 *3659:14 *3698:17 0 +38 *3689:15 *3698:17 0 +*RES +1 *40753:X *3698:17 43.1976 +2 *3698:17 *3698:48 37.5608 +3 *3698:48 *40752:A 18.1571 +4 *3698:48 *8447:DIODE 19.8357 +*END + +*D_NET *3699 0.0179337 +*CONN +*I *39379:A I *D sky130_fd_sc_hd__buf_12 +*I *7340:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40754:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *39379:A 0.000141606 +2 *7340:DIODE 0 +3 *40754:X 0.00150162 +4 *3699:17 0.00670083 +5 *3699:16 0.00732363 +6 *3699:7 0.00226603 +7 *3699:16 *6199:DIODE 0 +8 *3699:16 *3953:68 0 +9 *3699:17 *38380:B 0 +10 *3699:17 *38382:B 0 +11 *5479:DIODE *3699:17 0 +12 *39518:B *3699:17 0 +13 *263:15 *3699:16 0 +14 *1466:19 *3699:16 0 +15 *1586:17 *3699:7 0 +16 *1589:10 *3699:17 0 +17 *1590:16 *3699:17 0 +18 *1718:10 *3699:17 0 +19 *1718:29 *3699:17 0 +20 *2999:55 *3699:17 0 +21 *2999:63 *3699:17 0 +22 *3039:25 *3699:7 0 +23 *3039:33 *3699:7 0 +24 *3342:36 *3699:16 0 +25 *3391:74 *3699:16 0 +26 *3394:63 *3699:7 0 +27 *3397:37 *3699:17 0 +28 *3398:75 *3699:17 0 +29 *3476:23 *3699:7 0 +*RES +1 *40754:X *3699:7 45.1393 +2 *3699:7 *3699:16 30.0893 +3 *3699:16 *3699:17 136.893 +4 *3699:17 *7340:DIODE 9.3 +5 *3699:17 *39379:A 12.3179 +*END + +*D_NET *3700 0.0217643 +*CONN +*I *8448:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40754:A I *D sky130_fd_sc_hd__buf_6 +*I *40755:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *8448:DIODE 4.53482e-05 +2 *40754:A 0.000228703 +3 *40755:X 0.000797768 +4 *3700:82 0.00489194 +5 *3700:65 0.00819821 +6 *3700:36 0.00519245 +7 *3700:18 0.0024099 +8 *3700:18 *8449:DIODE 0 +9 *3700:18 *3963:19 0 +10 *3700:18 *4360:66 0 +11 *3700:36 *3725:80 0 +12 *3700:36 *4057:24 0 +13 *3700:36 *4065:18 0 +14 *3700:36 *4247:51 0 +15 *3700:65 *3743:32 0 +16 *3700:65 *3941:63 0 +17 *3700:65 *3978:67 0 +18 *3700:65 *4010:69 0 +19 *3700:65 *4094:60 0 +20 *3700:65 *4318:38 0 +21 *3700:65 *4406:28 0 +22 *3700:82 *3764:62 0 +23 *3700:82 *3940:19 0 +24 *3700:82 *3940:26 0 +25 *3700:82 *4010:139 0 +26 *3700:82 *4318:97 0 +27 *3700:82 *4406:28 0 +28 *3700:82 *4580:30 0 +29 *3700:82 *4739:21 0 +30 *40610:A *3700:82 0 +31 *1005:91 *40754:A 0 +32 *1005:91 *3700:82 0 +33 *1448:22 *3700:18 0 +34 *1448:22 *3700:36 0 +35 *1544:8 *3700:36 0 +36 *1564:35 *3700:65 0 +37 *1586:17 *40754:A 0 +38 *2375:27 *3700:65 0 +39 *2414:126 *3700:18 0 +40 *2422:26 *3700:82 0 +41 *2422:48 *3700:36 0 +42 *2801:26 *3700:82 0 +43 *2803:42 *3700:82 0 +44 *3039:25 *40754:A 0 +45 *3045:47 *3700:65 0 +46 *3045:47 *3700:82 0 +47 *3297:75 *3700:65 0 +48 *3299:75 *3700:65 0 +49 *3299:75 *3700:82 0 +50 *3308:39 *3700:36 0 +51 *3388:24 *3700:36 0 +52 *3394:63 *8448:DIODE 0 +53 *3406:112 *3700:82 0 +54 *3475:58 *40754:A 0 +55 *3475:58 *3700:82 0 +56 *3503:37 *3700:65 0 +57 *3666:60 *3700:36 0 +58 *3696:96 *3700:36 0 +*RES +1 *40755:X *3700:18 44.2821 +2 *3700:18 *3700:36 48.9103 +3 *3700:36 *3700:65 48.0959 +4 *3700:65 *3700:82 28.7513 +5 *3700:82 *40754:A 18.6571 +6 *3700:82 *8448:DIODE 14.7464 +*END + +*D_NET *3701 0.0337486 +*CONN +*I *8449:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40755:A I *D sky130_fd_sc_hd__buf_6 +*I *40756:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8449:DIODE 0.000600399 +2 *40755:A 4.53482e-05 +3 *40756:X 0.00272278 +4 *3701:50 0.00162681 +5 *3701:37 0.0030478 +6 *3701:33 0.00206674 +7 *3701:31 0.00222976 +8 *3701:30 0.00349753 +9 *3701:21 0.00758785 +10 *3701:20 0.00696043 +11 *3701:17 0.00336313 +12 *8449:DIODE *6471:DIODE 0 +13 *8449:DIODE *3963:19 0 +14 *8449:DIODE *4220:73 0 +15 *40755:A *4220:73 0 +16 *3701:17 *3988:11 0 +17 *3701:17 *4225:35 0 +18 *3701:20 *39244:A 0 +19 *3701:20 *4989:8 0 +20 *3701:21 *3704:15 0 +21 *3701:21 *3704:26 0 +22 *3701:30 *5023:9 0 +23 *3701:31 *4007:13 0 +24 *3701:31 *4217:19 0 +25 *3701:37 *3752:40 0 +26 *3701:50 *3715:54 0 +27 *38265:A *3701:20 0 +28 *1000:14 *3701:17 0 +29 *1003:36 *3701:50 0 +30 *1019:20 *3701:17 0 +31 *1026:101 *3701:20 0 +32 *1454:24 *3701:50 0 +33 *1824:42 *3701:50 0 +34 *2365:51 *3701:20 0 +35 *2395:24 *3701:50 0 +36 *2414:126 *8449:DIODE 0 +37 *2414:126 *40755:A 0 +38 *2477:17 *3701:30 0 +39 *2720:8 *3701:20 0 +40 *3114:50 *3701:20 0 +41 *3427:48 *3701:20 0 +42 *3427:78 *3701:30 0 +43 *3528:20 *3701:17 0 +44 *3676:11 *3701:21 0 +45 *3686:36 *3701:37 0 +46 *3700:18 *8449:DIODE 0 +*RES +1 *40756:X *3701:17 39.5719 +2 *3701:17 *3701:20 19.0446 +3 *3701:20 *3701:21 131.964 +4 *3701:21 *3701:30 35.7143 +5 *3701:30 *3701:31 46.5357 +6 *3701:31 *3701:33 4.5 +7 *3701:33 *3701:37 49.9127 +8 *3701:37 *3701:50 20.4519 +9 *3701:50 *40755:A 10.2464 +10 *3701:50 *8449:DIODE 40.2821 +*END + +*D_NET *3702 0.0228108 +*CONN +*I *40756:A I *D sky130_fd_sc_hd__buf_4 +*I *8450:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40757:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40756:A 0.000417344 +2 *8450:DIODE 0.00110602 +3 *40757:X 0.001648 +4 *3702:131 0.00205432 +5 *3702:125 0.00305181 +6 *3702:100 0.00413218 +7 *3702:76 0.00279482 +8 *3702:64 0.0022959 +9 *3702:31 0.00238741 +10 *3702:14 0.00292301 +11 *8450:DIODE *4055:52 0 +12 *8450:DIODE *4055:58 0 +13 *8450:DIODE *4408:124 0 +14 *40756:A *3932:11 0 +15 *40756:A *4005:17 0 +16 *40756:A *4014:13 0 +17 *40756:A *4054:10 0 +18 *40756:A *4229:28 0 +19 *3702:14 *3717:7 0 +20 *3702:14 *3747:45 0 +21 *3702:31 *38060:A_N 0 +22 *3702:31 *38316:A 0 +23 *3702:31 *4006:36 0 +24 *3702:31 *4022:31 0 +25 *3702:31 *4092:10 0 +26 *3702:31 *4134:61 0 +27 *3702:64 *41062:A 0 +28 *3702:64 *41111:A 0 +29 *3702:64 *3744:20 0 +30 *3702:64 *3977:47 0 +31 *3702:64 *4006:36 0 +32 *3702:64 *4042:37 0 +33 *3702:64 *4092:17 0 +34 *3702:64 *4095:18 0 +35 *3702:64 *4298:40 0 +36 *3702:76 *4085:11 0 +37 *3702:76 *4092:17 0 +38 *3702:76 *4092:19 0 +39 *3702:100 *8741:DIODE 0 +40 *3702:100 *4041:54 0 +41 *3702:100 *4081:24 0 +42 *3702:100 *4083:131 0 +43 *3702:100 *4085:22 0 +44 *3702:100 *4092:19 0 +45 *3702:100 *4119:78 0 +46 *3702:125 *38080:B 0 +47 *3702:125 *3726:51 0 +48 *3702:125 *3964:15 0 +49 *3702:125 *4034:48 0 +50 *3702:125 *4041:54 0 +51 *3702:125 *4081:24 0 +52 *3702:125 *4267:62 0 +53 *3702:125 *4297:122 0 +54 *3702:125 *4340:26 0 +55 *3702:131 *4005:17 0 +56 *3702:131 *4066:30 0 +57 *37774:B *3702:76 0 +58 *37774:B *3702:100 0 +59 *38080:C *3702:125 0 +60 *40250:A *3702:14 0 +61 *40429:A *3702:14 0 +62 *40795:A *3702:64 0 +63 *1229:65 *3702:100 0 +64 *1286:11 *3702:76 0 +65 *1287:25 *3702:125 0 +66 *1324:16 *8450:DIODE 0 +67 *1413:15 *3702:125 0 +68 *1419:23 *3702:100 0 +69 *1444:32 *3702:125 0 +70 *2073:72 *3702:14 0 +71 *2253:65 *3702:14 0 +72 *2420:26 *3702:100 0 +73 *2436:44 *3702:100 0 +74 *2987:16 *3702:125 0 +75 *3166:17 *8450:DIODE 0 +76 *3197:30 *8450:DIODE 0 +77 *3305:83 *3702:100 0 +78 *3320:129 *3702:14 0 +79 *3320:156 *3702:14 0 +80 *3320:169 *3702:64 0 +81 *3322:146 *3702:76 0 +82 *3323:30 *3702:14 0 +83 *3518:72 *3702:14 0 +84 *3530:97 *3702:76 0 +85 *3648:17 *8450:DIODE 0 +86 *3691:52 *8450:DIODE 0 +*RES +1 *40757:X *3702:14 48.3 +2 *3702:14 *3702:31 49.5714 +3 *3702:31 *3702:64 46.7679 +4 *3702:64 *3702:76 38.3214 +5 *3702:76 *3702:100 47.8083 +6 *3702:100 *3702:125 48.5089 +7 *3702:125 *3702:131 20.2143 +8 *3702:131 *8450:DIODE 43.3714 +9 *3702:131 *40756:A 27.3536 +*END + +*D_NET *3703 0.0186918 +*CONN +*I *37730:A I *D sky130_fd_sc_hd__and2_1 +*I *5565:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40758:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *37730:A 0.000180965 +2 *5565:DIODE 0 +3 *40758:X 0.000433259 +4 *3703:17 0.000371769 +5 *3703:13 0.0063958 +6 *3703:11 0.00854086 +7 *3703:8 0.00276912 +8 *37730:A *37730:B 0 +9 *3703:8 *4909:8 0 +10 *3703:11 *4725:11 0 +11 *3703:11 *4727:11 0 +12 *3703:13 *4725:11 0 +13 *3703:13 *4914:25 0 +14 *1425:15 *3703:13 0 +15 *1549:44 *3703:8 0 +*RES +1 *40758:X *3703:8 28.1393 +2 *3703:8 *3703:11 48.8125 +3 *3703:11 *3703:13 129.5 +4 *3703:13 *3703:17 4.04464 +5 *3703:17 *5565:DIODE 9.3 +6 *3703:17 *37730:A 13.1393 +*END + +*D_NET *3704 0.0238456 +*CONN +*I *8452:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40758:A I *D sky130_fd_sc_hd__buf_6 +*I *40759:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8452:DIODE 0.000157822 +2 *40758:A 0.000196787 +3 *40759:X 0.00154726 +4 *3704:36 0.00142684 +5 *3704:35 0.00308552 +6 *3704:32 0.00207228 +7 *3704:27 0.00368599 +8 *3704:26 0.00483316 +9 *3704:15 0.0032494 +10 *3704:10 0.00359049 +11 *3704:10 *4007:12 0 +12 *3704:26 *3708:19 0 +13 *3704:26 *5023:9 0 +14 *3704:27 *3708:19 0 +15 *3704:27 *3708:25 0 +16 *3704:27 *5010:13 0 +17 *3704:27 *5023:9 0 +18 *3704:32 *3983:34 0 +19 *3704:32 *5029:8 0 +20 *3704:36 *4729:23 0 +21 *38037:A *3704:26 0 +22 *38303:A *3704:27 0 +23 *38321:A *3704:15 0 +24 *359:29 *3704:36 0 +25 *368:9 *3704:10 0 +26 *1004:23 *8452:DIODE 0 +27 *1004:23 *3704:36 0 +28 *2403:16 *40758:A 0 +29 *2474:10 *3704:10 0 +30 *2477:5 *3704:27 0 +31 *2477:5 *3704:35 0 +32 *2477:17 *3704:27 0 +33 *2479:49 *3704:15 0 +34 *2480:16 *3704:10 0 +35 *2717:33 *3704:15 0 +36 *2816:39 *3704:10 0 +37 *2818:73 *8452:DIODE 0 +38 *2834:41 *40758:A 0 +39 *2842:29 *3704:26 0 +40 *3047:24 *3704:10 0 +41 *3418:27 *40758:A 0 +42 *3427:61 *3704:15 0 +43 *3427:61 *3704:26 0 +44 *3625:16 *3704:10 0 +45 *3625:20 *3704:10 0 +46 *3676:11 *3704:15 0 +47 *3686:35 *3704:27 0 +48 *3701:21 *3704:15 0 +49 *3701:21 *3704:26 0 +*RES +1 *40759:X *3704:10 48.9964 +2 *3704:10 *3704:15 47.1429 +3 *3704:15 *3704:26 34.2321 +4 *3704:26 *3704:27 75.6964 +5 *3704:27 *3704:32 10.3393 +6 *3704:32 *3704:35 46.5179 +7 *3704:35 *3704:36 24.4107 +8 *3704:36 *40758:A 18.175 +9 *3704:36 *8452:DIODE 26.3 +*END + +*D_NET *3705 0.00707959 +*CONN +*I *37602:B I *D sky130_fd_sc_hd__and2_1 +*I *38550:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *37602:B 0.0020761 +2 *38550:X 0.00146369 +3 *3705:11 0.00353979 +4 *37602:B *38014:C 0 +5 *37602:B *4359:8 0 +6 *3705:11 *40446:A 0 +7 *3705:11 *4468:37 0 +8 *8951:DIODE *37602:B 0 +9 *37603:A *37602:B 0 +10 *37810:A *37602:B 0 +11 *40452:A *3705:11 0 +12 *40938:A *3705:11 0 +13 *41280:A *3705:11 0 +14 *41363:A *37602:B 0 +15 *1517:14 *37602:B 0 +16 *2032:13 *3705:11 0 +17 *2035:27 *3705:11 0 +18 *2044:18 *37602:B 0 +19 *2082:41 *3705:11 0 +20 *2120:55 *3705:11 0 +21 *2127:21 *3705:11 0 +22 *2210:21 *37602:B 0 +23 *2211:9 *37602:B 0 +24 *2212:10 *37602:B 0 +25 *3206:58 *3705:11 0 +26 *3227:14 *3705:11 0 +27 *3258:39 *3705:11 0 +28 *3356:12 *3705:11 0 +29 *3366:26 *3705:11 0 +30 *3569:60 *37602:B 0 +*RES +1 *38550:X *3705:11 49.6291 +2 *3705:11 *37602:B 43.9828 +*END + +*D_NET *3706 0.0245473 +*CONN +*I *40759:A I *D sky130_fd_sc_hd__buf_4 +*I *8453:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40760:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40759:A 0.000165136 +2 *8453:DIODE 0 +3 *40760:X 2.56688e-05 +4 *3706:16 0.00161437 +5 *3706:11 0.0101929 +6 *3706:10 0.0106336 +7 *3706:7 0.00191564 +8 *3706:10 *3791:54 0 +9 *3706:10 *4257:26 0 +10 *3706:11 *4706:7 0 +11 *3706:11 *5005:5 0 +12 *3706:16 *4007:12 0 +13 *3706:16 *4723:14 0 +14 *39488:B *3706:10 0 +15 *1014:55 *3706:11 0 +16 *1324:51 *3706:7 0 +17 *1328:72 *40759:A 0 +18 *1559:36 *3706:10 0 +19 *1560:44 *3706:10 0 +20 *2286:63 *3706:10 0 +21 *2304:51 *3706:10 0 +22 *2814:11 *3706:16 0 +23 *2843:66 *3706:10 0 +24 *3023:36 *40759:A 0 +25 *3023:37 *40759:A 0 +26 *3358:72 *3706:10 0 +27 *3427:53 *3706:11 0 +28 *3676:8 *3706:10 0 +29 *3676:11 *3706:11 0 +*RES +1 *40760:X *3706:7 14.3357 +2 *3706:7 *3706:10 47.4286 +3 *3706:10 *3706:11 182.482 +4 *3706:11 *3706:16 41.9107 +5 *3706:16 *8453:DIODE 9.3 +6 *3706:16 *40759:A 12.8714 +*END + +*D_NET *3707 0.0183411 +*CONN +*I *37728:A I *D sky130_fd_sc_hd__and2_1 +*I *5563:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40761:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *37728:A 0.000175831 +2 *5563:DIODE 0 +3 *40761:X 0.000369157 +4 *3707:9 0.0088014 +5 *3707:8 0.00899472 +6 *37728:A *37728:B 0 +7 *3707:8 *40765:A 0 +8 *3707:8 *3712:28 0 +9 *265:7 *3707:8 0 +10 *1864:59 *3707:9 0 +11 *3010:38 *3707:8 0 +12 *3117:21 *3707:9 0 +*RES +1 *40761:X *3707:8 26.6214 +2 *3707:8 *3707:9 180.018 +3 *3707:9 *5563:DIODE 9.3 +4 *3707:9 *37728:A 13.0321 +*END + +*D_NET *3708 0.0229349 +*CONN +*I *40761:A I *D sky130_fd_sc_hd__buf_6 +*I *8455:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40762:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *40761:A 0.000143745 +2 *8455:DIODE 0 +3 *40762:X 0.00125557 +4 *3708:46 0.00112519 +5 *3708:41 0.00325442 +6 *3708:36 0.00321715 +7 *3708:25 0.00333437 +8 *3708:24 0.00243188 +9 *3708:19 0.00347935 +10 *3708:8 0.00469324 +11 *3708:8 *3712:10 0 +12 *3708:19 *5023:9 0 +13 *3708:36 *3762:42 0 +14 *3708:36 *3983:34 0 +15 *3708:36 *5027:24 0 +16 *3708:41 *39643:A 0 +17 *3708:41 *39668:A 0 +18 *3708:46 *3712:28 0 +19 *3708:46 *4611:6 0 +20 *38301:A *3708:41 0 +21 *38303:A *3708:25 0 +22 *361:14 *3708:8 0 +23 *377:44 *3708:41 0 +24 *1031:80 *3708:19 0 +25 *1548:13 *3708:41 0 +26 *2369:20 *3708:8 0 +27 *2436:10 *3708:8 0 +28 *2469:9 *40761:A 0 +29 *2477:5 *3708:19 0 +30 *2721:15 *3708:25 0 +31 *2721:15 *3708:41 0 +32 *2818:38 *3708:8 0 +33 *3010:20 *3708:8 0 +34 *3109:27 *40761:A 0 +35 *3118:6 *3708:46 0 +36 *3298:40 *3708:8 0 +37 *3303:39 *3708:19 0 +38 *3427:87 *3708:19 0 +39 *3521:46 *3708:46 0 +40 *3625:45 *3708:36 0 +41 *3625:49 *3708:36 0 +42 *3686:22 *3708:19 0 +43 *3704:26 *3708:19 0 +44 *3704:27 *3708:19 0 +45 *3704:27 *3708:25 0 +*RES +1 *40762:X *3708:8 45.7189 +2 *3708:8 *3708:19 47.1337 +3 *3708:19 *3708:24 9.94643 +4 *3708:24 *3708:25 49.8214 +5 *3708:25 *3708:36 37.9464 +6 *3708:36 *3708:41 47.5 +7 *3708:41 *3708:46 31.2857 +8 *3708:46 *8455:DIODE 9.3 +9 *3708:46 *40761:A 12.3 +*END + +*D_NET *3709 0.0188537 +*CONN +*I *40762:A I *D sky130_fd_sc_hd__buf_4 +*I *8456:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40763:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40762:A 0.000124066 +2 *8456:DIODE 0 +3 *40763:X 0.00119722 +4 *3709:38 0.00437919 +5 *3709:27 0.00810554 +6 *3709:16 0.00504764 +7 *3709:16 *3713:17 0 +8 *3709:16 *3733:44 0 +9 *3709:16 *3775:49 0 +10 *3709:27 *4098:59 0 +11 *3709:27 *4323:14 0 +12 *3709:38 *3740:46 0 +13 *1211:54 *3709:38 0 +14 *1310:12 *3709:27 0 +15 *1318:26 *3709:27 0 +16 *1325:44 *3709:38 0 +17 *2391:76 *3709:38 0 +18 *2395:52 *3709:38 0 +19 *2395:64 *3709:38 0 +20 *2412:31 *3709:27 0 +21 *2419:49 *40762:A 0 +22 *2421:42 *3709:38 0 +23 *2443:36 *3709:38 0 +24 *2443:59 *3709:38 0 +25 *2682:45 *3709:38 0 +26 *2816:19 *3709:38 0 +27 *2816:39 *3709:38 0 +28 *2921:11 *40762:A 0 +29 *2925:25 *3709:38 0 +30 *2930:19 *3709:27 0 +31 *2930:19 *3709:38 0 +32 *2941:33 *3709:16 0 +33 *2945:10 *3709:27 0 +34 *2959:32 *3709:27 0 +35 *2959:32 *3709:38 0 +36 *3080:50 *3709:27 0 +37 *3124:17 *3709:27 0 +38 *3218:60 *3709:38 0 +39 *3659:39 *3709:27 0 +40 *3665:27 *3709:16 0 +41 *3669:14 *3709:16 0 +42 *3669:14 *3709:27 0 +43 *3681:51 *3709:27 0 +*RES +1 *40763:X *3709:16 48.1214 +2 *3709:16 *3709:27 45.4547 +3 *3709:27 *3709:38 23.6044 +4 *3709:38 *8456:DIODE 9.3 +5 *3709:38 *40762:A 11.8893 +*END + +*D_NET *3710 0.019705 +*CONN +*I *40763:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8457:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40764:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40763:A 0.00018241 +2 *8457:DIODE 5.31776e-05 +3 *40764:X 0.00136138 +4 *3710:36 0.00124595 +5 *3710:23 0.00398794 +6 *3710:22 0.00306331 +7 *3710:17 0.00418504 +8 *3710:16 0.00418185 +9 *3710:9 0.00144392 +10 *3710:17 *3789:43 0 +11 *3710:17 *4367:34 0 +12 *3710:17 *5116:24 0 +13 *3710:17 *5125:30 0 +14 *3710:17 *5127:41 0 +15 *3710:17 *5134:7 0 +16 *3710:22 *3825:25 0 +17 *3710:23 *39864:A 0 +18 *3710:23 *3825:45 0 +19 *3710:23 *4367:46 0 +20 *3710:23 *5128:19 0 +21 *3710:36 *3778:24 0 +22 *3710:36 *3789:98 0 +23 *3710:36 *4747:10 0 +24 *37847:A *3710:16 0 +25 *37871:A *3710:23 0 +26 *37881:A *3710:17 0 +27 *39910:A *3710:36 0 +28 *40836:A *3710:9 0 +29 *2221:89 *3710:16 0 +30 *2227:75 *3710:17 0 +31 *2251:39 *3710:9 0 +32 *2276:41 *3710:22 0 +33 *2309:21 *3710:9 0 +34 *2309:38 *3710:23 0 +35 *2695:10 *3710:23 0 +36 *2865:39 *3710:9 0 +37 *2865:47 *3710:23 0 +38 *2915:17 *3710:17 0 +39 *2915:17 *3710:36 0 +40 *2923:71 *3710:36 0 +41 *2923:76 *3710:36 0 +42 *2936:17 *3710:17 0 +43 *2939:39 *3710:36 0 +44 *2939:46 *3710:36 0 +45 *2944:43 *3710:23 0 +46 *2962:19 *40763:A 0 +47 *3080:61 *3710:23 0 +48 *3080:76 *3710:23 0 +49 *3177:46 *8457:DIODE 0 +50 *3177:46 *40763:A 0 +51 *3323:44 *3710:36 0 +52 *3679:38 *3710:17 0 +*RES +1 *40764:X *3710:9 47.55 +2 *3710:9 *3710:16 10.875 +3 *3710:16 *3710:17 85.5536 +4 *3710:17 *3710:22 10.9464 +5 *3710:22 *3710:23 62.1429 +6 *3710:23 *3710:36 35.7143 +7 *3710:36 *8457:DIODE 15.0679 +8 *3710:36 *40763:A 17.8893 +*END + +*D_NET *3711 0.0182203 +*CONN +*I *37726:A I *D sky130_fd_sc_hd__and2_1 +*I *5561:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40765:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *37726:A 0.000181811 +2 *5561:DIODE 0 +3 *40765:X 0 +4 *3711:12 0.000441365 +5 *3711:9 0.00665985 +6 *3711:7 0.00866877 +7 *3711:4 0.00226848 +8 *37726:A *4919:12 0 +9 *37726:A *4920:12 0 +10 *37726:A *5205:5 0 +11 *3711:7 *4733:21 0 +12 *3711:9 *39662:A 0 +13 *3711:9 *4741:15 0 +14 *3711:12 *4919:12 0 +15 *3711:12 *4920:12 0 +16 *272:10 *3711:9 0 +17 *3088:19 *3711:7 0 +18 *3512:35 *3711:7 0 +*RES +1 *40765:X *3711:4 9.3 +2 *3711:4 *3711:7 47.375 +3 *3711:7 *3711:9 133.607 +4 *3711:9 *3711:12 10.3929 +5 *3711:12 *5561:DIODE 13.8 +6 *3711:12 *37726:A 17.9071 +*END + +*D_NET *3712 0.023689 +*CONN +*I *8458:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40765:A I *D sky130_fd_sc_hd__buf_6 +*I *40766:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8458:DIODE 0 +2 *40765:A 0.000177501 +3 *40766:X 0.00157597 +4 *3712:28 0.00133006 +5 *3712:25 0.00308708 +6 *3712:19 0.00719491 +7 *3712:18 0.00700396 +8 *3712:10 0.00331954 +9 *3712:10 *4314:28 0 +10 *3712:28 *4896:22 0 +11 *265:7 *3712:28 0 +12 *360:14 *3712:19 0 +13 *367:8 *3712:18 0 +14 *385:8 *3712:25 0 +15 *1008:94 *3712:18 0 +16 *1016:201 *3712:10 0 +17 *1016:211 *3712:10 0 +18 *2957:13 *40765:A 0 +19 *3010:38 *40765:A 0 +20 *3010:38 *3712:28 0 +21 *3088:19 *40765:A 0 +22 *3118:6 *3712:28 0 +23 *3707:8 *40765:A 0 +24 *3707:8 *3712:28 0 +25 *3708:8 *3712:10 0 +26 *3708:46 *3712:28 0 +*RES +1 *40766:X *3712:10 49.6482 +2 *3712:10 *3712:18 19.1432 +3 *3712:18 *3712:19 109.786 +4 *3712:19 *3712:25 49.4821 +5 *3712:25 *3712:28 30.7321 +6 *3712:28 *40765:A 17.7107 +7 *3712:28 *8458:DIODE 13.8 +*END + +*D_NET *3713 0.021059 +*CONN +*I *40766:A I *D sky130_fd_sc_hd__buf_4 +*I *8459:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40767:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40766:A 0.000176438 +2 *8459:DIODE 0 +3 *40767:X 0.00354036 +4 *3713:51 0.00153568 +5 *3713:39 0.0068127 +6 *3713:17 0.00899382 +7 *40766:A *4229:33 0 +8 *3713:17 *3720:50 0 +9 *3713:17 *3733:52 0 +10 *3713:17 *4309:14 0 +11 *3713:39 *3735:22 0 +12 *3713:39 *3942:80 0 +13 *3713:39 *3983:17 0 +14 *3713:51 *4229:33 0 +15 *3713:51 *4257:36 0 +16 *3713:51 *4718:6 0 +17 *1018:54 *3713:17 0 +18 *1018:54 *3713:39 0 +19 *1439:58 *3713:17 0 +20 *2369:34 *3713:51 0 +21 *2374:94 *3713:17 0 +22 *2413:45 *3713:51 0 +23 *2420:34 *3713:17 0 +24 *2723:16 *3713:39 0 +25 *2814:36 *40766:A 0 +26 *2814:36 *3713:51 0 +27 *2829:28 *3713:39 0 +28 *2840:49 *3713:51 0 +29 *2918:16 *3713:39 0 +30 *2920:11 *3713:39 0 +31 *2920:19 *3713:17 0 +32 *2920:19 *3713:39 0 +33 *2932:8 *3713:17 0 +34 *3011:58 *3713:39 0 +35 *3073:68 *3713:39 0 +36 *3524:25 *3713:39 0 +37 *3709:16 *3713:17 0 +*RES +1 *40767:X *3713:17 46.7643 +2 *3713:17 *3713:39 44.6966 +3 *3713:39 *3713:51 33.5446 +4 *3713:51 *8459:DIODE 9.3 +5 *3713:51 *40766:A 22.2286 +*END + +*D_NET *3714 0.0181857 +*CONN +*I *40767:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8460:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40768:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40767:A 0.000206009 +2 *8460:DIODE 0 +3 *40768:X 0.00177649 +4 *3714:43 0.00147148 +5 *3714:42 0.00196292 +6 *3714:23 0.00373257 +7 *3714:21 0.00435236 +8 *3714:18 0.0021123 +9 *3714:7 0.00257156 +10 *40767:A *3775:49 0 +11 *3714:18 *3775:30 0 +12 *3714:21 *3723:29 0 +13 *3714:21 *3775:30 0 +14 *3714:21 *3775:31 0 +15 *3714:23 *3723:29 0 +16 *3714:23 *3723:42 0 +17 *3714:23 *3775:31 0 +18 *3714:23 *3775:48 0 +19 *3714:23 *3790:28 0 +20 *3714:23 *4365:46 0 +21 *3714:23 *4369:45 0 +22 *3714:42 *4098:59 0 +23 *3714:42 *4377:90 0 +24 *3714:43 *3775:49 0 +25 *8527:DIODE *3714:7 0 +26 *37826:A *3714:7 0 +27 *37828:A *3714:18 0 +28 *37869:A *3714:23 0 +29 *39489:A *3714:23 0 +30 *40687:A *3714:7 0 +31 *40737:A *3714:7 0 +32 *1505:34 *3714:23 0 +33 *1821:16 *3714:23 0 +34 *2156:26 *3714:7 0 +35 *2156:26 *3714:18 0 +36 *2217:94 *3714:21 0 +37 *2246:40 *3714:7 0 +38 *2262:26 *3714:18 0 +39 *2275:46 *3714:7 0 +40 *2275:73 *3714:7 0 +41 *2296:14 *3714:7 0 +42 *2304:51 *3714:42 0 +43 *2313:27 *3714:7 0 +44 *2341:14 *3714:18 0 +45 *2347:16 *3714:21 0 +46 *3085:33 *3714:23 0 +47 *3566:21 *3714:7 0 +48 *3659:14 *3714:7 0 +49 *3659:14 *3714:18 0 +50 *3679:22 *3714:18 0 +51 *3681:14 *3714:21 0 +*RES +1 *40768:X *3714:7 46.4071 +2 *3714:7 *3714:18 25.8036 +3 *3714:18 *3714:21 27.5536 +4 *3714:21 *3714:23 63.375 +5 *3714:23 *3714:42 33.0714 +6 *3714:42 *3714:43 26.4107 +7 *3714:43 *8460:DIODE 9.3 +8 *3714:43 *40767:A 22.7464 +*END + +*D_NET *3715 0.0324758 +*CONN +*I *37724:A I *D sky130_fd_sc_hd__and2_2 +*I *5559:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40769:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *37724:A 0.000184815 +2 *5559:DIODE 0.00039987 +3 *40769:X 0.000610221 +4 *3715:64 0.00115208 +5 *3715:54 0.00344454 +6 *3715:35 0.0112469 +7 *3715:34 0.00836973 +8 *3715:32 0.00236434 +9 *3715:25 0.00322872 +10 *3715:19 0.00147461 +11 *5559:DIODE *4590:47 0 +12 *3715:19 *8858:DIODE 0 +13 *3715:19 *38084:A_N 0 +14 *3715:19 *41242:A 0 +15 *3715:19 *4000:10 0 +16 *3715:19 *4268:14 0 +17 *3715:25 *3811:42 0 +18 *3715:25 *3811:45 0 +19 *3715:25 *3937:24 0 +20 *3715:25 *3964:26 0 +21 *3715:25 *4011:24 0 +22 *3715:25 *4092:58 0 +23 *3715:32 *3720:60 0 +24 *3715:32 *4229:32 0 +25 *3715:35 *3818:21 0 +26 *3715:35 *4074:25 0 +27 *3715:35 *4074:31 0 +28 *3715:35 *4074:33 0 +29 *3715:54 *4254:105 0 +30 *3715:64 *38126:B 0 +31 *3715:64 *4274:26 0 +32 *37724:B *37724:A 0 +33 *40731:A *3715:32 0 +34 *380:22 *3715:54 0 +35 *1003:36 *3715:54 0 +36 *1029:84 *3715:32 0 +37 *1261:24 *37724:A 0 +38 *1442:10 *3715:25 0 +39 *2822:19 *3715:19 0 +40 *2823:19 *3715:54 0 +41 *2824:32 *3715:32 0 +42 *2935:20 *3715:32 0 +43 *2937:26 *3715:32 0 +44 *2989:19 *3715:35 0 +45 *3166:17 *3715:25 0 +46 *3166:24 *3715:32 0 +47 *3197:16 *3715:25 0 +48 *3197:30 *3715:25 0 +49 *3411:42 *3715:54 0 +50 *3434:56 *3715:54 0 +51 *3439:24 *3715:19 0 +52 *3514:27 *3715:35 0 +53 *3524:29 *3715:54 0 +54 *3528:54 *3715:54 0 +55 *3686:8 *3715:32 0 +56 *3701:50 *3715:54 0 +*RES +1 *40769:X *3715:19 41.6868 +2 *3715:19 *3715:25 28.4107 +3 *3715:25 *3715:32 46.9786 +4 *3715:32 *3715:34 4.5 +5 *3715:34 *3715:35 174.679 +6 *3715:35 *3715:54 48.991 +7 *3715:54 *3715:64 19.3941 +8 *3715:64 *5559:DIODE 22.3893 +9 *3715:64 *37724:A 17.7821 +*END + +*D_NET *3716 0.0129477 +*CONN +*I *5413:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37604:B I *D sky130_fd_sc_hd__and2_1 +*I *38551:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5413:DIODE 0.000627503 +2 *37604:B 0 +3 *38551:X 0.00551333 +4 *3716:20 0.000960538 +5 *3716:17 0.00584636 +6 *3716:17 *3727:23 0 +7 *3716:17 *3821:15 0 +8 *3716:17 *3943:17 0 +9 *3716:17 *5159:20 0 +10 *3716:17 *5192:9 0 +11 *37604:A *5413:DIODE 0 +12 *40004:A *5413:DIODE 0 +13 *40850:A *5413:DIODE 0 +14 *607:7 *3716:17 0 +15 *863:5 *3716:17 0 +16 *1296:15 *3716:17 0 +17 *1519:23 *3716:17 0 +18 *2106:23 *3716:17 0 +19 *2257:16 *5413:DIODE 0 +20 *2267:26 *3716:17 0 +21 *2268:17 *5413:DIODE 0 +22 *2270:12 *3716:20 0 +23 *2361:16 *3716:17 0 +24 *3581:19 *5413:DIODE 0 +25 *3612:34 *5413:DIODE 0 +*RES +1 *38551:X *3716:17 49.1202 +2 *3716:17 *3716:20 12.0625 +3 *3716:20 *37604:B 9.3 +4 *3716:20 *5413:DIODE 41.1571 +*END + +*D_NET *3717 0.0259704 +*CONN +*I *40769:A I *D sky130_fd_sc_hd__buf_2 +*I *8461:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40770:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40769:A 0.00052841 +2 *8461:DIODE 0.000185975 +3 *40770:X 2.56688e-05 +4 *3717:31 0.00523019 +5 *3717:15 0.00920249 +6 *3717:13 0.00575622 +7 *3717:10 0.00304268 +8 *3717:7 0.00199881 +9 *40769:A *3722:13 0 +10 *3717:10 *5124:9 0 +11 *3717:31 *41262:A 0 +12 *3717:31 *3973:56 0 +13 *3717:31 *4309:40 0 +14 *40735:A *3717:10 0 +15 *40770:A *3717:10 0 +16 *1027:64 *3717:31 0 +17 *1527:8 *3717:31 0 +18 *2253:53 *3717:10 0 +19 *2264:39 *3717:13 0 +20 *2281:55 *3717:10 0 +21 *2282:41 *3717:10 0 +22 *2290:47 *3717:13 0 +23 *2303:39 *3717:10 0 +24 *2365:71 *3717:31 0 +25 *2417:100 *3717:31 0 +26 *2723:17 *3717:15 0 +27 *2918:20 *3717:31 0 +28 *2931:9 *3717:15 0 +29 *2931:22 *3717:13 0 +30 *2931:22 *3717:15 0 +31 *3081:63 *3717:31 0 +32 *3256:23 *40769:A 0 +33 *3274:36 *3717:31 0 +34 *3320:129 *3717:7 0 +35 *3675:67 *40769:A 0 +36 *3678:19 *3717:31 0 +37 *3702:14 *3717:7 0 +*RES +1 *40770:X *3717:7 14.3357 +2 *3717:7 *3717:10 49.25 +3 *3717:10 *3717:13 22.3214 +4 *3717:13 *3717:15 97.875 +5 *3717:15 *3717:31 33.9272 +6 *3717:31 *8461:DIODE 21.4332 +7 *3717:31 *40769:A 20.6728 +*END + +*D_NET *3718 0.0230458 +*CONN +*I *5557:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37722:A I *D sky130_fd_sc_hd__and2_1 +*I *40771:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *5557:DIODE 2.56688e-05 +2 *37722:A 0.000268062 +3 *40771:X 0.000632469 +4 *3718:64 0.00172012 +5 *3718:60 0.0033637 +6 *3718:41 0.00349065 +7 *3718:33 0.00439689 +8 *3718:23 0.00421041 +9 *3718:20 0.00283612 +10 *3718:14 0.00210173 +11 *3718:14 *40810:A 0 +12 *3718:14 *3739:21 0 +13 *3718:14 *3761:19 0 +14 *3718:20 *37844:B 0 +15 *3718:20 *3761:19 0 +16 *3718:23 *4339:66 0 +17 *3718:33 *4729:10 0 +18 *3718:33 *4897:24 0 +19 *3718:41 *3761:69 0 +20 *3718:60 *3761:69 0 +21 *3718:60 *3761:84 0 +22 *3718:64 *3761:84 0 +23 *6079:DIODE *3718:60 0 +24 *6492:DIODE *3718:41 0 +25 *7464:DIODE *3718:60 0 +26 *37704:B *3718:41 0 +27 *37722:B *37722:A 0 +28 *37844:A *3718:20 0 +29 *39493:B *3718:41 0 +30 *39494:B *3718:64 0 +31 *39595:A *3718:60 0 +32 *39595:A *3718:64 0 +33 *40114:A *3718:41 0 +34 *40716:A *3718:60 0 +35 *360:20 *3718:33 0 +36 *363:45 *3718:41 0 +37 *380:26 *3718:33 0 +38 *1251:16 *3718:60 0 +39 *1581:44 *3718:23 0 +40 *1744:16 *3718:64 0 +41 *2366:40 *3718:33 0 +42 *2387:10 *3718:33 0 +43 *2411:41 *3718:33 0 +44 *2441:10 *3718:64 0 +45 *2802:49 *37722:A 0 +46 *2831:66 *3718:33 0 +47 *2833:51 *37722:A 0 +48 *2836:43 *3718:60 0 +49 *2973:23 *3718:60 0 +50 *2997:30 *3718:60 0 +51 *3042:70 *3718:33 0 +52 *3290:69 *3718:33 0 +53 *3297:106 *3718:41 0 +54 *3479:61 *3718:14 0 +55 *3479:82 *3718:23 0 +56 *3479:105 *3718:41 0 +57 *3487:75 *3718:23 0 +58 *3514:48 *3718:33 0 +59 *3656:13 *3718:60 0 +60 *3656:29 *3718:64 0 +61 *3656:31 *3718:64 0 +62 *3657:42 *3718:14 0 +63 *3657:83 *3718:60 0 +64 *3666:66 *3718:20 0 +65 *3684:111 *3718:14 0 +66 *3684:111 *3718:20 0 +*RES +1 *40771:X *3718:14 31.6214 +2 *3718:14 *3718:20 39.8214 +3 *3718:20 *3718:23 32.9643 +4 *3718:23 *3718:33 48.0379 +5 *3718:33 *3718:41 42.3661 +6 *3718:41 *3718:60 49.7054 +7 *3718:60 *3718:64 34.4107 +8 *3718:64 *37722:A 19.4786 +9 *3718:64 *5557:DIODE 14.3357 +*END + +*D_NET *3719 0.0245253 +*CONN +*I *8463:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40771:A I *D sky130_fd_sc_hd__buf_6 +*I *40772:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8463:DIODE 0.000173433 +2 *40771:A 0 +3 *40772:X 0.000690616 +4 *3719:61 0.000607266 +5 *3719:52 0.00193922 +6 *3719:43 0.00325789 +7 *3719:37 0.00380216 +8 *3719:33 0.00437867 +9 *3719:30 0.00248941 +10 *3719:21 0.00254759 +11 *3719:20 0.00316783 +12 *3719:17 0.00147125 +13 *8463:DIODE *4057:24 0 +14 *8463:DIODE *4084:62 0 +15 *3719:17 *3726:89 0 +16 *3719:17 *3750:55 0 +17 *3719:17 *3762:16 0 +18 *3719:20 *4124:40 0 +19 *3719:43 *4229:51 0 +20 *3719:52 *3780:65 0 +21 *3719:52 *4021:26 0 +22 *3719:52 *4069:21 0 +23 *3719:61 *3732:39 0 +24 *3719:61 *3758:85 0 +25 *370:32 *3719:52 0 +26 *380:22 *3719:43 0 +27 *1006:28 *3719:52 0 +28 *1016:212 *3719:37 0 +29 *1544:8 *8463:DIODE 0 +30 *2402:8 *3719:52 0 +31 *2417:52 *3719:43 0 +32 *2429:17 *3719:21 0 +33 *2717:21 *3719:21 0 +34 *2814:26 *3719:33 0 +35 *2816:61 *3719:52 0 +36 *2817:33 *3719:33 0 +37 *2817:33 *3719:37 0 +38 *2818:39 *3719:37 0 +39 *2837:31 *3719:33 0 +40 *2997:9 *3719:43 0 +41 *3079:54 *3719:20 0 +42 *3117:51 *3719:52 0 +43 *3479:61 *8463:DIODE 0 +44 *3479:61 *3719:61 0 +45 *3657:31 *3719:61 0 +46 *3662:29 *3719:21 0 +47 *3662:35 *3719:33 0 +48 *3662:49 *3719:33 0 +49 *3662:49 *3719:37 0 +50 *3672:50 *3719:17 0 +51 *3678:32 *3719:33 0 +*RES +1 *40772:X *3719:17 38.0679 +2 *3719:17 *3719:20 22.2321 +3 *3719:20 *3719:21 49.8214 +4 *3719:21 *3719:30 12.9464 +5 *3719:30 *3719:33 48.6071 +6 *3719:33 *3719:37 47.3393 +7 *3719:37 *3719:43 45.8571 +8 *3719:43 *3719:52 46.8036 +9 *3719:52 *3719:61 18.2143 +10 *3719:61 *40771:A 9.3 +11 *3719:61 *8463:DIODE 22.1393 +*END + +*D_NET *3720 0.0257991 +*CONN +*I *40772:A I *D sky130_fd_sc_hd__buf_4 +*I *8464:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40773:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40772:A 0.000935629 +2 *8464:DIODE 2.56688e-05 +3 *40773:X 0.000792541 +4 *3720:60 0.00212937 +5 *3720:53 0.00290585 +6 *3720:50 0.00453116 +7 *3720:41 0.00440154 +8 *3720:35 0.00351898 +9 *3720:23 0.00383834 +10 *3720:16 0.00272005 +11 *40772:A *3750:55 0 +12 *40772:A *3756:23 0 +13 *3720:16 *3819:17 0 +14 *3720:16 *3956:50 0 +15 *3720:23 *8927:DIODE 0 +16 *3720:23 *3801:21 0 +17 *3720:23 *3819:19 0 +18 *3720:23 *4103:25 0 +19 *3720:23 *4329:51 0 +20 *3720:23 *4345:84 0 +21 *3720:35 *8916:DIODE 0 +22 *3720:35 *37664:A 0 +23 *3720:35 *37664:B 0 +24 *3720:35 *3804:85 0 +25 *3720:35 *3817:83 0 +26 *3720:35 *4082:27 0 +27 *3720:35 *4703:19 0 +28 *3720:41 *3733:52 0 +29 *3720:41 *3822:38 0 +30 *3720:41 *3968:23 0 +31 *3720:50 *3796:29 0 +32 *3720:50 *3946:34 0 +33 *3720:53 *4031:21 0 +34 *8477:DIODE *3720:23 0 +35 *40283:A *3720:23 0 +36 *40820:A *3720:23 0 +37 *1231:17 *3720:35 0 +38 *1231:17 *3720:41 0 +39 *1237:27 *3720:23 0 +40 *1407:27 *3720:35 0 +41 *1411:58 *3720:41 0 +42 *1429:34 *3720:35 0 +43 *1439:58 *3720:41 0 +44 *1539:16 *3720:50 0 +45 *1557:45 *3720:41 0 +46 *2266:90 *3720:23 0 +47 *2266:97 *3720:23 0 +48 *2749:93 *3720:23 0 +49 *2822:46 *40772:A 0 +50 *2824:23 *40772:A 0 +51 *2824:32 *3720:60 0 +52 *2841:61 *8464:DIODE 0 +53 *2841:61 *40772:A 0 +54 *2920:19 *3720:50 0 +55 *2937:26 *3720:60 0 +56 *2944:36 *3720:41 0 +57 *2981:62 *8464:DIODE 0 +58 *2981:62 *40772:A 0 +59 *3001:28 *3720:60 0 +60 *3078:14 *40772:A 0 +61 *3161:34 *3720:23 0 +62 *3277:20 *3720:60 0 +63 *3277:24 *3720:60 0 +64 *3447:102 *3720:35 0 +65 *3526:97 *3720:35 0 +66 *3584:24 *3720:50 0 +67 *3647:34 *3720:60 0 +68 *3650:23 *40772:A 0 +69 *3653:36 *3720:35 0 +70 *3669:22 *3720:60 0 +71 *3674:68 *3720:41 0 +72 *3675:24 *3720:35 0 +73 *3680:30 *3720:23 0 +74 *3680:30 *3720:35 0 +75 *3713:17 *3720:50 0 +76 *3715:32 *3720:60 0 +*RES +1 *40773:X *3720:16 40.5261 +2 *3720:16 *3720:23 40.5357 +3 *3720:23 *3720:35 44.75 +4 *3720:35 *3720:41 44.7857 +5 *3720:41 *3720:50 30.8332 +6 *3720:50 *3720:53 40.7679 +7 *3720:53 *3720:60 31.0893 +8 *3720:60 *8464:DIODE 9.83571 +9 *3720:60 *40772:A 38.9607 +*END + +*D_NET *3721 0.0191812 +*CONN +*I *5554:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37720:A I *D sky130_fd_sc_hd__and2_1 +*I *40774:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *5554:DIODE 0.000218185 +2 *37720:A 0 +3 *40774:X 0.00438943 +4 *3721:43 0.00520115 +5 *3721:24 0.0093724 +6 *5554:DIODE *4780:15 0 +7 *3721:24 *8714:DIODE 0 +8 *3721:24 *40212:A 0 +9 *3721:24 *3722:69 0 +10 *3721:24 *4590:93 0 +11 *3721:24 *5179:91 0 +12 *3721:43 *4586:24 0 +13 *3721:43 *4764:48 0 +14 *3721:43 *4780:35 0 +15 *3721:43 *5074:44 0 +16 *3721:43 *5080:28 0 +17 *3721:43 *5172:30 0 +18 *3721:43 *5179:56 0 +19 *5509:DIODE *3721:24 0 +20 *6727:DIODE *3721:24 0 +21 *40366:A *3721:24 0 +22 *412:43 *3721:24 0 +23 *544:49 *3721:24 0 +24 *788:57 *3721:24 0 +25 *797:54 *3721:24 0 +26 *1008:129 *3721:24 0 +27 *1259:19 *5554:DIODE 0 +28 *2712:96 *3721:24 0 +29 *2713:116 *3721:43 0 +30 *2967:15 *5554:DIODE 0 +31 *2967:15 *3721:43 0 +32 *2967:23 *5554:DIODE 0 +33 *3027:43 *3721:43 0 +34 *3113:100 *3721:24 0 +35 *3116:41 *3721:43 0 +36 *3189:36 *3721:43 0 +37 *3205:68 *3721:24 0 +38 *3221:16 *3721:24 0 +39 *3235:22 *3721:43 0 +40 *3240:25 *3721:24 0 +41 *3245:21 *3721:24 0 +42 *3251:67 *3721:24 0 +43 *3254:33 *3721:24 0 +44 *3254:33 *3721:43 0 +45 *3264:128 *3721:24 0 +46 *3394:32 *3721:43 0 +47 *3402:26 *3721:24 0 +48 *3412:30 *3721:24 0 +*RES +1 *40774:X *3721:24 49.769 +2 *3721:24 *3721:43 41.8358 +3 *3721:43 *37720:A 9.3 +4 *3721:43 *5554:DIODE 13.9786 +*END + +*D_NET *3722 0.0236509 +*CONN +*I *8466:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40774:A I *D sky130_fd_sc_hd__buf_4 +*I *40775:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8466:DIODE 0.000474695 +2 *40774:A 0.000185503 +3 *40775:X 0.00222369 +4 *3722:69 0.0020546 +5 *3722:28 0.00185507 +6 *3722:25 0.00736167 +7 *3722:13 0.00949569 +8 *8466:DIODE *4149:121 0 +9 *8466:DIODE *5034:52 0 +10 *3722:13 *4020:129 0 +11 *3722:25 *4232:15 0 +12 *3722:25 *4259:40 0 +13 *3722:25 *4274:26 0 +14 *3722:69 *8714:DIODE 0 +15 *3722:69 *8855:DIODE 0 +16 *3722:69 *3793:42 0 +17 *3722:69 *5182:81 0 +18 *6745:DIODE *8466:DIODE 0 +19 *39578:A *40774:A 0 +20 *39578:A *3722:28 0 +21 *40769:A *3722:13 0 +22 *1441:19 *3722:25 0 +23 *2387:63 *3722:25 0 +24 *2410:18 *8466:DIODE 0 +25 *2410:18 *3722:69 0 +26 *3053:62 *3722:13 0 +27 *3113:81 *3722:69 0 +28 *3122:35 *3722:25 0 +29 *3188:74 *3722:69 0 +30 *3211:42 *3722:69 0 +31 *3211:49 *3722:25 0 +32 *3235:63 *8466:DIODE 0 +33 *3245:21 *3722:69 0 +34 *3251:67 *3722:69 0 +35 *3252:14 *3722:13 0 +36 *3253:32 *3722:25 0 +37 *3263:10 *3722:25 0 +38 *3285:60 *3722:25 0 +39 *3306:47 *3722:25 0 +40 *3406:16 *3722:25 0 +41 *3513:68 *3722:25 0 +42 *3515:34 *3722:69 0 +43 *3532:24 *3722:13 0 +44 *3532:24 *3722:25 0 +45 *3675:67 *3722:13 0 +46 *3675:67 *3722:25 0 +47 *3697:99 *3722:69 0 +48 *3721:24 *3722:69 0 +*RES +1 *40775:X *3722:13 36.4696 +2 *3722:13 *3722:25 49.656 +3 *3722:25 *3722:28 6.59821 +4 *3722:28 *40774:A 18.0768 +5 *3722:28 *3722:69 46.7649 +6 *3722:69 *8466:DIODE 32.8536 +*END + +*D_NET *3723 0.0215599 +*CONN +*I *40775:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8467:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40776:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40775:A 0 +2 *8467:DIODE 0.000340097 +3 *40776:X 0.00122705 +4 *3723:93 0.00196382 +5 *3723:79 0.00262785 +6 *3723:61 0.00328095 +7 *3723:52 0.00342155 +8 *3723:42 0.0031862 +9 *3723:29 0.00316341 +10 *3723:24 0.00234898 +11 *8467:DIODE *4020:129 0 +12 *8467:DIODE *4297:108 0 +13 *3723:24 *8965:DIODE 0 +14 *3723:24 *3790:11 0 +15 *3723:24 *3790:18 0 +16 *3723:24 *4362:18 0 +17 *3723:24 *4362:23 0 +18 *3723:24 *4369:22 0 +19 *3723:24 *4377:16 0 +20 *3723:29 *3775:30 0 +21 *3723:42 *3790:28 0 +22 *3723:42 *4369:25 0 +23 *3723:42 *4377:45 0 +24 *3723:52 *3786:74 0 +25 *3723:52 *4369:45 0 +26 *3723:61 *3741:65 0 +27 *3723:61 *4703:19 0 +28 *3723:61 *4746:27 0 +29 *3723:79 *4099:29 0 +30 *3723:79 *4103:47 0 +31 *3723:93 *3751:28 0 +32 *3723:93 *3811:33 0 +33 *3723:93 *3820:34 0 +34 *3723:93 *4020:113 0 +35 *8109:DIODE *3723:24 0 +36 *38015:A *3723:61 0 +37 *39488:B *3723:61 0 +38 *1228:49 *8467:DIODE 0 +39 *1313:14 *3723:24 0 +40 *1505:34 *3723:42 0 +41 *2318:37 *3723:79 0 +42 *2962:19 *3723:61 0 +43 *2962:30 *3723:61 0 +44 *3083:60 *3723:24 0 +45 *3085:33 *3723:42 0 +46 *3334:53 *3723:93 0 +47 *3659:14 *3723:24 0 +48 *3665:27 *3723:61 0 +49 *3665:27 *3723:79 0 +50 *3714:21 *3723:29 0 +51 *3714:23 *3723:29 0 +52 *3714:23 *3723:42 0 +*RES +1 *40776:X *3723:24 49.0321 +2 *3723:24 *3723:29 27.9464 +3 *3723:29 *3723:42 47.5357 +4 *3723:42 *3723:52 37.8571 +5 *3723:52 *3723:61 47.6429 +6 *3723:61 *3723:79 38.9697 +7 *3723:79 *3723:93 49.9196 +8 *3723:93 *8467:DIODE 25.675 +9 *3723:93 *40775:A 9.3 +*END + +*D_NET *3724 0.0232959 +*CONN +*I *5552:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37718:A I *D sky130_fd_sc_hd__and2_1 +*I *40777:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *5552:DIODE 0 +2 *37718:A 0.000230332 +3 *40777:X 0.0030781 +4 *3724:95 0.00153516 +5 *3724:94 0.00291037 +6 *3724:87 0.00322347 +7 *3724:78 0.00276284 +8 *3724:62 0.00259829 +9 *3724:53 0.00266629 +10 *3724:29 0.004291 +11 *37718:A *4773:20 0 +12 *37718:A *5174:27 0 +13 *3724:29 *3745:27 0 +14 *3724:29 *4406:28 0 +15 *3724:53 *3931:9 0 +16 *3724:53 *3970:34 0 +17 *3724:53 *4003:42 0 +18 *3724:53 *4051:68 0 +19 *3724:53 *4406:28 0 +20 *3724:62 *5173:36 0 +21 *3724:78 *5176:20 0 +22 *3724:78 *5187:9 0 +23 *3724:94 *5174:34 0 +24 *3724:95 *5187:9 0 +25 *37719:A *37718:A 0 +26 *39420:B *3724:94 0 +27 *40107:A *3724:78 0 +28 *375:41 *3724:53 0 +29 *1005:91 *3724:94 0 +30 *1012:34 *3724:29 0 +31 *1261:31 *3724:62 0 +32 *1450:25 *3724:29 0 +33 *1562:23 *3724:29 0 +34 *1744:16 *37718:A 0 +35 *1752:16 *3724:94 0 +36 *1845:9 *3724:94 0 +37 *2370:19 *3724:29 0 +38 *2392:34 *3724:29 0 +39 *2398:19 *3724:87 0 +40 *2398:19 *3724:94 0 +41 *2414:89 *3724:29 0 +42 *2422:26 *3724:29 0 +43 *2422:26 *3724:53 0 +44 *2800:17 *3724:53 0 +45 *2965:13 *3724:78 0 +46 *2968:34 *3724:53 0 +47 *2969:5 *3724:95 0 +48 *2977:89 *3724:62 0 +49 *2982:62 *3724:62 0 +50 *3091:54 *3724:62 0 +51 *3102:52 *3724:29 0 +52 *3108:27 *3724:29 0 +53 *3118:6 *3724:62 0 +54 *3164:44 *3724:78 0 +55 *3168:25 *3724:87 0 +56 *3199:63 *3724:78 0 +57 *3264:34 *3724:62 0 +58 *3342:19 *3724:87 0 +59 *3342:19 *3724:94 0 +60 *3342:32 *37718:A 0 +61 *3406:51 *3724:29 0 +62 *3417:94 *3724:29 0 +63 *3421:35 *3724:87 0 +64 *3491:30 *3724:94 0 +*RES +1 *40777:X *3724:29 47.0946 +2 *3724:29 *3724:53 43.3508 +3 *3724:53 *3724:62 45.8125 +4 *3724:62 *3724:78 42.125 +5 *3724:78 *3724:87 42.8571 +6 *3724:87 *3724:94 42.6964 +7 *3724:94 *3724:95 27.2321 +8 *3724:95 *37718:A 23.2643 +9 *3724:95 *5552:DIODE 9.3 +*END + +*D_NET *3725 0.0217378 +*CONN +*I *8469:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40777:A I *D sky130_fd_sc_hd__buf_6 +*I *40778:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8469:DIODE 0.000372468 +2 *40777:A 0.000130326 +3 *40778:X 0.00555857 +4 *3725:80 0.00207502 +5 *3725:55 0.00333858 +6 *3725:48 0.00323529 +7 *3725:22 0.00702751 +8 *8469:DIODE *3954:53 0 +9 *40777:A *3954:53 0 +10 *3725:22 *3758:53 0 +11 *3725:22 *4078:89 0 +12 *3725:22 *4247:51 0 +13 *3725:22 *4256:47 0 +14 *3725:22 *4323:77 0 +15 *3725:22 *4344:25 0 +16 *3725:22 *4354:27 0 +17 *3725:48 *8929:DIODE 0 +18 *3725:48 *3732:35 0 +19 *3725:48 *3732:39 0 +20 *3725:48 *4330:20 0 +21 *3725:48 *4351:33 0 +22 *3725:55 *4084:62 0 +23 *3725:55 *4339:23 0 +24 *3725:80 *38120:B 0 +25 *3725:80 *3758:85 0 +26 *3725:80 *4057:24 0 +27 *3725:80 *4065:18 0 +28 *3725:80 *4097:94 0 +29 *3725:80 *4406:22 0 +30 *358:44 *3725:48 0 +31 *1207:17 *3725:22 0 +32 *1325:17 *3725:48 0 +33 *1414:38 *3725:22 0 +34 *1416:47 *3725:48 0 +35 *1445:37 *3725:22 0 +36 *1572:16 *3725:80 0 +37 *1823:18 *3725:48 0 +38 *2375:48 *3725:22 0 +39 *2380:55 *3725:22 0 +40 *2414:89 *8469:DIODE 0 +41 *2414:89 *40777:A 0 +42 *2836:21 *3725:48 0 +43 *2929:50 *3725:22 0 +44 *3107:73 *3725:80 0 +45 *3308:39 *3725:80 0 +46 *3406:51 *8469:DIODE 0 +47 *3441:55 *3725:22 0 +48 *3493:29 *3725:22 0 +49 *3626:45 *3725:22 0 +50 *3663:16 *3725:80 0 +51 *3685:40 *3725:22 0 +52 *3700:36 *3725:80 0 +*RES +1 *40778:X *3725:22 48.324 +2 *3725:22 *3725:48 46.8849 +3 *3725:48 *3725:55 46.2679 +4 *3725:55 *3725:80 49.1071 +5 *3725:80 *40777:A 16.6036 +6 *3725:80 *8469:DIODE 30.6571 +*END + +*D_NET *3726 0.026741 +*CONN +*I *40778:A I *D sky130_fd_sc_hd__buf_4 +*I *8470:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40779:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40778:A 0 +2 *8470:DIODE 0.000530702 +3 *40779:X 0.00520615 +4 *3726:114 0.00160577 +5 *3726:93 0.00129303 +6 *3726:89 0.00202775 +7 *3726:68 0.0035494 +8 *3726:61 0.00268149 +9 *3726:51 0.00279123 +10 *3726:28 0.00705551 +11 *8470:DIODE *8751:DIODE 0 +12 *8470:DIODE *3772:102 0 +13 *8470:DIODE *3780:18 0 +14 *8470:DIODE *3780:26 0 +15 *3726:28 *38076:A_N 0 +16 *3726:28 *3766:25 0 +17 *3726:28 *3784:29 0 +18 *3726:28 *3811:14 0 +19 *3726:28 *3942:61 0 +20 *3726:28 *4022:43 0 +21 *3726:28 *4034:24 0 +22 *3726:28 *4041:29 0 +23 *3726:28 *4041:54 0 +24 *3726:28 *4061:146 0 +25 *3726:28 *4088:27 0 +26 *3726:28 *4110:64 0 +27 *3726:28 *4225:35 0 +28 *3726:28 *4230:46 0 +29 *3726:28 *4267:25 0 +30 *3726:28 *4324:70 0 +31 *3726:28 *4324:100 0 +32 *3726:28 *4379:66 0 +33 *3726:51 *3997:34 0 +34 *3726:51 *4081:24 0 +35 *3726:51 *4085:40 0 +36 *3726:51 *4092:38 0 +37 *3726:51 *4095:82 0 +38 *3726:51 *4113:103 0 +39 *3726:51 *4408:108 0 +40 *3726:61 *8683:DIODE 0 +41 *3726:61 *38234:B 0 +42 *3726:61 *3997:46 0 +43 *3726:61 *3997:49 0 +44 *3726:61 *4005:17 0 +45 *3726:61 *4095:82 0 +46 *3726:68 *3955:41 0 +47 *3726:68 *3991:84 0 +48 *3726:68 *3997:49 0 +49 *3726:89 *3750:55 0 +50 *3726:89 *3756:23 0 +51 *3726:89 *3766:81 0 +52 *3726:89 *3822:111 0 +53 *3726:89 *3942:125 0 +54 *3726:89 *4109:65 0 +55 *3726:89 *4267:80 0 +56 *3726:89 *4309:40 0 +57 *3726:89 *4328:24 0 +58 *3726:89 *4340:40 0 +59 *3726:89 *4372:39 0 +60 *3726:114 *8505:DIODE 0 +61 *3726:114 *8743:DIODE 0 +62 *3726:114 *8867:DIODE 0 +63 *3726:114 *3756:25 0 +64 *3726:114 *3822:111 0 +65 *3726:114 *3942:151 0 +66 *3726:114 *4041:86 0 +67 *3726:114 *4099:60 0 +68 *3726:114 *4099:76 0 +69 *3726:114 *4267:87 0 +70 *8097:DIODE *3726:89 0 +71 *37624:B *3726:61 0 +72 *38234:A *3726:61 0 +73 *39973:A *8470:DIODE 0 +74 *39982:A *8470:DIODE 0 +75 *1326:16 *3726:114 0 +76 *1437:30 *3726:28 0 +77 *1442:46 *3726:93 0 +78 *2817:16 *8470:DIODE 0 +79 *2822:55 *3726:114 0 +80 *2832:38 *3726:28 0 +81 *2840:27 *3726:28 0 +82 *2929:64 *3726:114 0 +83 *3005:22 *3726:51 0 +84 *3029:46 *3726:51 0 +85 *3055:104 *3726:28 0 +86 *3318:28 *3726:51 0 +87 *3323:30 *3726:28 0 +88 *3340:61 *3726:28 0 +89 *3438:116 *3726:28 0 +90 *3520:61 *8470:DIODE 0 +91 *3520:61 *3726:89 0 +92 *3546:97 *3726:51 0 +93 *3702:125 *3726:51 0 +94 *3719:17 *3726:89 0 +*RES +1 *40779:X *3726:28 46.9288 +2 *3726:28 *3726:51 48.0485 +3 *3726:51 *3726:61 24.6607 +4 *3726:61 *3726:68 46.25 +5 *3726:68 *3726:89 47.5969 +6 *3726:89 *3726:93 9.36607 +7 *3726:93 *3726:114 46.5 +8 *3726:114 *8470:DIODE 34.3179 +9 *3726:93 *40778:A 9.3 +*END + +*D_NET *3727 0.0110936 +*CONN +*I *5414:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37606:B I *D sky130_fd_sc_hd__and2_1 +*I *38552:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5414:DIODE 0.000468536 +2 *37606:B 0 +3 *38552:X 0.00142515 +4 *3727:26 0.000774849 +5 *3727:23 0.00365311 +6 *3727:8 0.00477196 +7 *5414:DIODE *4350:80 0 +8 *3727:8 *39112:A 0 +9 *3727:8 *39114:A 0 +10 *3727:23 *4086:20 0 +11 *3727:23 *4090:49 0 +12 *3727:23 *4122:56 0 +13 *3727:23 *4329:12 0 +14 *3727:23 *4485:14 0 +15 *3727:23 *4489:15 0 +16 la_data_in_mprj[70] *3727:8 0 +17 la_data_in_mprj[71] *3727:8 0 +18 *6692:DIODE *3727:23 0 +19 *6834:DIODE *3727:23 0 +20 *37378:A *3727:23 0 +21 *41103:A *3727:23 0 +22 *612:5 *3727:23 0 +23 *868:5 *3727:23 0 +24 *1309:21 *5414:DIODE 0 +25 *1519:23 *3727:23 0 +26 *1958:31 *3727:23 0 +27 *2010:19 *3727:23 0 +28 *2088:49 *3727:23 0 +29 *2119:11 *3727:23 0 +30 *2120:65 *3727:23 0 +31 *2123:24 *3727:23 0 +32 *2129:13 *3727:23 0 +33 *2236:25 *3727:23 0 +34 *2240:25 *3727:23 0 +35 *2266:25 *3727:26 0 +36 *2850:39 *3727:23 0 +37 *2852:47 *5414:DIODE 0 +38 *3320:12 *3727:8 0 +39 *3341:9 *3727:8 0 +40 *3345:30 *3727:23 0 +41 *3716:17 *3727:23 0 +*RES +1 *38552:X *3727:8 43.6214 +2 *3727:8 *3727:23 47.9006 +3 *3727:23 *3727:26 10.3654 +4 *3727:26 *37606:B 13.8 +5 *3727:26 *5414:DIODE 24.3893 +*END + +*D_NET *3728 0.0191338 +*CONN +*I *37716:A I *D sky130_fd_sc_hd__and2_1 +*I *5550:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40780:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *37716:A 0.000231023 +2 *5550:DIODE 1.21519e-05 +3 *40780:X 0.00314293 +4 *3728:25 0.00642398 +5 *3728:24 0.00932373 +6 *37716:A *3731:12 0 +7 *37716:A *4612:14 0 +8 *3728:24 *3748:20 0 +9 *3728:24 *3755:13 0 +10 *3728:24 *4339:78 0 +11 *3728:24 *4354:35 0 +12 *3728:25 *3731:12 0 +13 *40098:A *3728:25 0 +14 *1244:30 *37716:A 0 +15 *1460:30 *37716:A 0 +16 *1460:30 *3728:25 0 +17 *1824:11 *3728:24 0 +18 *2473:8 *3728:24 0 +19 *2813:28 *3728:24 0 +20 *3469:49 *3728:24 0 +21 *3544:14 *3728:24 0 +22 *3544:14 *3728:25 0 +23 *3661:25 *3728:24 0 +24 *3661:32 *3728:25 0 +*RES +1 *40780:X *3728:24 46.4393 +2 *3728:24 *3728:25 22.3877 +3 *3728:25 *5550:DIODE 17.4868 +4 *3728:25 *37716:A 18.558 +*END + +*D_NET *3729 0.0294734 +*CONN +*I *40780:A I *D sky130_fd_sc_hd__buf_6 +*I *8472:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40781:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *40780:A 0.00014075 +2 *8472:DIODE 0 +3 *40781:X 0.000788352 +4 *3729:35 0.000631592 +5 *3729:30 0.00257726 +6 *3729:27 0.00399575 +7 *3729:19 0.0092951 +8 *3729:18 0.00932101 +9 *3729:14 0.00272359 +10 *40780:A *3755:13 0 +11 *3729:14 *8502:DIODE 0 +12 *3729:14 *3735:22 0 +13 *3729:14 *4037:74 0 +14 *3729:14 *4092:83 0 +15 *3729:18 *3735:26 0 +16 *3729:18 *4217:18 0 +17 *3729:18 *4738:6 0 +18 *3729:19 *3735:27 0 +19 *3729:19 *3770:31 0 +20 *3729:19 *3967:28 0 +21 *3729:19 *3967:29 0 +22 *3729:27 *3735:41 0 +23 *3729:30 *3735:44 0 +24 *3729:35 *3755:13 0 +25 *37742:B *3729:14 0 +26 *360:8 *3729:19 0 +27 *361:8 *3729:19 0 +28 *367:8 *3729:27 0 +29 *377:27 *3729:27 0 +30 *380:5 *3729:27 0 +31 *381:13 *3729:30 0 +32 *1008:94 *3729:27 0 +33 *1021:16 *3729:27 0 +34 *1418:12 *3729:30 0 +35 *1547:30 *3729:30 0 +36 *1547:64 *3729:27 0 +37 *1824:11 *40780:A 0 +38 *1824:11 *3729:35 0 +39 *2918:16 *3729:18 0 +40 *3303:56 *3729:30 0 +41 *3310:20 *3729:18 0 +42 *3654:29 *3729:30 0 +*RES +1 *40781:X *3729:14 31.7196 +2 *3729:14 *3729:18 48.4911 +3 *3729:18 *3729:19 154.143 +4 *3729:19 *3729:27 19.4882 +5 *3729:27 *3729:30 47.4107 +6 *3729:30 *3729:35 15.6339 +7 *3729:35 *8472:DIODE 9.3 +8 *3729:35 *40780:A 12.3 +*END + +*D_NET *3730 0.0256482 +*CONN +*I *8473:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40781:A I *D sky130_fd_sc_hd__buf_4 +*I *40782:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8473:DIODE 0.000836045 +2 *40781:A 0 +3 *40782:X 0.000986383 +4 *3730:110 0.0013988 +5 *3730:101 0.00243479 +6 *3730:95 0.00347694 +7 *3730:78 0.00324267 +8 *3730:67 0.00310421 +9 *3730:44 0.00288217 +10 *3730:23 0.00366517 +11 *3730:22 0.00244204 +12 *3730:17 0.00117898 +13 *8473:DIODE *3735:22 0 +14 *8473:DIODE *4248:109 0 +15 *3730:17 *3823:66 0 +16 *3730:17 *3824:21 0 +17 *3730:17 *4329:47 0 +18 *3730:23 *3812:65 0 +19 *3730:23 *3824:23 0 +20 *3730:44 *3763:21 0 +21 *3730:44 *3824:23 0 +22 *3730:44 *4022:68 0 +23 *3730:44 *4320:23 0 +24 *3730:44 *4340:26 0 +25 *3730:44 *4345:111 0 +26 *3730:67 *8756:DIODE 0 +27 *3730:67 *3819:49 0 +28 *3730:67 *3820:34 0 +29 *3730:67 *4070:43 0 +30 *3730:67 *4332:76 0 +31 *3730:67 *4344:17 0 +32 *3730:78 *3824:23 0 +33 *3730:78 *4323:14 0 +34 *3730:78 *4362:78 0 +35 *3730:78 *4369:67 0 +36 *3730:95 *3740:19 0 +37 *3730:95 *3820:77 0 +38 *3730:95 *4098:59 0 +39 *3730:95 *4717:6 0 +40 *3730:101 *3820:77 0 +41 *3730:110 *3774:15 0 +42 *3730:110 *3820:89 0 +43 *3730:110 *4364:11 0 +44 *5981:DIODE *3730:23 0 +45 *6401:DIODE *3730:101 0 +46 *8427:DIODE *3730:67 0 +47 *8428:DIODE *3730:67 0 +48 *8441:DIODE *3730:67 0 +49 *37613:A *3730:78 0 +50 *37742:B *8473:DIODE 0 +51 *38009:A *3730:23 0 +52 *40084:A *3730:23 0 +53 *40092:A *3730:23 0 +54 *40726:A *3730:67 0 +55 *1204:25 *3730:44 0 +56 *1231:37 *3730:67 0 +57 *1237:45 *3730:23 0 +58 *1237:53 *3730:67 0 +59 *1270:19 *3730:78 0 +60 *1319:27 *3730:95 0 +61 *1439:31 *3730:67 0 +62 *1514:21 *3730:44 0 +63 *1534:20 *3730:101 0 +64 *2419:68 *3730:101 0 +65 *2436:44 *3730:67 0 +66 *2837:24 *3730:78 0 +67 *2928:28 *3730:101 0 +68 *2940:14 *3730:23 0 +69 *2948:16 *3730:23 0 +70 *3083:21 *3730:67 0 +71 *3159:40 *3730:101 0 +72 *3191:54 *3730:17 0 +73 *3319:126 *3730:101 0 +74 *3526:106 *3730:67 0 +75 *3584:24 *3730:78 0 +76 *3647:16 *3730:78 0 +77 *3667:45 *3730:101 0 +78 *3669:17 *3730:95 0 +79 *3680:54 *3730:67 0 +80 *3680:54 *3730:78 0 +*RES +1 *40782:X *3730:17 48.1929 +2 *3730:17 *3730:22 13.375 +3 *3730:22 *3730:23 46.9464 +4 *3730:23 *3730:44 46.8102 +5 *3730:44 *3730:67 49.75 +6 *3730:67 *3730:78 48.6429 +7 *3730:78 *3730:95 48.6617 +8 *3730:95 *3730:101 49.4911 +9 *3730:101 *3730:110 21.4107 +10 *3730:110 *40781:A 13.8 +11 *3730:110 *8473:DIODE 32.8357 +*END + +*D_NET *3731 0.0187811 +*CONN +*I *37714:A I *D sky130_fd_sc_hd__and2_1 +*I *5548:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40783:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *37714:A 0.000153561 +2 *5548:DIODE 0 +3 *40783:X 1.21715e-05 +4 *3731:12 0.00937839 +5 *3731:8 0.009237 +6 *37714:A *4616:13 0 +7 *3731:12 *3994:27 0 +8 *3731:12 *4036:11 0 +9 *3731:12 *4069:68 0 +10 *3731:12 *4616:13 0 +11 *37714:B *37714:A 0 +12 *37716:A *3731:12 0 +13 *40098:A *3731:12 0 +14 *1021:19 *3731:12 0 +15 *1243:20 *3731:12 0 +16 *1247:22 *3731:12 0 +17 *1424:33 *3731:12 0 +18 *1567:19 *3731:12 0 +19 *1733:11 *3731:12 0 +20 *1863:32 *3731:8 0 +21 *2819:27 *37714:A 0 +22 *2819:27 *3731:12 0 +23 *3046:13 *3731:12 0 +24 *3046:26 *3731:12 0 +25 *3095:37 *3731:12 0 +26 *3470:59 *3731:12 0 +27 *3541:15 *37714:A 0 +28 *3661:25 *3731:12 0 +29 *3661:32 *3731:12 0 +30 *3728:25 *3731:12 0 +*RES +1 *40783:X *3731:8 17.4868 +2 *3731:8 *3731:12 38.5617 +3 *3731:12 *5548:DIODE 13.8 +4 *3731:12 *37714:A 17.1214 +*END + +*D_NET *3732 0.0206789 +*CONN +*I *40783:A I *D sky130_fd_sc_hd__buf_6 +*I *8475:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40784:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *40783:A 0.000161116 +2 *8475:DIODE 0 +3 *40784:X 0.000209778 +4 *3732:49 0.00270823 +5 *3732:39 0.00471977 +6 *3732:35 0.00404706 +7 *3732:17 0.00361005 +8 *3732:13 0.00337437 +9 *3732:10 0.00184852 +10 *40783:A *3755:13 0 +11 *40783:A *3810:27 0 +12 *3732:10 *3822:111 0 +13 *3732:17 *8915:DIODE 0 +14 *3732:17 *3750:98 0 +15 *3732:17 *3756:25 0 +16 *3732:35 *4330:11 0 +17 *3732:35 *4330:20 0 +18 *3732:39 *4330:20 0 +19 *3732:49 *3755:13 0 +20 *3732:49 *3765:34 0 +21 *3732:49 *3810:27 0 +22 *3732:49 *4048:74 0 +23 *37852:B *3732:35 0 +24 *39630:A *3732:35 0 +25 *40182:A *3732:39 0 +26 *1210:89 *3732:10 0 +27 *1325:17 *3732:35 0 +28 *1336:17 *3732:49 0 +29 *1442:53 *3732:13 0 +30 *1544:8 *3732:49 0 +31 *2422:89 *3732:17 0 +32 *2442:31 *3732:49 0 +33 *2829:42 *40783:A 0 +34 *2839:40 *3732:49 0 +35 *2926:13 *3732:49 0 +36 *2929:44 *3732:35 0 +37 *2943:10 *3732:49 0 +38 *2970:45 *3732:49 0 +39 *3048:13 *3732:49 0 +40 *3070:68 *3732:17 0 +41 *3073:37 *3732:17 0 +42 *3073:37 *3732:35 0 +43 *3108:30 *3732:49 0 +44 *3285:139 *3732:35 0 +45 *3295:40 *3732:49 0 +46 *3295:50 *3732:49 0 +47 *3427:91 *3732:39 0 +48 *3479:61 *3732:39 0 +49 *3657:19 *3732:35 0 +50 *3657:23 *3732:35 0 +51 *3657:23 *3732:39 0 +52 *3657:31 *3732:39 0 +53 *3667:76 *3732:17 0 +54 *3719:61 *3732:39 0 +55 *3725:48 *3732:35 0 +56 *3725:48 *3732:39 0 +*RES +1 *40784:X *3732:10 22.8357 +2 *3732:10 *3732:13 34.2321 +3 *3732:13 *3732:17 36.2857 +4 *3732:17 *3732:35 48.3661 +5 *3732:35 *3732:39 49.8125 +6 *3732:39 *3732:49 42.5354 +7 *3732:49 *8475:DIODE 9.3 +8 *3732:49 *40783:A 21.9071 +*END + +*D_NET *3733 0.0264817 +*CONN +*I *8476:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40784:A I *D sky130_fd_sc_hd__buf_4 +*I *40785:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8476:DIODE 0.000591729 +2 *40784:A 0 +3 *40785:X 0.00202477 +4 *3733:131 0.00157795 +5 *3733:115 0.00275788 +6 *3733:85 0.00413575 +7 *3733:78 0.00304366 +8 *3733:72 0.00270835 +9 *3733:52 0.00374682 +10 *3733:44 0.00279404 +11 *3733:20 0.00310077 +12 *8476:DIODE *3822:111 0 +13 *3733:20 *3772:20 0 +14 *3733:20 *3956:50 0 +15 *3733:20 *4310:80 0 +16 *3733:20 *4328:24 0 +17 *3733:20 *4352:19 0 +18 *3733:20 *4356:45 0 +19 *3733:44 *3742:19 0 +20 *3733:44 *3781:45 0 +21 *3733:44 *3803:24 0 +22 *3733:44 *4309:14 0 +23 *3733:52 *3968:23 0 +24 *3733:52 *4309:14 0 +25 *3733:72 *3979:18 0 +26 *3733:72 *4067:39 0 +27 *3733:72 *4719:8 0 +28 *3733:72 *4735:11 0 +29 *3733:78 *3775:61 0 +30 *3733:78 *4099:38 0 +31 *3733:85 *3824:39 0 +32 *3733:85 *3984:137 0 +33 *3733:115 *3984:137 0 +34 *3733:115 *4041:86 0 +35 *3733:115 *4267:80 0 +36 *3733:115 *4335:13 0 +37 *3733:131 *3822:111 0 +38 *3733:131 *4309:40 0 +39 *5423:DIODE *3733:85 0 +40 *40092:A *3733:44 0 +41 *1029:84 *3733:72 0 +42 *1210:54 *3733:85 0 +43 *1210:69 *3733:85 0 +44 *1210:69 *3733:115 0 +45 *1210:89 *8476:DIODE 0 +46 *1210:89 *3733:131 0 +47 *1237:45 *3733:44 0 +48 *1237:53 *3733:44 0 +49 *1338:14 *8476:DIODE 0 +50 *1408:29 *3733:78 0 +51 *1446:29 *3733:72 0 +52 *1539:16 *3733:78 0 +53 *1557:45 *3733:52 0 +54 *2391:76 *8476:DIODE 0 +55 *2412:31 *3733:72 0 +56 *2840:34 *3733:52 0 +57 *2919:91 *3733:78 0 +58 *2932:8 *3733:52 0 +59 *2935:20 *3733:72 0 +60 *2972:41 *3733:78 0 +61 *2981:39 *3733:72 0 +62 *3021:14 *3733:78 0 +63 *3070:117 *3733:85 0 +64 *3112:59 *3733:78 0 +65 *3252:34 *3733:72 0 +66 *3298:40 *3733:72 0 +67 *3323:59 *3733:78 0 +68 *3388:60 *3733:131 0 +69 *3520:61 *3733:131 0 +70 *3667:45 *3733:115 0 +71 *3674:58 *3733:20 0 +72 *3674:86 *3733:72 0 +73 *3679:64 *3733:72 0 +74 *3685:40 *3733:20 0 +75 *3685:40 *3733:115 0 +76 *3709:16 *3733:44 0 +77 *3713:17 *3733:52 0 +78 *3720:41 *3733:52 0 +*RES +1 *40785:X *3733:20 46.9868 +2 *3733:20 *3733:44 44.712 +3 *3733:44 *3733:52 46.5536 +4 *3733:52 *3733:72 43.3468 +5 *3733:72 *3733:78 23.7321 +6 *3733:78 *3733:85 49.4643 +7 *3733:85 *3733:115 47.5226 +8 *3733:115 *3733:131 27.6699 +9 *3733:131 *40784:A 9.3 +10 *3733:131 *8476:DIODE 31.675 +*END + +*D_NET *3734 0.0188172 +*CONN +*I *37712:A I *D sky130_fd_sc_hd__and2_1 +*I *5546:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40786:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *37712:A 0.000124066 +2 *5546:DIODE 0 +3 *40786:X 0.00414346 +4 *3734:40 0.00526514 +5 *3734:21 0.00928454 +6 *37712:A *3986:13 0 +7 *3734:21 *3745:27 0 +8 *3734:21 *3970:34 0 +9 *3734:21 *3987:43 0 +10 *3734:40 *3970:34 0 +11 *3734:40 *4003:42 0 +12 *3734:40 *4322:103 0 +13 *3734:40 *4334:86 0 +14 *37712:B *37712:A 0 +15 *1456:22 *3734:21 0 +16 *1464:11 *3734:40 0 +17 *1844:39 *3734:21 0 +18 *2370:19 *3734:21 0 +19 *2375:27 *3734:21 0 +20 *2388:62 *3734:21 0 +21 *2441:37 *3734:21 0 +22 *2985:14 *3734:40 0 +23 *2988:24 *3734:40 0 +24 *3095:27 *3734:40 0 +25 *3109:24 *3734:40 0 +26 *3301:81 *3734:21 0 +27 *3401:27 *3734:40 0 +28 *3402:157 *3734:40 0 +29 *3408:24 *3734:40 0 +30 *3469:49 *3734:21 0 +31 *3476:16 *3734:40 0 +32 *3509:86 *3734:21 0 +*RES +1 *40786:X *3734:21 48.7776 +2 *3734:21 *3734:40 33.7645 +3 *3734:40 *5546:DIODE 9.3 +4 *3734:40 *37712:A 11.8893 +*END + +*D_NET *3735 0.0313652 +*CONN +*I *8478:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40786:A I *D sky130_fd_sc_hd__buf_6 +*I *40787:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8478:DIODE 2.56688e-05 +2 *40786:A 0.000215352 +3 *40787:X 0.00144748 +4 *3735:46 0.00236085 +5 *3735:44 0.00330867 +6 *3735:41 0.00229374 +7 *3735:27 0.00933688 +8 *3735:26 0.0095805 +9 *3735:22 0.002796 +10 *40786:A *3931:9 0 +11 *40786:A *4065:18 0 +12 *40786:A *4091:71 0 +13 *3735:22 *4070:95 0 +14 *3735:27 *3967:29 0 +15 *3735:27 *3983:21 0 +16 *8473:DIODE *3735:22 0 +17 *357:43 *3735:44 0 +18 *361:8 *3735:27 0 +19 *363:7 *3735:26 0 +20 *369:28 *3735:41 0 +21 *381:13 *3735:44 0 +22 *383:12 *3735:41 0 +23 *1018:54 *3735:22 0 +24 *1021:16 *3735:41 0 +25 *1024:126 *3735:41 0 +26 *1330:22 *3735:22 0 +27 *1331:31 *3735:22 0 +28 *1448:22 *40786:A 0 +29 *1448:38 *40786:A 0 +30 *2400:56 *3735:22 0 +31 *2402:55 *3735:22 0 +32 *2448:14 *3735:41 0 +33 *2918:16 *3735:22 0 +34 *2953:8 *3735:26 0 +35 *3072:48 *3735:26 0 +36 *3218:68 *3735:22 0 +37 *3303:56 *3735:46 0 +38 *3519:32 *3735:44 0 +39 *3519:34 *3735:46 0 +40 *3655:62 *3735:22 0 +41 *3713:39 *3735:22 0 +42 *3729:14 *3735:22 0 +43 *3729:18 *3735:26 0 +44 *3729:19 *3735:27 0 +45 *3729:27 *3735:41 0 +46 *3729:30 *3735:44 0 +*RES +1 *40787:X *3735:22 34.2023 +2 *3735:22 *3735:26 35.1339 +3 *3735:26 *3735:27 171.804 +4 *3735:27 *3735:41 37.5386 +5 *3735:41 *3735:44 27.0268 +6 *3735:44 *3735:46 48.2411 +7 *3735:46 *40786:A 18.3536 +8 *3735:46 *8478:DIODE 14.3357 +*END + +*D_NET *3736 0.0222794 +*CONN +*I *8479:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40787:A I *D sky130_fd_sc_hd__buf_4 +*I *40788:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8479:DIODE 0.00076963 +2 *40787:A 0 +3 *40788:X 0.000996307 +4 *3736:97 0.000860201 +5 *3736:91 0.00224081 +6 *3736:77 0.00301691 +7 *3736:47 0.00550031 +8 *3736:46 0.00626626 +9 *3736:24 0.00262892 +10 *8479:DIODE *8518:DIODE 0 +11 *8479:DIODE *3781:59 0 +12 *8479:DIODE *4037:74 0 +13 *8479:DIODE *4092:83 0 +14 *8479:DIODE *4099:60 0 +15 *8479:DIODE *4248:109 0 +16 *3736:24 *3817:63 0 +17 *3736:24 *4128:50 0 +18 *3736:46 *3781:24 0 +19 *3736:46 *3984:68 0 +20 *3736:46 *4109:15 0 +21 *3736:46 *4109:48 0 +22 *3736:46 *4218:43 0 +23 *3736:47 *3772:48 0 +24 *3736:47 *3781:45 0 +25 *3736:47 *4746:27 0 +26 *3736:77 *3753:22 0 +27 *3736:77 *3772:66 0 +28 *3736:91 *3769:51 0 +29 *3736:91 *3772:91 0 +30 *3736:91 *4070:95 0 +31 *3736:91 *4099:49 0 +32 *37742:B *8479:DIODE 0 +33 *37742:B *3736:97 0 +34 *37846:A *3736:77 0 +35 *37846:B *3736:77 0 +36 *40708:A *3736:47 0 +37 *1237:27 *3736:24 0 +38 *1271:25 *3736:91 0 +39 *1317:19 *3736:47 0 +40 *1317:19 *3736:77 0 +41 *1322:12 *3736:47 0 +42 *1322:12 *3736:77 0 +43 *1408:73 *3736:46 0 +44 *1411:15 *8479:DIODE 0 +45 *1413:49 *3736:77 0 +46 *1443:27 *3736:97 0 +47 *1516:22 *3736:77 0 +48 *1534:20 *3736:91 0 +49 *1558:28 *3736:24 0 +50 *2290:55 *3736:24 0 +51 *2317:63 *3736:46 0 +52 *2336:47 *3736:47 0 +53 *2749:93 *3736:24 0 +54 *2947:24 *3736:47 0 +55 *2947:47 *3736:46 0 +56 *3312:147 *8479:DIODE 0 +57 *3322:109 *3736:24 0 +58 *3647:34 *8479:DIODE 0 +59 *3659:39 *3736:47 0 +60 *3670:31 *3736:46 0 +61 *3670:31 *3736:47 0 +62 *3670:37 *3736:47 0 +63 *3670:37 *3736:77 0 +64 *3670:68 *3736:91 0 +65 *3680:107 *3736:91 0 +66 *3689:15 *3736:46 0 +67 *3692:34 *3736:47 0 +68 *3698:48 *3736:46 0 +*RES +1 *40788:X *3736:24 48.6832 +2 *3736:24 *3736:46 30.9694 +3 *3736:46 *3736:47 96.6429 +4 *3736:47 *3736:77 37.1071 +5 *3736:77 *3736:91 47.7421 +6 *3736:91 *3736:97 9.8475 +7 *3736:97 *40787:A 9.3 +8 *3736:97 *8479:DIODE 39.7244 +*END + +*D_NET *3737 0.0194473 +*CONN +*I *37710:A I *D sky130_fd_sc_hd__and2_1 +*I *5544:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40789:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *37710:A 0.000266595 +2 *5544:DIODE 0 +3 *40789:X 0.00168166 +4 *3737:37 0.000755585 +5 *3737:33 0.00652372 +6 *3737:32 0.00728639 +7 *3737:18 0.00293332 +8 *3737:32 *3754:5 0 +9 *3737:32 *3761:84 0 +10 *3737:33 *3761:84 0 +11 *5740:DIODE *37710:A 0 +12 *7377:DIODE *3737:33 0 +13 *37701:A *3737:33 0 +14 *37701:A *3737:37 0 +15 *37710:B *37710:A 0 +16 *39494:B *3737:18 0 +17 *283:18 *3737:33 0 +18 *1260:14 *3737:32 0 +19 *1427:35 *3737:32 0 +20 *1595:8 *37710:A 0 +21 *1620:9 *3737:33 0 +22 *1710:11 *3737:33 0 +23 *1710:19 *3737:33 0 +24 *1740:8 *37710:A 0 +25 *1754:8 *3737:18 0 +26 *1863:48 *3737:32 0 +27 *2441:10 *3737:32 0 +28 *2806:108 *3737:18 0 +29 *2813:58 *3737:32 0 +30 *2821:45 *3737:18 0 +31 *3013:82 *3737:18 0 +32 *3046:32 *3737:33 0 +33 *3470:82 *3737:18 0 +34 *3473:47 *3737:32 0 +35 *3480:39 *3737:33 0 +36 *3542:56 *3737:18 0 +37 *3656:51 *37710:A 0 +38 *3656:51 *3737:33 0 +39 *3656:51 *3737:37 0 +40 *3656:54 *37710:A 0 +*RES +1 *40789:X *3737:18 46.5057 +2 *3737:18 *3737:32 48.8393 +3 *3737:32 *3737:33 126.009 +4 *3737:33 *3737:37 10.2054 +5 *3737:37 *5544:DIODE 9.3 +6 *3737:37 *37710:A 24.1571 +*END + +*D_NET *3738 0.00293003 +*CONN +*I *40530:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38553:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40530:A 0 +2 *38553:X 0.00146502 +3 *3738:13 0.00146502 +4 *3738:13 *40428:A 0 +5 *3738:13 *4479:46 0 +6 *3738:13 *5148:7 0 +7 *6689:DIODE *3738:13 0 +8 *2053:7 *3738:13 0 +9 *2135:30 *3738:13 0 +10 *2139:34 *3738:13 0 +11 *3351:26 *3738:13 0 +*RES +1 *38553:X *3738:13 49.2286 +2 *3738:13 *40530:A 9.3 +*END + +*D_NET *3739 0.0204448 +*CONN +*I *40789:A I *D sky130_fd_sc_hd__buf_6 +*I *8481:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40790:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *40789:A 0 +2 *8481:DIODE 0.000197895 +3 *40790:X 0.000875317 +4 *3739:60 0.000340965 +5 *3739:57 0.00232481 +6 *3739:33 0.00589045 +7 *3739:32 0.00414198 +8 *3739:21 0.00311565 +9 *3739:20 0.0035577 +10 *3739:20 *3774:25 0 +11 *3739:20 *3774:33 0 +12 *3739:20 *4080:11 0 +13 *3739:21 *4330:20 0 +14 *3739:32 *3755:13 0 +15 *3739:33 *6026:DIODE 0 +16 *3739:33 *37844:B 0 +17 *3739:33 *3761:19 0 +18 *3739:33 *4566:47 0 +19 *3739:33 *4566:49 0 +20 *3739:57 *3748:20 0 +21 *3739:57 *3755:31 0 +22 *3739:57 *3761:69 0 +23 *3739:57 *4087:78 0 +24 *3739:57 *4312:19 0 +25 *3739:57 *4566:34 0 +26 *3739:57 *4566:47 0 +27 *7975:DIODE *3739:20 0 +28 *37844:A *3739:33 0 +29 *40182:A *3739:21 0 +30 *1414:26 *3739:20 0 +31 *1425:12 *8481:DIODE 0 +32 *1425:12 *3739:60 0 +33 *1460:30 *3739:57 0 +34 *1696:30 *3739:33 0 +35 *2392:34 *3739:32 0 +36 *2423:31 *3739:57 0 +37 *2813:28 *3739:33 0 +38 *2813:46 *3739:57 0 +39 *2980:44 *8481:DIODE 0 +40 *2980:44 *3739:60 0 +41 *3479:61 *3739:21 0 +42 *3544:14 *3739:57 0 +43 *3657:42 *3739:33 0 +44 *3657:82 *3739:57 0 +45 *3663:17 *3739:57 0 +46 *3666:80 *3739:33 0 +47 *3684:111 *3739:21 0 +48 *3696:96 *3739:20 0 +49 *3718:14 *3739:21 0 +*RES +1 *40790:X *3739:20 45.8714 +2 *3739:20 *3739:21 55.9821 +3 *3739:21 *3739:32 27.3571 +4 *3739:32 *3739:33 77.3393 +5 *3739:33 *3739:57 49.458 +6 *3739:57 *3739:60 6.7225 +7 *3739:60 *8481:DIODE 18.2107 +8 *3739:60 *40789:A 13.8 +*END + +*D_NET *3740 0.0239759 +*CONN +*I *8482:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40790:A I *D sky130_fd_sc_hd__buf_6 +*I *40791:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8482:DIODE 0.000430422 +2 *40790:A 0.000280076 +3 *40791:X 0.000961855 +4 *3740:81 0.00199565 +5 *3740:78 0.00287584 +6 *3740:64 0.0034838 +7 *3740:46 0.00489661 +8 *3740:19 0.00554663 +9 *3740:17 0.00350499 +10 *8482:DIODE *3756:37 0 +11 *8482:DIODE *3941:34 0 +12 *8482:DIODE *4319:37 0 +13 *40790:A *3756:37 0 +14 *40790:A *3774:25 0 +15 *40790:A *4080:11 0 +16 *3740:17 *4098:59 0 +17 *3740:17 *5130:8 0 +18 *3740:19 *4098:59 0 +19 *3740:46 *6408:DIODE 0 +20 *3740:46 *8505:DIODE 0 +21 *3740:46 *8758:DIODE 0 +22 *3740:46 *8943:DIODE 0 +23 *3740:46 *4037:74 0 +24 *3740:46 *4103:47 0 +25 *3740:46 *4171:103 0 +26 *3740:64 *41014:A 0 +27 *3740:64 *3756:25 0 +28 *3740:64 *3991:108 0 +29 *3740:64 *4052:53 0 +30 *3740:64 *4256:13 0 +31 *3740:64 *4266:18 0 +32 *3740:78 *3758:53 0 +33 *3740:78 *3774:25 0 +34 *3740:78 *4247:23 0 +35 *3740:78 *4323:77 0 +36 *37860:B *3740:46 0 +37 *39882:A *3740:19 0 +38 *40089:A *3740:17 0 +39 *1207:17 *3740:78 0 +40 *1325:44 *3740:46 0 +41 *1413:49 *3740:19 0 +42 *1414:38 *3740:81 0 +43 *1446:45 *3740:46 0 +44 *1449:24 *3740:78 0 +45 *1824:69 *40790:A 0 +46 *2367:8 *8482:DIODE 0 +47 *2443:59 *3740:46 0 +48 *2937:14 *3740:46 0 +49 *2981:79 *3740:46 0 +50 *3078:14 *3740:46 0 +51 *3080:30 *3740:17 0 +52 *3090:25 *3740:81 0 +53 *3110:16 *3740:81 0 +54 *3112:52 *3740:46 0 +55 *3274:91 *3740:78 0 +56 *3275:39 *3740:81 0 +57 *3283:81 *40790:A 0 +58 *3312:147 *3740:46 0 +59 *3313:47 *8482:DIODE 0 +60 *3317:183 *3740:19 0 +61 *3648:58 *3740:46 0 +62 *3658:85 *3740:81 0 +63 *3659:39 *3740:17 0 +64 *3667:56 *3740:64 0 +65 *3681:51 *3740:19 0 +66 *3685:61 *3740:64 0 +67 *3687:55 *3740:19 0 +68 *3709:38 *3740:46 0 +69 *3730:95 *3740:19 0 +*RES +1 *40791:X *3740:17 39.9607 +2 *3740:17 *3740:19 53.1071 +3 *3740:19 *3740:46 49.7616 +4 *3740:46 *3740:64 48.6429 +5 *3740:64 *3740:78 47.7439 +6 *3740:78 *3740:81 31.3214 +7 *3740:81 *40790:A 19.7821 +8 *3740:81 *8482:DIODE 23.4786 +*END + +*D_NET *3741 0.0222614 +*CONN +*I *8483:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40791:A I *D sky130_fd_sc_hd__buf_4 +*I *40792:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8483:DIODE 0.000656645 +2 *40791:A 0 +3 *40792:X 0.000954302 +4 *3741:79 0.00258878 +5 *3741:65 0.00490416 +6 *3741:47 0.00444825 +7 *3741:23 0.00392096 +8 *3741:22 0.00313938 +9 *3741:16 0.00164894 +10 *8483:DIODE *3819:71 0 +11 *8483:DIODE *4113:99 0 +12 *8483:DIODE *4365:100 0 +13 *3741:16 *5117:22 0 +14 *3741:22 *4332:25 0 +15 *3741:23 *4332:25 0 +16 *3741:23 *4377:45 0 +17 *3741:23 *5125:22 0 +18 *3741:47 *3984:68 0 +19 *3741:47 *4332:33 0 +20 *3741:47 *4332:58 0 +21 *3741:47 *4377:79 0 +22 *3741:65 *3751:28 0 +23 *3741:65 *4050:44 0 +24 *3741:65 *4098:59 0 +25 *3741:65 *4362:54 0 +26 *3741:65 *4703:19 0 +27 *3741:79 *4365:100 0 +28 *6021:DIODE *8483:DIODE 0 +29 *37867:A *3741:47 0 +30 *38246:B *3741:22 0 +31 *39488:A *3741:47 0 +32 *39488:B *3741:47 0 +33 *39488:B *3741:65 0 +34 *39915:A *3741:22 0 +35 *40719:A *3741:16 0 +36 *1505:48 *3741:23 0 +37 *1505:49 *3741:23 0 +38 *1820:18 *3741:47 0 +39 *2309:43 *3741:79 0 +40 *2325:30 *3741:16 0 +41 *2325:32 *3741:22 0 +42 *2325:32 *3741:23 0 +43 *2325:78 *3741:47 0 +44 *2335:16 *3741:16 0 +45 *2345:19 *3741:16 0 +46 *2346:25 *3741:16 0 +47 *2412:31 *3741:79 0 +48 *2928:39 *8483:DIODE 0 +49 *2928:91 *3741:47 0 +50 *2930:19 *3741:79 0 +51 *2930:40 *3741:79 0 +52 *2941:33 *3741:79 0 +53 *2962:46 *3741:23 0 +54 *2975:6 *3741:79 0 +55 *2992:22 *8483:DIODE 0 +56 *2992:22 *3741:79 0 +57 *3080:50 *3741:79 0 +58 *3191:28 *3741:65 0 +59 *3191:40 *3741:47 0 +60 *3354:12 *3741:22 0 +61 *3659:14 *3741:22 0 +62 *3662:14 *3741:79 0 +63 *3665:27 *3741:65 0 +64 *3669:14 *3741:79 0 +65 *3689:28 *3741:65 0 +66 *3723:61 *3741:65 0 +*RES +1 *40792:X *3741:16 47.4786 +2 *3741:16 *3741:22 23.6071 +3 *3741:22 *3741:23 51.0536 +4 *3741:23 *3741:47 40.2321 +5 *3741:47 *3741:65 49.2063 +6 *3741:65 *3741:79 44.3205 +7 *3741:79 *40791:A 9.3 +8 *3741:79 *8483:DIODE 41.6036 +*END + +*D_NET *3742 0.0200244 +*CONN +*I *37822:A I *D sky130_fd_sc_hd__and2_2 +*I *5671:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40793:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37822:A 0.000190805 +2 *5671:DIODE 0 +3 *40793:X 0.00645305 +4 *3742:48 0.000451833 +5 *3742:40 0.00336836 +6 *3742:19 0.00956038 +7 *37822:A *3752:14 0 +8 *37822:A *3774:13 0 +9 *3742:19 *3769:51 0 +10 *3742:19 *3772:32 0 +11 *3742:19 *3781:24 0 +12 *3742:19 *3781:45 0 +13 *3742:19 *3984:90 0 +14 *3742:19 *4329:47 0 +15 *3742:40 *3781:45 0 +16 *3742:40 *3820:34 0 +17 *3742:40 *3951:8 0 +18 *3742:40 *3984:125 0 +19 *3742:40 *4055:58 0 +20 *3742:40 *4070:95 0 +21 *3742:40 *4332:79 0 +22 *3742:40 *4365:100 0 +23 *1211:26 *37822:A 0 +24 *1232:23 *3742:40 0 +25 *1408:73 *3742:19 0 +26 *1439:31 *3742:40 0 +27 *1525:19 *3742:19 0 +28 *2303:39 *3742:19 0 +29 *2413:69 *3742:40 0 +30 *2436:44 *3742:40 0 +31 *2919:91 *3742:48 0 +32 *3103:22 *3742:19 0 +33 *3307:85 *3742:40 0 +34 *3372:48 *3742:19 0 +35 *3386:28 *3742:19 0 +36 *3526:106 *3742:40 0 +37 *3677:17 *3742:19 0 +38 *3677:17 *3742:40 0 +39 *3680:92 *3742:40 0 +40 *3685:40 *3742:48 0 +41 *3692:9 *3742:19 0 +42 *3733:44 *3742:19 0 +*RES +1 *40793:X *3742:19 45.1696 +2 *3742:19 *3742:40 48.9283 +3 *3742:40 *3742:48 15.0398 +4 *3742:48 *5671:DIODE 9.3 +5 *3742:48 *37822:A 13.5321 +*END + +*D_NET *3743 0.0250319 +*CONN +*I *5542:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37708:A I *D sky130_fd_sc_hd__and2_1 +*I *40794:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *5542:DIODE 0.000344908 +2 *37708:A 0 +3 *40794:X 0.00316187 +4 *3743:59 0.000468973 +5 *3743:56 0.00151931 +6 *3743:32 0.00888509 +7 *3743:16 0.0106517 +8 *3743:16 *4238:18 0 +9 *3743:32 *8662:DIODE 0 +10 *3743:32 *3758:92 0 +11 *3743:32 *3987:43 0 +12 *3743:32 *4094:60 0 +13 *3743:32 *4220:53 0 +14 *3743:32 *4235:41 0 +15 *3743:32 *4360:25 0 +16 *3743:56 *3758:92 0 +17 *3743:56 *4534:97 0 +18 *3743:56 *4554:77 0 +19 *3743:56 *4555:25 0 +20 *5543:DIODE *5542:DIODE 0 +21 *8213:DIODE *3743:16 0 +22 *37450:A *3743:59 0 +23 *39534:A *5542:DIODE 0 +24 *39976:A *3743:16 0 +25 *400:29 *3743:16 0 +26 *1253:17 *5542:DIODE 0 +27 *1454:58 *3743:32 0 +28 *2370:19 *3743:32 0 +29 *2375:27 *3743:32 0 +30 *2381:35 *3743:16 0 +31 *2385:41 *3743:32 0 +32 *2422:66 *3743:32 0 +33 *2938:61 *5542:DIODE 0 +34 *2938:61 *3743:59 0 +35 *3015:12 *3743:16 0 +36 *3045:21 *3743:32 0 +37 *3075:14 *5542:DIODE 0 +38 *3114:15 *3743:32 0 +39 *3220:8 *3743:16 0 +40 *3275:32 *3743:16 0 +41 *3301:18 *3743:16 0 +42 *3308:39 *3743:32 0 +43 *3388:36 *3743:32 0 +44 *3403:7 *3743:56 0 +45 *3403:16 *5542:DIODE 0 +46 *3403:16 *3743:59 0 +47 *3409:120 *3743:32 0 +48 *3422:46 *3743:16 0 +49 *3433:30 *3743:32 0 +50 *3434:19 *3743:16 0 +51 *3435:76 *3743:16 0 +52 *3497:59 *3743:16 0 +53 *3503:37 *3743:32 0 +54 *3653:47 *3743:16 0 +55 *3700:65 *3743:32 0 +*RES +1 *40794:X *3743:16 49.7888 +2 *3743:16 *3743:32 49.9964 +3 *3743:32 *3743:56 49.8214 +4 *3743:56 *3743:59 7.08929 +5 *3743:59 *37708:A 9.3 +6 *3743:59 *5542:DIODE 25.8714 +*END + +*D_NET *3744 0.0234497 +*CONN +*I *40794:A I *D sky130_fd_sc_hd__buf_2 +*I *8486:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40795:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40794:A 0.000122974 +2 *8486:DIODE 0.000945354 +3 *40795:X 0.000606459 +4 *3744:70 0.00466172 +5 *3744:63 0.00811593 +6 *3744:36 0.00645667 +7 *3744:20 0.00254059 +8 *8486:DIODE *8265:DIODE 0 +9 *3744:20 *3980:80 0 +10 *3744:20 *4230:53 0 +11 *3744:20 *4257:22 0 +12 *3744:20 *4267:25 0 +13 *3744:20 *4298:55 0 +14 *3744:36 *8720:DIODE 0 +15 *3744:36 *40518:A 0 +16 *3744:36 *3759:45 0 +17 *3744:36 *4017:58 0 +18 *3744:36 *4053:92 0 +19 *3744:36 *4053:93 0 +20 *3744:36 *4107:63 0 +21 *3744:36 *4275:56 0 +22 *3744:36 *4306:62 0 +23 *3744:63 *3785:59 0 +24 *3744:63 *3792:41 0 +25 *3744:63 *3960:18 0 +26 *3744:63 *4221:48 0 +27 *3744:63 *4875:36 0 +28 *3744:70 *4261:87 0 +29 *3744:70 *4981:23 0 +30 *6594:DIODE *3744:70 0 +31 *7548:DIODE *3744:70 0 +32 *7554:DIODE *3744:70 0 +33 *7990:DIODE *8486:DIODE 0 +34 *8213:DIODE *40794:A 0 +35 *37443:A *3744:70 0 +36 *39548:A *3744:70 0 +37 *401:34 *8486:DIODE 0 +38 *784:31 *8486:DIODE 0 +39 *785:43 *8486:DIODE 0 +40 *1030:54 *8486:DIODE 0 +41 *1215:32 *3744:36 0 +42 *1230:39 *8486:DIODE 0 +43 *1433:15 *3744:36 0 +44 *1434:26 *3744:36 0 +45 *1438:30 *3744:20 0 +46 *2435:52 *3744:36 0 +47 *2435:52 *3744:63 0 +48 *2516:30 *3744:70 0 +49 *2627:10 *3744:36 0 +50 *2712:162 *3744:70 0 +51 *2794:25 *8486:DIODE 0 +52 *3034:11 *3744:70 0 +53 *3043:26 *3744:70 0 +54 *3043:52 *3744:70 0 +55 *3055:104 *3744:36 0 +56 *3086:51 *3744:63 0 +57 *3086:51 *3744:70 0 +58 *3145:90 *3744:70 0 +59 *3154:35 *3744:63 0 +60 *3154:35 *3744:70 0 +61 *3174:16 *3744:63 0 +62 *3229:26 *3744:36 0 +63 *3230:39 *3744:70 0 +64 *3235:111 *8486:DIODE 0 +65 *3248:24 *3744:63 0 +66 *3265:23 *8486:DIODE 0 +67 *3274:17 *3744:63 0 +68 *3300:28 *3744:63 0 +69 *3312:96 *3744:63 0 +70 *3435:76 *40794:A 0 +71 *3504:20 *3744:63 0 +72 *3504:42 *8486:DIODE 0 +73 *3504:42 *3744:63 0 +74 *3517:40 *3744:36 0 +75 *3518:122 *3744:20 0 +76 *3525:29 *3744:63 0 +77 *3702:64 *3744:20 0 +*RES +1 *40795:X *3744:20 44.725 +2 *3744:20 *3744:36 47.8957 +3 *3744:36 *3744:63 48.9069 +4 *3744:63 *3744:70 23.235 +5 *3744:70 *8486:DIODE 43.175 +6 *3744:70 *40794:A 16.6571 +*END + +*D_NET *3745 0.0198576 +*CONN +*I *37706:A I *D sky130_fd_sc_hd__and2_1 +*I *5539:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40796:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *37706:A 0.000119061 +2 *5539:DIODE 2.55425e-05 +3 *40796:X 0.00554252 +4 *3745:49 0.00438629 +5 *3745:27 0.0097842 +6 *3745:27 *3768:47 0 +7 *3745:27 *3940:19 0 +8 *3745:27 *3963:56 0 +9 *3745:27 *3976:60 0 +10 *3745:27 *3987:43 0 +11 *3745:27 *3987:48 0 +12 *3745:27 *4054:66 0 +13 *3745:27 *4106:47 0 +14 *3745:27 *4235:73 0 +15 *3745:27 *4256:77 0 +16 *3745:49 *3958:25 0 +17 *3745:49 *4013:98 0 +18 *3745:49 *4026:124 0 +19 *3745:49 *4232:43 0 +20 *3745:49 *4240:28 0 +21 *281:51 *3745:49 0 +22 *1214:43 *3745:49 0 +23 *1448:71 *3745:27 0 +24 *1453:30 *3745:49 0 +25 *1562:23 *3745:27 0 +26 *1564:16 *3745:27 0 +27 *1580:16 *3745:49 0 +28 *1844:39 *3745:49 0 +29 *2370:19 *3745:27 0 +30 *2375:27 *3745:27 0 +31 *2808:17 *3745:49 0 +32 *3299:75 *3745:27 0 +33 *3301:81 *3745:27 0 +34 *3308:56 *3745:27 0 +35 *3409:138 *3745:27 0 +36 *3412:136 *3745:49 0 +37 *3477:53 *3745:49 0 +38 *3490:20 *3745:27 0 +39 *3509:52 *3745:27 0 +40 *3509:86 *3745:27 0 +41 *3724:29 *3745:27 0 +42 *3734:21 *3745:27 0 +*RES +1 *40796:X *3745:27 48.1711 +2 *3745:27 *3745:49 48.6096 +3 *3745:49 *5539:DIODE 14.3804 +4 *3745:49 *37706:A 16.5054 +*END + +*D_NET *3746 0.0195338 +*CONN +*I *40796:A I *D sky130_fd_sc_hd__buf_6 +*I *8488:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40797:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *40796:A 4.32091e-05 +2 *8488:DIODE 7.23016e-05 +3 *40797:X 0 +4 *3746:19 0.00161564 +5 *3746:17 0.00248944 +6 *3746:14 0.00210669 +7 *3746:5 0.00716194 +8 *3746:4 0.00604457 +9 *3746:5 *41347:A 0 +10 *3746:5 *3991:77 0 +11 *3746:5 *4005:23 0 +12 *3746:5 *4005:32 0 +13 *3746:5 *4054:11 0 +14 *3746:5 *4358:135 0 +15 *3746:14 *4005:32 0 +16 *3746:14 *4054:11 0 +17 *3746:14 *4054:22 0 +18 *3746:14 *4094:40 0 +19 *3746:17 *3932:11 0 +20 *3746:17 *3932:21 0 +21 *3746:17 *4066:45 0 +22 *3746:19 *41006:A 0 +23 *3746:19 *41035:A 0 +24 *3746:19 *3963:10 0 +25 *3746:19 *3963:19 0 +26 *3746:19 *3978:20 0 +27 *3746:19 *4010:27 0 +28 *3746:19 *4054:66 0 +29 *3746:19 *4066:45 0 +30 *3746:19 *4094:40 0 +31 *3746:19 *4254:54 0 +32 *3746:19 *5151:17 0 +33 *40509:A *3746:19 0 +34 *2382:70 *3746:19 0 +35 *2384:28 *3746:19 0 +36 *2414:126 *40796:A 0 +37 *2414:126 *3746:19 0 +38 *2836:21 *3746:19 0 +39 *2837:67 *3746:19 0 +40 *3166:17 *3746:5 0 +41 *3409:37 *3746:19 0 +*RES +1 *40797:X *3746:4 9.3 +2 *3746:4 *3746:5 126.214 +3 *3746:5 *3746:14 32.625 +4 *3746:14 *3746:17 20.6786 +5 *3746:17 *3746:19 31.3393 +6 *3746:19 *8488:DIODE 19.9429 +7 *3746:19 *40796:A 10.2643 +*END + +*D_NET *3747 0.0183916 +*CONN +*I *40797:A I *D sky130_fd_sc_hd__buf_4 +*I *8489:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40798:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40797:A 0.000161286 +2 *8489:DIODE 9.80097e-05 +3 *40798:X 0.000732325 +4 *3747:97 0.00121442 +5 *3747:83 0.00271529 +6 *3747:72 0.00326891 +7 *3747:53 0.00350556 +8 *3747:45 0.00398016 +9 *3747:30 0.00271567 +10 *40797:A *3991:77 0 +11 *40797:A *4085:58 0 +12 *3747:30 *3947:27 0 +13 *3747:30 *4064:40 0 +14 *3747:30 *5150:21 0 +15 *3747:53 *5465:DIODE 0 +16 *3747:53 *5472:DIODE 0 +17 *3747:53 *5481:DIODE 0 +18 *3747:53 *4134:69 0 +19 *3747:72 *8636:DIODE 0 +20 *3747:72 *3796:38 0 +21 *3747:72 *3811:31 0 +22 *3747:72 *4134:69 0 +23 *3747:72 *4134:84 0 +24 *3747:72 *4135:108 0 +25 *3747:83 *4134:84 0 +26 *3747:83 *4134:97 0 +27 *3747:83 *4358:120 0 +28 *3747:97 *8683:DIODE 0 +29 *3747:97 *3991:77 0 +30 *3747:97 *4022:130 0 +31 *3747:97 *4085:58 0 +32 *3747:97 *4134:97 0 +33 *3747:97 *4358:120 0 +34 *3747:97 *4358:135 0 +35 *6381:DIODE *3747:30 0 +36 *8005:DIODE *3747:97 0 +37 *8462:DIODE *3747:45 0 +38 *8490:DIODE *3747:30 0 +39 *8844:DIODE *3747:45 0 +40 *38016:C *3747:72 0 +41 *38028:C *3747:72 0 +42 *38234:A *3747:97 0 +43 *38280:A *3747:97 0 +44 *40149:A *3747:53 0 +45 *40232:A *3747:45 0 +46 *40232:A *3747:53 0 +47 *40250:A *3747:45 0 +48 *40429:A *3747:45 0 +49 *40770:A *3747:45 0 +50 *1224:71 *3747:72 0 +51 *1224:71 *3747:83 0 +52 *1229:51 *3747:72 0 +53 *1407:24 *3747:72 0 +54 *1413:15 *3747:83 0 +55 *1438:55 *3747:45 0 +56 *1532:17 *3747:72 0 +57 *1539:14 *3747:97 0 +58 *3011:16 *3747:72 0 +59 *3103:14 *3747:45 0 +60 *3105:123 *3747:53 0 +61 *3201:19 *3747:30 0 +62 *3201:19 *3747:45 0 +63 *3201:26 *3747:30 0 +64 *3305:83 *3747:72 0 +65 *3305:105 *3747:72 0 +66 *3316:63 *3747:72 0 +67 *3318:39 *3747:97 0 +68 *3320:108 *3747:30 0 +69 *3320:129 *3747:45 0 +70 *3321:128 *3747:83 0 +71 *3340:61 *3747:30 0 +72 *3354:33 *3747:97 0 +73 *3438:71 *3747:45 0 +74 *3438:91 *3747:45 0 +75 *3438:91 *3747:53 0 +76 *3438:116 *3747:72 0 +77 *3518:72 *3747:45 0 +78 *3518:90 *3747:53 0 +79 *3526:40 *3747:30 0 +80 *3530:97 *3747:72 0 +81 *3702:14 *3747:45 0 +*RES +1 *40798:X *3747:30 47.2078 +2 *3747:30 *3747:45 41.6429 +3 *3747:45 *3747:53 41.7679 +4 *3747:53 *3747:72 40.8929 +5 *3747:72 *3747:83 45.8571 +6 *3747:83 *3747:97 29.2321 +7 *3747:97 *8489:DIODE 20.4786 +8 *3747:97 *40797:A 12.7286 +*END + +*D_NET *3748 0.0187106 +*CONN +*I *37704:A I *D sky130_fd_sc_hd__and2_1 +*I *5537:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40799:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *37704:A 0.000124066 +2 *5537:DIODE 0 +3 *40799:X 0.000919864 +4 *3748:20 0.00843543 +5 *3748:14 0.00923123 +6 *37704:A *3755:33 0 +7 *37704:A *4312:31 0 +8 *3748:14 *3750:98 0 +9 *3748:14 *3758:53 0 +10 *3748:14 *3765:22 0 +11 *3748:14 *4351:33 0 +12 *3748:20 *38036:B 0 +13 *3748:20 *3758:53 0 +14 *3748:20 *3758:85 0 +15 *3748:20 *3773:32 0 +16 *3748:20 *4313:14 0 +17 *3748:20 *4339:78 0 +18 *3748:20 *4351:33 0 +19 *3748:20 *4354:27 0 +20 *3748:20 *4354:35 0 +21 *371:15 *3748:20 0 +22 *1321:25 *3748:20 0 +23 *1823:18 *3748:14 0 +24 *1823:18 *3748:20 0 +25 *3290:69 *3748:20 0 +26 *3432:67 *3748:20 0 +27 *3432:74 *3748:20 0 +28 *3469:49 *3748:20 0 +29 *3544:14 *3748:20 0 +30 *3663:16 *3748:20 0 +31 *3663:17 *3748:20 0 +32 *3728:24 *3748:20 0 +33 *3739:57 *3748:20 0 +*RES +1 *40799:X *3748:14 27.6391 +2 *3748:14 *3748:20 39.7827 +3 *3748:20 *5537:DIODE 9.3 +4 *3748:20 *37704:A 11.8893 +*END + +*D_NET *3749 0.0175371 +*CONN +*I *5292:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37482:B I *D sky130_fd_sc_hd__and2_1 +*I *38554:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5292:DIODE 0 +2 *37482:B 0.00043605 +3 *38554:X 0.00136128 +4 *3749:37 0.00150045 +5 *3749:31 0.0033579 +6 *3749:23 0.00422815 +7 *3749:17 0.00361333 +8 *3749:9 0.00303997 +9 *37482:B *3917:13 0 +10 *37482:B *3917:15 0 +11 *37482:B *4827:11 0 +12 *3749:9 *4487:8 0 +13 *3749:9 *4787:11 0 +14 *3749:9 *4842:17 0 +15 *3749:9 *5059:11 0 +16 *3749:17 *4429:33 0 +17 *3749:17 *5162:30 0 +18 *3749:23 *3877:50 0 +19 *3749:23 *3900:29 0 +20 *3749:31 *3900:25 0 +21 *3749:31 *3900:29 0 +22 *3749:31 *3922:33 0 +23 *3749:31 *4582:22 0 +24 *3749:31 *4775:16 0 +25 *3749:37 *3900:24 0 +26 *3749:37 *3900:25 0 +27 *3749:37 *3922:33 0 +28 *7010:DIODE *3749:9 0 +29 *38401:A *3749:9 0 +30 *39498:A *3749:31 0 +31 *39498:B *3749:31 0 +32 *343:58 *3749:17 0 +33 *343:72 *3749:17 0 +34 *1140:15 *37482:B 0 +35 *1775:10 *3749:9 0 +36 *1830:13 *3749:31 0 +37 *2362:24 *37482:B 0 +38 *2449:10 *3749:9 0 +39 *2949:17 *3749:31 0 +40 *3068:19 *3749:37 0 +41 *3069:15 *3749:17 0 +42 *3069:29 *3749:9 0 +43 *3069:34 *3749:9 0 +44 *3376:20 *3749:37 0 +45 *3467:21 *3749:23 0 +*RES +1 *38554:X *3749:9 47.2107 +2 *3749:9 *3749:17 48.6429 +3 *3749:17 *3749:23 45.2679 +4 *3749:23 *3749:31 47.9286 +5 *3749:31 *3749:37 22.3393 +6 *3749:37 *37482:B 27.8089 +7 *3749:37 *5292:DIODE 9.3 +*END + +*D_NET *3750 0.0225519 +*CONN +*I *8491:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40799:A I *D sky130_fd_sc_hd__buf_2 +*I *40800:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8491:DIODE 0.000522687 +2 *40799:A 0 +3 *40800:X 0.00170227 +4 *3750:101 0.000548356 +5 *3750:98 0.00258743 +6 *3750:75 0.00445697 +7 *3750:70 0.00317401 +8 *3750:55 0.00295086 +9 *3750:45 0.00328956 +10 *3750:27 0.00331978 +11 *8491:DIODE *3945:18 0 +12 *8491:DIODE *3945:23 0 +13 *8491:DIODE *4084:35 0 +14 *3750:27 *40806:A 0 +15 *3750:27 *3756:10 0 +16 *3750:27 *3756:14 0 +17 *3750:27 *3822:86 0 +18 *3750:27 *4365:82 0 +19 *3750:27 *4365:101 0 +20 *3750:27 *4377:105 0 +21 *3750:27 *4713:13 0 +22 *3750:45 *41353:A 0 +23 *3750:45 *3756:23 0 +24 *3750:45 *3822:86 0 +25 *3750:45 *4248:69 0 +26 *3750:45 *4365:101 0 +27 *3750:55 *3756:23 0 +28 *3750:55 *3766:81 0 +29 *3750:55 *4247:14 0 +30 *3750:70 *4052:37 0 +31 *3750:70 *4059:53 0 +32 *3750:75 *4247:21 0 +33 *3750:75 *4247:23 0 +34 *3750:98 *41323:A 0 +35 *3750:98 *3758:53 0 +36 *3750:98 *3765:22 0 +37 *3750:98 *4081:62 0 +38 *3750:98 *4313:14 0 +39 *3750:98 *4354:27 0 +40 *3750:101 *4084:35 0 +41 *37840:B *8491:DIODE 0 +42 *37850:B *3750:45 0 +43 *38278:A *3750:45 0 +44 *40616:A *3750:55 0 +45 *40723:A *3750:45 0 +46 *40731:A *3750:45 0 +47 *40772:A *3750:55 0 +48 *1207:43 *3750:98 0 +49 *1207:74 *8491:DIODE 0 +50 *1207:74 *3750:101 0 +51 *1324:16 *3750:45 0 +52 *1410:40 *3750:27 0 +53 *1442:46 *3750:70 0 +54 *2422:89 *3750:98 0 +55 *2822:123 *8491:DIODE 0 +56 *2832:50 *3750:98 0 +57 *2928:21 *3750:55 0 +58 *2987:124 *3750:98 0 +59 *3008:54 *3750:98 0 +60 *3081:16 *3750:98 0 +61 *3274:79 *3750:55 0 +62 *3285:112 *3750:98 0 +63 *3298:59 *3750:98 0 +64 *3545:27 *3750:55 0 +65 *3666:13 *8491:DIODE 0 +66 *3667:19 *3750:27 0 +67 *3667:45 *3750:55 0 +68 *3678:19 *3750:27 0 +69 *3719:17 *3750:55 0 +70 *3726:89 *3750:55 0 +71 *3732:17 *3750:98 0 +72 *3748:14 *3750:98 0 +*RES +1 *40800:X *3750:27 45.4786 +2 *3750:27 *3750:45 43.0357 +3 *3750:45 *3750:55 48.7321 +4 *3750:55 *3750:70 45.6071 +5 *3750:70 *3750:75 48.5536 +6 *3750:75 *3750:98 49.6328 +7 *3750:98 *3750:101 5.03571 +8 *3750:101 *40799:A 9.3 +9 *3750:101 *8491:DIODE 30.4607 +*END + +*D_NET *3751 0.0204166 +*CONN +*I *8492:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40800:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40801:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8492:DIODE 0 +2 *40800:A 0.000164012 +3 *40801:X 0.00329585 +4 *3751:28 0.00691243 +5 *3751:23 0.0100443 +6 *40800:A *3820:34 0 +7 *40800:A *4365:82 0 +8 *40800:A *4377:105 0 +9 *3751:23 *3757:11 0 +10 *3751:23 *4055:24 0 +11 *3751:23 *4341:22 0 +12 *3751:23 *4378:50 0 +13 *3751:28 *4031:17 0 +14 *3751:28 *4362:40 0 +15 *2059:53 *3751:23 0 +16 *2222:73 *3751:23 0 +17 *2272:69 *3751:23 0 +18 *2310:16 *3751:23 0 +19 *2325:78 *3751:28 0 +20 *2338:18 *3751:23 0 +21 *3076:52 *3751:28 0 +22 *3120:50 *3751:23 0 +23 *3120:50 *3751:28 0 +24 *3186:32 *3751:28 0 +25 *3191:28 *3751:28 0 +26 *3334:53 *3751:28 0 +27 *3665:21 *3751:23 0 +28 *3689:28 *3751:28 0 +29 *3691:37 *3751:28 0 +30 *3723:93 *3751:28 0 +31 *3741:65 *3751:28 0 +*RES +1 *40801:X *3751:23 34.8742 +2 *3751:23 *3751:28 38.5569 +3 *3751:28 *40800:A 17.4071 +4 *3751:28 *8492:DIODE 13.8 +*END + +*D_NET *3752 0.03033 +*CONN +*I *5534:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37702:A I *D sky130_fd_sc_hd__and2_1 +*I *40802:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *5534:DIODE 0.000124066 +2 *37702:A 0.000131791 +3 *40802:X 0.0006574 +4 *3752:50 0.000579493 +5 *3752:40 0.0024788 +6 *3752:33 0.00267591 +7 *3752:19 0.00969733 +8 *3752:18 0.00917659 +9 *3752:16 0.00207563 +10 *3752:14 0.00273303 +11 *3752:14 *3774:13 0 +12 *3752:16 *4099:38 0 +13 *3752:16 *4717:6 0 +14 *3752:19 *4257:27 0 +15 *3752:19 *4314:25 0 +16 *3752:40 *4048:74 0 +17 *6003:DIODE *3752:14 0 +18 *37822:A *3752:14 0 +19 *361:37 *3752:33 0 +20 *373:22 *3752:33 0 +21 *1002:26 *3752:33 0 +22 *1003:22 *5534:DIODE 0 +23 *1003:22 *3752:50 0 +24 *1310:12 *3752:16 0 +25 *1410:18 *3752:14 0 +26 *2429:34 *3752:16 0 +27 *2720:8 *3752:16 0 +28 *2841:61 *3752:16 0 +29 *2905:66 *3752:40 0 +30 *2981:62 *3752:16 0 +31 *3011:36 *3752:16 0 +32 *3020:11 *5534:DIODE 0 +33 *3098:19 *5534:DIODE 0 +34 *3112:52 *3752:16 0 +35 *3317:176 *3752:16 0 +36 *3519:25 *3752:33 0 +37 *3545:31 *3752:19 0 +38 *3670:50 *3752:14 0 +39 *3673:22 *3752:40 0 +40 *3701:37 *3752:40 0 +*RES +1 *40802:X *3752:14 37.425 +2 *3752:14 *3752:16 47.1786 +3 *3752:16 *3752:18 4.5 +4 *3752:18 *3752:19 191.518 +5 *3752:19 *3752:33 30.4225 +6 *3752:33 *3752:40 49.1339 +7 *3752:40 *3752:50 7.79464 +8 *3752:50 *37702:A 16.8179 +9 *3752:50 *5534:DIODE 16.3893 +*END + +*D_NET *3753 0.026756 +*CONN +*I *40802:A I *D sky130_fd_sc_hd__buf_2 +*I *8493:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40803:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40802:A 0.000258332 +2 *8493:DIODE 0 +3 *40803:X 0.00136706 +4 *3753:25 0.000343039 +5 *3753:22 0.00238894 +6 *3753:21 0.00328783 +7 *3753:13 0.00831033 +8 *3753:12 0.00838006 +9 *3753:8 0.0024204 +10 *3753:8 *39761:A 0 +11 *3753:8 *5127:30 0 +12 *3753:12 *4696:9 0 +13 *3753:13 *3778:20 0 +14 *3753:13 *4124:21 0 +15 *3753:13 *4245:33 0 +16 *3753:13 *5131:11 0 +17 *3753:21 *4024:41 0 +18 *1267:18 *3753:8 0 +19 *1267:28 *3753:12 0 +20 *1516:20 *3753:22 0 +21 *1516:22 *3753:22 0 +22 *2222:89 *3753:8 0 +23 *2225:49 *3753:8 0 +24 *2266:65 *3753:12 0 +25 *2917:11 *3753:13 0 +26 *3280:12 *3753:22 0 +27 *3316:115 *40802:A 0 +28 *3664:6 *3753:22 0 +29 *3667:44 *40802:A 0 +30 *3667:44 *3753:25 0 +31 *3679:38 *3753:12 0 +32 *3688:16 *3753:13 0 +33 *3736:77 *3753:22 0 +*RES +1 *40803:X *3753:8 44.8804 +2 *3753:8 *3753:12 28.4554 +3 *3753:12 *3753:13 152.911 +4 *3753:13 *3753:21 34.1607 +5 *3753:21 *3753:22 52.3393 +6 *3753:22 *3753:25 6.26786 +7 *3753:25 *8493:DIODE 9.3 +8 *3753:25 *40802:A 32.9964 +*END + +*D_NET *3754 0.018532 +*CONN +*I *37700:A I *D sky130_fd_sc_hd__and2_1 +*I *5532:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40804:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *37700:A 0.000199789 +2 *5532:DIODE 0 +3 *40804:X 0 +4 *3754:13 0.00458145 +5 *3754:11 0.00571772 +6 *3754:5 0.00468455 +7 *3754:4 0.00334849 +8 *3754:5 *5591:DIODE 0 +9 *3754:5 *37752:B 0 +10 *3754:5 *3761:80 0 +11 *3754:5 *4312:33 0 +12 *3754:11 *4312:33 0 +13 *37645:A *3754:13 0 +14 *37716:B *3754:11 0 +15 *37752:A *3754:5 0 +16 *38057:A *3754:5 0 +17 *38123:A *3754:13 0 +18 *39405:B *3754:13 0 +19 *39410:A *3754:13 0 +20 *39410:B *3754:13 0 +21 *283:15 *3754:13 0 +22 *1427:35 *3754:5 0 +23 *1460:30 *3754:5 0 +24 *1460:33 *3754:11 0 +25 *1460:33 *3754:13 0 +26 *1464:21 *3754:13 0 +27 *3046:26 *3754:11 0 +28 *3473:39 *3754:5 0 +29 *3473:47 *3754:5 0 +30 *3737:32 *3754:5 0 +*RES +1 *40804:X *3754:4 9.3 +2 *3754:4 *3754:5 69.9464 +3 *3754:5 *3754:11 27.8839 +4 *3754:11 *3754:13 91.5089 +5 *3754:13 *5532:DIODE 9.3 +6 *3754:13 *37700:A 13.5321 +*END + +*D_NET *3755 0.018585 +*CONN +*I *40804:A I *D sky130_fd_sc_hd__buf_6 +*I *8495:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40805:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *40804:A 8.25679e-05 +2 *8495:DIODE 5.89896e-05 +3 *40805:X 0.00191083 +4 *3755:33 0.00140553 +5 *3755:31 0.0025534 +6 *3755:13 0.00597612 +7 *3755:11 0.00659752 +8 *40804:A *4312:31 0 +9 *3755:11 *8496:DIODE 0 +10 *3755:11 *4084:43 0 +11 *3755:13 *3761:19 0 +12 *3755:13 *3761:28 0 +13 *3755:13 *3810:27 0 +14 *3755:13 *4084:62 0 +15 *3755:13 *4087:78 0 +16 *3755:31 *4729:10 0 +17 *3755:33 *37866:B 0 +18 *3755:33 *4312:31 0 +19 *5424:DIODE *3755:13 0 +20 *7460:DIODE *3755:11 0 +21 *7461:DIODE *3755:13 0 +22 *8332:DIODE *3755:13 0 +23 *37615:A *3755:13 0 +24 *37617:A *3755:11 0 +25 *37704:A *3755:33 0 +26 *37842:A *3755:11 0 +27 *37874:A *3755:31 0 +28 *37874:B *3755:31 0 +29 *39492:A *3755:13 0 +30 *40070:A *3755:13 0 +31 *40615:A *3755:13 0 +32 *40780:A *3755:13 0 +33 *40783:A *3755:13 0 +34 *1207:83 *3755:11 0 +35 *1320:10 *3755:11 0 +36 *1336:5 *3755:13 0 +37 *1336:5 *3755:31 0 +38 *1336:17 *3755:13 0 +39 *1416:47 *3755:11 0 +40 *1422:30 *8495:DIODE 0 +41 *1549:43 *3755:13 0 +42 *1551:19 *3755:13 0 +43 *1824:10 *3755:13 0 +44 *1824:11 *3755:11 0 +45 *1824:11 *3755:13 0 +46 *1824:54 *3755:11 0 +47 *2392:34 *3755:13 0 +48 *2423:31 *3755:31 0 +49 *2813:28 *3755:13 0 +50 *2813:46 *3755:31 0 +51 *2813:46 *3755:33 0 +52 *2813:47 *40804:A 0 +53 *2813:47 *3755:33 0 +54 *3095:37 *3755:31 0 +55 *3295:102 *3755:33 0 +56 *3308:99 *3755:13 0 +57 *3308:118 *3755:13 0 +58 *3432:97 *3755:31 0 +59 *3473:36 *8495:DIODE 0 +60 *3657:19 *3755:11 0 +61 *3666:45 *3755:11 0 +62 *3666:76 *3755:13 0 +63 *3684:95 *3755:13 0 +64 *3728:24 *3755:13 0 +65 *3729:35 *3755:13 0 +66 *3732:49 *3755:13 0 +67 *3739:32 *3755:13 0 +68 *3739:57 *3755:31 0 +*RES +1 *40805:X *3755:11 49.425 +2 *3755:11 *3755:13 97.875 +3 *3755:13 *3755:31 27.5893 +4 *3755:31 *3755:33 26.4107 +5 *3755:33 *8495:DIODE 19.6393 +6 *3755:33 *40804:A 11.0857 +*END + +*D_NET *3756 0.0208817 +*CONN +*I *8496:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40805:A I *D sky130_fd_sc_hd__buf_6 +*I *40806:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8496:DIODE 0.000239147 +2 *40805:A 0 +3 *40806:X 0.000242054 +4 *3756:43 0.000703928 +5 *3756:37 0.00208259 +6 *3756:25 0.00544309 +7 *3756:23 0.00591024 +8 *3756:14 0.00405176 +9 *3756:10 0.00220887 +10 *8496:DIODE *4084:35 0 +11 *8496:DIODE *4084:43 0 +12 *3756:10 *4377:105 0 +13 *3756:10 *4713:13 0 +14 *3756:14 *3818:15 0 +15 *3756:14 *3822:86 0 +16 *3756:23 *3766:81 0 +17 *3756:23 *3820:89 0 +18 *3756:23 *3822:86 0 +19 *3756:23 *4247:14 0 +20 *3756:23 *4248:69 0 +21 *3756:23 *4248:109 0 +22 *3756:23 *4267:80 0 +23 *3756:25 *8926:DIODE 0 +24 *3756:25 *40996:A 0 +25 *3756:25 *41320:A 0 +26 *3756:25 *41335:A 0 +27 *3756:25 *3820:89 0 +28 *3756:25 *3822:111 0 +29 *3756:25 *3987:19 0 +30 *3756:25 *4081:39 0 +31 *3756:25 *4095:93 0 +32 *3756:25 *4099:60 0 +33 *3756:25 *4256:13 0 +34 *3756:25 *4355:27 0 +35 *3756:37 *41323:A 0 +36 *3756:37 *3776:17 0 +37 *3756:37 *4087:26 0 +38 *3756:37 *4330:11 0 +39 *3756:43 *4084:35 0 +40 *5708:DIODE *3756:14 0 +41 *8422:DIODE *3756:43 0 +42 *8482:DIODE *3756:37 0 +43 *37840:B *3756:37 0 +44 *37850:B *3756:23 0 +45 *38278:A *3756:23 0 +46 *40772:A *3756:23 0 +47 *40790:A *3756:37 0 +48 *1207:43 *3756:25 0 +49 *1207:83 *3756:43 0 +50 *1319:16 *3756:37 0 +51 *1442:46 *3756:23 0 +52 *1442:46 *3756:25 0 +53 *1442:53 *3756:25 0 +54 *1823:18 *3756:43 0 +55 *1824:54 *8496:DIODE 0 +56 *2367:8 *3756:37 0 +57 *2824:23 *3756:23 0 +58 *2929:50 *3756:37 0 +59 *2992:32 *3756:14 0 +60 *2992:57 *3756:23 0 +61 *3070:68 *3756:25 0 +62 *3070:68 *3756:37 0 +63 *3073:29 *3756:37 0 +64 *3073:37 *3756:37 0 +65 *3183:42 *3756:14 0 +66 *3285:78 *3756:25 0 +67 *3285:112 *3756:37 0 +68 *3321:153 *3756:14 0 +69 *3439:51 *3756:37 0 +70 *3667:19 *3756:14 0 +71 *3667:56 *3756:25 0 +72 *3673:7 *3756:14 0 +73 *3678:19 *3756:14 0 +74 *3685:61 *3756:25 0 +75 *3726:89 *3756:23 0 +76 *3726:114 *3756:25 0 +77 *3732:17 *3756:25 0 +78 *3740:64 *3756:25 0 +79 *3750:27 *3756:10 0 +80 *3750:27 *3756:14 0 +81 *3750:45 *3756:23 0 +82 *3750:55 *3756:23 0 +83 *3755:11 *8496:DIODE 0 +*RES +1 *40806:X *3756:10 23.4607 +2 *3756:10 *3756:14 45.6071 +3 *3756:14 *3756:23 48.3214 +4 *3756:23 *3756:25 79.8036 +5 *3756:25 *3756:37 48.2567 +6 *3756:37 *3756:43 18.3475 +7 *3756:43 *40805:A 9.3 +8 *3756:43 *8496:DIODE 14.3536 +*END + +*D_NET *3757 0.0200367 +*CONN +*I *8497:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40806:A I *D sky130_fd_sc_hd__buf_4 +*I *40807:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8497:DIODE 2.56688e-05 +2 *40806:A 0.00013108 +3 *40807:X 0.00366128 +4 *3757:22 0.00635705 +5 *3757:11 0.00986158 +6 *40806:A *4377:105 0 +7 *3757:11 *3786:59 0 +8 *3757:11 *4050:29 0 +9 *3757:11 *4055:24 0 +10 *3757:11 *4363:53 0 +11 *3757:11 *4370:32 0 +12 *3757:11 *4378:58 0 +13 *3757:22 *3786:74 0 +14 *3757:22 *4050:29 0 +15 *3757:22 *4050:44 0 +16 *3757:22 *4103:47 0 +17 *3757:22 *4719:8 0 +18 *39489:A *3757:22 0 +19 *1693:20 *3757:22 0 +20 *2279:49 *3757:11 0 +21 *2374:94 *3757:22 0 +22 *2841:19 *3757:22 0 +23 *2841:41 *3757:22 0 +24 *2928:83 *3757:22 0 +25 *3054:46 *3757:11 0 +26 *3120:24 *3757:22 0 +27 *3120:50 *3757:11 0 +28 *3186:32 *3757:22 0 +29 *3186:46 *3757:11 0 +30 *3665:21 *3757:11 0 +31 *3665:21 *3757:22 0 +32 *3679:22 *3757:11 0 +33 *3689:15 *3757:22 0 +34 *3691:37 *3757:22 0 +35 *3750:27 *40806:A 0 +36 *3751:23 *3757:11 0 +*RES +1 *40807:X *3757:11 31.997 +2 *3757:11 *3757:22 41.4628 +3 *3757:22 *40806:A 16.6929 +4 *3757:22 *8497:DIODE 14.3357 +*END + +*D_NET *3758 0.0275233 +*CONN +*I *5529:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37698:A I *D sky130_fd_sc_hd__and2_1 +*I *40808:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *5529:DIODE 7.23409e-05 +2 *37698:A 0.00061682 +3 *40808:X 0.00218045 +4 *3758:92 0.00259047 +5 *3758:85 0.00348845 +6 *3758:53 0.00899075 +7 *3758:18 0.00958406 +8 *37698:A *4460:27 0 +9 *37698:A *4554:77 0 +10 *37698:A *4602:18 0 +11 *37698:A *4761:86 0 +12 *3758:18 *8873:DIODE 0 +13 *3758:18 *4238:18 0 +14 *3758:53 *40996:A 0 +15 *3758:53 *3765:22 0 +16 *3758:53 *4034:88 0 +17 *3758:53 *4078:89 0 +18 *3758:53 *4087:26 0 +19 *3758:53 *4309:63 0 +20 *3758:53 *4313:14 0 +21 *3758:53 *4331:46 0 +22 *3758:53 *4344:17 0 +23 *3758:53 *4354:27 0 +24 *3758:53 *4355:50 0 +25 *3758:85 *3765:34 0 +26 *3758:85 *4097:94 0 +27 *3758:85 *4313:24 0 +28 *3758:85 *4354:27 0 +29 *7572:DIODE *3758:53 0 +30 *40233:A *3758:18 0 +31 *1207:17 *3758:53 0 +32 *1207:43 *3758:53 0 +33 *1442:53 *3758:53 0 +34 *1823:18 *3758:53 0 +35 *2374:23 *3758:53 0 +36 *2403:52 *3758:85 0 +37 *2422:89 *3758:53 0 +38 *2837:67 *3758:53 0 +39 *2882:46 *37698:A 0 +40 *3027:43 *37698:A 0 +41 *3037:20 *3758:18 0 +42 *3099:25 *3758:92 0 +43 *3283:81 *3758:53 0 +44 *3402:78 *3758:92 0 +45 *3432:67 *3758:85 0 +46 *3441:55 *3758:53 0 +47 *3443:88 *3758:18 0 +48 *3479:61 *3758:85 0 +49 *3499:27 *3758:18 0 +50 *3626:45 *3758:53 0 +51 *3626:68 *3758:53 0 +52 *3685:40 *3758:53 0 +53 *3719:61 *3758:85 0 +54 *3725:22 *3758:53 0 +55 *3725:80 *3758:85 0 +56 *3740:78 *3758:53 0 +57 *3743:32 *3758:92 0 +58 *3743:56 *3758:92 0 +59 *3748:14 *3758:53 0 +60 *3748:20 *3758:53 0 +61 *3748:20 *3758:85 0 +62 *3750:98 *3758:53 0 +*RES +1 *40808:X *3758:18 48.5219 +2 *3758:18 *3758:53 49.7631 +3 *3758:53 *3758:85 49.3675 +4 *3758:85 *3758:92 47.8036 +5 *3758:92 *37698:A 36.3893 +6 *3758:92 *5529:DIODE 15.4429 +*END + +*D_NET *3759 0.0229705 +*CONN +*I *8499:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40808:A I *D sky130_fd_sc_hd__buf_2 +*I *40809:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8499:DIODE 0.000905241 +2 *40808:A 6.56554e-05 +3 *40809:X 0.00101841 +4 *3759:57 0.00275 +5 *3759:45 0.00949593 +6 *3759:19 0.00873523 +7 *8499:DIODE *8873:DIODE 0 +8 *3759:19 *3969:72 0 +9 *3759:19 *4117:51 0 +10 *3759:19 *4279:23 0 +11 *3759:19 *4294:49 0 +12 *3759:45 *3777:33 0 +13 *3759:45 *3777:46 0 +14 *3759:45 *3777:65 0 +15 *3759:45 *3784:59 0 +16 *3759:45 *3784:82 0 +17 *3759:45 *3933:49 0 +18 *3759:57 *3784:82 0 +19 *3759:57 *3976:25 0 +20 *3759:57 *4045:53 0 +21 *3759:57 *4233:71 0 +22 *3759:57 *4252:50 0 +23 *3759:57 *4254:19 0 +24 *3759:57 *4261:87 0 +25 *3759:57 *4276:34 0 +26 *3759:57 *5153:16 0 +27 *8136:DIODE *3759:57 0 +28 *40216:A *8499:DIODE 0 +29 *1215:32 *3759:45 0 +30 *1226:10 *3759:19 0 +31 *2393:28 *3759:45 0 +32 *2397:21 *8499:DIODE 0 +33 *2440:58 *40808:A 0 +34 *2443:67 *3759:45 0 +35 *2472:33 *3759:45 0 +36 *3105:23 *3759:57 0 +37 *3106:96 *8499:DIODE 0 +38 *3158:16 *3759:45 0 +39 *3174:40 *3759:45 0 +40 *3178:21 *8499:DIODE 0 +41 *3234:27 *3759:45 0 +42 *3235:111 *8499:DIODE 0 +43 *3236:22 *3759:19 0 +44 *3245:51 *3759:45 0 +45 *3265:23 *8499:DIODE 0 +46 *3265:57 *3759:45 0 +47 *3294:24 *3759:45 0 +48 *3302:28 *8499:DIODE 0 +49 *3308:15 *8499:DIODE 0 +50 *3316:29 *3759:45 0 +51 *3515:34 *3759:57 0 +52 *3520:20 *3759:45 0 +53 *3520:45 *3759:45 0 +54 *3520:45 *3759:57 0 +55 *3523:54 *3759:45 0 +56 *3744:36 *3759:45 0 +*RES +1 *40809:X *3759:19 47.3925 +2 *3759:19 *3759:45 47.0163 +3 *3759:45 *3759:57 25.3884 +4 *3759:57 *40808:A 15.2911 +5 *3759:57 *8499:DIODE 42.6661 +*END + +*D_NET *3760 0.0120077 +*CONN +*I *40529:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8281:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38555:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40529:A 0.000302251 +2 *8281:DIODE 0.000104386 +3 *38555:X 0.000361461 +4 *3760:50 0.00191434 +5 *3760:35 0.00314739 +6 *3760:17 0.00372806 +7 *3760:13 0.00244983 +8 *3760:13 *39114:A 0 +9 *3760:13 *4484:14 0 +10 *3760:13 *4851:20 0 +11 *3760:13 *5148:12 0 +12 *3760:13 *5170:14 0 +13 *3760:17 *4490:27 0 +14 *3760:17 *4492:14 0 +15 *3760:17 *4851:13 0 +16 *3760:17 *4851:20 0 +17 *3760:17 *4860:23 0 +18 *3760:17 *5148:12 0 +19 *3760:17 *5170:27 0 +20 *3760:35 *39120:A 0 +21 *3760:35 *39121:A 0 +22 *3760:35 *4860:23 0 +23 *3760:35 *4861:29 0 +24 *3760:50 *3860:37 0 +25 *3760:50 *4490:55 0 +26 *3760:50 *4861:13 0 +27 *3760:50 *4861:29 0 +28 *3760:50 *5170:31 0 +29 la_data_in_mprj[77] *3760:35 0 +30 la_data_in_mprj[78] *3760:35 0 +31 la_data_in_mprj[79] *3760:50 0 +32 *6696:DIODE *3760:17 0 +33 *6833:DIODE *3760:13 0 +34 *6843:DIODE *3760:50 0 +35 *8226:DIODE *40529:A 0 +36 *37378:A *3760:17 0 +37 *38415:A *3760:17 0 +38 *38418:A *3760:17 0 +39 *38704:A *3760:35 0 +40 *40605:A *3760:17 0 +41 *492:8 *3760:50 0 +42 *867:8 *3760:13 0 +43 *2008:27 *3760:50 0 +44 *2089:7 *3760:13 0 +45 *2116:45 *8281:DIODE 0 +46 *2141:26 *3760:35 0 +47 *2141:26 *3760:50 0 +48 *2350:10 *3760:17 0 +49 *2383:10 *3760:17 0 +50 *2394:19 *3760:35 0 +51 *2394:27 *3760:50 0 +52 *3328:30 *3760:35 0 +53 *3449:26 *3760:50 0 +*RES +1 *38555:X *3760:13 26.05 +2 *3760:13 *3760:17 43.6786 +3 *3760:17 *3760:35 43.8929 +4 *3760:35 *3760:50 46.1786 +5 *3760:50 *8281:DIODE 15.9786 +6 *3760:50 *40529:A 20.5679 +*END + +*D_NET *3761 0.0205435 +*CONN +*I *37696:A I *D sky130_fd_sc_hd__and2_1 +*I *5526:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40810:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *37696:A 0.000154034 +2 *5526:DIODE 0 +3 *40810:X 0.000968844 +4 *3761:87 0.000396176 +5 *3761:84 0.00221676 +6 *3761:80 0.00327449 +7 *3761:69 0.00317417 +8 *3761:55 0.00353606 +9 *3761:28 0.00375795 +10 *3761:19 0.00306504 +11 *3761:55 *38306:B 0 +12 *3761:55 *3773:55 0 +13 *3761:55 *3802:53 0 +14 *3761:55 *4312:19 0 +15 *3761:55 *4330:62 0 +16 *3761:55 *4566:47 0 +17 *3761:55 *4566:49 0 +18 *3761:69 *4087:93 0 +19 *3761:69 *4566:47 0 +20 *3761:80 *4312:33 0 +21 *3761:84 *4601:74 0 +22 *5527:DIODE *37696:A 0 +23 *6079:DIODE *3761:69 0 +24 *37844:A *3761:19 0 +25 *39506:A *3761:84 0 +26 *39595:A *3761:84 0 +27 *263:15 *37696:A 0 +28 *385:11 *3761:84 0 +29 *1334:14 *3761:55 0 +30 *1421:17 *3761:55 0 +31 *1710:19 *3761:84 0 +32 *1824:10 *3761:28 0 +33 *2396:11 *3761:80 0 +34 *2802:49 *37696:A 0 +35 *2802:49 *3761:87 0 +36 *2818:76 *3761:28 0 +37 *2989:36 *3761:28 0 +38 *3297:106 *3761:69 0 +39 *3425:24 *3761:80 0 +40 *3473:39 *3761:80 0 +41 *3480:38 *3761:84 0 +42 *3542:56 *3761:55 0 +43 *3656:13 *3761:84 0 +44 *3657:42 *3761:19 0 +45 *3657:58 *3761:55 0 +46 *3657:83 *3761:69 0 +47 *3663:17 *3761:55 0 +48 *3666:76 *3761:28 0 +49 *3666:80 *3761:69 0 +50 *3684:111 *3761:19 0 +51 *3718:14 *3761:19 0 +52 *3718:20 *3761:19 0 +53 *3718:41 *3761:69 0 +54 *3718:60 *3761:69 0 +55 *3718:60 *3761:84 0 +56 *3718:64 *3761:84 0 +57 *3737:32 *3761:84 0 +58 *3737:33 *3761:84 0 +59 *3739:33 *3761:19 0 +60 *3739:57 *3761:69 0 +61 *3754:5 *3761:80 0 +62 *3755:13 *3761:19 0 +63 *3755:13 *3761:28 0 +*RES +1 *40810:X *3761:19 47.8179 +2 *3761:19 *3761:28 48.6339 +3 *3761:28 *3761:55 46.6782 +4 *3761:55 *3761:69 48.4107 +5 *3761:69 *3761:80 45.5179 +6 *3761:80 *3761:84 45.8929 +7 *3761:84 *3761:87 9.55357 +8 *3761:87 *5526:DIODE 9.3 +9 *3761:87 *37696:A 21.7107 +*END + +*D_NET *3762 0.030819 +*CONN +*I *40810:A I *D sky130_fd_sc_hd__buf_6 +*I *8501:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40811:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *40810:A 0.000187084 +2 *8501:DIODE 0.000125689 +3 *40811:X 0.000424181 +4 *3762:46 0.000387099 +5 *3762:42 0.00272206 +6 *3762:41 0.00393425 +7 *3762:38 0.00295292 +8 *3762:29 0.00373873 +9 *3762:26 0.00211794 +10 *3762:21 0.00471563 +11 *3762:20 0.00467001 +12 *3762:18 0.00220963 +13 *3762:16 0.00263381 +14 *40810:A *4895:19 0 +15 *3762:16 *8502:DIODE 0 +16 *3762:16 *4099:60 0 +17 *3762:18 *4124:40 0 +18 *3762:18 *4257:32 0 +19 *3762:29 *3983:21 0 +20 *3762:41 *4895:28 0 +21 *3762:42 *3983:34 0 +22 *3762:42 *5029:8 0 +23 *8333:DIODE *3762:16 0 +24 *360:14 *3762:21 0 +25 *369:28 *3762:38 0 +26 *377:17 *3762:38 0 +27 *381:13 *3762:42 0 +28 *1013:74 *3762:41 0 +29 *1017:86 *3762:41 0 +30 *1547:30 *3762:42 0 +31 *1552:61 *3762:41 0 +32 *1565:38 *3762:42 0 +33 *2458:20 *3762:38 0 +34 *2465:29 *3762:38 0 +35 *2471:9 *3762:38 0 +36 *2476:16 *3762:26 0 +37 *2477:33 *3762:26 0 +38 *2481:19 *3762:38 0 +39 *2718:6 *3762:18 0 +40 *2933:8 *3762:18 0 +41 *2942:9 *3762:21 0 +42 *3545:27 *3762:16 0 +43 *3545:30 *3762:18 0 +44 *3545:42 *3762:42 0 +45 *3657:31 *8501:DIODE 0 +46 *3673:11 *3762:21 0 +47 *3708:36 *3762:42 0 +48 *3718:14 *40810:A 0 +49 *3719:17 *3762:16 0 +*RES +1 *40811:X *3762:16 32.2643 +2 *3762:16 *3762:18 50.2143 +3 *3762:18 *3762:20 4.5 +4 *3762:20 *3762:21 97.4643 +5 *3762:21 *3762:26 10.0357 +6 *3762:26 *3762:29 47.75 +7 *3762:29 *3762:38 47.4904 +8 *3762:38 *3762:41 8.06927 +9 *3762:41 *3762:42 60.1607 +10 *3762:42 *3762:46 1.94643 +11 *3762:46 *8501:DIODE 16.6482 +12 *3762:46 *40810:A 18.3446 +*END + +*D_NET *3763 0.0215354 +*CONN +*I *8502:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40811:A I *D sky130_fd_sc_hd__buf_4 +*I *40812:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8502:DIODE 0.000945523 +2 *40811:A 6.43859e-05 +3 *40812:X 0.00583976 +4 *3763:36 0.00492796 +5 *3763:21 0.0097578 +6 *8502:DIODE *38088:A_N 0 +7 *8502:DIODE *3780:18 0 +8 *8502:DIODE *4037:74 0 +9 *8502:DIODE *4248:109 0 +10 *8502:DIODE *4981:39 0 +11 *3763:21 *3806:53 0 +12 *3763:21 *3815:106 0 +13 *3763:21 *3817:83 0 +14 *3763:21 *3817:96 0 +15 *3763:21 *3956:50 0 +16 *3763:21 *4098:58 0 +17 *3763:21 *4125:58 0 +18 *3763:21 *4340:26 0 +19 *3763:21 *4344:17 0 +20 *3763:21 *4352:19 0 +21 *3763:21 *4358:51 0 +22 *3763:21 *4374:21 0 +23 *3763:36 *3766:48 0 +24 *3763:36 *3769:51 0 +25 *3763:36 *3822:111 0 +26 *3763:36 *3942:107 0 +27 *3763:36 *3984:125 0 +28 *3763:36 *4109:65 0 +29 *3763:36 *4171:50 0 +30 *3763:36 *4267:62 0 +31 *3763:36 *4328:24 0 +32 *3763:36 *4344:17 0 +33 *3763:36 *4352:58 0 +34 *1210:89 *8502:DIODE 0 +35 *1210:89 *40811:A 0 +36 *1237:27 *3763:21 0 +37 *1443:27 *8502:DIODE 0 +38 *2325:78 *3763:21 0 +39 *2421:63 *3763:21 0 +40 *2858:15 *3763:21 0 +41 *2919:91 *3763:36 0 +42 *3162:14 *8502:DIODE 0 +43 *3177:26 *3763:36 0 +44 *3305:168 *3763:36 0 +45 *3307:66 *3763:21 0 +46 *3307:85 *3763:21 0 +47 *3445:31 *3763:21 0 +48 *3526:67 *3763:21 0 +49 *3545:27 *8502:DIODE 0 +50 *3545:27 *40811:A 0 +51 *3675:24 *3763:21 0 +52 *3685:40 *3763:36 0 +53 *3729:14 *8502:DIODE 0 +54 *3730:44 *3763:21 0 +55 *3762:16 *8502:DIODE 0 +*RES +1 *40812:X *3763:21 45.7478 +2 *3763:21 *3763:36 30.7747 +3 *3763:36 *40811:A 10.675 +4 *3763:36 *8502:DIODE 38.2107 +*END + +*D_NET *3764 0.0203687 +*CONN +*I *5524:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37694:A I *D sky130_fd_sc_hd__and2_1 +*I *40813:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *5524:DIODE 8.52203e-05 +2 *37694:A 6.46019e-05 +3 *40813:X 0.00170541 +4 *3764:69 0.00317868 +5 *3764:62 0.0044165 +6 *3764:45 0.00346853 +7 *3764:34 0.0039126 +8 *3764:8 0.00353713 +9 *5524:DIODE *3940:26 0 +10 *5524:DIODE *4743:16 0 +11 *3764:8 *3779:20 0 +12 *3764:8 *3779:31 0 +13 *3764:8 *4330:36 0 +14 *3764:8 *4330:46 0 +15 *3764:8 *4339:49 0 +16 *3764:34 *4069:68 0 +17 *3764:34 *4216:65 0 +18 *3764:34 *4334:58 0 +19 *3764:34 *4406:28 0 +20 *3764:45 *38042:B 0 +21 *3764:45 *38048:B 0 +22 *3764:45 *4343:71 0 +23 *3764:62 *4010:139 0 +24 *3764:62 *4406:28 0 +25 *3764:69 *3779:94 0 +26 *3764:69 *3940:26 0 +27 *5585:DIODE *3764:8 0 +28 *6039:DIODE *3764:45 0 +29 *6043:DIODE *3764:45 0 +30 *38046:C *3764:45 0 +31 *38048:A_N *3764:45 0 +32 *40135:A *3764:45 0 +33 *40150:A *3764:45 0 +34 *265:27 *5524:DIODE 0 +35 *375:23 *3764:8 0 +36 *375:41 *3764:8 0 +37 *1005:91 *3764:62 0 +38 *1250:57 *3764:8 0 +39 *1250:85 *3764:34 0 +40 *1423:21 *3764:45 0 +41 *1456:22 *3764:34 0 +42 *1456:46 *3764:69 0 +43 *1568:32 *3764:8 0 +44 *1568:32 *3764:34 0 +45 *1571:37 *3764:34 0 +46 *1579:26 *3764:45 0 +47 *1601:33 *3764:69 0 +48 *1744:16 *3764:69 0 +49 *1844:39 *3764:34 0 +50 *1846:24 *3764:45 0 +51 *2376:15 *3764:69 0 +52 *2392:13 *3764:69 0 +53 *2803:61 *3764:69 0 +54 *2811:35 *3764:34 0 +55 *2830:15 *3764:34 0 +56 *2973:23 *3764:62 0 +57 *2990:9 *3764:45 0 +58 *2996:15 *3764:62 0 +59 *3013:72 *3764:45 0 +60 *3020:59 *3764:45 0 +61 *3290:42 *3764:34 0 +62 *3297:123 *3764:45 0 +63 *3480:28 *3764:69 0 +64 *3495:36 *3764:69 0 +65 *3700:82 *3764:62 0 +*RES +1 *40813:X *3764:8 49.55 +2 *3764:8 *3764:34 46.0269 +3 *3764:34 *3764:45 47.9286 +4 *3764:45 *3764:62 36.7203 +5 *3764:62 *3764:69 43.6598 +6 *3764:69 *37694:A 18.6296 +7 *3764:69 *5524:DIODE 18.2851 +*END + +*D_NET *3765 0.0206584 +*CONN +*I *40813:A I *D sky130_fd_sc_hd__buf_6 +*I *8504:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40814:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *40813:A 0.000226116 +2 *8504:DIODE 0 +3 *40814:X 0.00485003 +4 *3765:41 0.00151163 +5 *3765:34 0.00525307 +6 *3765:22 0.00881758 +7 *40813:A *3779:20 0 +8 *40813:A *4330:36 0 +9 *3765:22 *8669:DIODE 0 +10 *3765:22 *3811:58 0 +11 *3765:22 *3951:29 0 +12 *3765:22 *3988:25 0 +13 *3765:22 *4052:53 0 +14 *3765:22 *4267:87 0 +15 *3765:22 *4309:63 0 +16 *3765:22 *4351:33 0 +17 *3765:34 *3780:83 0 +18 *3765:34 *3994:17 0 +19 *3765:34 *4048:74 0 +20 *3765:34 *4351:33 0 +21 *3765:34 *4895:20 0 +22 *3765:41 *3773:15 0 +23 *3765:41 *3779:20 0 +24 *3765:41 *4334:27 0 +25 *1207:43 *3765:22 0 +26 *1250:35 *40813:A 0 +27 *1442:53 *3765:22 0 +28 *1445:37 *3765:22 0 +29 *2374:23 *3765:22 0 +30 *3008:54 *3765:22 0 +31 *3048:13 *3765:34 0 +32 *3661:25 *3765:34 0 +33 *3684:111 *3765:34 0 +34 *3732:49 *3765:34 0 +35 *3748:14 *3765:22 0 +36 *3750:98 *3765:22 0 +37 *3758:53 *3765:22 0 +38 *3758:85 *3765:34 0 +*RES +1 *40814:X *3765:22 44.0279 +2 *3765:22 *3765:34 47.9564 +3 *3765:34 *3765:41 36.0357 +4 *3765:41 *8504:DIODE 9.3 +5 *3765:41 *40813:A 23.4429 +*END + +*D_NET *3766 0.0240245 +*CONN +*I *8505:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40814:A I *D sky130_fd_sc_hd__buf_4 +*I *40815:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8505:DIODE 0.000897366 +2 *40814:A 0 +3 *40815:X 0.00390041 +4 *3766:95 0.00207156 +5 *3766:81 0.00295714 +6 *3766:61 0.00303977 +7 *3766:48 0.00425732 +8 *3766:25 0.0069009 +9 *8505:DIODE *8867:DIODE 0 +10 *8505:DIODE *8882:DIODE 0 +11 *8505:DIODE *3942:151 0 +12 *8505:DIODE *4097:29 0 +13 *8505:DIODE *4099:76 0 +14 *8505:DIODE *4241:45 0 +15 *3766:25 *3778:24 0 +16 *3766:25 *3784:29 0 +17 *3766:25 *4041:54 0 +18 *3766:25 *4061:119 0 +19 *3766:25 *4125:58 0 +20 *3766:25 *4320:23 0 +21 *3766:25 *4324:100 0 +22 *3766:25 *4372:22 0 +23 *3766:25 *4374:21 0 +24 *3766:48 *3942:61 0 +25 *3766:48 *4031:17 0 +26 *3766:48 *4352:19 0 +27 *3766:61 *8540:DIODE 0 +28 *3766:61 *3774:13 0 +29 *3766:61 *3819:75 0 +30 *3766:81 *3774:15 0 +31 *3766:81 *3820:77 0 +32 *3766:81 *4247:14 0 +33 *3766:81 *4352:58 0 +34 *3766:81 *4364:11 0 +35 *3766:95 *3942:125 0 +36 *3766:95 *3942:151 0 +37 *3766:95 *4041:86 0 +38 *3766:95 *4372:39 0 +39 *5726:DIODE *8505:DIODE 0 +40 *8393:DIODE *3766:25 0 +41 *1210:38 *3766:48 0 +42 *1210:89 *8505:DIODE 0 +43 *1210:89 *3766:95 0 +44 *1211:26 *3766:61 0 +45 *1224:66 *3766:25 0 +46 *1229:29 *3766:25 0 +47 *1407:24 *3766:25 0 +48 *1410:18 *3766:61 0 +49 *1419:23 *3766:25 0 +50 *1437:30 *3766:48 0 +51 *1558:28 *3766:25 0 +52 *2325:78 *3766:25 0 +53 *2384:63 *8505:DIODE 0 +54 *2832:50 *3766:25 0 +55 *2835:31 *3766:48 0 +56 *2841:19 *3766:25 0 +57 *2928:21 *3766:95 0 +58 *2987:30 *3766:48 0 +59 *3092:17 *3766:61 0 +60 *3092:17 *3766:81 0 +61 *3098:52 *8505:DIODE 0 +62 *3387:14 *3766:95 0 +63 *3626:29 *3766:48 0 +64 *3647:40 *8505:DIODE 0 +65 *3648:61 *8505:DIODE 0 +66 *3675:24 *3766:48 0 +67 *3685:40 *3766:48 0 +68 *3685:40 *3766:81 0 +69 *3726:28 *3766:25 0 +70 *3726:89 *3766:81 0 +71 *3726:114 *8505:DIODE 0 +72 *3740:46 *8505:DIODE 0 +73 *3750:55 *3766:81 0 +74 *3756:23 *3766:81 0 +75 *3763:36 *3766:48 0 +*RES +1 *40815:X *3766:25 49.2333 +2 *3766:25 *3766:48 41.8239 +3 *3766:48 *3766:61 36.125 +4 *3766:61 *3766:81 49.3109 +5 *3766:81 *3766:95 39 +6 *3766:95 *40814:A 9.3 +7 *3766:95 *8505:DIODE 37.8357 +*END + +*D_NET *3767 0.0189488 +*CONN +*I *37692:A I *D sky130_fd_sc_hd__and2_1 +*I *5522:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40816:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *37692:A 0.000121071 +2 *5522:DIODE 0 +3 *40816:X 0.00154101 +4 *3767:57 0.00115039 +5 *3767:55 0.0028225 +6 *3767:51 0.00384112 +7 *3767:39 0.00388347 +8 *3767:36 0.00294186 +9 *3767:26 0.00264734 +10 *3767:26 *3981:39 0 +11 *3767:26 *4013:33 0 +12 *3767:26 *4030:18 0 +13 *3767:26 *4240:21 0 +14 *3767:36 *4427:131 0 +15 *3767:39 *8256:DIODE 0 +16 *3767:39 *41064:A 0 +17 *3767:39 *3954:99 0 +18 *3767:39 *3975:87 0 +19 *3767:39 *4044:49 0 +20 *3767:39 *4219:85 0 +21 *3767:39 *4404:129 0 +22 *3767:39 *4411:145 0 +23 *3767:51 *8256:DIODE 0 +24 *3767:51 *3936:79 0 +25 *3767:51 *4219:85 0 +26 *3767:51 *4219:105 0 +27 *3767:55 *38134:B 0 +28 *3767:55 *38372:B 0 +29 *3767:55 *4219:117 0 +30 *3767:57 *38372:B 0 +31 *7582:DIODE *3767:36 0 +32 *38134:A_N *3767:55 0 +33 *38134:C *3767:55 0 +34 *38372:A *3767:55 0 +35 *39583:A *3767:36 0 +36 *39587:A *3767:36 0 +37 *40120:A *3767:51 0 +38 *1009:155 *3767:26 0 +39 *1466:19 *37692:A 0 +40 *1466:19 *3767:55 0 +41 *1466:19 *3767:57 0 +42 *1850:11 *3767:55 0 +43 *1850:17 *3767:51 0 +44 *1850:17 *3767:55 0 +45 *2387:7 *3767:36 0 +46 *2979:10 *3767:51 0 +47 *2980:49 *3767:51 0 +48 *3396:5 *3767:51 0 +49 *3396:5 *3767:55 0 +50 *3396:24 *3767:55 0 +51 *3396:31 *37692:A 0 +52 *3396:31 *3767:57 0 +53 *3410:128 *3767:36 0 +54 *3412:119 *3767:36 0 +55 *3416:91 *3767:39 0 +56 *3469:49 *3767:26 0 +57 *3478:61 *3767:36 0 +58 *3478:68 *3767:36 0 +59 *3498:25 *3767:26 0 +*RES +1 *40816:X *3767:26 49.4654 +2 *3767:26 *3767:36 36.8393 +3 *3767:36 *3767:39 38.3393 +4 *3767:39 *3767:51 43.1429 +5 *3767:51 *3767:55 37.5179 +6 *3767:55 *3767:57 21.4821 +7 *3767:57 *5522:DIODE 9.3 +8 *3767:57 *37692:A 11.8893 +*END + +*D_NET *3768 0.0296087 +*CONN +*I *40816:A I *D sky130_fd_sc_hd__buf_6 +*I *8507:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40817:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *40816:A 0 +2 *8507:DIODE 0.000162734 +3 *40817:X 0.00136831 +4 *3768:58 0.000598756 +5 *3768:47 0.00344211 +6 *3768:31 0.00909301 +7 *3768:30 0.00630221 +8 *3768:19 0.00307479 +9 *3768:18 0.00352899 +10 *3768:14 0.0020378 +11 *8507:DIODE *4013:24 0 +12 *3768:14 *8926:DIODE 0 +13 *3768:14 *40508:A 0 +14 *3768:19 *4057:17 0 +15 *3768:31 *4007:19 0 +16 *3768:31 *4057:17 0 +17 *3768:31 *4057:23 0 +18 *3768:58 *38344:B 0 +19 *3768:58 *4073:25 0 +20 *3768:58 *4216:45 0 +21 *6419:DIODE *3768:30 0 +22 *38289:A *3768:18 0 +23 *386:12 *3768:47 0 +24 *1012:34 *3768:47 0 +25 *1428:22 *3768:30 0 +26 *2414:55 *8507:DIODE 0 +27 *2475:25 *3768:47 0 +28 *2479:49 *3768:30 0 +29 *2812:27 *3768:47 0 +30 *2920:11 *3768:14 0 +31 *2942:8 *3768:18 0 +32 *2943:23 *3768:18 0 +33 *3005:44 *3768:14 0 +34 *3024:49 *3768:47 0 +35 *3073:68 *3768:14 0 +36 *3108:27 *3768:47 0 +37 *3218:60 *3768:14 0 +38 *3295:40 *3768:47 0 +39 *3299:75 *3768:47 0 +40 *3411:121 *3768:58 0 +41 *3424:24 *3768:14 0 +42 *3424:24 *3768:18 0 +43 *3424:39 *3768:31 0 +44 *3427:61 *3768:30 0 +45 *3469:30 *3768:47 0 +46 *3519:10 *3768:14 0 +47 *3519:10 *3768:18 0 +48 *3648:58 *3768:14 0 +49 *3745:27 *3768:47 0 +*RES +1 *40817:X *3768:14 44.8625 +2 *3768:14 *3768:18 19.7411 +3 *3768:18 *3768:19 59.6786 +4 *3768:19 *3768:30 22.6607 +5 *3768:30 *3768:31 127.036 +6 *3768:31 *3768:47 48.3366 +7 *3768:47 *3768:58 22.1154 +8 *3768:58 *8507:DIODE 17.4071 +9 *3768:58 *40816:A 13.8 +*END + +*D_NET *3769 0.0227099 +*CONN +*I *8508:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40817:A I *D sky130_fd_sc_hd__buf_4 +*I *40818:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8508:DIODE 0.000680458 +2 *40817:A 7.90067e-05 +3 *40818:X 0.00223481 +4 *3769:53 0.00124643 +5 *3769:51 0.00836067 +6 *3769:18 0.0101085 +7 *8508:DIODE *4052:53 0 +8 *8508:DIODE *4266:18 0 +9 *40817:A *8743:DIODE 0 +10 *3769:18 *4103:47 0 +11 *3769:18 *4109:15 0 +12 *3769:18 *4109:48 0 +13 *3769:18 *4358:68 0 +14 *3769:51 *3781:45 0 +15 *3769:51 *3781:59 0 +16 *3769:51 *3803:24 0 +17 *3769:51 *3820:77 0 +18 *3769:51 *3942:107 0 +19 *3769:51 *3984:125 0 +20 *3769:51 *4037:74 0 +21 *3769:51 *4070:43 0 +22 *3769:51 *4070:95 0 +23 *3769:51 *4099:29 0 +24 *3769:51 *4109:48 0 +25 *3769:51 *4109:65 0 +26 *3769:51 *4218:52 0 +27 *3769:51 *4347:38 0 +28 *3769:53 *4037:74 0 +29 *3769:53 *4266:18 0 +30 *40071:A *8508:DIODE 0 +31 *1324:33 *3769:51 0 +32 *1330:22 *3769:53 0 +33 *1331:31 *3769:51 0 +34 *1331:31 *3769:53 0 +35 *1408:29 *3769:51 0 +36 *1408:58 *3769:51 0 +37 *2265:59 *3769:18 0 +38 *2277:37 *3769:18 0 +39 *2304:51 *3769:18 0 +40 *2926:61 *8508:DIODE 0 +41 *2992:57 *3769:53 0 +42 *3076:70 *3769:51 0 +43 *3124:17 *3769:51 0 +44 *3317:176 *3769:51 0 +45 *3684:13 *8508:DIODE 0 +46 *3689:15 *3769:18 0 +47 *3692:9 *3769:51 0 +48 *3698:48 *3769:18 0 +49 *3736:91 *3769:51 0 +50 *3742:19 *3769:51 0 +51 *3763:36 *3769:51 0 +*RES +1 *40818:X *3769:18 47.379 +2 *3769:18 *3769:51 48.6911 +3 *3769:51 *3769:53 1.7766 +4 *3769:53 *40817:A 19.0046 +5 *3769:53 *8508:DIODE 37.2366 +*END + +*D_NET *3770 0.0303584 +*CONN +*I *37690:A I *D sky130_fd_sc_hd__and2_2 +*I *5519:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40819:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *37690:A 8.4707e-05 +2 *5519:DIODE 9.90829e-05 +3 *40819:X 0.000487557 +4 *3770:34 0.003101 +5 *3770:33 0.00291721 +6 *3770:31 0.00772031 +7 *3770:30 0.00772031 +8 *3770:28 0.00169414 +9 *3770:12 0.00387033 +10 *3770:10 0.00266374 +11 *3770:10 *3780:26 0 +12 *3770:10 *3803:66 0 +13 *3770:10 *3811:58 0 +14 *3770:28 *3967:28 0 +15 *3770:28 *4722:16 0 +16 *3770:28 *4738:9 0 +17 *3770:28 *4738:21 0 +18 *3770:28 *5010:41 0 +19 *3770:31 *3967:29 0 +20 *3770:31 *3967:35 0 +21 *3770:31 *3983:33 0 +22 *3770:31 *4898:5 0 +23 *3770:34 *39643:A 0 +24 *39657:A *3770:12 0 +25 *266:23 *3770:34 0 +26 *360:13 *3770:12 0 +27 *367:7 *3770:12 0 +28 *1004:23 *3770:34 0 +29 *1007:187 *3770:34 0 +30 *1017:86 *3770:28 0 +31 *1021:16 *3770:28 0 +32 *1031:93 *3770:34 0 +33 *1451:24 *3770:34 0 +34 *1548:10 *3770:34 0 +35 *1572:21 *3770:31 0 +36 *2478:32 *3770:28 0 +37 *2479:52 *3770:28 0 +38 *2481:16 *3770:31 0 +39 *2717:30 *3770:12 0 +40 *2812:36 *3770:34 0 +41 *2818:73 *3770:34 0 +42 *2935:13 *3770:12 0 +43 *3047:10 *3770:10 0 +44 *3047:10 *3770:12 0 +45 *3729:19 *3770:31 0 +*RES +1 *40819:X *3770:10 24.8625 +2 *3770:10 *3770:12 49.4554 +3 *3770:12 *3770:28 46.4659 +4 *3770:28 *3770:30 4.5 +5 *3770:30 *3770:31 161.125 +6 *3770:31 *3770:33 4.5 +7 *3770:33 *3770:34 66.3036 +8 *3770:34 *5519:DIODE 16.05 +9 *3770:34 *37690:A 15.5679 +*END + +*D_NET *3771 0.0144567 +*CONN +*I *40528:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8280:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38556:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40528:A 0.000109288 +2 *8280:DIODE 0.000321348 +3 *38556:X 0.00609208 +4 *3771:23 0.00113627 +5 *3771:17 0.00679772 +6 *8280:DIODE *4286:11 0 +7 *40528:A *40427:A 0 +8 *3771:17 *3809:30 0 +9 *3771:17 *3816:20 0 +10 *3771:17 *3849:29 0 +11 *3771:17 *3973:21 0 +12 *3771:17 *5159:20 0 +13 *3771:17 *5192:9 0 +14 *3771:23 *4029:16 0 +15 *6692:DIODE *3771:17 0 +16 *40324:A *8280:DIODE 0 +17 *612:5 *3771:17 0 +18 *1981:62 *3771:23 0 +19 *2439:38 *3771:17 0 +20 *2472:21 *3771:17 0 +21 *3207:95 *8280:DIODE 0 +22 *3259:77 *3771:17 0 +23 *3320:72 *3771:23 0 +24 *3328:22 *3771:17 0 +25 *3442:24 *3771:17 0 +26 *3523:32 *3771:17 0 +27 *3533:19 *3771:17 0 +*RES +1 *38556:X *3771:17 49.7943 +2 *3771:17 *3771:23 24.9018 +3 *3771:23 *8280:DIODE 20.6393 +4 *3771:23 *40528:A 16.4071 +*END + +*D_NET *3772 0.0217607 +*CONN +*I *8510:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40819:A I *D sky130_fd_sc_hd__buf_2 +*I *40820:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8510:DIODE 0.000457358 +2 *40819:A 0 +3 *40820:X 0.00124678 +4 *3772:105 0.000483027 +5 *3772:102 0.00200576 +6 *3772:98 0.00262056 +7 *3772:91 0.00216104 +8 *3772:66 0.00280095 +9 *3772:48 0.00280164 +10 *3772:42 0.00220645 +11 *3772:32 0.00220778 +12 *3772:20 0.00276936 +13 *8510:DIODE *5425:DIODE 0 +14 *8510:DIODE *3780:26 0 +15 *3772:20 *3791:54 0 +16 *3772:20 *3819:19 0 +17 *3772:20 *4328:24 0 +18 *3772:20 *4352:19 0 +19 *3772:32 *3781:45 0 +20 *3772:42 *4336:46 0 +21 *3772:91 *3803:25 0 +22 *3772:91 *4070:95 0 +23 *3772:98 *3803:52 0 +24 *3772:98 *4106:21 0 +25 *3772:102 *3780:26 0 +26 *3772:102 *4099:60 0 +27 *8412:DIODE *3772:48 0 +28 *8470:DIODE *3772:102 0 +29 *37838:A *3772:98 0 +30 *37846:A *3772:66 0 +31 *38029:A *3772:91 0 +32 *39973:A *3772:102 0 +33 *1206:24 *3772:98 0 +34 *1231:17 *3772:32 0 +35 *1231:37 *3772:42 0 +36 *1237:27 *3772:20 0 +37 *1322:12 *3772:48 0 +38 *1330:22 *3772:102 0 +39 *1413:49 *3772:91 0 +40 *1516:22 *3772:66 0 +41 *1543:19 *3772:102 0 +42 *2304:51 *3772:20 0 +43 *2384:118 *3772:66 0 +44 *2826:11 *3772:102 0 +45 *2928:76 *3772:32 0 +46 *2935:13 *8510:DIODE 0 +47 *2947:14 *3772:48 0 +48 *2947:19 *3772:48 0 +49 *3197:30 *3772:91 0 +50 *3546:50 *3772:20 0 +51 *3648:11 *3772:32 0 +52 *3648:17 *3772:91 0 +53 *3670:31 *3772:32 0 +54 *3670:31 *3772:42 0 +55 *3670:37 *3772:66 0 +56 *3670:37 *3772:91 0 +57 *3670:50 *3772:91 0 +58 *3677:17 *3772:91 0 +59 *3680:92 *3772:91 0 +60 *3685:22 *3772:20 0 +61 *3685:61 *8510:DIODE 0 +62 *3733:20 *3772:20 0 +63 *3736:47 *3772:48 0 +64 *3736:77 *3772:66 0 +65 *3736:91 *3772:91 0 +66 *3742:19 *3772:32 0 +*RES +1 *40820:X *3772:20 38.3012 +2 *3772:20 *3772:32 42.2694 +3 *3772:32 *3772:42 23.4464 +4 *3772:42 *3772:48 40.8571 +5 *3772:48 *3772:66 49.5179 +6 *3772:66 *3772:91 47.5532 +7 *3772:91 *3772:98 22.4821 +8 *3772:98 *3772:102 45.9107 +9 *3772:102 *3772:105 5.03571 +10 *3772:105 *40819:A 9.3 +11 *3772:105 *8510:DIODE 28.2643 +*END + +*D_NET *3773 0.0199232 +*CONN +*I *37688:A I *D sky130_fd_sc_hd__and2_1 +*I *5516:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40821:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *37688:A 0.000121071 +2 *5516:DIODE 0 +3 *40821:X 0.000747791 +4 *3773:57 0.00239361 +5 *3773:55 0.00356921 +6 *3773:33 0.00374291 +7 *3773:32 0.003156 +8 *3773:15 0.00307728 +9 *3773:14 0.00311531 +10 *37688:A *3779:82 0 +11 *3773:14 *37620:A 0 +12 *3773:14 *3818:27 0 +13 *3773:14 *4080:15 0 +14 *3773:14 *4235:61 0 +15 *3773:14 *4339:23 0 +16 *3773:15 *3779:20 0 +17 *3773:15 *4330:28 0 +18 *3773:15 *4334:20 0 +19 *3773:15 *4339:35 0 +20 *3773:32 *4266:38 0 +21 *3773:33 *3779:20 0 +22 *3773:33 *4330:46 0 +23 *3773:33 *4339:66 0 +24 *3773:33 *4909:7 0 +25 *3773:55 *8914:DIODE 0 +26 *3773:55 *38306:B 0 +27 *3773:55 *41307:A 0 +28 *3773:55 *4080:29 0 +29 *3773:55 *4312:19 0 +30 *3773:55 *4601:37 0 +31 *3773:55 *4601:52 0 +32 *3773:57 *5738:DIODE 0 +33 *3773:57 *38042:B 0 +34 *3773:57 *3779:71 0 +35 *3773:57 *3779:75 0 +36 *3773:57 *3779:82 0 +37 *5587:DIODE *3773:57 0 +38 *6037:DIODE *3773:55 0 +39 *6037:DIODE *3773:57 0 +40 *7868:DIODE *3773:33 0 +41 *368:65 *3773:55 0 +42 *1012:34 *3773:32 0 +43 *1250:35 *3773:33 0 +44 *1424:15 *3773:33 0 +45 *1696:30 *3773:32 0 +46 *2422:48 *3773:32 0 +47 *2811:59 *3773:55 0 +48 *2811:59 *3773:57 0 +49 *2811:67 *3773:57 0 +50 *2811:69 *3773:57 0 +51 *2813:16 *3773:33 0 +52 *2819:17 *3773:33 0 +53 *2830:44 *37688:A 0 +54 *2830:44 *3773:57 0 +55 *2963:17 *3773:57 0 +56 *3009:22 *3773:55 0 +57 *3009:42 *3773:57 0 +58 *3013:64 *3773:33 0 +59 *3020:11 *3773:15 0 +60 *3090:17 *3773:15 0 +61 *3097:18 *3773:55 0 +62 *3301:127 *3773:55 0 +63 *3479:98 *3773:55 0 +64 *3487:73 *3773:15 0 +65 *3544:14 *3773:32 0 +66 *3666:45 *3773:14 0 +67 *3748:20 *3773:32 0 +68 *3761:55 *3773:55 0 +69 *3765:41 *3773:15 0 +*RES +1 *40821:X *3773:14 34.175 +2 *3773:14 *3773:15 49.4107 +3 *3773:15 *3773:32 30.3718 +4 *3773:32 *3773:33 51.0536 +5 *3773:33 *3773:55 45.7366 +6 *3773:55 *3773:57 47.4598 +7 *3773:57 *5516:DIODE 9.3 +8 *3773:57 *37688:A 11.8893 +*END + +*D_NET *3774 0.0190656 +*CONN +*I *8512:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40821:A I *D sky130_fd_sc_hd__buf_6 +*I *40822:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8512:DIODE 0 +2 *40821:A 0.000299417 +3 *40822:X 0.000651079 +4 *3774:33 0.00105493 +5 *3774:25 0.00599473 +6 *3774:24 0.00528108 +7 *3774:15 0.00258756 +8 *3774:13 0.00319678 +9 *40821:A *4080:15 0 +10 *3774:13 *8540:DIODE 0 +11 *3774:15 *3820:77 0 +12 *3774:15 *3820:89 0 +13 *3774:15 *4107:101 0 +14 *3774:15 *4364:11 0 +15 *3774:15 *4364:18 0 +16 *3774:24 *4041:86 0 +17 *3774:25 *4034:62 0 +18 *3774:25 *4080:11 0 +19 *3774:25 *4247:21 0 +20 *3774:25 *4247:23 0 +21 *3774:25 *4319:24 0 +22 *3774:25 *4339:14 0 +23 *3774:25 *4355:50 0 +24 *3774:25 *4364:18 0 +25 *3774:33 *4080:11 0 +26 *6003:DIODE *3774:13 0 +27 *7975:DIODE *3774:25 0 +28 *7975:DIODE *3774:33 0 +29 *8360:DIODE *3774:33 0 +30 *37822:A *3774:13 0 +31 *37822:B *3774:15 0 +32 *40649:A *3774:33 0 +33 *40790:A *3774:25 0 +34 *1001:43 *40821:A 0 +35 *1211:26 *3774:13 0 +36 *1410:18 *3774:13 0 +37 *1410:40 *3774:13 0 +38 *1414:26 *3774:25 0 +39 *1824:69 *3774:25 0 +40 *2986:13 *3774:33 0 +41 *3092:17 *3774:15 0 +42 *3285:112 *3774:25 0 +43 *3316:115 *3774:13 0 +44 *3409:66 *40821:A 0 +45 *3409:66 *3774:33 0 +46 *3626:45 *3774:25 0 +47 *3658:65 *3774:15 0 +48 *3658:71 *3774:15 0 +49 *3658:73 *3774:25 0 +50 *3658:85 *3774:25 0 +51 *3696:96 *40821:A 0 +52 *3730:110 *3774:15 0 +53 *3739:20 *3774:25 0 +54 *3739:20 *3774:33 0 +55 *3740:78 *3774:25 0 +56 *3752:14 *3774:13 0 +57 *3766:61 *3774:13 0 +58 *3766:81 *3774:15 0 +*RES +1 *40822:X *3774:13 31.9786 +2 *3774:13 *3774:15 53.1607 +3 *3774:15 *3774:24 10.0893 +4 *3774:24 *3774:25 109.375 +5 *3774:25 *3774:33 15.8929 +6 *3774:33 *40821:A 24.6393 +7 *3774:33 *8512:DIODE 9.3 +*END + +*D_NET *3775 0.0211939 +*CONN +*I *40822:A I *D sky130_fd_sc_hd__buf_4 +*I *8513:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40823:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40822:A 2.56688e-05 +2 *8513:DIODE 0.00013108 +3 *40823:X 0.00142585 +4 *3775:66 0.000733883 +5 *3775:61 0.00223703 +6 *3775:49 0.00440282 +7 *3775:48 0.00399654 +8 *3775:31 0.00403441 +9 *3775:30 0.00420664 +10 *40822:A *3819:75 0 +11 *3775:48 *4050:44 0 +12 *3775:48 *4365:46 0 +13 *3775:48 *4369:45 0 +14 *3775:49 *3790:49 0 +15 *3775:49 *4365:46 0 +16 *3775:49 *4369:47 0 +17 *3775:49 *4377:93 0 +18 *3775:61 *4099:38 0 +19 *3775:61 *4369:47 0 +20 *3775:66 *40861:A 0 +21 *3775:66 *3818:15 0 +22 *3775:66 *4716:6 0 +23 *37828:A *3775:30 0 +24 *37869:A *3775:48 0 +25 *39489:A *3775:48 0 +26 *39489:B *3775:48 0 +27 *40724:A *3775:30 0 +28 *40767:A *3775:49 0 +29 *1270:19 *3775:61 0 +30 *1270:27 *3775:49 0 +31 *1270:27 *3775:61 0 +32 *1410:40 *40822:A 0 +33 *1413:35 *3775:61 0 +34 *1693:37 *3775:48 0 +35 *2156:26 *3775:30 0 +36 *2217:94 *3775:31 0 +37 *2851:58 *3775:48 0 +38 *2925:37 *3775:48 0 +39 *2928:39 *8513:DIODE 0 +40 *3076:70 *3775:49 0 +41 *3083:67 *3775:30 0 +42 *3112:59 *3775:61 0 +43 *3191:28 *3775:48 0 +44 *3305:142 *8513:DIODE 0 +45 *3305:142 *3775:66 0 +46 *3354:30 *8513:DIODE 0 +47 *3354:30 *3775:66 0 +48 *3665:38 *3775:61 0 +49 *3669:17 *3775:61 0 +50 *3709:16 *3775:49 0 +51 *3714:18 *3775:30 0 +52 *3714:21 *3775:30 0 +53 *3714:21 *3775:31 0 +54 *3714:23 *3775:31 0 +55 *3714:23 *3775:48 0 +56 *3714:43 *3775:49 0 +57 *3723:29 *3775:30 0 +58 *3733:78 *3775:61 0 +*RES +1 *40823:X *3775:30 49.1214 +2 *3775:30 *3775:31 58.0357 +3 *3775:31 *3775:48 47.6055 +4 *3775:48 *3775:49 57.2143 +5 *3775:49 *3775:61 48.3571 +6 *3775:61 *3775:66 13.2321 +7 *3775:66 *8513:DIODE 16.6929 +8 *3775:66 *40822:A 14.3357 +*END + +*D_NET *3776 0.0253126 +*CONN +*I *5513:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37686:A I *D sky130_fd_sc_hd__and2_1 +*I *40824:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *5513:DIODE 0 +2 *37686:A 0.000210532 +3 *40824:X 0.0047586 +4 *3776:89 0.000456524 +5 *3776:84 0.00184644 +6 *3776:71 0.0024078 +7 *3776:50 0.00584071 +8 *3776:17 0.00979197 +9 *37686:A *5187:39 0 +10 *3776:17 *4340:40 0 +11 *3776:50 *38304:B 0 +12 *3776:50 *4087:49 0 +13 *3776:50 *4406:28 0 +14 *3776:71 *4334:58 0 +15 *3776:71 *4343:53 0 +16 *3776:71 *4406:28 0 +17 *3776:89 *7295:DIODE 0 +18 *3776:89 *4529:46 0 +19 *5430:DIODE *3776:50 0 +20 *6437:DIODE *3776:50 0 +21 *38304:A *3776:50 0 +22 *40560:A *3776:84 0 +23 *1031:93 *3776:71 0 +24 *1261:31 *3776:89 0 +25 *1457:42 *3776:84 0 +26 *2375:48 *3776:50 0 +27 *2413:25 *3776:50 0 +28 *2832:50 *3776:17 0 +29 *2871:21 *3776:17 0 +30 *2919:24 *3776:17 0 +31 *3008:33 *3776:17 0 +32 *3045:21 *3776:50 0 +33 *3045:47 *3776:71 0 +34 *3102:52 *3776:50 0 +35 *3110:24 *3776:17 0 +36 *3285:112 *3776:17 0 +37 *3291:52 *3776:17 0 +38 *3292:84 *3776:71 0 +39 *3308:15 *3776:17 0 +40 *3308:39 *3776:17 0 +41 *3308:39 *3776:50 0 +42 *3388:55 *3776:50 0 +43 *3389:28 *3776:84 0 +44 *3421:25 *37686:A 0 +45 *3433:30 *3776:17 0 +46 *3439:51 *3776:17 0 +47 *3439:51 *3776:50 0 +48 *3473:22 *3776:84 0 +49 *3475:17 *3776:89 0 +50 *3479:73 *3776:50 0 +51 *3487:75 *3776:71 0 +52 *3491:11 *3776:84 0 +53 *3756:37 *3776:17 0 +*RES +1 *40824:X *3776:17 42.2373 +2 *3776:17 *3776:50 48.8014 +3 *3776:50 *3776:71 39.2203 +4 *3776:71 *3776:84 49.5446 +5 *3776:84 *3776:89 5.19643 +6 *3776:89 *37686:A 22.925 +7 *3776:89 *5513:DIODE 9.3 +*END + +*D_NET *3777 0.0250969 +*CONN +*I *8515:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40824:A I *D sky130_fd_sc_hd__buf_2 +*I *40825:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8515:DIODE 0.00197535 +2 *40824:A 6.56358e-05 +3 *40825:X 0.00183254 +4 *3777:65 0.00861639 +5 *3777:46 0.00867494 +6 *3777:33 0.00393208 +7 *8515:DIODE *4045:53 0 +8 *3777:33 *3977:74 0 +9 *3777:33 *4135:96 0 +10 *3777:33 *4264:30 0 +11 *3777:33 *4281:59 0 +12 *3777:46 *4281:71 0 +13 *3777:65 *4045:43 0 +14 *3777:65 *4045:53 0 +15 *3777:65 *4215:74 0 +16 *3777:65 *4517:81 0 +17 *7494:DIODE *8515:DIODE 0 +18 *8303:DIODE *8515:DIODE 0 +19 *8303:DIODE *3777:65 0 +20 *40216:A *3777:65 0 +21 *40248:A *3777:65 0 +22 *1434:26 *3777:33 0 +23 *2381:35 *3777:65 0 +24 *2386:63 *3777:46 0 +25 *2393:28 *3777:65 0 +26 *2968:21 *3777:65 0 +27 *3158:16 *3777:33 0 +28 *3174:40 *3777:46 0 +29 *3235:80 *8515:DIODE 0 +30 *3245:21 *8515:DIODE 0 +31 *3257:23 *8515:DIODE 0 +32 *3257:35 *3777:65 0 +33 *3264:128 *8515:DIODE 0 +34 *3265:57 *3777:65 0 +35 *3265:86 *3777:46 0 +36 *3272:14 *3777:65 0 +37 *3291:52 *8515:DIODE 0 +38 *3294:24 *3777:65 0 +39 *3300:65 *3777:65 0 +40 *3310:20 *3777:65 0 +41 *3316:29 *3777:33 0 +42 *3435:76 *3777:65 0 +43 *3520:20 *3777:33 0 +44 *3520:20 *3777:46 0 +45 *3520:45 *3777:65 0 +46 *3529:35 *3777:46 0 +47 *3759:45 *3777:33 0 +48 *3759:45 *3777:46 0 +49 *3759:45 *3777:65 0 +*RES +1 *40825:X *3777:33 47.1029 +2 *3777:33 *3777:46 40.1173 +3 *3777:46 *3777:65 30.7305 +4 *3777:65 *40824:A 18.7011 +5 *3777:65 *8515:DIODE 25.898 +*END + +*D_NET *3778 0.0259423 +*CONN +*I *40825:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8516:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40826:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40825:A 8.17123e-05 +2 *8516:DIODE 4.53482e-05 +3 *40826:X 0.00129537 +4 *3778:26 0.00012706 +5 *3778:24 0.00269913 +6 *3778:23 0.00396575 +7 *3778:20 0.0088496 +8 *3778:8 0.00887835 +9 *8516:DIODE *4006:45 0 +10 *8516:DIODE *4119:70 0 +11 *40825:A *4006:45 0 +12 *40825:A *4119:70 0 +13 *3778:8 *3804:10 0 +14 *3778:8 *3804:14 0 +15 *3778:24 *3984:90 0 +16 *3778:24 *4355:8 0 +17 *3778:24 *4365:67 0 +18 *1031:52 *3778:20 0 +19 *1031:76 *3778:20 0 +20 *1031:76 *3778:23 0 +21 *2282:23 *3778:20 0 +22 *2293:25 *3778:24 0 +23 *2298:19 *3778:20 0 +24 *2305:47 *3778:24 0 +25 *2545:16 *3778:20 0 +26 *2689:12 *3778:20 0 +27 *2689:12 *3778:23 0 +28 *2746:8 *3778:24 0 +29 *2845:22 *3778:8 0 +30 *2917:11 *3778:20 0 +31 *2923:76 *3778:24 0 +32 *3518:131 *3778:24 0 +33 *3689:28 *3778:24 0 +34 *3710:36 *3778:24 0 +35 *3753:13 *3778:20 0 +36 *3766:25 *3778:24 0 +*RES +1 *40826:X *3778:8 46.6296 +2 *3778:8 *3778:20 46.9067 +3 *3778:20 *3778:23 7.9972 +4 *3778:23 *3778:24 61.2946 +5 *3778:24 *3778:26 4.5 +6 *3778:26 *8516:DIODE 10.2464 +7 *3778:26 *40825:A 11.0679 +*END + +*D_NET *3779 0.0222054 +*CONN +*I *37684:A I *D sky130_fd_sc_hd__and2_1 +*I *5511:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40827:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *37684:A 0.000251696 +2 *5511:DIODE 0 +3 *40827:X 0.00157449 +4 *3779:95 0.000828388 +5 *3779:94 0.00232802 +6 *3779:82 0.00357963 +7 *3779:75 0.0028373 +8 *3779:71 0.00217296 +9 *3779:50 0.0025705 +10 *3779:31 0.00294724 +11 *3779:20 0.00311519 +12 *37684:A *4744:24 0 +13 *3779:20 *4330:36 0 +14 *3779:20 *4330:46 0 +15 *3779:20 *4339:49 0 +16 *3779:31 *4330:46 0 +17 *3779:31 *4339:78 0 +18 *3779:71 *4330:63 0 +19 *3779:71 *4566:47 0 +20 *3779:75 *4330:63 0 +21 *3779:75 *4907:7 0 +22 *3779:82 *4406:28 0 +23 *3779:82 *4580:30 0 +24 *5587:DIODE *3779:82 0 +25 *6037:DIODE *3779:71 0 +26 *7967:DIODE *3779:75 0 +27 *7967:DIODE *3779:82 0 +28 *37688:A *3779:82 0 +29 *38087:A *3779:31 0 +30 *38361:A *3779:75 0 +31 *39970:A *3779:20 0 +32 *40813:A *3779:20 0 +33 *261:13 *3779:50 0 +34 *385:11 *3779:94 0 +35 *1243:10 *3779:82 0 +36 *1250:35 *3779:20 0 +37 *1250:57 *3779:31 0 +38 *1250:85 *3779:75 0 +39 *1421:17 *3779:71 0 +40 *1456:36 *3779:71 0 +41 *1553:13 *3779:31 0 +42 *2376:15 *3779:94 0 +43 *2803:46 *3779:94 0 +44 *2813:46 *3779:50 0 +45 *2817:54 *3779:20 0 +46 *2830:44 *3779:82 0 +47 *2963:17 *3779:82 0 +48 *2973:36 *3779:94 0 +49 *2990:13 *3779:94 0 +50 *2990:21 *3779:94 0 +51 *2990:21 *3779:95 0 +52 *2990:33 *37684:A 0 +53 *2990:33 *3779:95 0 +54 *3009:22 *3779:31 0 +55 *3009:42 *3779:82 0 +56 *3009:52 *3779:82 0 +57 *3013:64 *3779:31 0 +58 *3036:72 *3779:50 0 +59 *3088:18 *3779:50 0 +60 *3286:114 *3779:82 0 +61 *3473:36 *3779:50 0 +62 *3479:98 *3779:50 0 +63 *3480:11 *3779:82 0 +64 *3484:58 *3779:94 0 +65 *3484:58 *3779:95 0 +66 *3764:8 *3779:20 0 +67 *3764:8 *3779:31 0 +68 *3764:69 *3779:94 0 +69 *3765:41 *3779:20 0 +70 *3773:15 *3779:20 0 +71 *3773:33 *3779:20 0 +72 *3773:57 *3779:71 0 +73 *3773:57 *3779:75 0 +74 *3773:57 *3779:82 0 +*RES +1 *40827:X *3779:20 47.1214 +2 *3779:20 *3779:31 45.7143 +3 *3779:31 *3779:50 46.1266 +4 *3779:50 *3779:71 38.8929 +5 *3779:71 *3779:75 21.0893 +6 *3779:75 *3779:82 47.3214 +7 *3779:82 *3779:94 48.7841 +8 *3779:94 *3779:95 12.0357 +9 *3779:95 *5511:DIODE 9.3 +10 *3779:95 *37684:A 23.675 +*END + +*D_NET *3780 0.0203614 +*CONN +*I *8517:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40827:A I *D sky130_fd_sc_hd__buf_6 +*I *40828:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8517:DIODE 0 +2 *40827:A 8.57119e-05 +3 *40828:X 0.00119973 +4 *3780:83 0.00171028 +5 *3780:65 0.00400963 +6 *3780:61 0.00357737 +7 *3780:49 0.00275441 +8 *3780:26 0.00369332 +9 *3780:18 0.00333096 +10 *3780:18 *4248:109 0 +11 *3780:26 *3810:9 0 +12 *3780:26 *3991:108 0 +13 *3780:26 *3991:133 0 +14 *3780:26 *4225:69 0 +15 *3780:26 *4723:13 0 +16 *3780:49 *8635:DIODE 0 +17 *3780:49 *8915:DIODE 0 +18 *3780:49 *3941:19 0 +19 *3780:49 *3945:18 0 +20 *3780:49 *4084:31 0 +21 *3780:61 *3945:18 0 +22 *3780:61 *4069:5 0 +23 *3780:65 *4069:13 0 +24 *3780:65 *4069:21 0 +25 *3780:83 *4069:21 0 +26 *3780:83 *4351:33 0 +27 *3780:83 *4895:20 0 +28 *5713:DIODE *3780:26 0 +29 *5725:DIODE *3780:18 0 +30 *5729:DIODE *3780:61 0 +31 *6117:DIODE *3780:61 0 +32 *8189:DIODE *3780:26 0 +33 *8422:DIODE *3780:61 0 +34 *8470:DIODE *3780:18 0 +35 *8470:DIODE *3780:26 0 +36 *8502:DIODE *3780:18 0 +37 *8510:DIODE *3780:26 0 +38 *38041:A *3780:26 0 +39 *38288:A *3780:18 0 +40 *39575:A *3780:49 0 +41 *39628:A *3780:26 0 +42 *39632:A *3780:49 0 +43 *39973:A *3780:18 0 +44 *39982:A *3780:26 0 +45 *39986:A *3780:26 0 +46 *40712:A *3780:49 0 +47 *1207:74 *3780:61 0 +48 *1211:113 *3780:65 0 +49 *1327:19 *3780:26 0 +50 *1443:27 *3780:18 0 +51 *1445:53 *3780:61 0 +52 *1543:19 *3780:18 0 +53 *1824:88 *3780:49 0 +54 *2374:23 *3780:49 0 +55 *2384:46 *3780:61 0 +56 *2384:46 *3780:65 0 +57 *2421:18 *3780:49 0 +58 *2826:11 *3780:26 0 +59 *2929:64 *3780:18 0 +60 *2935:13 *3780:26 0 +61 *3008:89 *3780:61 0 +62 *3048:13 *3780:83 0 +63 *3432:67 *3780:83 0 +64 *3479:61 *3780:83 0 +65 *3487:73 *3780:83 0 +66 *3544:14 *3780:83 0 +67 *3650:75 *3780:26 0 +68 *3650:75 *3780:49 0 +69 *3650:93 *3780:49 0 +70 *3661:25 *3780:83 0 +71 *3684:95 *3780:65 0 +72 *3685:61 *3780:26 0 +73 *3719:52 *3780:65 0 +74 *3765:34 *3780:83 0 +75 *3770:10 *3780:26 0 +76 *3772:102 *3780:26 0 +*RES +1 *40828:X *3780:18 43.675 +2 *3780:18 *3780:26 49.0179 +3 *3780:26 *3780:49 46.6429 +4 *3780:49 *3780:61 25.0714 +5 *3780:61 *3780:65 49.8393 +6 *3780:65 *3780:83 39.2446 +7 *3780:83 *40827:A 20.2464 +8 *3780:83 *8517:DIODE 9.3 +*END + +*D_NET *3781 0.0215596 +*CONN +*I *40828:A I *D sky130_fd_sc_hd__buf_4 +*I *8518:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40829:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40828:A 0.000141446 +2 *8518:DIODE 0.000464079 +3 *40829:X 0.0019404 +4 *3781:59 0.00204651 +5 *3781:45 0.00823388 +6 *3781:24 0.0087333 +7 *8518:DIODE *4092:83 0 +8 *40828:A *4037:74 0 +9 *3781:24 *3795:65 0 +10 *3781:24 *3819:19 0 +11 *3781:24 *4345:84 0 +12 *3781:24 *4746:27 0 +13 *3781:45 *3822:76 0 +14 *3781:45 *3984:90 0 +15 *3781:45 *3984:125 0 +16 *3781:45 *4070:95 0 +17 *3781:59 *8696:DIODE 0 +18 *3781:59 *4103:47 0 +19 *8479:DIODE *8518:DIODE 0 +20 *8479:DIODE *3781:59 0 +21 *1232:23 *3781:45 0 +22 *1329:14 *3781:59 0 +23 *1331:31 *3781:59 0 +24 *1408:73 *3781:45 0 +25 *1411:15 *40828:A 0 +26 *1443:27 *40828:A 0 +27 *2242:65 *3781:24 0 +28 *2264:69 *3781:24 0 +29 *2437:20 *3781:24 0 +30 *2722:16 *8518:DIODE 0 +31 *2947:47 *3781:24 0 +32 *3103:22 *3781:24 0 +33 *3312:147 *3781:59 0 +34 *3372:76 *3781:24 0 +35 *3670:24 *3781:24 0 +36 *3670:29 *3781:24 0 +37 *3670:31 *3781:24 0 +38 *3692:9 *3781:24 0 +39 *3692:9 *3781:45 0 +40 *3733:44 *3781:45 0 +41 *3736:46 *3781:24 0 +42 *3736:47 *3781:45 0 +43 *3742:19 *3781:24 0 +44 *3742:19 *3781:45 0 +45 *3742:40 *3781:45 0 +46 *3769:51 *3781:45 0 +47 *3769:51 *3781:59 0 +48 *3772:32 *3781:45 0 +*RES +1 *40829:X *3781:24 49.4298 +2 *3781:24 *3781:45 47.341 +3 *3781:45 *3781:59 25.4928 +4 *3781:59 *8518:DIODE 24.3268 +5 *3781:59 *40828:A 17.2018 +*END + +*D_NET *3782 0.0195398 +*CONN +*I *8279:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40527:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38557:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8279:DIODE 0.000319566 +2 *40527:A 1.5412e-05 +3 *38557:X 5.89896e-05 +4 *3782:69 0.00175821 +5 *3782:61 0.00396509 +6 *3782:35 0.00401082 +7 *3782:9 0.00541084 +8 *3782:8 0.00400086 +9 *8279:DIODE *4046:76 0 +10 *3782:9 *39115:A 0 +11 *3782:9 *3905:23 0 +12 *3782:35 *39126:A 0 +13 *3782:35 *3860:37 0 +14 *3782:35 *4488:24 0 +15 *3782:35 *4490:73 0 +16 *3782:35 *4501:20 0 +17 *3782:61 *4488:74 0 +18 *3782:61 *4501:20 0 +19 *3782:69 *3971:12 0 +20 *3782:69 *4046:70 0 +21 la_data_in_mprj[75] *3782:9 0 +22 *5248:DIODE *3782:61 0 +23 *6570:DIODE *3782:69 0 +24 *37379:A *3782:9 0 +25 *40276:A *8279:DIODE 0 +26 *40276:A *3782:69 0 +27 *613:5 *3782:8 0 +28 *615:12 *3782:9 0 +29 *625:11 *3782:61 0 +30 *869:5 *3782:8 0 +31 *1987:36 *3782:61 0 +32 *2089:16 *3782:61 0 +33 *2129:29 *3782:69 0 +34 *2141:14 *3782:8 0 +35 *2141:25 *3782:9 0 +36 *2141:26 *3782:9 0 +37 *2394:46 *3782:35 0 +38 *2405:24 *3782:35 0 +39 *2407:41 *3782:61 0 +40 *3053:14 *3782:69 0 +41 *3140:60 *3782:69 0 +42 *3154:97 *8279:DIODE 0 +43 *3207:83 *3782:61 0 +44 *3320:12 *3782:9 0 +45 *3327:61 *8279:DIODE 0 +46 *3341:9 *3782:9 0 +47 *3534:92 *3782:69 0 +*RES +1 *38557:X *3782:8 19.6393 +2 *3782:8 *3782:9 82.2679 +3 *3782:9 *3782:35 49.9821 +4 *3782:35 *3782:61 49.3097 +5 *3782:61 *3782:69 40.0439 +6 *3782:69 *40527:A 9.72857 +7 *3782:69 *8279:DIODE 16.1393 +*END + +*D_NET *3783 0.0194383 +*CONN +*I *5508:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37682:A I *D sky130_fd_sc_hd__and2_1 +*I *40830:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *5508:DIODE 0.000794449 +2 *37682:A 0.000151474 +3 *40830:X 0.000321794 +4 *3783:79 0.00150294 +5 *3783:76 0.0025613 +6 *3783:66 0.00372616 +7 *3783:56 0.00268248 +8 *3783:41 0.00276706 +9 *3783:24 0.00320764 +10 *3783:14 0.00172297 +11 *5508:DIODE *4555:65 0 +12 *5508:DIODE *4602:40 0 +13 *5508:DIODE *4602:54 0 +14 *5508:DIODE *5167:67 0 +15 *5508:DIODE *5167:85 0 +16 *3783:14 *38096:B 0 +17 *3783:14 *4281:83 0 +18 *3783:24 *6123:DIODE 0 +19 *3783:41 *4149:68 0 +20 *3783:41 *4270:13 0 +21 *3783:76 *7136:DIODE 0 +22 *3783:76 *40203:A 0 +23 *3783:76 *4586:92 0 +24 *6753:DIODE *5508:DIODE 0 +25 *6760:DIODE *3783:79 0 +26 *8201:DIODE *3783:41 0 +27 *39586:A *3783:56 0 +28 *39616:A *3783:76 0 +29 *40205:A *3783:41 0 +30 *40307:A *3783:41 0 +31 *40353:A *3783:41 0 +32 *40555:A *37682:A 0 +33 *40578:A *3783:41 0 +34 *415:28 *5508:DIODE 0 +35 *537:43 *3783:76 0 +36 *540:55 *3783:76 0 +37 *1239:34 *3783:41 0 +38 *1829:81 *3783:76 0 +39 *2386:19 *3783:41 0 +40 *2386:19 *3783:56 0 +41 *2419:26 *3783:76 0 +42 *2425:13 *3783:41 0 +43 *2425:24 *3783:14 0 +44 *2425:24 *3783:24 0 +45 *2425:25 *3783:14 0 +46 *2426:65 *3783:56 0 +47 *2426:84 *3783:56 0 +48 *2820:22 *3783:24 0 +49 *3038:42 *5508:DIODE 0 +50 *3043:75 *3783:76 0 +51 *3112:24 *3783:56 0 +52 *3113:117 *3783:56 0 +53 *3188:66 *3783:76 0 +54 *3188:74 *3783:66 0 +55 *3188:111 *3783:41 0 +56 *3203:30 *3783:76 0 +57 *3208:27 *3783:66 0 +58 *3208:29 *3783:56 0 +59 *3208:29 *3783:66 0 +60 *3211:15 *3783:76 0 +61 *3211:42 *3783:76 0 +62 *3262:49 *5508:DIODE 0 +63 *3262:49 *3783:79 0 +64 *3263:10 *3783:56 0 +65 *3276:74 *3783:41 0 +66 *3287:91 *3783:24 0 +67 *3294:59 *3783:41 0 +68 *3430:71 *3783:41 0 +*RES +1 *40830:X *3783:14 25.2643 +2 *3783:14 *3783:24 38.4464 +3 *3783:24 *3783:41 47.3036 +4 *3783:41 *3783:56 29.3393 +5 *3783:56 *3783:66 45.1071 +6 *3783:66 *3783:76 46.8393 +7 *3783:76 *3783:79 16.125 +8 *3783:79 *37682:A 21.6929 +9 *3783:79 *5508:DIODE 26.2821 +*END + +*D_NET *3784 0.0245479 +*CONN +*I *40830:A I *D sky130_fd_sc_hd__buf_2 +*I *8520:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40831:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40830:A 0 +2 *8520:DIODE 0.000536695 +3 *40831:X 0.00377618 +4 *3784:82 0.00521336 +5 *3784:59 0.00796106 +6 *3784:29 0.00706058 +7 *8520:DIODE *8191:DIODE 0 +8 *3784:29 *3942:61 0 +9 *3784:29 *3943:50 0 +10 *3784:29 *4022:31 0 +11 *3784:29 *4113:75 0 +12 *3784:29 *4324:100 0 +13 *3784:29 *4358:94 0 +14 *3784:29 *4372:22 0 +15 *3784:29 *4379:66 0 +16 *3784:59 *3956:80 0 +17 *3784:59 *3988:11 0 +18 *3784:59 *4061:119 0 +19 *3784:59 *4135:96 0 +20 *3784:59 *4886:21 0 +21 *3784:82 *4045:43 0 +22 *3784:82 *4252:50 0 +23 *3784:82 *4275:67 0 +24 *3784:82 *4276:34 0 +25 *5470:DIODE *3784:29 0 +26 *8521:DIODE *3784:29 0 +27 *37774:B *3784:59 0 +28 *40577:A *3784:82 0 +29 *1224:66 *3784:59 0 +30 *1229:29 *3784:29 0 +31 *1880:22 *8520:DIODE 0 +32 *2259:75 *3784:29 0 +33 *2279:75 *3784:29 0 +34 *2393:28 *3784:82 0 +35 *2472:33 *3784:59 0 +36 *2794:13 *8520:DIODE 0 +37 *3121:42 *3784:82 0 +38 *3121:56 *3784:59 0 +39 *3203:79 *3784:82 0 +40 *3234:27 *3784:59 0 +41 *3234:27 *3784:82 0 +42 *3265:86 *3784:59 0 +43 *3312:78 *3784:59 0 +44 *3340:61 *3784:29 0 +45 *3515:34 *3784:82 0 +46 *3520:45 *3784:82 0 +47 *3626:29 *3784:29 0 +48 *3653:36 *3784:59 0 +49 *3726:28 *3784:29 0 +50 *3759:45 *3784:59 0 +51 *3759:45 *3784:82 0 +52 *3759:57 *3784:82 0 +53 *3766:25 *3784:29 0 +*RES +1 *40831:X *3784:29 48.3074 +2 *3784:29 *3784:59 42.3351 +3 *3784:59 *3784:82 49.2905 +4 *3784:82 *8520:DIODE 25.7286 +5 *3784:82 *40830:A 13.8 +*END + +*D_NET *3785 0.0212695 +*CONN +*I *5506:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37680:A I *D sky130_fd_sc_hd__and2_1 +*I *40832:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5506:DIODE 0.00071642 +2 *37680:A 4.83321e-05 +3 *40832:X 0.00125465 +4 *3785:71 0.00127 +5 *3785:67 0.00306956 +6 *3785:66 0.00315843 +7 *3785:59 0.00283243 +8 *3785:48 0.00374775 +9 *3785:34 0.00271337 +10 *3785:16 0.00245858 +11 *5506:DIODE *38096:A_N 0 +12 *5506:DIODE *4215:8 0 +13 *3785:34 *3792:41 0 +14 *3785:34 *3800:53 0 +15 *3785:34 *3807:49 0 +16 *3785:34 *3882:37 0 +17 *3785:34 *4016:14 0 +18 *3785:34 *4513:31 0 +19 *3785:48 *37640:A 0 +20 *3785:48 *3807:49 0 +21 *3785:48 *3882:53 0 +22 *3785:48 *4506:62 0 +23 *3785:48 *4513:101 0 +24 *3785:59 *4878:16 0 +25 *3785:59 *4884:14 0 +26 *3785:66 *4517:62 0 +27 *37397:A *3785:59 0 +28 *37397:A *3785:66 0 +29 *37401:A *3785:59 0 +30 *396:25 *5506:DIODE 0 +31 *1987:61 *3785:16 0 +32 *2381:73 *3785:66 0 +33 *2390:12 *5506:DIODE 0 +34 *2390:49 *3785:34 0 +35 *2393:63 *3785:48 0 +36 *2583:20 *3785:16 0 +37 *2583:35 *3785:16 0 +38 *3121:7 *3785:71 0 +39 *3121:9 *3785:67 0 +40 *3121:9 *3785:71 0 +41 *3134:59 *3785:48 0 +42 *3207:64 *3785:48 0 +43 *3217:21 *3785:67 0 +44 *3217:33 *3785:66 0 +45 *3217:33 *3785:67 0 +46 *3244:11 *3785:59 0 +47 *3244:11 *3785:66 0 +48 *3244:11 *3785:67 0 +49 *3265:23 *5506:DIODE 0 +50 *3265:23 *3785:71 0 +51 *3294:9 *3785:67 0 +52 *3300:28 *3785:59 0 +53 *3300:40 *3785:67 0 +54 *3321:73 *3785:59 0 +55 *3442:66 *3785:16 0 +56 *3442:92 *3785:16 0 +57 *3504:20 *3785:59 0 +58 *3529:62 *3785:66 0 +59 *3744:63 *3785:59 0 +*RES +1 *40832:X *3785:16 46.9786 +2 *3785:16 *3785:34 47.1055 +3 *3785:34 *3785:48 40.8214 +4 *3785:48 *3785:59 46.8393 +5 *3785:59 *3785:66 21.5714 +6 *3785:66 *3785:67 53.5179 +7 *3785:67 *3785:71 10.6071 +8 *3785:71 *37680:A 19.3357 +9 *3785:71 *5506:DIODE 33.5857 +*END + +*D_NET *3786 0.024766 +*CONN +*I *37678:A I *D sky130_fd_sc_hd__and2_1 +*I *5503:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40833:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37678:A 0 +2 *5503:DIODE 0.000134975 +3 *40833:X 0.00100861 +4 *3786:90 0.000666747 +5 *3786:82 0.00256432 +6 *3786:74 0.00337915 +7 *3786:59 0.00636338 +8 *3786:44 0.00732846 +9 *3786:22 0.00332031 +10 *3786:22 *3806:15 0 +11 *3786:44 *8757:DIODE 0 +12 *3786:44 *4101:36 0 +13 *3786:44 *4342:38 0 +14 *3786:44 *4368:47 0 +15 *3786:44 *5147:25 0 +16 *3786:59 *8965:DIODE 0 +17 *3786:59 *4050:29 0 +18 *3786:59 *4377:32 0 +19 *3786:59 *4378:58 0 +20 *3786:59 *5139:16 0 +21 *3786:74 *4055:31 0 +22 *3786:82 *37652:B 0 +23 *3786:82 *4109:15 0 +24 *3786:90 *37642:B 0 +25 *3786:90 *37652:B 0 +26 *3786:90 *4016:14 0 +27 *5688:DIODE *3786:59 0 +28 *37824:A *3786:22 0 +29 *37824:B *3786:22 0 +30 *37828:B *3786:59 0 +31 *40642:A *3786:59 0 +32 *40852:A *3786:22 0 +33 *336:52 *3786:59 0 +34 *1203:54 *3786:44 0 +35 *1227:26 *5503:DIODE 0 +36 *1311:18 *3786:22 0 +37 *1313:14 *3786:59 0 +38 *1430:18 *3786:82 0 +39 *1511:10 *3786:59 0 +40 *2217:49 *3786:22 0 +41 *2217:73 *3786:44 0 +42 *2217:80 *3786:44 0 +43 *2221:75 *3786:22 0 +44 *2227:48 *3786:22 0 +45 *2227:75 *3786:59 0 +46 *2267:57 *3786:44 0 +47 *2270:55 *3786:59 0 +48 *2279:49 *3786:59 0 +49 *2292:50 *3786:44 0 +50 *2304:32 *3786:44 0 +51 *2317:26 *3786:44 0 +52 *2322:40 *3786:44 0 +53 *2325:78 *3786:74 0 +54 *2344:7 *3786:59 0 +55 *2846:22 *3786:82 0 +56 *2932:12 *3786:59 0 +57 *2936:37 *3786:59 0 +58 *3054:46 *3786:59 0 +59 *3078:31 *3786:74 0 +60 *3080:95 *3786:59 0 +61 *3083:45 *3786:74 0 +62 *3085:33 *3786:82 0 +63 *3120:50 *3786:59 0 +64 *3186:46 *3786:59 0 +65 *3316:29 *5503:DIODE 0 +66 *3436:21 *5503:DIODE 0 +67 *3518:90 *3786:82 0 +68 *3553:27 *3786:44 0 +69 *3612:28 *3786:22 0 +70 *3612:34 *3786:22 0 +71 *3665:21 *3786:74 0 +72 *3689:15 *3786:59 0 +73 *3723:52 *3786:74 0 +74 *3757:11 *3786:59 0 +75 *3757:22 *3786:74 0 +*RES +1 *40833:X *3786:22 47.7011 +2 *3786:22 *3786:44 48.6289 +3 *3786:44 *3786:59 49.7191 +4 *3786:59 *3786:74 43.9983 +5 *3786:74 *3786:82 46.25 +6 *3786:82 *3786:90 16.6696 +7 *3786:90 *5503:DIODE 12.3179 +8 *3786:90 *37678:A 9.3 +*END + +*D_NET *3787 0.0236365 +*CONN +*I *5497:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37674:A I *D sky130_fd_sc_hd__and2_1 +*I *40834:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5497:DIODE 8.4707e-05 +2 *37674:A 0.00032367 +3 *40834:X 0.00282193 +4 *3787:92 0.00324928 +5 *3787:67 0.00439892 +6 *3787:54 0.0028628 +7 *3787:48 0.00271887 +8 *3787:38 0.00288423 +9 *3787:22 0.00429207 +10 *5497:DIODE *3807:41 0 +11 *5497:DIODE *3882:37 0 +12 *3787:22 *3791:24 0 +13 *3787:22 *3791:45 0 +14 *3787:22 *5140:22 0 +15 *3787:22 *5147:42 0 +16 *3787:38 *3808:19 0 +17 *3787:38 *4367:26 0 +18 *3787:38 *4986:10 0 +19 *3787:38 *5113:14 0 +20 *3787:38 *5125:50 0 +21 *3787:38 *5127:41 0 +22 *3787:54 *4336:9 0 +23 *3787:67 *4218:43 0 +24 *3787:67 *4336:9 0 +25 *3787:92 *4114:28 0 +26 *3787:92 *4253:87 0 +27 *3787:92 *4358:51 0 +28 *5674:DIODE *3787:22 0 +29 *5678:DIODE *3787:67 0 +30 *6373:DIODE *3787:38 0 +31 *37847:A *3787:38 0 +32 *40297:A *3787:48 0 +33 *2059:39 *3787:22 0 +34 *2087:35 *3787:92 0 +35 *2087:41 *3787:92 0 +36 *2089:25 *37674:A 0 +37 *2221:89 *3787:22 0 +38 *2221:89 *3787:38 0 +39 *2227:48 *3787:22 0 +40 *2262:26 *3787:48 0 +41 *2297:14 *3787:48 0 +42 *2304:32 *3787:38 0 +43 *2322:27 *3787:22 0 +44 *2324:52 *3787:22 0 +45 *2324:59 *3787:22 0 +46 *2325:27 *3787:48 0 +47 *2331:10 *3787:38 0 +48 *2335:16 *3787:38 0 +49 *2345:19 *3787:48 0 +50 *2435:52 *3787:92 0 +51 *2437:20 *3787:67 0 +52 *2527:19 *37674:A 0 +53 *2732:67 *3787:38 0 +54 *2947:87 *3787:48 0 +55 *3181:50 *3787:67 0 +56 *3191:57 *3787:67 0 +57 *3320:156 *3787:92 0 +58 *3442:66 *37674:A 0 +59 *3442:66 *3787:92 0 +60 *3444:5 *5497:DIODE 0 +61 *3553:27 *3787:22 0 +62 *3566:21 *3787:22 0 +63 *3588:32 *3787:22 0 +64 *3689:15 *3787:67 0 +65 *3698:17 *3787:38 0 +*RES +1 *40834:X *3787:22 49.4684 +2 *3787:22 *3787:38 36.6374 +3 *3787:38 *3787:48 38.7321 +4 *3787:48 *3787:54 36.3393 +5 *3787:54 *3787:67 47.2022 +6 *3787:67 *3787:92 23.7821 +7 *3787:92 *37674:A 20.9607 +8 *3787:92 *5497:DIODE 15.5679 +*END + +*D_NET *3788 0.0206003 +*CONN +*I *5492:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37670:A I *D sky130_fd_sc_hd__and2_1 +*I *40835:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5492:DIODE 0.000246384 +2 *37670:A 0 +3 *40835:X 0.00158518 +4 *3788:72 0.00203458 +5 *3788:52 0.00334879 +6 *3788:46 0.00220401 +7 *3788:40 0.00511977 +8 *3788:20 0.00606153 +9 *5492:DIODE *4171:7 0 +10 *5492:DIODE *5105:7 0 +11 *3788:20 *4135:45 0 +12 *3788:20 *4253:72 0 +13 *3788:40 *3860:61 0 +14 *3788:40 *4507:27 0 +15 *3788:40 *4513:31 0 +16 *3788:46 *4880:27 0 +17 *3788:52 *4648:17 0 +18 *3788:72 *4182:20 0 +19 *6990:DIODE *3788:72 0 +20 *37670:B *3788:72 0 +21 *393:8 *5492:DIODE 0 +22 *896:8 *3788:46 0 +23 *1230:20 *3788:46 0 +24 *2072:48 *3788:20 0 +25 *2087:35 *3788:40 0 +26 *2538:19 *3788:40 0 +27 *2549:37 *3788:46 0 +28 *2727:18 *3788:52 0 +29 *2738:7 *3788:72 0 +30 *2760:44 *3788:52 0 +31 *3025:21 *3788:72 0 +32 *3032:36 *3788:40 0 +33 *3041:11 *3788:72 0 +34 *3134:23 *3788:52 0 +35 *3236:53 *3788:20 0 +36 *3244:32 *3788:40 0 +37 *3248:42 *3788:40 0 +38 *3250:64 *5492:DIODE 0 +39 *3314:28 *3788:40 0 +40 *3318:13 *3788:20 0 +41 *3500:20 *3788:40 0 +42 *3500:32 *3788:72 0 +43 *3525:17 *3788:40 0 +*RES +1 *40835:X *3788:20 48.6025 +2 *3788:20 *3788:40 44.2381 +3 *3788:40 *3788:46 22.6607 +4 *3788:46 *3788:52 41.6786 +5 *3788:52 *3788:72 45.1049 +6 *3788:72 *37670:A 13.8 +7 *3788:72 *5492:DIODE 19.1571 +*END + +*D_NET *3789 0.0241412 +*CONN +*I *37668:A I *D sky130_fd_sc_hd__and2_1 +*I *5490:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40836:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37668:A 4.53482e-05 +2 *5490:DIODE 0.00029949 +3 *40836:X 0.00147121 +4 *3789:102 0.000985846 +5 *3789:98 0.00224713 +6 *3789:75 0.00332235 +7 *3789:65 0.00379906 +8 *3789:43 0.00407644 +9 *3789:40 0.00272976 +10 *3789:34 0.00221474 +11 *3789:22 0.00294981 +12 *5490:DIODE *4049:43 0 +13 *37668:A *4078:7 0 +14 *37668:A *4408:78 0 +15 *3789:22 *4341:22 0 +16 *3789:22 *4378:50 0 +17 *3789:34 *4100:11 0 +18 *3789:40 *3791:45 0 +19 *3789:43 *5125:30 0 +20 *3789:65 *4114:28 0 +21 *3789:65 *4367:51 0 +22 *3789:75 *3795:60 0 +23 *3789:75 *4355:8 0 +24 *3789:75 *5143:13 0 +25 *3789:102 *8673:DIODE 0 +26 *3789:102 *4128:80 0 +27 *37829:A *3789:34 0 +28 *37843:A *3789:65 0 +29 *37997:A *3789:65 0 +30 *40081:A *3789:75 0 +31 *1029:84 *3789:40 0 +32 *1029:84 *3789:65 0 +33 *2217:84 *3789:34 0 +34 *2225:43 *3789:40 0 +35 *2232:57 *3789:34 0 +36 *2250:44 *3789:22 0 +37 *2267:57 *3789:22 0 +38 *2304:32 *3789:22 0 +39 *2315:55 *3789:98 0 +40 *2336:25 *3789:40 0 +41 *2649:11 *5490:DIODE 0 +42 *2752:10 *3789:40 0 +43 *2915:17 *3789:65 0 +44 *2936:15 *3789:65 0 +45 *2936:17 *3789:43 0 +46 *2937:39 *3789:75 0 +47 *2939:46 *3789:75 0 +48 *2940:14 *3789:75 0 +49 *2944:42 *3789:75 0 +50 *2961:36 *3789:65 0 +51 *3124:31 *3789:98 0 +52 *3197:55 *3789:65 0 +53 *3307:46 *3789:98 0 +54 *3531:36 *3789:65 0 +55 *3581:8 *3789:22 0 +56 *3655:18 *3789:65 0 +57 *3679:38 *3789:43 0 +58 *3687:21 *3789:75 0 +59 *3687:31 *3789:98 0 +60 *3710:17 *3789:43 0 +61 *3710:36 *3789:98 0 +*RES +1 *40836:X *3789:22 41.4862 +2 *3789:22 *3789:34 48.3654 +3 *3789:34 *3789:40 14.126 +4 *3789:40 *3789:43 46.1071 +5 *3789:43 *3789:65 46.139 +6 *3789:65 *3789:75 49.4821 +7 *3789:75 *3789:98 49.3214 +8 *3789:98 *3789:102 18.8571 +9 *3789:102 *5490:DIODE 20.6036 +10 *3789:102 *37668:A 14.7464 +*END + +*D_NET *3790 0.0228618 +*CONN +*I *37666:A I *D sky130_fd_sc_hd__and2_1 +*I *5488:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40837:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37666:A 0 +2 *5488:DIODE 0.000245051 +3 *40837:X 0.00145521 +4 *3790:64 0.00194696 +5 *3790:49 0.00362978 +6 *3790:42 0.0045409 +7 *3790:28 0.0045277 +8 *3790:18 0.00348785 +9 *3790:11 0.00302839 +10 *3790:11 *8965:DIODE 0 +11 *3790:11 *4362:18 0 +12 *3790:11 *4363:61 0 +13 *3790:11 *4377:16 0 +14 *3790:18 *4362:23 0 +15 *3790:18 *4377:32 0 +16 *3790:42 *4055:31 0 +17 *3790:49 *4098:59 0 +18 *3790:49 *4362:54 0 +19 *3790:49 *4369:47 0 +20 *3790:49 *4377:93 0 +21 *3790:64 *4034:48 0 +22 *3790:64 *4050:44 0 +23 *3790:64 *4058:18 0 +24 *3790:64 *4267:62 0 +25 *40093:A *3790:18 0 +26 *40776:A *3790:11 0 +27 *40935:A *3790:11 0 +28 *1287:25 *3790:64 0 +29 *1432:41 *5488:DIODE 0 +30 *1437:59 *3790:64 0 +31 *1532:36 *3790:42 0 +32 *2272:69 *3790:11 0 +33 *2326:23 *3790:11 0 +34 *2338:18 *3790:11 0 +35 *2346:39 *3790:11 0 +36 *2412:31 *3790:42 0 +37 *2429:34 *3790:64 0 +38 *2950:11 *3790:18 0 +39 *3076:52 *3790:64 0 +40 *3076:70 *3790:49 0 +41 *3078:31 *3790:28 0 +42 *3080:95 *3790:18 0 +43 *3083:44 *3790:42 0 +44 *3083:45 *3790:42 0 +45 *3446:89 *3790:64 0 +46 *3691:37 *3790:42 0 +47 *3714:23 *3790:28 0 +48 *3723:24 *3790:11 0 +49 *3723:24 *3790:18 0 +50 *3723:42 *3790:28 0 +51 *3775:49 *3790:49 0 +*RES +1 *40837:X *3790:11 39.7643 +2 *3790:11 *3790:18 42.0714 +3 *3790:18 *3790:28 49.3036 +4 *3790:28 *3790:42 48.8001 +5 *3790:42 *3790:49 49.3929 +6 *3790:49 *3790:64 28.6873 +7 *3790:64 *5488:DIODE 19.3179 +8 *3790:64 *37666:A 13.8 +*END + +*D_NET *3791 0.0232994 +*CONN +*I *37664:A I *D sky130_fd_sc_hd__and2_1 +*I *5485:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40838:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37664:A 0.000143448 +2 *5485:DIODE 0 +3 *40838:X 0.00130881 +4 *3791:54 0.001398 +5 *3791:45 0.00933896 +6 *3791:36 0.00894288 +7 *3791:24 0.00216728 +8 *3791:24 *3797:24 0 +9 *3791:45 *3808:19 0 +10 *3791:45 *3825:42 0 +11 *3791:45 *4114:28 0 +12 *3791:45 *5128:26 0 +13 *3791:45 *5142:16 0 +14 *1029:84 *3791:45 0 +15 *1293:27 *3791:24 0 +16 *1298:36 *3791:45 0 +17 *1557:44 *3791:45 0 +18 *1560:26 *3791:45 0 +19 *2217:49 *3791:24 0 +20 *2266:65 *3791:45 0 +21 *2277:21 *3791:24 0 +22 *2279:28 *3791:24 0 +23 *2280:30 *3791:45 0 +24 *2304:51 *3791:45 0 +25 *2865:39 *3791:36 0 +26 *2936:37 *3791:45 0 +27 *2947:24 *3791:54 0 +28 *3197:55 *3791:45 0 +29 *3358:72 *3791:54 0 +30 *3358:81 *37664:A 0 +31 *3358:81 *3791:54 0 +32 *3526:97 *37664:A 0 +33 *3531:36 *3791:45 0 +34 *3566:21 *3791:45 0 +35 *3566:51 *3791:24 0 +36 *3575:25 *3791:36 0 +37 *3608:12 *3791:24 0 +38 *3659:32 *3791:54 0 +39 *3680:42 *37664:A 0 +40 *3706:10 *3791:54 0 +41 *3720:35 *37664:A 0 +42 *3772:20 *3791:54 0 +43 *3787:22 *3791:24 0 +44 *3787:22 *3791:45 0 +45 *3789:40 *3791:45 0 +*RES +1 *40838:X *3791:24 42.5825 +2 *3791:24 *3791:36 35.0796 +3 *3791:36 *3791:45 42.1672 +4 *3791:45 *3791:54 28.9196 +5 *3791:54 *5485:DIODE 13.8 +6 *3791:54 *37664:A 17.0679 +*END + +*D_NET *3792 0.017224 +*CONN +*I *5483:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37662:A I *D sky130_fd_sc_hd__and2_1 +*I *40839:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5483:DIODE 8.85714e-05 +2 *37662:A 1.21715e-05 +3 *40839:X 0.00279772 +4 *3792:41 0.00581426 +5 *3792:37 0.00851124 +6 *3792:37 *3809:30 0 +7 *3792:37 *4072:20 0 +8 *3792:37 *4277:33 0 +9 *3792:37 *4490:73 0 +10 *3792:37 *4858:15 0 +11 *3792:41 *3927:66 0 +12 *3792:41 *3960:18 0 +13 *3792:41 *3973:21 0 +14 *3792:41 *4513:31 0 +15 *3792:41 *4878:61 0 +16 *6858:DIODE *3792:41 0 +17 *2052:21 *3792:37 0 +18 *2103:48 *3792:37 0 +19 *2141:57 *3792:41 0 +20 *2409:29 *3792:37 0 +21 *2415:50 *3792:37 0 +22 *2415:50 *3792:41 0 +23 *2428:46 *3792:37 0 +24 *2435:52 *3792:41 0 +25 *2605:23 *3792:41 0 +26 *2760:57 *3792:41 0 +27 *3086:51 *3792:41 0 +28 *3143:25 *3792:37 0 +29 *3147:19 *3792:37 0 +30 *3147:36 *3792:37 0 +31 *3255:27 *3792:37 0 +32 *3322:18 *3792:37 0 +33 *3322:18 *3792:41 0 +34 *3518:39 *3792:41 0 +35 *3744:63 *3792:41 0 +36 *3785:34 *3792:41 0 +*RES +1 *40839:X *3792:37 46.0604 +2 *3792:37 *3792:41 24.1041 +3 *3792:41 *37662:A 14.0768 +4 *3792:41 *5483:DIODE 15.8268 +*END + +*D_NET *3793 0.0153465 +*CONN +*I *6162:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38116:C I *D sky130_fd_sc_hd__and3b_1 +*I *38558:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *6162:DIODE 0 +2 *38116:C 0.000246659 +3 *38558:X 0.00115296 +4 *3793:95 0.0012563 +5 *3793:93 0.00220174 +6 *3793:80 0.00251225 +7 *3793:65 0.00226113 +8 *3793:42 0.00275173 +9 *3793:20 0.0029637 +10 *38116:C *4270:78 0 +11 *38116:C *4563:89 0 +12 *38116:C *5182:46 0 +13 *3793:20 *5105:66 0 +14 *3793:65 *40222:A 0 +15 *3793:65 *5084:23 0 +16 *3793:80 *8691:DIODE 0 +17 *3793:80 *4263:53 0 +18 *3793:80 *4322:40 0 +19 *3793:93 *38102:B 0 +20 *3793:93 *4270:66 0 +21 *3793:95 *3975:29 0 +22 *3793:95 *4411:42 0 +23 *3793:95 *4427:93 0 +24 *6660:DIODE *3793:20 0 +25 *6754:DIODE *3793:65 0 +26 *38110:C *3793:80 0 +27 *40289:A *3793:65 0 +28 *40477:A *3793:95 0 +29 *407:10 *3793:20 0 +30 *535:29 *3793:42 0 +31 *1450:45 *38116:C 0 +32 *1462:15 *3793:93 0 +33 *1462:15 *3793:95 0 +34 *1854:20 *3793:20 0 +35 *2368:41 *3793:42 0 +36 *2371:20 *3793:20 0 +37 *2893:17 *3793:20 0 +38 *2972:118 *3793:42 0 +39 *3023:58 *3793:93 0 +40 *3037:66 *3793:20 0 +41 *3107:53 *3793:95 0 +42 *3113:81 *3793:42 0 +43 *3122:35 *3793:42 0 +44 *3179:43 *3793:42 0 +45 *3184:57 *3793:65 0 +46 *3203:60 *3793:42 0 +47 *3211:49 *3793:42 0 +48 *3221:36 *3793:42 0 +49 *3264:128 *3793:42 0 +50 *3348:112 *3793:93 0 +51 *3405:22 *3793:65 0 +52 *3405:41 *3793:65 0 +53 *3411:91 *3793:80 0 +54 *3413:94 *3793:80 0 +55 *3413:103 *3793:93 0 +56 *3413:109 *3793:93 0 +57 *3416:26 *3793:42 0 +58 *3416:54 *3793:80 0 +59 *3416:54 *3793:93 0 +60 *3422:67 *3793:42 0 +61 *3460:73 *3793:42 0 +62 *3460:73 *3793:65 0 +63 *3496:19 *3793:65 0 +64 *3501:34 *3793:80 0 +65 *3515:34 *3793:42 0 +66 *3535:14 *3793:65 0 +67 *3722:69 *3793:42 0 +*RES +1 *38558:X *3793:20 48.3714 +2 *3793:20 *3793:42 48.5188 +3 *3793:42 *3793:65 47.4286 +4 *3793:65 *3793:80 46.8304 +5 *3793:80 *3793:93 38.9196 +6 *3793:93 *3793:95 21.0714 +7 *3793:95 *38116:C 23.6929 +8 *3793:95 *6162:DIODE 9.3 +*END + +*D_NET *3794 0.00484273 +*CONN +*I *40526:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38559:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40526:A 0 +2 *38559:X 0.00242137 +3 *3794:16 0.00242137 +4 *3794:16 *3805:12 0 +5 *3794:16 *4488:11 0 +6 *3794:16 *5192:9 0 +7 *1967:37 *3794:16 0 +8 *2040:11 *3794:16 0 +9 *2361:16 *3794:16 0 +10 *3320:29 *3794:16 0 +11 *3328:43 *3794:16 0 +12 *3341:27 *3794:16 0 +13 *3442:24 *3794:16 0 +*RES +1 *38559:X *3794:16 48.4955 +2 *3794:16 *40526:A 13.8 +*END + +*D_NET *3795 0.0272071 +*CONN +*I *37660:A I *D sky130_fd_sc_hd__and2_1 +*I *5480:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40840:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37660:A 3.35833e-05 +2 *5480:DIODE 0.000111361 +3 *40840:X 0.0013234 +4 *3795:65 0.00141472 +5 *3795:60 0.00276879 +6 *3795:49 0.00803707 +7 *3795:48 0.00657026 +8 *3795:43 0.00122057 +9 *3795:28 0.00279614 +10 *3795:14 0.00293118 +11 *5480:DIODE *3812:77 0 +12 *5480:DIODE *4324:70 0 +13 *37660:A *4128:57 0 +14 *3795:14 *5116:54 0 +15 *3795:14 *5118:12 0 +16 *3795:14 *5135:16 0 +17 *3795:28 *4347:14 0 +18 *3795:43 *38960:A 0 +19 *3795:43 *5121:35 0 +20 *3795:43 *5123:11 0 +21 *3795:49 *5146:9 0 +22 *3795:60 *4089:37 0 +23 *3795:60 *4705:24 0 +24 *3795:65 *4113:53 0 +25 *3795:65 *4128:50 0 +26 *3795:65 *4128:57 0 +27 *3795:65 *4358:68 0 +28 *3795:65 *4705:14 0 +29 *38251:A *3795:28 0 +30 *355:21 *3795:60 0 +31 *1224:20 *37660:A 0 +32 *1224:20 *3795:65 0 +33 *1309:21 *3795:14 0 +34 *1525:20 *3795:65 0 +35 *2253:31 *3795:28 0 +36 *2253:32 *3795:28 0 +37 *2254:38 *3795:14 0 +38 *2256:24 *3795:43 0 +39 *2256:24 *3795:49 0 +40 *2260:48 *3795:49 0 +41 *2264:69 *3795:65 0 +42 *2266:25 *3795:14 0 +43 *2268:17 *3795:14 0 +44 *2281:23 *3795:28 0 +45 *2298:20 *3795:49 0 +46 *2317:55 *3795:60 0 +47 *2317:55 *3795:65 0 +48 *2522:5 *3795:43 0 +49 *2532:28 *3795:28 0 +50 *2545:16 *3795:43 0 +51 *2637:20 *3795:14 0 +52 *2677:7 *3795:43 0 +53 *2677:18 *3795:28 0 +54 *2693:14 *3795:14 0 +55 *2726:21 *3795:49 0 +56 *2728:16 *3795:43 0 +57 *2731:32 *3795:28 0 +58 *2736:47 *3795:28 0 +59 *2746:11 *3795:49 0 +60 *2848:10 *3795:14 0 +61 *2851:22 *3795:14 0 +62 *2853:14 *3795:14 0 +63 *2936:42 *3795:14 0 +64 *2939:46 *3795:60 0 +65 *2947:47 *3795:65 0 +66 *3166:37 *3795:49 0 +67 *3362:21 *3795:48 0 +68 *3612:34 *3795:14 0 +69 *3659:32 *3795:60 0 +70 *3781:24 *3795:65 0 +71 *3789:75 *3795:60 0 +*RES +1 *40840:X *3795:14 48.3714 +2 *3795:14 *3795:28 47.5536 +3 *3795:28 *3795:43 47.6429 +4 *3795:43 *3795:48 9.73214 +5 *3795:48 *3795:49 136.482 +6 *3795:49 *3795:60 47.1696 +7 *3795:60 *3795:65 32.9554 +8 *3795:65 *5480:DIODE 20.7821 +9 *3795:65 *37660:A 10.1393 +*END + +*D_NET *3796 0.0288563 +*CONN +*I *37658:A I *D sky130_fd_sc_hd__and2_1 +*I *5478:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40841:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37658:A 0 +2 *5478:DIODE 0.000422621 +3 *40841:X 4.53482e-05 +4 *3796:38 0.00133091 +5 *3796:29 0.00254873 +6 *3796:22 0.00252065 +7 *3796:17 0.00820992 +8 *3796:16 0.00866755 +9 *3796:10 0.00320153 +10 *3796:7 0.00190905 +11 *5478:DIODE *41056:A 0 +12 *3796:17 *4257:27 0 +13 *3796:17 *4983:5 0 +14 *3796:22 *3946:34 0 +15 *3796:22 *4714:14 0 +16 *3796:29 *3820:34 0 +17 *3796:29 *3946:34 0 +18 *3796:29 *4100:54 0 +19 *3796:29 *4714:14 0 +20 *3796:38 *8636:DIODE 0 +21 *3796:38 *4061:146 0 +22 *3796:38 *4085:22 0 +23 *38255:A *3796:17 0 +24 *40687:A *3796:7 0 +25 *342:8 *3796:16 0 +26 *1210:16 *3796:38 0 +27 *1446:20 *5478:DIODE 0 +28 *1446:20 *3796:38 0 +29 *1692:21 *3796:29 0 +30 *2293:21 *3796:10 0 +31 *2312:15 *3796:10 0 +32 *2317:16 *3796:10 0 +33 *2318:18 *3796:10 0 +34 *2318:33 *3796:10 0 +35 *2344:23 *3796:16 0 +36 *2595:16 *3796:10 0 +37 *2661:34 *3796:10 0 +38 *2728:17 *3796:17 0 +39 *2728:21 *3796:17 0 +40 *2734:19 *3796:17 0 +41 *2961:53 *3796:10 0 +42 *3080:60 *3796:29 0 +43 *3181:28 *3796:29 0 +44 *3668:31 *3796:17 0 +45 *3720:50 *3796:29 0 +46 *3747:72 *3796:38 0 +*RES +1 *40841:X *3796:7 14.7464 +2 *3796:7 *3796:10 46.8214 +3 *3796:10 *3796:16 37.0536 +4 *3796:16 *3796:17 152.911 +5 *3796:17 *3796:22 24.5268 +6 *3796:22 *3796:29 45.9911 +7 *3796:29 *3796:38 29.5714 +8 *3796:38 *5478:DIODE 23.3357 +9 *3796:38 *37658:A 13.8 +*END + +*D_NET *3797 0.0245977 +*CONN +*I *37656:A I *D sky130_fd_sc_hd__and2_1 +*I *5476:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40842:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37656:A 0.000153882 +2 *5476:DIODE 0 +3 *40842:X 0.00359155 +4 *3797:90 0.00290175 +5 *3797:59 0.00452609 +6 *3797:33 0.00369311 +7 *3797:30 0.00402734 +8 *3797:24 0.005704 +9 *3797:24 *3943:17 0 +10 *3797:33 *3812:38 0 +11 *3797:33 *3817:40 0 +12 *3797:59 *3812:38 0 +13 *3797:59 *3824:21 0 +14 *3797:90 *3939:62 0 +15 *3797:90 *3943:50 0 +16 *3797:90 *4098:42 0 +17 *3797:90 *4105:45 0 +18 *3797:90 *4114:28 0 +19 *3797:90 *4125:33 0 +20 *3797:90 *4306:62 0 +21 *3797:90 *4321:26 0 +22 *3797:90 *4374:21 0 +23 *8443:DIODE *3797:59 0 +24 *38017:A *3797:59 0 +25 *39634:A *3797:90 0 +26 *1293:27 *3797:24 0 +27 *1407:51 *3797:59 0 +28 *1557:30 *3797:90 0 +29 *2052:21 *3797:24 0 +30 *2059:39 *3797:24 0 +31 *2087:35 *3797:90 0 +32 *2101:27 *3797:30 0 +33 *2226:33 *3797:24 0 +34 *2227:41 *3797:24 0 +35 *2236:25 *3797:24 0 +36 *2259:26 *3797:24 0 +37 *2267:26 *3797:24 0 +38 *2274:47 *3797:59 0 +39 *2274:50 *3797:33 0 +40 *2278:16 *3797:24 0 +41 *2286:36 *3797:24 0 +42 *2294:20 *3797:33 0 +43 *2295:28 *3797:30 0 +44 *2295:43 *3797:90 0 +45 *2299:33 *3797:33 0 +46 *2306:24 *3797:33 0 +47 *2322:53 *3797:24 0 +48 *2331:10 *3797:30 0 +49 *2342:13 *3797:30 0 +50 *2347:33 *3797:59 0 +51 *2879:20 *3797:24 0 +52 *3140:60 *3797:90 0 +53 *3181:57 *3797:59 0 +54 *3224:46 *3797:90 0 +55 *3318:13 *3797:90 0 +56 *3354:12 *3797:59 0 +57 *3358:23 *3797:24 0 +58 *3372:48 *3797:59 0 +59 *3436:16 *37656:A 0 +60 *3447:25 *3797:30 0 +61 *3511:32 *3797:90 0 +62 *3566:51 *3797:24 0 +63 *3698:17 *3797:59 0 +64 *3791:24 *3797:24 0 +*RES +1 *40842:X *3797:24 47.299 +2 *3797:24 *3797:30 19.3662 +3 *3797:30 *3797:33 44.4643 +4 *3797:33 *3797:59 49.2879 +5 *3797:59 *3797:90 45.9611 +6 *3797:90 *5476:DIODE 9.3 +7 *3797:90 *37656:A 21.6393 +*END + +*D_NET *3798 0.0328038 +*CONN +*I *5474:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37654:A I *D sky130_fd_sc_hd__and2_1 +*I *40843:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5474:DIODE 0.000320218 +2 *37654:A 0 +3 *40843:X 0.0012125 +4 *3798:77 0.00135248 +5 *3798:54 0.00305187 +6 *3798:52 0.00292482 +7 *3798:48 0.00236623 +8 *3798:42 0.00228146 +9 *3798:29 0.00652747 +10 *3798:27 0.00686982 +11 *3798:22 0.00292364 +12 *3798:14 0.00297334 +13 *5474:DIODE *37654:B 0 +14 *5474:DIODE *3977:21 0 +15 *5474:DIODE *4039:83 0 +16 *3798:22 *4679:14 0 +17 *3798:22 *4687:19 0 +18 *3798:22 *4961:12 0 +19 *3798:22 *5069:23 0 +20 *3798:27 *39211:A 0 +21 *3798:27 *4963:59 0 +22 *3798:27 *4965:31 0 +23 *3798:29 *38959:A 0 +24 *3798:29 *38960:A 0 +25 *3798:29 *38968:A 0 +26 *3798:29 *39213:A 0 +27 *3798:29 *39216:A 0 +28 *3798:29 *39217:A 0 +29 *3798:29 *39221:A 0 +30 *3798:29 *4965:31 0 +31 *3798:42 *39226:A 0 +32 *3798:42 *39227:A 0 +33 *3798:42 *4709:22 0 +34 *3798:42 *4986:31 0 +35 *3798:48 *38969:A 0 +36 *3798:48 *38972:A 0 +37 *3798:48 *4698:11 0 +38 *3798:48 *4707:16 0 +39 *3798:48 *4711:25 0 +40 *3798:54 *4103:44 0 +41 *3798:54 *4992:10 0 +42 *3798:54 *5146:8 0 +43 *3798:77 *41360:A 0 +44 *3798:77 *3817:63 0 +45 *3798:77 *3977:21 0 +46 *3798:77 *4039:83 0 +47 *3798:77 *4356:37 0 +48 la_data_in_core[47] *3798:29 0 +49 la_data_in_core[50] *3798:29 0 +50 la_data_in_core[53] *3798:29 0 +51 la_data_in_core[54] *3798:29 0 +52 la_oenb_core[47] *3798:29 0 +53 la_oenb_core[50] *3798:29 0 +54 la_oenb_core[51] *3798:29 0 +55 la_oenb_core[55] *3798:42 0 +56 *38219:A *3798:14 0 +57 *38219:A *3798:22 0 +58 *38247:A *3798:42 0 +59 *39756:A *3798:22 0 +60 *340:19 *3798:42 0 +61 *1004:20 *3798:27 0 +62 *1004:20 *3798:29 0 +63 *1004:20 *3798:42 0 +64 *1013:73 *3798:22 0 +65 *1307:22 *3798:22 0 +66 *1508:28 *3798:14 0 +67 *2224:26 *3798:14 0 +68 *2227:23 *3798:14 0 +69 *2253:17 *3798:14 0 +70 *2253:17 *3798:22 0 +71 *2256:13 *3798:22 0 +72 *2256:27 *3798:54 0 +73 *2266:90 *3798:54 0 +74 *2298:37 *3798:54 0 +75 *2342:22 *3798:14 0 +76 *2576:9 *3798:29 0 +77 *2576:9 *3798:42 0 +78 *2576:19 *3798:22 0 +79 *2746:16 *3798:52 0 +80 *2746:22 *3798:42 0 +81 *2856:8 *3798:14 0 +82 *2933:25 *3798:54 0 +83 *3161:42 *3798:52 0 +84 *3161:42 *3798:54 0 +85 *3387:44 *3798:54 0 +86 *3674:44 *3798:54 0 +*RES +1 *40843:X *3798:14 41.3268 +2 *3798:14 *3798:22 48.7232 +3 *3798:22 *3798:27 28.7679 +4 *3798:27 *3798:29 119.232 +5 *3798:29 *3798:42 26.5893 +6 *3798:42 *3798:48 35.2054 +7 *3798:48 *3798:52 20.625 +8 *3798:52 *3798:54 45.9018 +9 *3798:54 *3798:77 45.75 +10 *3798:77 *37654:A 9.3 +11 *3798:77 *5474:DIODE 16.0143 +*END + +*D_NET *3799 0.0300543 +*CONN +*I *5472:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37652:A I *D sky130_fd_sc_hd__and2_1 +*I *40844:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5472:DIODE 8.4707e-05 +2 *37652:A 7.23409e-05 +3 *40844:X 0.000984104 +4 *3799:42 0.000529508 +5 *3799:38 0.00347964 +6 *3799:37 0.00363109 +7 *3799:29 0.00277122 +8 *3799:25 0.00684009 +9 *3799:23 0.0061635 +10 *3799:12 0.00304237 +11 *3799:8 0.00245576 +12 *3799:8 *5140:28 0 +13 *3799:12 *4681:21 0 +14 *3799:12 *4962:10 0 +15 *3799:12 *5038:28 0 +16 *3799:12 *5120:24 0 +17 *3799:23 *38955:A 0 +18 *3799:23 *38956:A 0 +19 *3799:23 *38958:A 0 +20 *3799:23 *4683:17 0 +21 *3799:23 *4684:30 0 +22 *3799:23 *4964:9 0 +23 *3799:23 *4971:18 0 +24 *3799:25 *39215:A 0 +25 *3799:25 *39216:A 0 +26 *3799:25 *3804:27 0 +27 *3799:25 *3804:40 0 +28 *3799:25 *4690:19 0 +29 *3799:25 *4964:9 0 +30 *3799:25 *4969:32 0 +31 *3799:25 *4974:38 0 +32 *3799:25 *4986:24 0 +33 *3799:29 *3804:40 0 +34 *3799:29 *4986:31 0 +35 *3799:37 *3804:47 0 +36 *3799:37 *4709:25 0 +37 *3799:38 *3812:65 0 +38 *3799:38 *4698:8 0 +39 *3799:38 *5128:26 0 +40 *3799:42 *4328:14 0 +41 la_data_in_core[42] *3799:23 0 +42 la_data_in_core[43] *3799:23 0 +43 la_data_in_core[45] *3799:25 0 +44 la_data_in_core[48] *3799:25 0 +45 la_data_in_core[49] *3799:25 0 +46 la_data_in_core[51] *3799:25 0 +47 la_data_in_core[52] *3799:25 0 +48 la_data_in_core[59] *3799:37 0 +49 la_oenb_core[41] *3799:12 0 +50 la_oenb_core[45] *3799:25 0 +51 la_oenb_core[49] *3799:25 0 +52 la_oenb_core[56] *3799:29 0 +53 la_oenb_core[59] *3799:37 0 +54 *8336:DIODE *3799:8 0 +55 *38241:A *3799:12 0 +56 *38961:A *3799:25 0 +57 *39214:A *3799:25 0 +58 *325:15 *3799:12 0 +59 *342:7 *3799:37 0 +60 *344:7 *3799:38 0 +61 *347:16 *3799:38 0 +62 *1307:10 *3799:8 0 +63 *1308:14 *3799:8 0 +64 *1818:19 *3799:8 0 +65 *2222:43 *3799:8 0 +66 *2247:15 *3799:8 0 +67 *2254:19 *3799:8 0 +68 *2258:13 *3799:8 0 +69 *2259:26 *3799:8 0 +70 *2260:10 *3799:8 0 +71 *2260:16 *3799:8 0 +72 *2451:44 *3799:23 0 +73 *2451:47 *3799:23 0 +74 *2451:47 *3799:25 0 +75 *2576:8 *3799:38 0 +76 *2644:10 *3799:12 0 +77 *2737:26 *3799:12 0 +78 *2746:32 *3799:29 0 +79 *2746:44 *3799:25 0 +80 *2863:26 *3799:8 0 +81 *2863:26 *3799:12 0 +82 *3085:33 *3799:38 0 +83 *3162:26 *3799:38 0 +84 *3445:44 *3799:38 0 +85 *3569:21 *3799:8 0 +86 *3685:22 *3799:38 0 +87 *3747:53 *5472:DIODE 0 +*RES +1 *40844:X *3799:8 36.1839 +2 *3799:8 *3799:12 37.9554 +3 *3799:12 *3799:23 32.875 +4 *3799:23 *3799:25 95.8214 +5 *3799:25 *3799:29 46.9643 +6 *3799:29 *3799:37 24.5179 +7 *3799:37 *3799:38 70.5536 +8 *3799:38 *3799:42 8.55357 +9 *3799:42 *37652:A 15.4429 +10 *3799:42 *5472:DIODE 15.5679 +*END + +*D_NET *3800 0.0178356 +*CONN +*I *5468:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37648:A I *D sky130_fd_sc_hd__and2_1 +*I *40845:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5468:DIODE 6.20329e-05 +2 *37648:A 0.000222017 +3 *40845:X 0.00130589 +4 *3800:53 0.000733077 +5 *3800:43 0.00421228 +6 *3800:41 0.0055843 +7 *3800:20 0.00311559 +8 *3800:9 0.00260044 +9 *5468:DIODE *3882:44 0 +10 *3800:9 *5148:21 0 +11 *3800:20 *3813:20 0 +12 *3800:20 *3838:32 0 +13 *3800:20 *4485:32 0 +14 *3800:20 *4485:41 0 +15 *3800:20 *4863:14 0 +16 *3800:41 *40414:A 0 +17 *3800:41 *3813:32 0 +18 *3800:41 *3813:44 0 +19 *3800:41 *4497:9 0 +20 *3800:43 *40414:A 0 +21 *3800:43 *3813:45 0 +22 *3800:43 *3882:37 0 +23 *3800:43 *4505:30 0 +24 *3800:53 *3807:49 0 +25 *3800:53 *3882:37 0 +26 *3800:53 *3882:44 0 +27 *5638:DIODE *3800:9 0 +28 *37389:A *3800:41 0 +29 *40454:A *3800:9 0 +30 *1975:37 *3800:9 0 +31 *2070:37 *3800:9 0 +32 *2077:36 *3800:9 0 +33 *2077:47 *3800:9 0 +34 *2081:32 *3800:9 0 +35 *2081:50 *3800:20 0 +36 *2118:56 *3800:43 0 +37 *2121:30 *3800:20 0 +38 *2560:15 *5468:DIODE 0 +39 *2560:15 *3800:53 0 +40 *3055:9 *3800:20 0 +41 *3139:10 *3800:9 0 +42 *3247:22 *3800:9 0 +43 *3335:5 *3800:41 0 +44 *3359:29 *3800:9 0 +45 *3444:5 *3800:41 0 +46 *3444:5 *3800:43 0 +47 *3785:34 *3800:53 0 +*RES +1 *40845:X *3800:9 46.0679 +2 *3800:9 *3800:20 46.1964 +3 *3800:20 *3800:41 47.4821 +4 *3800:41 *3800:43 78.5714 +5 *3800:43 *3800:53 18.5179 +6 *3800:53 *37648:A 23.2821 +7 *3800:53 *5468:DIODE 10.6571 +*END + +*D_NET *3801 0.0211851 +*CONN +*I *37646:A I *D sky130_fd_sc_hd__and2_1 +*I *5465:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40846:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37646:A 8.17123e-05 +2 *5465:DIODE 0.000150058 +3 *40846:X 0.000685364 +4 *3801:69 0.000638672 +5 *3801:60 0.00264754 +6 *3801:21 0.00680775 +7 *3801:19 0.00512499 +8 *3801:15 0.00246078 +9 *3801:11 0.00258828 +10 *5465:DIODE *4134:69 0 +11 *37646:A *3811:14 0 +12 *37646:A *4113:75 0 +13 *37646:A *4128:57 0 +14 *3801:11 *4366:11 0 +15 *3801:15 *4366:11 0 +16 *3801:21 *41354:A 0 +17 *3801:21 *3826:21 0 +18 *3801:21 *4345:75 0 +19 *3801:21 *4345:84 0 +20 *3801:21 *4365:34 0 +21 *3801:21 *4366:11 0 +22 *3801:60 *4125:33 0 +23 *3801:60 *4128:50 0 +24 *3801:60 *4129:41 0 +25 *3801:60 *4358:51 0 +26 *3801:60 *4372:22 0 +27 *3801:60 *4379:66 0 +28 *3801:69 *8535:DIODE 0 +29 *3801:69 *3811:14 0 +30 *3801:69 *3943:50 0 +31 *3801:69 *4113:75 0 +32 *3801:69 *4128:57 0 +33 *3801:69 *4372:22 0 +34 *3801:69 *4379:66 0 +35 *1229:29 *3801:69 0 +36 *1429:21 *3801:69 0 +37 *2242:65 *3801:60 0 +38 *2261:19 *3801:15 0 +39 *2272:46 *3801:15 0 +40 *2274:15 *3801:11 0 +41 *2275:26 *3801:11 0 +42 *2283:18 *3801:15 0 +43 *2289:16 *3801:15 0 +44 *2289:22 *3801:15 0 +45 *2301:23 *3801:15 0 +46 *2301:23 *3801:19 0 +47 *2301:23 *3801:21 0 +48 *2311:12 *3801:19 0 +49 *2311:12 *3801:21 0 +50 *2311:16 *3801:21 0 +51 *2343:35 *3801:15 0 +52 *2343:35 *3801:19 0 +53 *3085:21 *3801:60 0 +54 *3165:20 *3801:21 0 +55 *3320:156 *3801:60 0 +56 *3340:38 *3801:21 0 +57 *3340:61 *3801:60 0 +58 *3340:76 *3801:60 0 +59 *3447:40 *3801:21 0 +60 *3526:90 *3801:60 0 +61 *3680:26 *3801:21 0 +62 *3680:30 *3801:60 0 +63 *3720:23 *3801:21 0 +64 *3747:53 *5465:DIODE 0 +*RES +1 *40846:X *3801:11 33.6839 +2 *3801:11 *3801:15 39.7768 +3 *3801:15 *3801:19 11.6429 +4 *3801:19 *3801:21 95.4107 +5 *3801:21 *3801:60 46.6017 +6 *3801:60 *3801:69 14.4926 +7 *3801:69 *5465:DIODE 21.6929 +8 *3801:69 *37646:A 11.0679 +*END + +*D_NET *3802 0.0209734 +*CONN +*I *37644:A I *D sky130_fd_sc_hd__and2_1 +*I *5462:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40847:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *37644:A 0 +2 *5462:DIODE 0.000200644 +3 *40847:X 0.00122033 +4 *3802:53 0.000943638 +5 *3802:45 0.00245226 +6 *3802:35 0.00387999 +7 *3802:32 0.00265192 +8 *3802:21 0.00444274 +9 *3802:20 0.00518188 +10 *5462:DIODE *4330:62 0 +11 *3802:20 *8529:DIODE 0 +12 *3802:20 *3990:16 0 +13 *3802:20 *4051:34 0 +14 *3802:21 *4040:15 0 +15 *3802:21 *4040:17 0 +16 *3802:45 *3941:63 0 +17 *3802:45 *3945:25 0 +18 *3802:45 *3945:38 0 +19 *3802:45 *4224:51 0 +20 *5463:DIODE *5462:DIODE 0 +21 *5728:DIODE *3802:20 0 +22 *37644:B *5462:DIODE 0 +23 *37864:B *3802:20 0 +24 *37876:A *3802:20 0 +25 *37876:B *3802:20 0 +26 *37876:B *3802:21 0 +27 *38035:A *3802:21 0 +28 *39625:A *3802:20 0 +29 *40065:A *3802:21 0 +30 *1333:9 *3802:45 0 +31 *1445:53 *3802:20 0 +32 *1552:16 *3802:53 0 +33 *1569:15 *3802:32 0 +34 *1569:15 *3802:45 0 +35 *1826:50 *3802:45 0 +36 *2378:48 *3802:21 0 +37 *2426:25 *3802:32 0 +38 *2986:22 *3802:21 0 +39 *3008:89 *3802:20 0 +40 *3094:31 *3802:21 0 +41 *3284:11 *3802:21 0 +42 *3284:11 *3802:35 0 +43 *3479:105 *5462:DIODE 0 +44 *3479:105 *3802:53 0 +45 *3582:13 *3802:21 0 +46 *3582:13 *3802:35 0 +47 *3650:93 *3802:20 0 +48 *3684:75 *3802:21 0 +49 *3684:86 *3802:21 0 +50 *3761:55 *3802:53 0 +*RES +1 *40847:X *3802:20 44.2107 +2 *3802:20 *3802:21 82.6786 +3 *3802:21 *3802:32 28.5536 +4 *3802:32 *3802:35 49.8036 +5 *3802:35 *3802:45 44.8929 +6 *3802:45 *3802:53 21.0536 +7 *3802:53 *5462:DIODE 13.55 +8 *3802:53 *37644:A 9.3 +*END + +*D_NET *3803 0.0219419 +*CONN +*I *8529:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40847:A I *D sky130_fd_sc_hd__buf_4 +*I *40848:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8529:DIODE 0.000369176 +2 *40847:A 0 +3 *40848:X 0.00100156 +4 *3803:96 0.000369176 +5 *3803:94 0.00131062 +6 *3803:82 0.00266721 +7 *3803:66 0.00313572 +8 *3803:52 0.00297147 +9 *3803:25 0.00515388 +10 *3803:24 0.00496311 +11 *8529:DIODE *6424:DIODE 0 +12 *8529:DIODE *8732:DIODE 0 +13 *8529:DIODE *3990:16 0 +14 *8529:DIODE *4051:34 0 +15 *3803:24 *41304:A 0 +16 *3803:24 *3819:19 0 +17 *3803:24 *4109:48 0 +18 *3803:52 *41121:A 0 +19 *3803:52 *4106:21 0 +20 *3803:52 *4124:40 0 +21 *3803:66 *6005:DIODE 0 +22 *3803:66 *8534:DIODE 0 +23 *3803:66 *4041:95 0 +24 *3803:82 *8631:DIODE 0 +25 *3803:82 *8711:DIODE 0 +26 *3803:82 *8719:DIODE 0 +27 *3803:82 *40996:A 0 +28 *3803:82 *4241:49 0 +29 *3803:94 *4040:11 0 +30 *3803:94 *4040:15 0 +31 *5429:DIODE *3803:82 0 +32 *5716:DIODE *3803:94 0 +33 *6415:DIODE *3803:66 0 +34 *37838:A *3803:52 0 +35 *37838:B *3803:52 0 +36 *38031:A *3803:82 0 +37 *40714:A *3803:52 0 +38 *1206:24 *3803:25 0 +39 *1206:24 *3803:52 0 +40 *1206:41 *3803:52 0 +41 *1206:41 *3803:66 0 +42 *1271:37 *3803:25 0 +43 *1543:19 *3803:66 0 +44 *2822:55 *3803:82 0 +45 *2822:97 *3803:82 0 +46 *2841:53 *3803:25 0 +47 *2926:57 *3803:82 0 +48 *2992:70 *3803:82 0 +49 *3306:68 *8529:DIODE 0 +50 *3323:57 *3803:25 0 +51 *3424:21 *3803:66 0 +52 *3648:17 *3803:25 0 +53 *3648:42 *3803:52 0 +54 *3650:23 *3803:25 0 +55 *3650:59 *3803:66 0 +56 *3650:75 *3803:94 0 +57 *3653:36 *3803:24 0 +58 *3672:27 *3803:25 0 +59 *3672:63 *3803:52 0 +60 *3674:93 *3803:25 0 +61 *3684:13 *3803:82 0 +62 *3733:44 *3803:24 0 +63 *3769:51 *3803:24 0 +64 *3770:10 *3803:66 0 +65 *3772:91 *3803:25 0 +66 *3772:98 *3803:52 0 +67 *3802:20 *8529:DIODE 0 +*RES +1 *40848:X *3803:24 39.5025 +2 *3803:24 *3803:25 82.6786 +3 *3803:25 *3803:52 47.9643 +4 *3803:52 *3803:66 46.4643 +5 *3803:66 *3803:82 46.5357 +6 *3803:82 *3803:94 45.5714 +7 *3803:94 *3803:96 4.5 +8 *3803:96 *40847:A 9.3 +9 *3803:96 *8529:DIODE 26.4071 +*END + +*D_NET *3804 0.0315843 +*CONN +*I *40848:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8530:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40849:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40848:A 0.00014075 +2 *8530:DIODE 0 +3 *40849:X 0.00113857 +4 *3804:85 0.000724083 +5 *3804:79 0.0024526 +6 *3804:74 0.00278624 +7 *3804:71 0.00178246 +8 *3804:63 0.00267448 +9 *3804:47 0.00359725 +10 *3804:40 0.00410061 +11 *3804:27 0.00388542 +12 *3804:23 0.00300352 +13 *3804:14 0.0027951 +14 *3804:10 0.00250321 +15 *40848:A *3819:19 0 +16 *3804:10 *3806:30 0 +17 *3804:14 *4964:9 0 +18 *3804:14 *4974:32 0 +19 *3804:23 *39215:A 0 +20 *3804:23 *4687:24 0 +21 *3804:27 *38966:A 0 +22 *3804:27 *39216:A 0 +23 *3804:27 *39218:A 0 +24 *3804:27 *4690:19 0 +25 *3804:27 *4974:42 0 +26 *3804:27 *4986:24 0 +27 *3804:27 *4986:25 0 +28 *3804:40 *39223:A 0 +29 *3804:40 *4976:49 0 +30 *3804:40 *4980:12 0 +31 *3804:40 *4986:25 0 +32 *3804:40 *4986:31 0 +33 *3804:47 *38973:A 0 +34 *3804:47 *39231:A 0 +35 *3804:47 *4709:25 0 +36 *3804:47 *4709:39 0 +37 *3804:47 *4986:31 0 +38 *3804:47 *4990:21 0 +39 *3804:63 *38978:A 0 +40 *3804:63 *4705:31 0 +41 *3804:63 *4709:39 0 +42 *3804:63 *4990:21 0 +43 *3804:71 *4711:25 0 +44 *3804:71 *4984:10 0 +45 *3804:74 *4708:20 0 +46 *3804:74 *4992:17 0 +47 *3804:79 *4708:20 0 +48 *3804:79 *4992:17 0 +49 *3804:85 *3819:19 0 +50 la_data_in_core[49] *3804:23 0 +51 la_data_in_core[49] *3804:27 0 +52 la_data_in_core[51] *3804:27 0 +53 la_data_in_core[52] *3804:27 0 +54 la_data_in_core[58] *3804:47 0 +55 la_data_in_core[59] *3804:47 0 +56 la_data_in_core[63] *3804:63 0 +57 la_oenb_core[49] *3804:27 0 +58 la_oenb_core[53] *3804:40 0 +59 la_oenb_core[54] *3804:40 0 +60 la_oenb_core[60] *3804:63 0 +61 la_oenb_core[63] *3804:63 0 +62 *38257:A *3804:71 0 +63 *39910:A *3804:85 0 +64 *328:14 *3804:14 0 +65 *340:19 *3804:40 0 +66 *348:7 *3804:71 0 +67 *350:10 *3804:74 0 +68 *1013:74 *3804:40 0 +69 *1231:17 *3804:85 0 +70 *1324:47 *3804:79 0 +71 *1436:22 *3804:79 0 +72 *1535:30 *3804:40 0 +73 *1535:30 *3804:47 0 +74 *1535:46 *3804:27 0 +75 *1537:36 *3804:63 0 +76 *2220:40 *3804:10 0 +77 *2220:40 *3804:14 0 +78 *2271:37 *3804:10 0 +79 *2298:11 *3804:14 0 +80 *2315:55 *3804:79 0 +81 *2482:35 *3804:23 0 +82 *2730:16 *3804:14 0 +83 *2746:32 *3804:40 0 +84 *2845:22 *3804:14 0 +85 *2851:42 *3804:23 0 +86 *2961:13 *3804:79 0 +87 *3653:36 *40848:A 0 +88 *3672:27 *3804:79 0 +89 *3720:35 *3804:85 0 +90 *3778:8 *3804:10 0 +91 *3778:8 *3804:14 0 +92 *3799:25 *3804:27 0 +93 *3799:25 *3804:40 0 +94 *3799:29 *3804:40 0 +95 *3799:37 *3804:47 0 +*RES +1 *40849:X *3804:10 39.6482 +2 *3804:10 *3804:14 35.5268 +3 *3804:14 *3804:23 39.0357 +4 *3804:23 *3804:27 32.8929 +5 *3804:27 *3804:40 46.1502 +6 *3804:40 *3804:47 37.6607 +7 *3804:47 *3804:63 47.0357 +8 *3804:63 *3804:71 31.6964 +9 *3804:71 *3804:74 20.8661 +10 *3804:74 *3804:79 46.7232 +11 *3804:79 *3804:85 21.9464 +12 *3804:85 *8530:DIODE 9.3 +13 *3804:85 *40848:A 12.3 +*END + +*D_NET *3805 0.00415164 +*CONN +*I *40524:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38560:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40524:A 0 +2 *38560:X 4.56186e-05 +3 *3805:12 0.0020302 +4 *3805:8 0.00207582 +5 la_data_in_mprj[74] *3805:8 0 +6 la_data_in_mprj[78] *3805:12 0 +7 *487:5 *3805:8 0 +8 *491:9 *3805:12 0 +9 *874:12 *3805:12 0 +10 *2040:11 *3805:12 0 +11 *2089:8 *3805:12 0 +12 *3442:24 *3805:12 0 +13 *3794:16 *3805:12 0 +*RES +1 *38560:X *3805:8 19.3357 +2 *3805:8 *3805:12 47.375 +3 *3805:12 *40524:A 13.8 +*END + +*D_NET *3806 0.0205899 +*CONN +*I *37642:A I *D sky130_fd_sc_hd__and2_1 +*I *5460:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40850:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37642:A 0.000121927 +2 *5460:DIODE 0 +3 *40850:X 0.00134767 +4 *3806:75 0.000521504 +5 *3806:74 0.00146671 +6 *3806:53 0.00755439 +7 *3806:30 0.00735864 +8 *3806:15 0.00221905 +9 *37642:A *4046:76 0 +10 *37642:A *4046:79 0 +11 *3806:15 *41310:A 0 +12 *3806:15 *4350:80 0 +13 *3806:53 *8962:DIODE 0 +14 *3806:53 *38316:A 0 +15 *3806:53 *4134:61 0 +16 *3806:53 *4329:47 0 +17 *3806:53 *4356:14 0 +18 *3806:53 *4365:34 0 +19 *3806:53 *4375:19 0 +20 *3806:74 *37650:B 0 +21 *3806:74 *4006:36 0 +22 *3806:74 *4020:64 0 +23 *3806:74 *4075:76 0 +24 *3806:74 *4105:53 0 +25 *3806:75 *4046:76 0 +26 *37824:B *3806:15 0 +27 *39997:A *3806:15 0 +28 *40475:A *3806:74 0 +29 *40831:A *3806:74 0 +30 *40834:A *3806:15 0 +31 *1311:18 *3806:15 0 +32 *1438:55 *3806:53 0 +33 *1557:30 *3806:53 0 +34 *2101:27 *3806:53 0 +35 *2236:25 *3806:53 0 +36 *2261:19 *3806:30 0 +37 *2271:37 *3806:30 0 +38 *2272:53 *3806:30 0 +39 *2275:46 *3806:30 0 +40 *2281:15 *3806:15 0 +41 *2282:23 *3806:15 0 +42 *2287:20 *3806:30 0 +43 *2288:19 *3806:53 0 +44 *2298:11 *3806:30 0 +45 *2321:36 *3806:53 0 +46 *2858:15 *3806:53 0 +47 *3190:8 *3806:30 0 +48 *3202:75 *37642:A 0 +49 *3202:75 *3806:75 0 +50 *3327:61 *37642:A 0 +51 *3386:28 *3806:53 0 +52 *3445:31 *3806:53 0 +53 *3447:25 *3806:53 0 +54 *3449:44 *3806:53 0 +55 *3546:26 *3806:53 0 +56 *3612:28 *3806:15 0 +57 *3612:34 *3806:15 0 +58 *3655:18 *3806:53 0 +59 *3680:26 *3806:53 0 +60 *3763:21 *3806:53 0 +61 *3786:22 *3806:15 0 +62 *3804:10 *3806:30 0 +*RES +1 *40850:X *3806:15 46.6214 +2 *3806:15 *3806:30 36.2761 +3 *3806:30 *3806:53 46.972 +4 *3806:53 *3806:74 41.9464 +5 *3806:74 *3806:75 8.33929 +6 *3806:75 *5460:DIODE 9.3 +7 *3806:75 *37642:A 11.9071 +*END + +*D_NET *3807 0.0182912 +*CONN +*I *37640:A I *D sky130_fd_sc_hd__and2_1 +*I *5458:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40851:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37640:A 0.000234876 +2 *5458:DIODE 0 +3 *40851:X 0.00133734 +4 *3807:49 0.0018396 +5 *3807:41 0.00493354 +6 *3807:40 0.00596867 +7 *3807:17 0.0039772 +8 *37640:A *4060:19 0 +9 *3807:17 *40188:A 0 +10 *3807:17 *3827:22 0 +11 *3807:17 *4300:10 0 +12 *3807:17 *5148:21 0 +13 *3807:40 *3934:88 0 +14 *3807:40 *3973:21 0 +15 *3807:40 *4500:13 0 +16 *3807:40 *4867:28 0 +17 *3807:41 *5453:DIODE 0 +18 *3807:41 *39133:A 0 +19 *3807:41 *3814:43 0 +20 *3807:41 *3882:37 0 +21 *3807:41 *4038:49 0 +22 *3807:41 *4488:84 0 +23 *3807:49 *39137:A 0 +24 *3807:49 *3813:57 0 +25 *3807:49 *3882:44 0 +26 *5497:DIODE *3807:41 0 +27 *6566:DIODE *3807:41 0 +28 *6567:DIODE *3807:41 0 +29 *6570:DIODE *3807:41 0 +30 *6847:DIODE *3807:40 0 +31 *6848:DIODE *3807:41 0 +32 *6853:DIODE *3807:41 0 +33 *6859:DIODE *3807:49 0 +34 *37380:A *3807:17 0 +35 *37396:A *3807:41 0 +36 *37396:A *3807:49 0 +37 *1212:16 *37640:A 0 +38 *1987:36 *3807:40 0 +39 *1996:41 *3807:17 0 +40 *2040:11 *3807:17 0 +41 *2077:47 *3807:17 0 +42 *2077:53 *3807:40 0 +43 *2081:50 *3807:17 0 +44 *2115:66 *3807:40 0 +45 *2116:19 *3807:17 0 +46 *2116:45 *3807:40 0 +47 *2393:63 *3807:49 0 +48 *2427:21 *3807:40 0 +49 *2428:46 *3807:40 0 +50 *2437:40 *3807:40 0 +51 *2505:26 *3807:41 0 +52 *2560:15 *3807:49 0 +53 *3134:59 *37640:A 0 +54 *3134:59 *3807:49 0 +55 *3206:46 *3807:40 0 +56 *3228:47 *3807:17 0 +57 *3233:29 *3807:40 0 +58 *3259:44 *3807:41 0 +59 *3438:25 *3807:40 0 +60 *3444:5 *3807:41 0 +61 *3785:34 *3807:49 0 +62 *3785:48 *37640:A 0 +63 *3785:48 *3807:49 0 +64 *3800:53 *3807:49 0 +*RES +1 *40851:X *3807:17 47.0679 +2 *3807:17 *3807:40 44.9388 +3 *3807:40 *3807:41 69.5357 +4 *3807:41 *3807:49 33.5536 +5 *3807:49 *5458:DIODE 9.3 +6 *3807:49 *37640:A 23.6214 +*END + +*D_NET *3808 0.0232923 +*CONN +*I *37638:A I *D sky130_fd_sc_hd__and2_1 +*I *5455:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40852:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37638:A 4.23535e-05 +2 *5455:DIODE 6.50276e-05 +3 *40852:X 0.00614547 +4 *3808:60 0.00202309 +5 *3808:56 0.00441166 +6 *3808:40 0.00347758 +7 *3808:19 0.0071271 +8 *3808:19 *4347:13 0 +9 *3808:19 *5116:16 0 +10 *3808:19 *5125:50 0 +11 *3808:40 *3825:42 0 +12 *3808:40 *4697:11 0 +13 *3808:40 *5127:24 0 +14 *3808:56 *4257:22 0 +15 *3808:60 *3968:16 0 +16 *3808:60 *3971:33 0 +17 *3808:60 *4516:8 0 +18 *39484:B *3808:19 0 +19 *356:21 *3808:56 0 +20 *1218:36 *3808:60 0 +21 *1310:22 *3808:56 0 +22 *1316:31 *3808:40 0 +23 *1429:34 *3808:56 0 +24 *1559:26 *3808:40 0 +25 *1560:26 *3808:19 0 +26 *1820:39 *3808:40 0 +27 *2059:39 *3808:19 0 +28 *2227:75 *3808:19 0 +29 *2227:83 *3808:19 0 +30 *2260:59 *3808:40 0 +31 *2267:57 *3808:19 0 +32 *2278:54 *3808:40 0 +33 *2290:25 *3808:19 0 +34 *2292:50 *3808:19 0 +35 *2304:32 *3808:19 0 +36 *2304:51 *3808:56 0 +37 *2412:44 *3808:19 0 +38 *2732:67 *3808:19 0 +39 *2861:25 *3808:40 0 +40 *2930:40 *3808:56 0 +41 *2939:59 *3808:19 0 +42 *2941:33 *3808:56 0 +43 *2961:36 *3808:40 0 +44 *3080:76 *3808:40 0 +45 *3083:60 *3808:19 0 +46 *3173:30 *5455:DIODE 0 +47 *3173:30 *37638:A 0 +48 *3446:31 *5455:DIODE 0 +49 *3446:31 *37638:A 0 +50 *3566:21 *3808:19 0 +51 *3674:33 *3808:40 0 +52 *3787:38 *3808:19 0 +53 *3791:45 *3808:19 0 +*RES +1 *40852:X *3808:19 45.7092 +2 *3808:19 *3808:40 48.0474 +3 *3808:40 *3808:56 29.9395 +4 *3808:56 *3808:60 48.0446 +5 *3808:60 *5455:DIODE 10.6571 +6 *3808:60 *37638:A 10.2464 +*END + +*D_NET *3809 0.0208216 +*CONN +*I *37636:A I *D sky130_fd_sc_hd__and2_1 +*I *5453:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40853:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37636:A 0 +2 *5453:DIODE 0.000184976 +3 *40853:X 0.00379792 +4 *3809:33 0.000250004 +5 *3809:30 0.0064279 +6 *3809:11 0.0101608 +7 *3809:30 *40414:A 0 +8 *3809:30 *3849:29 0 +9 *3809:30 *3973:21 0 +10 *3809:33 *37636:B 0 +11 *3809:33 *3849:46 0 +12 *491:9 *3809:30 0 +13 *2103:48 *3809:30 0 +14 *2115:49 *3809:30 0 +15 *2236:25 *3809:30 0 +16 *2246:7 *3809:11 0 +17 *2420:76 *3809:30 0 +18 *2428:46 *3809:30 0 +19 *3103:22 *3809:11 0 +20 *3172:13 *3809:30 0 +21 *3180:14 *3809:11 0 +22 *3259:77 *3809:30 0 +23 *3358:23 *3809:30 0 +24 *3386:48 *3809:11 0 +25 *3449:26 *3809:30 0 +26 *3523:32 *3809:30 0 +27 *3533:19 *3809:30 0 +28 *3771:17 *3809:30 0 +29 *3792:37 *3809:30 0 +30 *3807:41 *5453:DIODE 0 +*RES +1 *40853:X *3809:11 42.2662 +2 *3809:11 *3809:30 49.186 +3 *3809:30 *3809:33 5.85714 +4 *3809:33 *5453:DIODE 22.7821 +5 *3809:33 *37636:A 9.3 +*END + +*D_NET *3810 0.0211485 +*CONN +*I *37630:A I *D sky130_fd_sc_hd__and2_1 +*I *5446:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40854:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *37630:A 2.0535e-05 +2 *5446:DIODE 0.00011765 +3 *40854:X 7.23016e-05 +4 *3810:35 0.000987673 +5 *3810:27 0.00307638 +6 *3810:9 0.00951426 +7 *3810:8 0.00735967 +8 *3810:8 *5425:DIODE 0 +9 *3810:8 *3811:58 0 +10 *3810:9 *8635:DIODE 0 +11 *3810:9 *8732:DIODE 0 +12 *3810:9 *3991:108 0 +13 *3810:9 *4069:5 0 +14 *3810:9 *4069:13 0 +15 *3810:9 *4097:94 0 +16 *3810:9 *4224:27 0 +17 *3810:27 *4077:19 0 +18 *3810:27 *4224:51 0 +19 *3810:35 *4040:38 0 +20 *37630:B *3810:35 0 +21 *37840:B *3810:9 0 +22 *37854:B *3810:9 0 +23 *40783:A *3810:27 0 +24 *1004:23 *3810:35 0 +25 *1031:93 *3810:35 0 +26 *1326:16 *3810:9 0 +27 *1331:13 *3810:9 0 +28 *1336:17 *3810:27 0 +29 *1336:42 *3810:9 0 +30 *1416:47 *3810:9 0 +31 *1549:43 *3810:27 0 +32 *2384:46 *3810:9 0 +33 *2430:11 *3810:9 0 +34 *2430:23 *3810:9 0 +35 *2432:10 *3810:9 0 +36 *2822:145 *3810:9 0 +37 *2831:20 *3810:9 0 +38 *2929:21 *3810:9 0 +39 *3008:89 *3810:9 0 +40 *3301:114 *3810:35 0 +41 *3469:30 *3810:27 0 +42 *3481:48 *3810:35 0 +43 *3498:40 *3810:35 0 +44 *3545:42 *3810:27 0 +45 *3650:75 *3810:9 0 +46 *3650:93 *3810:9 0 +47 *3669:69 *3810:9 0 +48 *3732:49 *3810:27 0 +49 *3755:13 *3810:27 0 +50 *3780:26 *3810:9 0 +*RES +1 *40854:X *3810:8 19.9429 +2 *3810:8 *3810:9 152.089 +3 *3810:9 *3810:27 46.566 +4 *3810:27 *3810:35 23.8393 +5 *3810:35 *5446:DIODE 20.8893 +6 *3810:35 *37630:A 9.72857 +*END + +*D_NET *3811 0.0202801 +*CONN +*I *8534:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40854:A I *D sky130_fd_sc_hd__buf_2 +*I *40855:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8534:DIODE 0.000202126 +2 *40854:A 0 +3 *40855:X 0.00134565 +4 *3811:58 0.00242396 +5 *3811:45 0.0041549 +6 *3811:42 0.00218407 +7 *3811:33 0.00311499 +8 *3811:31 0.00418635 +9 *3811:14 0.00266801 +10 *8534:DIODE *5425:DIODE 0 +11 *3811:14 *5466:DIODE 0 +12 *3811:14 *8535:DIODE 0 +13 *3811:14 *4113:75 0 +14 *3811:14 *4324:100 0 +15 *3811:14 *4379:66 0 +16 *3811:31 *37622:A 0 +17 *3811:31 *3942:61 0 +18 *3811:31 *4125:58 0 +19 *3811:33 *5420:DIODE 0 +20 *3811:33 *8923:DIODE 0 +21 *3811:33 *38022:B 0 +22 *3811:33 *38284:B 0 +23 *3811:33 *41317:A 0 +24 *3811:33 *3815:109 0 +25 *3811:33 *4113:99 0 +26 *3811:33 *4113:103 0 +27 *3811:33 *4324:100 0 +28 *3811:33 *4324:101 0 +29 *3811:33 *4408:124 0 +30 *3811:42 *38284:B 0 +31 *3811:45 *4008:103 0 +32 *3811:45 *4022:140 0 +33 *3811:45 *4052:37 0 +34 *3811:45 *4059:53 0 +35 *3811:58 *3822:111 0 +36 *3811:58 *4041:86 0 +37 *3811:58 *4092:83 0 +38 *5435:DIODE *3811:31 0 +39 *5435:DIODE *3811:33 0 +40 *5705:DIODE *3811:31 0 +41 *5992:DIODE *3811:14 0 +42 *6368:DIODE *3811:33 0 +43 *8423:DIODE *3811:33 0 +44 *37646:A *3811:14 0 +45 *38284:A *3811:33 0 +46 *1222:14 *3811:14 0 +47 *1222:32 *3811:31 0 +48 *1222:32 *3811:33 0 +49 *1224:20 *3811:14 0 +50 *1224:42 *3811:31 0 +51 *1229:51 *3811:14 0 +52 *1229:65 *3811:33 0 +53 *1445:37 *3811:58 0 +54 *1532:36 *3811:14 0 +55 *1541:10 *3811:42 0 +56 *2384:63 *8534:DIODE 0 +57 *2835:21 *3811:31 0 +58 *2919:66 *3811:45 0 +59 *2998:7 *3811:33 0 +60 *3001:18 *3811:33 0 +61 *3029:46 *3811:33 0 +62 *3047:10 *3811:58 0 +63 *3070:84 *3811:45 0 +64 *3080:30 *3811:33 0 +65 *3105:103 *3811:14 0 +66 *3112:83 *3811:31 0 +67 *3166:17 *3811:42 0 +68 *3305:127 *3811:33 0 +69 *3319:103 *3811:33 0 +70 *3319:115 *3811:33 0 +71 *3321:152 *3811:33 0 +72 *3438:132 *3811:31 0 +73 *3546:67 *3811:33 0 +74 *3546:115 *3811:33 0 +75 *3546:115 *3811:42 0 +76 *3546:128 *3811:45 0 +77 *3658:64 *3811:42 0 +78 *3685:40 *3811:58 0 +79 *3715:25 *3811:42 0 +80 *3715:25 *3811:45 0 +81 *3723:93 *3811:33 0 +82 *3726:28 *3811:14 0 +83 *3747:72 *3811:31 0 +84 *3765:22 *3811:58 0 +85 *3770:10 *3811:58 0 +86 *3801:69 *3811:14 0 +87 *3803:66 *8534:DIODE 0 +88 *3810:8 *3811:58 0 +*RES +1 *40855:X *3811:14 45.5835 +2 *3811:14 *3811:31 41.7679 +3 *3811:31 *3811:33 59.6786 +4 *3811:33 *3811:42 14.3929 +5 *3811:42 *3811:45 44.875 +6 *3811:45 *3811:58 33.9981 +7 *3811:58 *40854:A 13.8 +8 *3811:58 *8534:DIODE 18.4071 +*END + +*D_NET *3812 0.0217024 +*CONN +*I *8535:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40855:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40856:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8535:DIODE 0.00014075 +2 *40855:A 2.0535e-05 +3 *40856:X 0.000285184 +4 *3812:77 0.000718703 +5 *3812:65 0.00243365 +6 *3812:38 0.00400083 +7 *3812:21 0.00450302 +8 *3812:11 0.00584649 +9 *3812:10 0.00375325 +10 *8535:DIODE *4128:57 0 +11 *3812:11 *4338:21 0 +12 *3812:21 *3817:34 0 +13 *3812:21 *3817:40 0 +14 *3812:21 *4329:24 0 +15 *3812:38 *3817:40 0 +16 *3812:38 *3823:48 0 +17 *3812:38 *3823:66 0 +18 *3812:38 *3824:14 0 +19 *3812:38 *3824:21 0 +20 *3812:38 *4329:47 0 +21 *3812:65 *40865:A 0 +22 *3812:65 *3823:66 0 +23 *3812:65 *3823:69 0 +24 *3812:65 *3824:23 0 +25 *3812:65 *4324:43 0 +26 *3812:65 *4328:14 0 +27 *3812:65 *4345:89 0 +28 *3812:65 *4352:19 0 +29 *3812:65 *4356:45 0 +30 *3812:65 *4365:34 0 +31 *3812:77 *37660:B 0 +32 *3812:77 *3956:50 0 +33 *3812:77 *4128:57 0 +34 *3812:77 *4352:19 0 +35 *5480:DIODE *3812:77 0 +36 *8443:DIODE *3812:38 0 +37 *8485:DIODE *3812:38 0 +38 *38017:A *3812:38 0 +39 *39633:A *3812:65 0 +40 *40746:A *3812:38 0 +41 *40782:A *3812:38 0 +42 *1203:28 *3812:21 0 +43 *1224:20 *8535:DIODE 0 +44 *1224:20 *3812:77 0 +45 *1312:18 *3812:11 0 +46 *1312:18 *3812:21 0 +47 *1407:51 *3812:38 0 +48 *2262:17 *3812:11 0 +49 *2269:15 *3812:11 0 +50 *2274:50 *3812:38 0 +51 *2306:18 *3812:11 0 +52 *2306:18 *3812:21 0 +53 *2306:24 *3812:21 0 +54 *2307:20 *3812:21 0 +55 *2307:20 *3812:38 0 +56 *2327:20 *3812:21 0 +57 *2340:13 *3812:21 0 +58 *2732:36 *3812:11 0 +59 *2948:16 *3812:65 0 +60 *3123:59 *3812:21 0 +61 *3123:61 *3812:11 0 +62 *3190:19 *3812:11 0 +63 *3196:35 *3812:21 0 +64 *3201:29 *3812:21 0 +65 *3363:15 *3812:11 0 +66 *3674:58 *3812:65 0 +67 *3730:23 *3812:65 0 +68 *3797:33 *3812:38 0 +69 *3797:59 *3812:38 0 +70 *3799:38 *3812:65 0 +71 *3801:69 *8535:DIODE 0 +72 *3811:14 *8535:DIODE 0 +*RES +1 *40856:X *3812:10 24.7286 +2 *3812:10 *3812:11 72.4107 +3 *3812:11 *3812:21 49.7946 +4 *3812:21 *3812:38 49.4464 +5 *3812:38 *3812:65 49.2886 +6 *3812:65 *3812:77 26.3874 +7 *3812:77 *40855:A 9.72857 +8 *3812:77 *8535:DIODE 12.3 +*END + +*D_NET *3813 0.0180102 +*CONN +*I *5443:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37628:A I *D sky130_fd_sc_hd__and2_1 +*I *40857:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5443:DIODE 4.23535e-05 +2 *37628:A 0.000277153 +3 *40857:X 0.000942685 +4 *3813:57 0.000384534 +5 *3813:54 0.00165607 +6 *3813:45 0.00455193 +7 *3813:44 0.00371423 +8 *3813:32 0.00195938 +9 *3813:20 0.00237264 +10 *3813:14 0.00210928 +11 *5443:DIODE *39137:A 0 +12 *5443:DIODE *3882:44 0 +13 *3813:14 *3827:22 0 +14 *3813:20 *3934:88 0 +15 *3813:20 *4485:41 0 +16 *3813:20 *4857:18 0 +17 *3813:32 *4497:9 0 +18 *3813:44 *40414:A 0 +19 *3813:44 *3882:13 0 +20 *3813:45 *4505:10 0 +21 *3813:57 *3882:44 0 +22 *6711:DIODE *3813:45 0 +23 *38575:A *3813:45 0 +24 *38714:A *3813:45 0 +25 *1220:28 *37628:A 0 +26 *1220:28 *3813:54 0 +27 *1223:14 *37628:A 0 +28 *1993:35 *3813:14 0 +29 *1996:27 *3813:14 0 +30 *2040:55 *3813:54 0 +31 *2077:36 *3813:14 0 +32 *2081:32 *3813:14 0 +33 *2118:56 *3813:45 0 +34 *3228:47 *3813:14 0 +35 *3259:77 *3813:32 0 +36 *3311:5 *3813:54 0 +37 *3335:27 *3813:32 0 +38 *3355:42 *3813:20 0 +39 *3444:5 *3813:32 0 +40 *3444:5 *3813:44 0 +41 *3444:5 *3813:45 0 +42 *3500:20 *37628:A 0 +43 *3523:32 *37628:A 0 +44 *3533:49 *3813:45 0 +45 *3533:49 *3813:54 0 +46 *3800:20 *3813:20 0 +47 *3800:41 *3813:32 0 +48 *3800:41 *3813:44 0 +49 *3800:43 *3813:45 0 +50 *3807:49 *3813:57 0 +*RES +1 *40857:X *3813:14 47.4071 +2 *3813:14 *3813:20 34.0714 +3 *3813:20 *3813:32 43.3393 +4 *3813:32 *3813:44 33.9643 +5 *3813:44 *3813:45 61.7321 +6 *3813:45 *3813:54 47.3393 +7 *3813:54 *3813:57 5.85714 +8 *3813:57 *37628:A 24.6571 +9 *3813:57 *5443:DIODE 10.2464 +*END + +*D_NET *3814 0.0184917 +*CONN +*I *37626:A I *D sky130_fd_sc_hd__and2_1 +*I *5440:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40858:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37626:A 0.000152724 +2 *5440:DIODE 0 +3 *40858:X 0.00138051 +4 *3814:56 0.00101459 +5 *3814:43 0.00232534 +6 *3814:31 0.00570053 +7 *3814:30 0.00538729 +8 *3814:17 0.00253074 +9 *37626:A *5441:DIODE 0 +10 *37626:A *4501:56 0 +11 *37626:A *4513:31 0 +12 *3814:17 *3827:14 0 +13 *3814:17 *3838:11 0 +14 *3814:17 *4300:10 0 +15 *3814:30 *3838:32 0 +16 *3814:31 *3882:23 0 +17 *3814:31 *4492:19 0 +18 *3814:31 *4503:9 0 +19 *3814:56 *4501:56 0 +20 *3814:56 *4501:61 0 +21 *8532:DIODE *3814:17 0 +22 *37678:B *3814:56 0 +23 *41281:A *3814:17 0 +24 *41296:A *3814:17 0 +25 *1215:15 *3814:56 0 +26 *1967:37 *3814:30 0 +27 *2008:13 *3814:17 0 +28 *2050:55 *3814:17 0 +29 *2081:32 *3814:17 0 +30 *2393:90 *3814:43 0 +31 *2424:74 *3814:30 0 +32 *2424:77 *3814:17 0 +33 *2437:40 *3814:17 0 +34 *3121:56 *3814:56 0 +35 *3140:97 *3814:17 0 +36 *3140:97 *3814:30 0 +37 *3153:72 *3814:17 0 +38 *3228:69 *3814:17 0 +39 *3305:34 *3814:31 0 +40 *3305:35 *3814:31 0 +41 *3311:5 *3814:43 0 +42 *3320:72 *3814:31 0 +43 *3321:39 *3814:43 0 +44 *3321:39 *3814:56 0 +45 *3335:5 *3814:17 0 +46 *3517:21 *3814:56 0 +47 *3807:41 *3814:43 0 +*RES +1 *40858:X *3814:17 48.2107 +2 *3814:17 *3814:30 42.2857 +3 *3814:30 *3814:31 88.4286 +4 *3814:31 *3814:43 49.2679 +5 *3814:43 *3814:56 32.3214 +6 *3814:56 *5440:DIODE 13.8 +7 *3814:56 *37626:A 17.3 +*END + +*D_NET *3815 0.01958 +*CONN +*I *37624:A I *D sky130_fd_sc_hd__and2_4 +*I *5437:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40859:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37624:A 0.000574411 +2 *5437:DIODE 0 +3 *40859:X 0.0009976 +4 *3815:109 0.000659118 +5 *3815:106 0.00248678 +6 *3815:70 0.00361218 +7 *3815:47 0.00304815 +8 *3815:39 0.00322844 +9 *3815:31 0.00268307 +10 *3815:14 0.00229027 +11 *37624:A *3991:77 0 +12 *37624:A *3997:46 0 +13 *37624:A *4408:124 0 +14 *3815:14 *4128:39 0 +15 *3815:31 *41314:A 0 +16 *3815:31 *3817:63 0 +17 *3815:31 *3817:70 0 +18 *3815:31 *3826:40 0 +19 *3815:31 *4358:51 0 +20 *3815:39 *38252:B 0 +21 *3815:39 *3817:70 0 +22 *3815:39 *3826:41 0 +23 *3815:47 *37610:A 0 +24 *3815:47 *3826:41 0 +25 *3815:47 *4128:57 0 +26 *3815:47 *4128:80 0 +27 *3815:70 *8937:DIODE 0 +28 *3815:70 *4128:80 0 +29 *3815:70 *4128:83 0 +30 *3815:106 *4022:94 0 +31 *3815:106 *4340:26 0 +32 *3815:106 *4344:17 0 +33 *3815:106 *4374:38 0 +34 *3815:109 *38284:B 0 +35 *3815:109 *4408:124 0 +36 *8393:DIODE *3815:47 0 +37 *8465:DIODE *3815:14 0 +38 *8494:DIODE *3815:14 0 +39 *8506:DIODE *3815:39 0 +40 *37610:B *3815:47 0 +41 *37848:A *3815:47 0 +42 *37848:A *3815:70 0 +43 *37848:B *3815:70 0 +44 *38007:A *3815:39 0 +45 *40815:A *3815:39 0 +46 *1204:25 *3815:47 0 +47 *1323:17 *3815:47 0 +48 *1323:17 *3815:70 0 +49 *1438:55 *3815:31 0 +50 *1531:16 *3815:14 0 +51 *1531:43 *3815:14 0 +52 *1531:43 *3815:31 0 +53 *2279:75 *3815:31 0 +54 *2300:38 *3815:47 0 +55 *2374:107 *3815:70 0 +56 *2749:69 *3815:39 0 +57 *2928:55 *3815:70 0 +58 *3085:21 *3815:39 0 +59 *3305:142 *3815:106 0 +60 *3307:85 *3815:106 0 +61 *3316:115 *3815:106 0 +62 *3447:75 *3815:39 0 +63 *3447:102 *3815:39 0 +64 *3447:103 *3815:47 0 +65 *3546:115 *37624:A 0 +66 *3546:115 *3815:109 0 +67 *3626:29 *3815:47 0 +68 *3685:40 *3815:106 0 +69 *3763:21 *3815:106 0 +70 *3811:33 *3815:109 0 +*RES +1 *40859:X *3815:14 48.4607 +2 *3815:14 *3815:31 36.1875 +3 *3815:31 *3815:39 29.2946 +4 *3815:39 *3815:47 47.3571 +5 *3815:47 *3815:70 48.3214 +6 *3815:70 *3815:106 46.8045 +7 *3815:106 *3815:109 6.26786 +8 *3815:109 *5437:DIODE 9.3 +9 *3815:109 *37624:A 39.7643 +*END + +*D_NET *3816 0.0131099 +*CONN +*I *8276:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40522:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38561:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8276:DIODE 0 +2 *40522:A 0.000409628 +3 *38561:X 0.0015408 +4 *3816:61 0.00231076 +5 *3816:53 0.00302754 +6 *3816:33 0.00270337 +7 *3816:20 0.00311776 +8 *40522:A *4046:70 0 +9 *40522:A *4496:52 0 +10 *40522:A *5192:15 0 +11 *3816:20 *3849:29 0 +12 *3816:20 *4488:16 0 +13 *3816:20 *4488:24 0 +14 *3816:20 *5170:31 0 +15 *3816:33 *4488:45 0 +16 *3816:33 *4490:38 0 +17 *3816:33 *4495:27 0 +18 *3816:33 *4495:36 0 +19 *3816:53 *40414:A 0 +20 *3816:53 *4488:45 0 +21 *3816:53 *4495:36 0 +22 *3816:61 *5159:56 0 +23 *6563:DIODE *3816:33 0 +24 *6700:DIODE *3816:20 0 +25 *6843:DIODE *3816:33 0 +26 *37381:A *3816:53 0 +27 *37381:A *3816:61 0 +28 *38564:A *3816:20 0 +29 *41043:A *3816:53 0 +30 *495:8 *3816:33 0 +31 *1215:13 *3816:61 0 +32 *2087:15 *3816:20 0 +33 *2115:49 *3816:33 0 +34 *2394:27 *3816:33 0 +35 *2394:46 *3816:53 0 +36 *2405:5 *3816:20 0 +37 *2416:15 *3816:33 0 +38 *2418:51 *3816:53 0 +39 *2418:53 *3816:53 0 +40 *2424:62 *3816:61 0 +41 *2439:38 *3816:20 0 +42 *2439:38 *3816:33 0 +43 *2844:41 *40522:A 0 +44 *3055:33 *3816:53 0 +45 *3055:35 *3816:61 0 +46 *3148:74 *40522:A 0 +47 *3233:29 *40522:A 0 +48 *3259:77 *3816:53 0 +49 *3316:7 *3816:61 0 +50 *3316:17 *3816:61 0 +51 *3321:9 *3816:61 0 +52 *3322:37 *3816:61 0 +53 *3322:56 *40522:A 0 +54 *3511:32 *40522:A 0 +55 *3533:19 *3816:20 0 +56 *3771:17 *3816:20 0 +*RES +1 *38561:X *3816:20 44.7072 +2 *3816:20 *3816:33 46.2108 +3 *3816:33 *3816:53 42.8929 +4 *3816:53 *3816:61 48.7857 +5 *3816:61 *40522:A 31.8494 +6 *3816:61 *8276:DIODE 9.3 +*END + +*D_NET *3817 0.0219873 +*CONN +*I *5434:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37622:A I *D sky130_fd_sc_hd__and2_4 +*I *40860:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5434:DIODE 8.4707e-05 +2 *37622:A 0.000195433 +3 *40860:X 0.00122702 +4 *3817:96 0.000848426 +5 *3817:83 0.00292775 +6 *3817:70 0.00375547 +7 *3817:63 0.00258896 +8 *3817:52 0.00250917 +9 *3817:40 0.00309206 +10 *3817:34 0.00265356 +11 *3817:18 0.00210474 +12 *3817:18 *4359:9 0 +13 *3817:18 *4359:32 0 +14 *3817:34 *4329:24 0 +15 *3817:52 *8950:DIODE 0 +16 *3817:52 *8955:DIODE 0 +17 *3817:52 *3826:34 0 +18 *3817:52 *4358:21 0 +19 *3817:52 *4359:39 0 +20 *3817:52 *4707:7 0 +21 *3817:63 *6455:DIODE 0 +22 *3817:63 *41314:A 0 +23 *3817:63 *3826:40 0 +24 *3817:70 *3826:40 0 +25 *3817:70 *4324:70 0 +26 *3817:83 *37664:B 0 +27 *3817:83 *3819:19 0 +28 *3817:83 *3956:50 0 +29 *3817:83 *4374:21 0 +30 *3817:83 *4703:19 0 +31 *3817:96 *4374:21 0 +32 *5986:DIODE *3817:63 0 +33 *6362:DIODE *3817:70 0 +34 *39634:A *3817:52 0 +35 *39634:A *3817:63 0 +36 *39885:A *3817:70 0 +37 *40859:A *3817:52 0 +38 *1203:54 *3817:18 0 +39 *1429:34 *3817:83 0 +40 *1438:55 *3817:63 0 +41 *1511:7 *3817:52 0 +42 *1525:19 *3817:70 0 +43 *1531:43 *3817:63 0 +44 *2272:78 *3817:40 0 +45 *2279:75 *3817:63 0 +46 *2294:20 *3817:34 0 +47 *2299:19 *3817:18 0 +48 *2299:33 *3817:34 0 +49 *2303:24 *3817:18 0 +50 *2306:24 *3817:34 0 +51 *2306:24 *3817:40 0 +52 *2312:15 *3817:18 0 +53 *3112:82 *37622:A 0 +54 *3112:82 *3817:96 0 +55 *3123:59 *3817:18 0 +56 *3196:35 *3817:18 0 +57 *3196:35 *3817:34 0 +58 *3354:12 *3817:40 0 +59 *3447:102 *3817:83 0 +60 *3526:97 *3817:83 0 +61 *3546:67 *5434:DIODE 0 +62 *3685:40 *3817:83 0 +63 *3720:35 *3817:83 0 +64 *3736:24 *3817:63 0 +65 *3763:21 *3817:83 0 +66 *3763:21 *3817:96 0 +67 *3797:33 *3817:40 0 +68 *3798:77 *3817:63 0 +69 *3811:31 *37622:A 0 +70 *3812:21 *3817:34 0 +71 *3812:21 *3817:40 0 +72 *3812:38 *3817:40 0 +73 *3815:31 *3817:63 0 +74 *3815:31 *3817:70 0 +75 *3815:39 *3817:70 0 +*RES +1 *40860:X *3817:18 49.3714 +2 *3817:18 *3817:34 32.0893 +3 *3817:34 *3817:40 46.7143 +4 *3817:40 *3817:52 45.5893 +5 *3817:52 *3817:63 25.2679 +6 *3817:63 *3817:70 38.375 +7 *3817:70 *3817:83 46.5979 +8 *3817:83 *3817:96 19.8211 +9 *3817:96 *37622:A 18.8179 +10 *3817:96 *5434:DIODE 15.5679 +*END + +*D_NET *3818 0.0248805 +*CONN +*I *37620:A I *D sky130_fd_sc_hd__and2_1 +*I *5431:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40861:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *37620:A 6.20329e-05 +2 *5431:DIODE 9.91025e-05 +3 *40861:X 0.00193659 +4 *3818:27 0.00170776 +5 *3818:21 0.0102903 +6 *3818:20 0.00879589 +7 *3818:15 0.00198884 +8 *5431:DIODE *4241:124 0 +9 *37620:A *4080:15 0 +10 *3818:15 *3822:86 0 +11 *3818:15 *4716:6 0 +12 *3818:21 *4074:25 0 +13 *3818:27 *4080:15 0 +14 *3818:27 *4229:51 0 +15 *3818:27 *4313:14 0 +16 *3818:27 *4339:23 0 +17 *37620:B *5431:DIODE 0 +18 *2384:118 *3818:15 0 +19 *2413:69 *3818:15 0 +20 *2429:34 *3818:15 0 +21 *2821:26 *5431:DIODE 0 +22 *2821:26 *3818:27 0 +23 *2920:19 *3818:15 0 +24 *3387:25 *3818:21 0 +25 *3427:91 *3818:27 0 +26 *3681:56 *3818:15 0 +27 *3715:35 *3818:21 0 +28 *3756:14 *3818:15 0 +29 *3773:14 *37620:A 0 +30 *3773:14 *3818:27 0 +31 *3775:66 *3818:15 0 +*RES +1 *40861:X *3818:15 49.8737 +2 *3818:15 *3818:20 9.0975 +3 *3818:20 *3818:21 182.482 +4 *3818:21 *3818:27 43.8929 +5 *3818:27 *5431:DIODE 20.55 +6 *3818:27 *37620:A 10.6571 +*END + +*D_NET *3819 0.0184424 +*CONN +*I *8540:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40861:A I *D sky130_fd_sc_hd__buf_2 +*I *40862:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8540:DIODE 0.000198667 +2 *40861:A 0.0001114 +3 *40862:X 0.000680388 +4 *3819:75 0.000507075 +5 *3819:71 0.00210637 +6 *3819:49 0.00315859 +7 *3819:19 0.00524863 +8 *3819:17 0.00487514 +9 *3819:13 0.00155612 +10 *3819:13 *4345:84 0 +11 *3819:13 *4353:31 0 +12 *3819:17 *4134:50 0 +13 *3819:17 *4345:84 0 +14 *3819:17 *4374:21 0 +15 *3819:19 *8927:DIODE 0 +16 *3819:19 *37664:B 0 +17 *3819:19 *41304:A 0 +18 *3819:19 *4103:25 0 +19 *3819:19 *4345:84 0 +20 *3819:49 *8940:DIODE 0 +21 *3819:49 *4022:94 0 +22 *3819:49 *4100:54 0 +23 *3819:49 *4328:24 0 +24 *3819:49 *4332:79 0 +25 *3819:49 *4345:117 0 +26 *3819:49 *4352:19 0 +27 *3819:71 *4332:79 0 +28 *6021:DIODE *3819:71 0 +29 *8100:DIODE *3819:17 0 +30 *8361:DIODE *3819:71 0 +31 *8483:DIODE *3819:71 0 +32 *8503:DIODE *3819:17 0 +33 *38018:A_N *3819:75 0 +34 *40283:A *3819:19 0 +35 *40287:A *3819:17 0 +36 *40715:A *3819:17 0 +37 *40822:A *3819:75 0 +38 *40848:A *3819:19 0 +39 *1231:17 *3819:19 0 +40 *1231:37 *3819:49 0 +41 *1231:37 *3819:71 0 +42 *1237:27 *3819:19 0 +43 *1408:29 *3819:71 0 +44 *1410:40 *8540:DIODE 0 +45 *1410:40 *3819:71 0 +46 *1410:40 *3819:75 0 +47 *1505:19 *3819:19 0 +48 *2266:90 *3819:19 0 +49 *2266:97 *3819:19 0 +50 *2281:62 *3819:17 0 +51 *2301:38 *3819:13 0 +52 *2434:47 *3819:71 0 +53 *2840:27 *3819:49 0 +54 *2992:22 *3819:71 0 +55 *3161:34 *3819:19 0 +56 *3165:14 *3819:13 0 +57 *3526:97 *3819:19 0 +58 *3526:97 *3819:49 0 +59 *3526:106 *3819:49 0 +60 *3653:36 *3819:19 0 +61 *3653:36 *3819:49 0 +62 *3667:19 *3819:71 0 +63 *3680:16 *3819:13 0 +64 *3720:16 *3819:17 0 +65 *3720:23 *3819:19 0 +66 *3730:67 *3819:49 0 +67 *3766:61 *8540:DIODE 0 +68 *3766:61 *3819:75 0 +69 *3772:20 *3819:19 0 +70 *3774:13 *8540:DIODE 0 +71 *3775:66 *40861:A 0 +72 *3781:24 *3819:19 0 +73 *3803:24 *3819:19 0 +74 *3804:85 *3819:19 0 +75 *3817:83 *3819:19 0 +*RES +1 *40862:X *3819:13 32.6393 +2 *3819:13 *3819:17 18.2143 +3 *3819:17 *3819:19 83.5 +4 *3819:19 *3819:49 46.8224 +5 *3819:49 *3819:71 49.75 +6 *3819:71 *3819:75 4.25 +7 *3819:75 *40861:A 20.7821 +8 *3819:75 *8540:DIODE 22.5679 +*END + +*D_NET *3820 0.0251399 +*CONN +*I *5428:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37618:A I *D sky130_fd_sc_hd__and2_1 +*I *40863:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5428:DIODE 0.000508169 +2 *37618:A 0 +3 *40863:X 0 +4 *3820:101 0.0018378 +5 *3820:89 0.00308823 +6 *3820:77 0.00379612 +7 *3820:61 0.00520089 +8 *3820:34 0.0046864 +9 *3820:11 0.00377269 +10 *3820:4 0.00224965 +11 *5428:DIODE *3967:6 0 +12 *5428:DIODE *4084:11 0 +13 *3820:11 *3822:21 0 +14 *3820:11 *4022:94 0 +15 *3820:11 *4310:80 0 +16 *3820:11 *4365:67 0 +17 *3820:11 *4365:82 0 +18 *3820:11 *4367:61 0 +19 *3820:34 *4022:94 0 +20 *3820:34 *4218:43 0 +21 *3820:34 *4365:82 0 +22 *3820:34 *4377:105 0 +23 *3820:61 *4055:52 0 +24 *3820:61 *4070:63 0 +25 *3820:61 *4114:53 0 +26 *3820:61 *4369:67 0 +27 *3820:61 *4719:8 0 +28 *3820:77 *6402:DIODE 0 +29 *3820:77 *4364:11 0 +30 *3820:89 *4099:60 0 +31 *3820:89 *4107:101 0 +32 *3820:89 *4364:11 0 +33 *3820:101 *3942:151 0 +34 *3820:101 *4041:86 0 +35 *3820:101 *4084:11 0 +36 *5426:DIODE *5428:DIODE 0 +37 *5680:DIODE *3820:34 0 +38 *8030:DIODE *5428:DIODE 0 +39 *8030:DIODE *3820:101 0 +40 *8392:DIODE *5428:DIODE 0 +41 *8414:DIODE *3820:34 0 +42 *37834:B *3820:11 0 +43 *40800:A *3820:34 0 +44 *1029:84 *3820:61 0 +45 *1315:26 *3820:34 0 +46 *1318:26 *3820:61 0 +47 *1319:27 *3820:77 0 +48 *1514:21 *3820:11 0 +49 *2374:76 *3820:77 0 +50 *2917:10 *3820:61 0 +51 *2925:37 *3820:61 0 +52 *2939:10 *3820:77 0 +53 *2946:12 *3820:61 0 +54 *2992:57 *3820:89 0 +55 *3124:17 *3820:61 0 +56 *3298:40 *3820:61 0 +57 *3307:85 *3820:34 0 +58 *3323:57 *3820:34 0 +59 *3522:35 *5428:DIODE 0 +60 *3531:36 *3820:61 0 +61 *3651:26 *3820:61 0 +62 *3669:14 *3820:61 0 +63 *3674:58 *3820:11 0 +64 *3674:86 *3820:61 0 +65 *3692:34 *3820:77 0 +66 *3723:93 *3820:34 0 +67 *3730:67 *3820:34 0 +68 *3730:95 *3820:77 0 +69 *3730:101 *3820:77 0 +70 *3730:110 *3820:89 0 +71 *3742:40 *3820:34 0 +72 *3756:23 *3820:89 0 +73 *3756:25 *3820:89 0 +74 *3766:81 *3820:77 0 +75 *3769:51 *3820:77 0 +76 *3774:15 *3820:77 0 +77 *3774:15 *3820:89 0 +78 *3796:29 *3820:34 0 +*RES +1 *40863:X *3820:4 9.3 +2 *3820:4 *3820:11 47.1071 +3 *3820:11 *3820:34 46.7143 +4 *3820:34 *3820:61 49.2324 +5 *3820:61 *3820:77 42.1004 +6 *3820:77 *3820:89 46.0357 +7 *3820:89 *3820:101 45.8929 +8 *3820:101 *37618:A 9.3 +9 *3820:101 *5428:DIODE 29.4607 +*END + +*D_NET *3821 0.0209226 +*CONN +*I *40863:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8542:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40864:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40863:A 0.00018302 +2 *8542:DIODE 0 +3 *40864:X 0.00446628 +4 *3821:39 0.0019208 +5 *3821:38 0.00200522 +6 *3821:29 0.00371583 +7 *3821:28 0.00380679 +8 *3821:15 0.00482468 +9 *40863:A *4345:89 0 +10 *40863:A *4356:45 0 +11 *3821:15 *3823:24 0 +12 *3821:15 *3956:24 0 +13 *3821:15 *4321:17 0 +14 *3821:15 *4329:12 0 +15 *3821:15 *4356:14 0 +16 *3821:15 *4375:19 0 +17 *3821:29 *3823:35 0 +18 *3821:29 *4329:28 0 +19 *3821:29 *4356:15 0 +20 *3821:29 *4356:37 0 +21 *3821:38 *4356:37 0 +22 *3821:39 *3824:21 0 +23 *3821:39 *3824:23 0 +24 *8429:DIODE *3821:39 0 +25 *37818:A *3821:15 0 +26 *37820:A *3821:15 0 +27 *1237:45 *40863:A 0 +28 *1293:20 *3821:15 0 +29 *1816:13 *3821:15 0 +30 *2236:25 *3821:15 0 +31 *2250:25 *3821:15 0 +32 *2251:33 *3821:15 0 +33 *2262:7 *3821:15 0 +34 *2267:26 *3821:15 0 +35 *2272:26 *3821:15 0 +36 *2273:63 *40863:A 0 +37 *2301:38 *3821:29 0 +38 *2314:22 *3821:29 0 +39 *2858:15 *3821:28 0 +40 *2962:87 *3821:28 0 +41 *3103:22 *3821:15 0 +42 *3372:48 *3821:15 0 +43 *3526:90 *40863:A 0 +44 *3599:34 *3821:15 0 +45 *3603:35 *3821:15 0 +46 *3716:17 *3821:15 0 +*RES +1 *40864:X *3821:15 47.6914 +2 *3821:15 *3821:28 16.4027 +3 *3821:28 *3821:29 72 +4 *3821:29 *3821:38 14.6964 +5 *3821:38 *3821:39 36.2679 +6 *3821:39 *8542:DIODE 9.3 +7 *3821:39 *40863:A 22.4071 +*END + +*D_NET *3822 0.0253789 +*CONN +*I *37616:A I *D sky130_fd_sc_hd__and2_1 +*I *5425:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40865:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37616:A 0 +2 *5425:DIODE 0.000460631 +3 *40865:X 0.00102458 +4 *3822:111 0.00343055 +5 *3822:86 0.00480245 +6 *3822:76 0.00279935 +7 *3822:58 0.00325997 +8 *3822:38 0.00371144 +9 *3822:27 0.00314184 +10 *3822:21 0.00274813 +11 *3822:21 *4345:89 0 +12 *3822:21 *4345:111 0 +13 *3822:21 *4356:45 0 +14 *3822:21 *4367:61 0 +15 *3822:21 *4702:12 0 +16 *3822:38 *4089:41 0 +17 *3822:58 *4719:8 0 +18 *3822:76 *4352:58 0 +19 *3822:86 *41353:A 0 +20 *3822:86 *3942:107 0 +21 *3822:86 *4352:58 0 +22 *3822:111 *8926:DIODE 0 +23 *3822:111 *3988:25 0 +24 *3822:111 *4084:11 0 +25 *3822:111 *4109:65 0 +26 *3822:111 *4267:80 0 +27 *8030:DIODE *3822:111 0 +28 *8097:DIODE *3822:111 0 +29 *8476:DIODE *3822:111 0 +30 *8510:DIODE *5425:DIODE 0 +31 *8534:DIODE *5425:DIODE 0 +32 *37850:B *3822:86 0 +33 *40731:A *3822:86 0 +34 *1210:89 *3822:111 0 +35 *1408:29 *3822:76 0 +36 *1411:40 *3822:58 0 +37 *1436:22 *3822:38 0 +38 *1444:32 *3822:76 0 +39 *1515:6 *3822:27 0 +40 *1527:8 *3822:58 0 +41 *1536:23 *3822:38 0 +42 *1539:16 *3822:76 0 +43 *2384:63 *5425:DIODE 0 +44 *2421:51 *3822:58 0 +45 *2824:23 *3822:86 0 +46 *2933:19 *3822:58 0 +47 *2935:13 *5425:DIODE 0 +48 *2935:20 *3822:58 0 +49 *2945:11 *3822:38 0 +50 *2961:13 *3822:38 0 +51 *2992:32 *3822:86 0 +52 *2992:57 *3822:111 0 +53 *3054:51 *3822:27 0 +54 *3054:70 *3822:76 0 +55 *3074:45 *3822:58 0 +56 *3191:28 *3822:27 0 +57 *3387:25 *3822:58 0 +58 *3526:90 *3822:21 0 +59 *3673:7 *3822:86 0 +60 *3674:58 *3822:21 0 +61 *3674:68 *3822:38 0 +62 *3676:7 *3822:21 0 +63 *3678:19 *3822:86 0 +64 *3680:42 *3822:21 0 +65 *3685:40 *3822:111 0 +66 *3687:42 *3822:76 0 +67 *3720:41 *3822:38 0 +68 *3726:89 *3822:111 0 +69 *3726:114 *3822:111 0 +70 *3732:10 *3822:111 0 +71 *3733:131 *3822:111 0 +72 *3750:27 *3822:86 0 +73 *3750:45 *3822:86 0 +74 *3756:14 *3822:86 0 +75 *3756:23 *3822:86 0 +76 *3756:25 *3822:111 0 +77 *3763:36 *3822:111 0 +78 *3781:45 *3822:76 0 +79 *3810:8 *5425:DIODE 0 +80 *3811:58 *3822:111 0 +81 *3818:15 *3822:86 0 +82 *3820:11 *3822:21 0 +*RES +1 *40865:X *3822:21 48.8893 +2 *3822:21 *3822:27 46.2857 +3 *3822:27 *3822:38 48.6786 +4 *3822:38 *3822:58 49.8045 +5 *3822:58 *3822:76 35.4196 +6 *3822:76 *3822:86 46.2404 +7 *3822:86 *3822:111 45.9564 +8 *3822:111 *5425:DIODE 28.5857 +9 *3822:111 *37616:A 9.3 +*END + +*D_NET *3823 0.0208495 +*CONN +*I *40865:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8543:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40866:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40865:A 0.000203639 +2 *8543:DIODE 0 +3 *40866:X 0.000813166 +4 *3823:69 0.000248987 +5 *3823:66 0.0021118 +6 *3823:48 0.0034489 +7 *3823:35 0.00315746 +8 *3823:25 0.00591369 +9 *3823:24 0.00495183 +10 *3823:25 *4353:9 0 +11 *3823:35 *4329:28 0 +12 *3823:48 *3824:14 0 +13 *3823:66 *3824:21 0 +14 *3823:66 *4329:47 0 +15 *3823:66 *4705:14 0 +16 *40743:A *3823:48 0 +17 *40782:A *3823:66 0 +18 *1309:21 *3823:24 0 +19 *1406:35 *3823:24 0 +20 *2237:15 *3823:24 0 +21 *2257:16 *3823:24 0 +22 *2268:17 *3823:24 0 +23 *2275:26 *3823:25 0 +24 *2288:19 *3823:25 0 +25 *2294:20 *3823:35 0 +26 *2302:19 *3823:25 0 +27 *2307:34 *3823:48 0 +28 *2314:10 *3823:25 0 +29 *2314:22 *3823:35 0 +30 *2323:10 *3823:25 0 +31 *2328:13 *3823:25 0 +32 *2328:14 *3823:25 0 +33 *2337:23 *3823:35 0 +34 *2948:16 *3823:66 0 +35 *3123:39 *3823:25 0 +36 *3177:67 *3823:48 0 +37 *3196:35 *3823:35 0 +38 *3372:48 *3823:48 0 +39 *3546:26 *3823:66 0 +40 *3599:35 *3823:25 0 +41 *3603:21 *3823:25 0 +42 *3672:17 *3823:66 0 +43 *3674:58 *40865:A 0 +44 *3674:58 *3823:69 0 +45 *3687:19 *3823:48 0 +46 *3730:17 *3823:66 0 +47 *3812:38 *3823:48 0 +48 *3812:38 *3823:66 0 +49 *3812:65 *40865:A 0 +50 *3812:65 *3823:66 0 +51 *3812:65 *3823:69 0 +52 *3821:15 *3823:24 0 +53 *3821:29 *3823:35 0 +*RES +1 *40866:X *3823:24 36.8893 +2 *3823:24 *3823:25 86.375 +3 *3823:25 *3823:35 46.4286 +4 *3823:35 *3823:48 47.2143 +5 *3823:48 *3823:66 48.0714 +6 *3823:66 *3823:69 5.44643 +7 *3823:69 *8543:DIODE 9.3 +8 *3823:69 *40865:A 13.675 +*END + +*D_NET *3824 0.0188998 +*CONN +*I *37614:A I *D sky130_fd_sc_hd__and2_1 +*I *5422:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40867:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37614:A 2.26741e-05 +2 *5422:DIODE 0.000196387 +3 *40867:X 0.000192855 +4 *3824:39 0.00219363 +5 *3824:23 0.00704116 +6 *3824:21 0.00706341 +7 *3824:14 0.00218967 +8 *5422:DIODE *4241:21 0 +9 *3824:21 *8944:DIODE 0 +10 *3824:21 *3984:19 0 +11 *3824:23 *6332:DIODE 0 +12 *3824:23 *41345:A 0 +13 *3824:23 *4022:68 0 +14 *3824:23 *4100:54 0 +15 *3824:23 *4356:45 0 +16 *3824:23 *4365:67 0 +17 *3824:39 *4377:129 0 +18 *8434:DIODE *3824:21 0 +19 *8443:DIODE *3824:21 0 +20 *37613:A *3824:23 0 +21 *37832:B *3824:23 0 +22 *37836:B *3824:39 0 +23 *38081:A *3824:23 0 +24 *40092:A *3824:23 0 +25 *40746:A *3824:21 0 +26 *1204:25 *3824:23 0 +27 *1210:16 *3824:23 0 +28 *1210:54 *37614:A 0 +29 *1210:54 *3824:39 0 +30 *1211:36 *3824:39 0 +31 *1237:53 *3824:23 0 +32 *1315:26 *3824:23 0 +33 *1317:19 *3824:39 0 +34 *1324:16 *5422:DIODE 0 +35 *1407:51 *3824:21 0 +36 *1439:59 *3824:23 0 +37 *1692:21 *3824:23 0 +38 *1693:20 *3824:23 0 +39 *3054:46 *3824:21 0 +40 *3658:28 *3824:23 0 +41 *3658:28 *3824:39 0 +42 *3673:8 *5422:DIODE 0 +43 *3680:54 *3824:23 0 +44 *3680:54 *3824:39 0 +45 *3680:92 *3824:39 0 +46 *3686:7 *3824:39 0 +47 *3690:16 *3824:21 0 +48 *3730:17 *3824:21 0 +49 *3730:23 *3824:23 0 +50 *3730:44 *3824:23 0 +51 *3730:78 *3824:23 0 +52 *3733:85 *3824:39 0 +53 *3797:59 *3824:21 0 +54 *3812:38 *3824:14 0 +55 *3812:38 *3824:21 0 +56 *3812:65 *3824:23 0 +57 *3821:39 *3824:21 0 +58 *3821:39 *3824:23 0 +59 *3823:48 *3824:14 0 +60 *3823:66 *3824:21 0 +*RES +1 *40867:X *3824:14 22.5857 +2 *3824:14 *3824:21 41.7679 +3 *3824:21 *3824:23 105.679 +4 *3824:23 *3824:39 41.4286 +5 *3824:39 *5422:DIODE 22.5321 +6 *3824:39 *37614:A 9.83571 +*END + +*D_NET *3825 0.0224867 +*CONN +*I *5420:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37612:A I *D sky130_fd_sc_hd__and2_1 +*I *40868:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5420:DIODE 0.00030007 +2 *37612:A 0 +3 *40868:X 0 +4 *3825:75 0.00133379 +5 *3825:67 0.00259741 +6 *3825:52 0.00300464 +7 *3825:45 0.00282535 +8 *3825:42 0.00293222 +9 *3825:25 0.00308639 +10 *3825:5 0.00397271 +11 *3825:4 0.00243414 +12 *5420:DIODE *4113:99 0 +13 *5420:DIODE *4335:11 0 +14 *3825:25 *4050:29 0 +15 *3825:75 *4335:11 0 +16 *3825:75 *4362:78 0 +17 *37871:A *3825:45 0 +18 *37871:A *3825:52 0 +19 *1310:22 *3825:25 0 +20 *1329:36 *3825:52 0 +21 *1439:58 *3825:52 0 +22 *1557:44 *3825:42 0 +23 *2217:84 *3825:5 0 +24 *2276:41 *3825:25 0 +25 *2304:51 *3825:25 0 +26 *2304:51 *3825:42 0 +27 *2309:38 *3825:52 0 +28 *2420:34 *3825:52 0 +29 *2915:17 *3825:25 0 +30 *2915:17 *3825:42 0 +31 *2925:37 *3825:42 0 +32 *2928:39 *5420:DIODE 0 +33 *2928:39 *3825:75 0 +34 *2930:19 *3825:67 0 +35 *2930:40 *3825:67 0 +36 *2936:17 *3825:25 0 +37 *2936:17 *3825:42 0 +38 *2939:59 *3825:25 0 +39 *2944:24 *3825:52 0 +40 *2944:36 *3825:52 0 +41 *2959:32 *3825:67 0 +42 *2961:13 *3825:52 0 +43 *2987:30 *3825:75 0 +44 *3080:50 *3825:67 0 +45 *3080:60 *3825:67 0 +46 *3080:61 *3825:45 0 +47 *3080:61 *3825:52 0 +48 *3080:76 *3825:42 0 +49 *3080:83 *3825:5 0 +50 *3081:68 *3825:75 0 +51 *3124:29 *3825:67 0 +52 *3124:39 *3825:25 0 +53 *3531:36 *3825:42 0 +54 *3546:97 *3825:75 0 +55 *3687:21 *3825:5 0 +56 *3687:21 *3825:25 0 +57 *3710:22 *3825:25 0 +58 *3710:23 *3825:45 0 +59 *3791:45 *3825:42 0 +60 *3808:40 *3825:42 0 +61 *3811:33 *5420:DIODE 0 +*RES +1 *40868:X *3825:4 9.3 +2 *3825:4 *3825:5 50.8482 +3 *3825:5 *3825:25 47.7823 +4 *3825:25 *3825:42 32.6865 +5 *3825:42 *3825:45 28.8929 +6 *3825:45 *3825:52 39.3929 +7 *3825:52 *3825:67 46.7713 +8 *3825:67 *3825:75 27.8929 +9 *3825:75 *37612:A 9.3 +10 *3825:75 *5420:DIODE 24.8 +*END + +*D_NET *3826 0.020197 +*CONN +*I *37610:A I *D sky130_fd_sc_hd__and2_1 +*I *5418:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40869:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37610:A 0.000141606 +2 *5418:DIODE 0 +3 *40869:X 0.001262 +4 *3826:41 0.00256816 +5 *3826:40 0.00394781 +6 *3826:34 0.00263365 +7 *3826:21 0.00319542 +8 *3826:17 0.0036347 +9 *3826:13 0.00281368 +10 *37610:A *4128:57 0 +11 *37610:A *4128:80 0 +12 *3826:21 *8950:DIODE 0 +13 *3826:21 *4359:39 0 +14 *3826:34 *4358:21 0 +15 *3826:34 *4711:14 0 +16 *3826:40 *4358:51 0 +17 *3826:41 *38252:B 0 +18 *3826:41 *4128:57 0 +19 *3826:41 *4358:77 0 +20 *38007:A *3826:41 0 +21 *38021:A *3826:34 0 +22 *2227:87 *3826:21 0 +23 *2227:87 *3826:34 0 +24 *2254:87 *3826:34 0 +25 *2272:53 *3826:13 0 +26 *2289:16 *3826:13 0 +27 *2289:16 *3826:17 0 +28 *2289:22 *3826:17 0 +29 *2289:22 *3826:21 0 +30 *2298:11 *3826:13 0 +31 *2300:16 *3826:13 0 +32 *2306:40 *3826:21 0 +33 *2311:16 *3826:21 0 +34 *2338:29 *3826:13 0 +35 *2342:13 *3826:13 0 +36 *2749:69 *3826:41 0 +37 *3085:21 *3826:41 0 +38 *3447:40 *3826:21 0 +39 *3447:75 *3826:41 0 +40 *3447:102 *3826:41 0 +41 *3526:67 *3826:34 0 +42 *3626:29 *3826:41 0 +43 *3801:21 *3826:21 0 +44 *3815:31 *3826:40 0 +45 *3815:39 *3826:41 0 +46 *3815:47 *37610:A 0 +47 *3815:47 *3826:41 0 +48 *3817:52 *3826:34 0 +49 *3817:63 *3826:40 0 +50 *3817:70 *3826:40 0 +*RES +1 *40869:X *3826:13 46.1393 +2 *3826:13 *3826:17 32.3839 +3 *3826:17 *3826:21 43.4732 +4 *3826:21 *3826:34 32.9107 +5 *3826:34 *3826:40 40.8571 +6 *3826:40 *3826:41 50.6429 +7 *3826:41 *5418:DIODE 9.3 +8 *3826:41 *37610:A 12.3179 +*END + +*D_NET *3827 0.00556898 +*CONN +*I *40520:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38562:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40520:A 0.001049 +2 *38562:X 0.000629223 +3 *3827:22 0.00215527 +4 *3827:14 0.00173549 +5 *40520:A *40422:A 0 +6 *40520:A *4491:31 0 +7 *40520:A *4861:13 0 +8 *3827:14 *3838:11 0 +9 *3827:14 *4860:11 0 +10 *3827:22 *4857:18 0 +11 *37383:A *40520:A 0 +12 *41043:A *40520:A 0 +13 *2008:13 *3827:14 0 +14 *2077:36 *3827:22 0 +15 *2077:47 *3827:22 0 +16 *2394:19 *3827:14 0 +17 *3055:33 *40520:A 0 +18 *3206:47 *40520:A 0 +19 *3216:25 *40520:A 0 +20 *3228:47 *3827:22 0 +21 *3807:17 *3827:22 0 +22 *3813:14 *3827:22 0 +23 *3814:17 *3827:14 0 +*RES +1 *38562:X *3827:14 32.3179 +2 *3827:14 *3827:22 32.3393 +3 *3827:22 *40520:A 31.1929 +*END + +*D_NET *3828 0.0209935 +*CONN +*I *5404:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37592:A I *D sky130_fd_sc_hd__and2_1 +*I *40870:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5404:DIODE 0 +2 *37592:A 0.000283223 +3 *40870:X 0.00159024 +4 *3828:106 0.00032605 +5 *3828:103 0.0022713 +6 *3828:98 0.00349972 +7 *3828:77 0.00324517 +8 *3828:74 0.00215066 +9 *3828:68 0.00163532 +10 *3828:52 0.00293006 +11 *3828:32 0.00306171 +12 *37592:A *4403:31 0 +13 *3828:32 *3829:10 0 +14 *3828:98 *3853:34 0 +15 *5405:DIODE *37592:A 0 +16 *5666:DIODE *3828:32 0 +17 *7906:DIODE *3828:98 0 +18 *8925:DIODE *3828:32 0 +19 *37600:A *3828:32 0 +20 *37806:A *3828:52 0 +21 *38218:B *3828:52 0 +22 *39894:A *3828:52 0 +23 *39908:A *3828:98 0 +24 *40647:A *3828:52 0 +25 *1189:20 *37592:A 0 +26 *1195:28 *37592:A 0 +27 *1382:45 *3828:103 0 +28 *1508:18 *3828:52 0 +29 *1909:70 *3828:98 0 +30 *1917:13 *3828:68 0 +31 *1919:37 *3828:103 0 +32 *1919:47 *3828:103 0 +33 *1920:23 *3828:68 0 +34 *1921:22 *3828:74 0 +35 *1941:12 *3828:77 0 +36 *1942:24 *3828:68 0 +37 *1942:24 *3828:77 0 +38 *1942:26 *3828:68 0 +39 *1951:52 *3828:52 0 +40 *1952:33 *3828:68 0 +41 *1952:35 *3828:68 0 +42 *1952:35 *3828:77 0 +43 *1952:49 *3828:103 0 +44 *2178:19 *3828:32 0 +45 *2185:22 *3828:52 0 +46 *2192:27 *3828:68 0 +47 *2201:26 *3828:68 0 +48 *2204:31 *3828:52 0 +49 *2208:22 *3828:32 0 +50 *2212:25 *3828:52 0 +51 *2212:33 *3828:68 0 +52 *2886:15 *3828:98 0 +53 *3051:14 *37592:A 0 +54 *3550:19 *3828:68 0 +55 *3550:35 *3828:74 0 +56 *3558:66 *3828:77 0 +57 *3574:10 *3828:52 0 +58 *3577:43 *3828:98 0 +59 *3577:49 *3828:98 0 +60 *3580:102 *37592:A 0 +61 *3587:33 *3828:68 0 +62 *3598:19 *3828:77 0 +63 *3608:55 *3828:32 0 +64 *3608:64 *3828:68 0 +*RES +1 *40870:X *3828:32 47.8357 +2 *3828:32 *3828:52 44.6429 +3 *3828:52 *3828:68 48.6964 +4 *3828:68 *3828:74 12.8214 +5 *3828:74 *3828:77 45.6964 +6 *3828:77 *3828:98 41.4821 +7 *3828:98 *3828:103 46.5714 +8 *3828:103 *3828:106 5.53571 +9 *3828:106 *37592:A 20.1036 +10 *3828:106 *5404:DIODE 13.8 +*END + +*D_NET *3829 0.0199946 +*CONN +*I *5402:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37590:A I *D sky130_fd_sc_hd__and2_1 +*I *40871:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5402:DIODE 0.000206634 +2 *37590:A 0 +3 *40871:X 0.000504716 +4 *3829:20 0.00949256 +5 *3829:10 0.00979065 +6 *5402:DIODE *3856:76 0 +7 *5402:DIODE *4403:35 0 +8 *3829:20 *3831:26 0 +9 *3829:20 *3831:30 0 +10 *3829:20 *3856:20 0 +11 *3829:20 *3856:54 0 +12 *3829:20 *4385:21 0 +13 *3829:20 *4385:38 0 +14 *40023:A *3829:20 0 +15 *1183:32 *3829:20 0 +16 *1195:28 *3829:20 0 +17 *1377:14 *3829:20 0 +18 *1530:32 *3829:20 0 +19 *1897:26 *3829:20 0 +20 *1921:49 *3829:20 0 +21 *1926:33 *3829:20 0 +22 *1927:23 *3829:20 0 +23 *1931:25 *3829:20 0 +24 *2159:23 *3829:20 0 +25 *2182:29 *3829:10 0 +26 *2191:20 *3829:20 0 +27 *3574:20 *3829:20 0 +28 *3587:33 *3829:20 0 +29 *3615:19 *3829:20 0 +30 *3630:14 *3829:20 0 +31 *3633:85 *3829:20 0 +32 *3828:32 *3829:10 0 +*RES +1 *40871:X *3829:10 28.5582 +2 *3829:10 *3829:20 41.8012 +3 *3829:20 *37590:A 9.3 +4 *3829:20 *5402:DIODE 13.675 +*END + +*D_NET *3830 0.020478 +*CONN +*I *37584:A I *D sky130_fd_sc_hd__and2_1 +*I *5396:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40872:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37584:A 0.000325819 +2 *5396:DIODE 0.000105749 +3 *40872:X 0.000112356 +4 *3830:28 0.00086872 +5 *3830:25 0.00595337 +6 *3830:24 0.00710902 +7 *3830:17 0.00374171 +8 *3830:8 0.00226127 +9 *37584:A *4380:87 0 +10 *37584:A *4390:20 0 +11 *3830:17 *3833:19 0 +12 *3830:17 *3836:22 0 +13 *3830:17 *3840:28 0 +14 *3830:17 *3863:40 0 +15 *3830:24 *3833:19 0 +16 *3830:24 *3870:46 0 +17 *3830:25 *3835:56 0 +18 *5397:DIODE *37584:A 0 +19 *7425:DIODE *3830:28 0 +20 *37593:A *3830:17 0 +21 *39474:B *3830:17 0 +22 *330:17 *3830:28 0 +23 *1176:20 *37584:A 0 +24 *1195:49 *3830:17 0 +25 *1371:10 *37584:A 0 +26 *1678:29 *3830:17 0 +27 *2784:11 *3830:25 0 +28 *2864:28 *3830:17 0 +29 *2887:21 *3830:25 0 +30 *2887:33 *3830:25 0 +31 *3452:24 *37584:A 0 +32 *3547:18 *3830:28 0 +33 *3573:26 *3830:25 0 +34 *3577:49 *3830:25 0 +35 *3577:65 *3830:25 0 +36 *3611:27 *3830:17 0 +37 *3611:27 *3830:24 0 +*RES +1 *40872:X *3830:8 20.8536 +2 *3830:8 *3830:17 45.5777 +3 *3830:17 *3830:24 15.1927 +4 *3830:24 *3830:25 115.125 +5 *3830:25 *3830:28 14.4911 +6 *3830:28 *5396:DIODE 16.2018 +7 *3830:28 *37584:A 25.5554 +*END + +*D_NET *3831 0.0208239 +*CONN +*I *37582:A I *D sky130_fd_sc_hd__and2_1 +*I *5394:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40873:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37582:A 6.50276e-05 +2 *5394:DIODE 0.00013108 +3 *40873:X 0.000630892 +4 *3831:30 0.00107435 +5 *3831:26 0.00958497 +6 *3831:14 0.00933762 +7 *5394:DIODE *3845:46 0 +8 *3831:14 *4346:37 0 +9 *3831:26 *3858:25 0 +10 *3831:30 *3881:21 0 +11 *3831:30 *4385:38 0 +12 *7821:DIODE *3831:14 0 +13 *1403:16 *37582:A 0 +14 *1507:12 *5394:DIODE 0 +15 *1902:43 *3831:26 0 +16 *1928:58 *3831:14 0 +17 *3574:20 *3831:26 0 +18 *3578:58 *3831:14 0 +19 *3614:18 *5394:DIODE 0 +20 *3615:19 *3831:26 0 +21 *3615:19 *3831:30 0 +22 *3633:98 *5394:DIODE 0 +23 *3635:40 *37582:A 0 +24 *3829:20 *3831:26 0 +25 *3829:20 *3831:30 0 +*RES +1 *40873:X *3831:14 31.4511 +2 *3831:14 *3831:26 49.4695 +3 *3831:26 *3831:30 7.59923 +4 *3831:30 *5394:DIODE 16.6929 +5 *3831:30 *37582:A 15.1571 +*END + +*D_NET *3832 0.0220802 +*CONN +*I *5389:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37578:A I *D sky130_fd_sc_hd__and2_1 +*I *40874:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5389:DIODE 6.50276e-05 +2 *37578:A 8.56726e-05 +3 *40874:X 0.00114166 +4 *3832:84 0.000628005 +5 *3832:83 0.00288105 +6 *3832:68 0.00426701 +7 *3832:58 0.00229017 +8 *3832:41 0.00269988 +9 *3832:36 0.00345333 +10 *3832:30 0.00230353 +11 *3832:18 0.00226483 +12 *5389:DIODE *3845:103 0 +13 *3832:30 *3840:28 0 +14 *3832:30 *3844:14 0 +15 *3832:41 *3836:25 0 +16 *3832:41 *3840:32 0 +17 *3832:41 *3863:60 0 +18 *3832:58 *3833:19 0 +19 *3832:58 *3863:60 0 +20 *3832:58 *3870:46 0 +21 *3832:68 *3833:24 0 +22 *3832:83 *3835:45 0 +23 *3832:83 *3844:51 0 +24 *3832:83 *4381:20 0 +25 *3832:83 *4386:38 0 +26 *3832:84 *3845:90 0 +27 *3832:84 *4383:20 0 +28 *7826:DIODE *3832:30 0 +29 *39907:A *3832:41 0 +30 *346:78 *3832:58 0 +31 *1195:49 *3832:30 0 +32 *1291:8 *3832:58 0 +33 *1300:47 *3832:68 0 +34 *1385:22 *3832:30 0 +35 *1390:10 *3832:30 0 +36 *1401:23 *3832:36 0 +37 *1401:40 *3832:36 0 +38 *1497:42 *3832:36 0 +39 *1500:34 *3832:83 0 +40 *1520:37 *3832:68 0 +41 *1678:29 *3832:41 0 +42 *1681:20 *3832:41 0 +43 *1922:47 *3832:83 0 +44 *1955:16 *3832:18 0 +45 *2747:63 *3832:18 0 +46 *2748:10 *3832:41 0 +47 *2750:37 *3832:41 0 +48 *2792:47 *3832:84 0 +49 *2854:14 *3832:30 0 +50 *2855:22 *3832:84 0 +51 *2887:21 *3832:83 0 +52 *2888:18 *3832:58 0 +53 *3557:42 *3832:83 0 +54 *3573:26 *3832:83 0 +55 *3577:43 *3832:18 0 +56 *3577:83 *3832:83 0 +57 *3602:23 *3832:18 0 +58 *3602:42 *3832:18 0 +59 *3602:57 *3832:68 0 +60 *3602:57 *3832:83 0 +61 *3607:20 *3832:18 0 +62 *3607:24 *3832:84 0 +63 *3611:27 *3832:58 0 +64 *3614:49 *3832:83 0 +65 *3639:69 *5389:DIODE 0 +*RES +1 *40874:X *3832:18 42.3357 +2 *3832:18 *3832:30 41.9286 +3 *3832:30 *3832:36 33.7679 +4 *3832:36 *3832:41 47.5 +5 *3832:41 *3832:58 22.3542 +6 *3832:58 *3832:68 49.3421 +7 *3832:68 *3832:83 44.2471 +8 *3832:83 *3832:84 10.9018 +9 *3832:84 *37578:A 15.7464 +10 *3832:84 *5389:DIODE 15.1571 +*END + +*D_NET *3833 0.0207516 +*CONN +*I *5387:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37576:A I *D sky130_fd_sc_hd__and2_1 +*I *40875:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5387:DIODE 2.56688e-05 +2 *37576:A 0.000251676 +3 *40875:X 0.0070369 +4 *3833:24 0.00333888 +5 *3833:19 0.0100984 +6 *37576:A *4387:79 0 +7 *37576:A *4397:36 0 +8 *37576:A *4397:37 0 +9 *3833:19 *3863:40 0 +10 *3833:19 *3870:28 0 +11 *3833:19 *3870:46 0 +12 *3833:19 *4317:13 0 +13 *3833:24 *3870:53 0 +14 *3833:24 *3884:71 0 +15 *282:18 *37576:A 0 +16 *282:18 *3833:24 0 +17 *1189:37 *3833:24 0 +18 *1195:40 *3833:19 0 +19 *1506:22 *3833:19 0 +20 *1909:47 *3833:19 0 +21 *1909:70 *3833:19 0 +22 *1920:23 *3833:19 0 +23 *1922:47 *37576:A 0 +24 *1922:47 *3833:24 0 +25 *2768:20 *3833:24 0 +26 *3353:26 *3833:19 0 +27 *3353:26 *3833:24 0 +28 *3580:28 *3833:19 0 +29 *3607:23 *3833:19 0 +30 *3611:27 *3833:19 0 +31 *3611:27 *3833:24 0 +32 *3614:30 *3833:24 0 +33 *3623:16 *3833:19 0 +34 *3645:89 *37576:A 0 +35 *3830:17 *3833:19 0 +36 *3830:24 *3833:19 0 +37 *3832:58 *3833:19 0 +38 *3832:68 *3833:24 0 +*RES +1 *40875:X *3833:19 44.197 +2 *3833:19 *3833:24 30.8222 +3 *3833:24 *37576:A 19.2821 +4 *3833:24 *5387:DIODE 14.3357 +*END + +*D_NET *3834 0.0222772 +*CONN +*I *5385:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37574:A I *D sky130_fd_sc_hd__and2_1 +*I *40876:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5385:DIODE 6.42987e-05 +2 *37574:A 0.000163442 +3 *40876:X 0.0039368 +4 *3834:36 0.00183084 +5 *3834:35 0.00697405 +6 *3834:21 0.00930774 +7 *5385:DIODE *4209:27 0 +8 *37574:A *3897:49 0 +9 *37574:A *4183:39 0 +10 *8380:DIODE *3834:36 0 +11 *37574:B *5385:DIODE 0 +12 *37574:B *37574:A 0 +13 *300:10 *3834:35 0 +14 *319:12 *3834:21 0 +15 *319:12 *3834:35 0 +16 *365:11 *3834:36 0 +17 *1374:8 *3834:36 0 +18 *1490:33 *3834:36 0 +19 *1494:18 *3834:35 0 +20 *1499:26 *3834:35 0 +21 *1905:74 *3834:35 0 +22 *1911:37 *3834:35 0 +23 *1915:29 *3834:21 0 +24 *1944:19 *3834:21 0 +25 *2506:32 *3834:36 0 +26 *2770:28 *3834:36 0 +27 *2862:15 *3834:21 0 +28 *2862:33 *3834:21 0 +29 *2872:16 *3834:21 0 +30 *2876:20 *3834:21 0 +31 *2885:19 *3834:21 0 +32 *2889:24 *3834:21 0 +33 *3347:65 *37574:A 0 +34 *3353:13 *3834:35 0 +35 *3552:51 *3834:21 0 +36 *3552:51 *3834:35 0 +37 *3562:61 *3834:21 0 +38 *3601:18 *3834:36 0 +39 *3611:30 *3834:36 0 +*RES +1 *40876:X *3834:21 48.8686 +2 *3834:21 *3834:35 39.7742 +3 *3834:35 *3834:36 36.5536 +4 *3834:36 *37574:A 17.5054 +5 *3834:36 *5385:DIODE 15.2911 +*END + +*D_NET *3835 0.0224094 +*CONN +*I *5382:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37572:A I *D sky130_fd_sc_hd__and2_1 +*I *40877:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5382:DIODE 0 +2 *37572:A 0.000593889 +3 *40877:X 0.000725686 +4 *3835:61 0.00143519 +5 *3835:56 0.00239179 +6 *3835:45 0.00633857 +7 *3835:44 0.00604857 +8 *3835:38 0.00270526 +9 *3835:26 0.00217045 +10 *37572:A *3868:44 0 +11 *37572:A *4147:14 0 +12 *37572:A *4169:27 0 +13 *37572:A *4206:27 0 +14 *3835:26 *3847:15 0 +15 *3835:26 *3853:11 0 +16 *3835:26 *3863:22 0 +17 *3835:38 *3847:15 0 +18 *3835:45 *3847:17 0 +19 *3835:45 *3853:35 0 +20 *3835:56 *4381:20 0 +21 *3835:61 *4169:27 0 +22 *8551:DIODE *3835:26 0 +23 *37579:A *3835:56 0 +24 *40872:A *3835:38 0 +25 *40911:A *3835:26 0 +26 *376:33 *37572:A 0 +27 *1392:29 *3835:45 0 +28 *1500:20 *3835:61 0 +29 *1500:34 *3835:61 0 +30 *1506:22 *3835:26 0 +31 *1909:47 *3835:26 0 +32 *1948:19 *3835:26 0 +33 *2174:92 *3835:38 0 +34 *2204:92 *3835:38 0 +35 *2790:23 *3835:56 0 +36 *2876:20 *3835:26 0 +37 *2876:20 *3835:44 0 +38 *2887:21 *3835:45 0 +39 *2887:21 *3835:56 0 +40 *2889:14 *3835:44 0 +41 *2889:24 *3835:44 0 +42 *3573:26 *3835:56 0 +43 *3602:42 *3835:26 0 +44 *3602:42 *3835:38 0 +45 *3607:23 *3835:26 0 +46 *3607:24 *3835:56 0 +47 *3830:25 *3835:56 0 +48 *3832:83 *3835:45 0 +*RES +1 *40877:X *3835:26 46.2448 +2 *3835:26 *3835:38 47.5618 +3 *3835:38 *3835:44 16.5348 +4 *3835:44 *3835:45 99.9286 +5 *3835:45 *3835:56 46.8482 +6 *3835:56 *3835:61 23.2768 +7 *3835:61 *37572:A 30.644 +8 *3835:61 *5382:DIODE 9.3 +*END + +*D_NET *3836 0.0206838 +*CONN +*I *5380:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37570:A I *D sky130_fd_sc_hd__and2_1 +*I *40878:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5380:DIODE 0 +2 *37570:A 0.000326774 +3 *40878:X 0.00137068 +4 *3836:40 0.000823938 +5 *3836:39 0.0022033 +6 *3836:25 0.00814729 +7 *3836:24 0.00644115 +8 *3836:22 0.00137068 +9 *37570:A *3848:19 0 +10 *37570:A *4385:47 0 +11 *3836:22 *3840:28 0 +12 *3836:22 *4386:38 0 +13 *3836:25 *3840:32 0 +14 *3836:25 *3863:28 0 +15 *37549:A *3836:39 0 +16 *39466:B *3836:40 0 +17 *39474:A *3836:22 0 +18 *39474:B *3836:22 0 +19 *39907:A *3836:25 0 +20 *326:19 *3836:40 0 +21 *1300:65 *3836:39 0 +22 *1371:10 *3836:40 0 +23 *1380:29 *3836:40 0 +24 *1520:37 *3836:25 0 +25 *1657:7 *3836:39 0 +26 *1657:18 *3836:39 0 +27 *1678:29 *3836:25 0 +28 *2750:19 *3836:39 0 +29 *2750:29 *3836:39 0 +30 *2784:23 *3836:39 0 +31 *2864:28 *3836:22 0 +32 *3555:52 *3836:22 0 +33 *3557:29 *3836:22 0 +34 *3561:28 *3836:22 0 +35 *3607:24 *3836:39 0 +36 *3640:43 *3836:22 0 +37 *3830:17 *3836:22 0 +38 *3832:41 *3836:25 0 +*RES +1 *40878:X *3836:22 48.777 +2 *3836:22 *3836:24 4.5 +3 *3836:24 *3836:25 134.429 +4 *3836:25 *3836:39 49.3214 +5 *3836:39 *3836:40 11.3571 +6 *3836:40 *37570:A 30.6393 +7 *3836:40 *5380:DIODE 13.8 +*END + +*D_NET *3837 0.0211114 +*CONN +*I *5376:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37566:A I *D sky130_fd_sc_hd__and2_1 +*I *40879:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5376:DIODE 0 +2 *37566:A 0.000232463 +3 *40879:X 0.00606725 +4 *3837:53 0.00082502 +5 *3837:43 0.00425599 +6 *3837:23 0.00973068 +7 *37566:A *3884:108 0 +8 *3837:23 *4361:17 0 +9 *3837:43 *3848:19 0 +10 *3837:43 *4361:30 0 +11 *3837:43 *4381:20 0 +12 *3837:53 *3852:37 0 +13 *3837:53 *3858:40 0 +14 *3837:53 *3881:36 0 +15 *3837:53 *3884:108 0 +16 *8553:DIODE *3837:23 0 +17 *37566:B *37566:A 0 +18 *376:21 *3837:43 0 +19 *1181:24 *3837:53 0 +20 *1300:18 *3837:23 0 +21 *1381:48 *37566:A 0 +22 *1805:15 *3837:23 0 +23 *1914:29 *3837:23 0 +24 *1914:47 *3837:23 0 +25 *2206:48 *3837:23 0 +26 *2750:12 *3837:53 0 +27 *2762:19 *3837:23 0 +28 *2762:19 *3837:43 0 +29 *2764:26 *3837:43 0 +30 *3151:50 *3837:23 0 +31 *3267:40 *3837:43 0 +32 *3557:29 *3837:23 0 +33 *3557:42 *3837:43 0 +34 *3559:26 *37566:A 0 +35 *3559:26 *3837:53 0 +36 *3564:16 *3837:43 0 +37 *3610:22 *3837:53 0 +38 *3629:115 *37566:A 0 +39 *3632:57 *37566:A 0 +*RES +1 *40879:X *3837:23 46.9158 +2 *3837:23 *3837:43 49.5108 +3 *3837:43 *3837:53 22.5041 +4 *3837:53 *37566:A 23.6214 +5 *3837:53 *5376:DIODE 9.3 +*END + +*D_NET *3838 0.0110684 +*CONN +*I *40519:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8274:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38563:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40519:A 0.00014075 +2 *8274:DIODE 0 +3 *38563:X 0.00102959 +4 *3838:51 0.000252072 +5 *3838:47 0.00222479 +6 *3838:32 0.00425255 +7 *3838:11 0.00316867 +8 *40519:A *3939:62 0 +9 *40519:A *4250:42 0 +10 *3838:11 *4858:32 0 +11 *3838:11 *4863:14 0 +12 *3838:32 *4112:19 0 +13 *3838:32 *4122:74 0 +14 *3838:32 *4284:51 0 +15 *3838:32 *4858:15 0 +16 *3838:32 *5159:41 0 +17 *3838:47 *4867:28 0 +18 *41043:A *3838:32 0 +19 *2040:11 *3838:11 0 +20 *2115:49 *3838:32 0 +21 *2383:48 *40519:A 0 +22 *2427:26 *3838:47 0 +23 *2435:68 *3838:47 0 +24 *2439:38 *3838:11 0 +25 *2844:41 *3838:47 0 +26 *3140:84 *3838:32 0 +27 *3148:78 *3838:47 0 +28 *3206:47 *3838:11 0 +29 *3216:25 *3838:32 0 +30 *3224:74 *3838:47 0 +31 *3228:28 *40519:A 0 +32 *3228:28 *3838:51 0 +33 *3228:37 *3838:47 0 +34 *3259:25 *40519:A 0 +35 *3335:27 *3838:32 0 +36 *3449:26 *3838:32 0 +37 *3517:13 *3838:47 0 +38 *3518:39 *3838:47 0 +39 *3523:32 *3838:32 0 +40 *3800:20 *3838:32 0 +41 *3814:17 *3838:11 0 +42 *3814:30 *3838:32 0 +43 *3827:14 *3838:11 0 +*RES +1 *38563:X *3838:11 45.0857 +2 *3838:11 *3838:32 49.7535 +3 *3838:32 *3838:47 49.6629 +4 *3838:47 *3838:51 6.98214 +5 *3838:51 *8274:DIODE 9.3 +6 *3838:51 *40519:A 12.3 +*END + +*D_NET *3839 0.018638 +*CONN +*I *5374:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37564:A I *D sky130_fd_sc_hd__and2_1 +*I *40880:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5374:DIODE 0 +2 *37564:A 0.000134975 +3 *40880:X 0.00104138 +4 *3839:81 0.00209008 +5 *3839:75 0.00389652 +6 *3839:49 0.00431321 +7 *3839:35 0.00424613 +8 *3839:16 0.00291572 +9 *37564:A *4383:24 0 +10 *3839:35 *3841:8 0 +11 *3839:35 *4400:16 0 +12 *3839:49 *5920:DIODE 0 +13 *3839:49 *4380:43 0 +14 *3839:49 *4387:27 0 +15 *3839:49 *4387:50 0 +16 *3839:75 *5932:DIODE 0 +17 *3839:75 *37976:B 0 +18 *3839:75 *4398:18 0 +19 *3839:81 *4153:22 0 +20 *3839:81 *4383:24 0 +21 *3839:81 *4398:18 0 +22 *3839:81 *4398:25 0 +23 *5806:DIODE *3839:75 0 +24 *8334:DIODE *3839:81 0 +25 *8386:DIODE *3839:81 0 +26 *8398:DIODE *3839:49 0 +27 *8972:DIODE *3839:49 0 +28 *8973:DIODE *3839:49 0 +29 *37564:B *37564:A 0 +30 *37984:A_N *3839:75 0 +31 *37992:A_N *3839:75 0 +32 *40008:A *37564:A 0 +33 *40008:A *3839:81 0 +34 *40643:A *3839:75 0 +35 *40691:A *3839:35 0 +36 *40880:A *3839:16 0 +37 *41376:A *3839:49 0 +38 *41384:A *3839:75 0 +39 *1387:29 *3839:75 0 +40 *1387:37 *3839:49 0 +41 *1387:37 *3839:75 0 +42 *1391:28 *3839:75 0 +43 *1502:28 *3839:35 0 +44 *1683:15 *3839:49 0 +45 *1887:15 *3839:16 0 +46 *1887:15 *3839:35 0 +47 *1887:34 *3839:35 0 +48 *1887:34 *3839:49 0 +49 *1887:82 *3839:75 0 +50 *1890:42 *3839:35 0 +51 *1903:44 *3839:49 0 +52 *2171:61 *3839:35 0 +53 *2195:24 *3839:16 0 +54 *2733:20 *3839:35 0 +55 *2855:16 *3839:81 0 +56 *3564:16 *3839:49 0 +57 *3565:41 *3839:35 0 +58 *3576:23 *3839:75 0 +59 *3576:23 *3839:81 0 +60 *3583:55 *3839:49 0 +61 *3591:17 *3839:16 0 +62 *3591:17 *3839:49 0 +63 *3606:10 *3839:81 0 +64 *3610:14 *3839:81 0 +65 *3629:24 *3839:35 0 +66 *3629:34 *3839:49 0 +67 *3629:95 *3839:81 0 +68 *3642:21 *3839:35 0 +69 *3642:32 *3839:35 0 +70 *3645:13 *3839:35 0 +71 *3645:29 *3839:49 0 +72 *3645:68 *3839:49 0 +73 *3645:89 *3839:75 0 +*RES +1 *40880:X *3839:16 49.2286 +2 *3839:16 *3839:35 49.0714 +3 *3839:35 *3839:49 49.8393 +4 *3839:49 *3839:75 41.5357 +5 *3839:75 *3839:81 40.9286 +6 *3839:81 *37564:A 12.3179 +7 *3839:81 *5374:DIODE 9.3 +*END + +*D_NET *3840 0.0215335 +*CONN +*I *5372:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37562:A I *D sky130_fd_sc_hd__and2_1 +*I *40881:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5372:DIODE 0 +2 *37562:A 0.000124066 +3 *40881:X 0.000610777 +4 *3840:80 0.00214145 +5 *3840:71 0.00618356 +6 *3840:32 0.00621274 +7 *3840:28 0.00384836 +8 *3840:17 0.00241257 +9 *37562:A *3929:8 0 +10 *3840:17 *3863:28 0 +11 *3840:17 *4386:18 0 +12 *3840:28 *3863:28 0 +13 *3840:71 *3870:46 0 +14 *3840:71 *3921:46 0 +15 *3840:71 *4170:30 0 +16 *3840:71 *4209:19 0 +17 *3840:80 *3921:46 0 +18 *3840:80 *4209:19 0 +19 *3840:80 *4209:27 0 +20 *37562:B *3840:80 0 +21 *37593:A *3840:28 0 +22 *39474:A *3840:28 0 +23 *39474:B *3840:28 0 +24 *301:12 *3840:28 0 +25 *387:31 *3840:80 0 +26 *1195:49 *3840:28 0 +27 *1678:29 *3840:28 0 +28 *1800:18 *3840:71 0 +29 *1902:43 *3840:71 0 +30 *1926:56 *3840:71 0 +31 *2004:50 *3840:71 0 +32 *2064:21 *3840:71 0 +33 *2071:23 *3840:71 0 +34 *2204:92 *3840:17 0 +35 *2864:28 *3840:28 0 +36 *2881:13 *3840:17 0 +37 *2888:18 *3840:71 0 +38 *3137:32 *3840:71 0 +39 *3151:50 *3840:71 0 +40 *3332:38 *3840:71 0 +41 *3344:17 *3840:80 0 +42 *3384:63 *37562:A 0 +43 *3384:63 *3840:80 0 +44 *3557:42 *3840:71 0 +45 *3602:42 *3840:17 0 +46 *3611:27 *3840:71 0 +47 *3642:62 *3840:71 0 +48 *3642:78 *3840:71 0 +49 *3642:81 *37562:A 0 +50 *3830:17 *3840:28 0 +51 *3832:30 *3840:28 0 +52 *3832:41 *3840:32 0 +53 *3836:22 *3840:28 0 +54 *3836:25 *3840:32 0 +*RES +1 *40881:X *3840:17 31.5321 +2 *3840:17 *3840:28 46.75 +3 *3840:28 *3840:32 47.3839 +4 *3840:32 *3840:71 49.8644 +5 *3840:71 *3840:80 15.4859 +6 *3840:80 *37562:A 11.8893 +7 *3840:80 *5372:DIODE 9.3 +*END + +*D_NET *3841 0.0207736 +*CONN +*I *5369:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37560:A I *D sky130_fd_sc_hd__and2_1 +*I *40882:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5369:DIODE 6.50276e-05 +2 *37560:A 0.00017925 +3 *40882:X 7.23016e-05 +4 *3841:68 0.000633971 +5 *3841:64 0.00142977 +6 *3841:47 0.00262983 +7 *3841:36 0.00373442 +8 *3841:32 0.00343866 +9 *3841:23 0.00255818 +10 *3841:9 0.00361202 +11 *3841:8 0.00242014 +12 *37560:A *6319:DIODE 0 +13 *3841:9 *4380:21 0 +14 *3841:23 *4387:75 0 +15 *3841:23 *4387:79 0 +16 *3841:23 *4387:83 0 +17 *3841:32 *4142:15 0 +18 *3841:32 *4185:21 0 +19 *3841:32 *4208:21 0 +20 *3841:36 *4398:25 0 +21 *3841:47 *5345:DIODE 0 +22 *3841:47 *6264:DIODE 0 +23 *3841:47 *38180:A 0 +24 *3841:47 *38180:B 0 +25 *3841:47 *4157:81 0 +26 *3841:47 *4383:24 0 +27 *3841:47 *4383:41 0 +28 *3841:47 *4398:43 0 +29 *3841:47 *4398:55 0 +30 *3841:64 *4387:125 0 +31 *5346:DIODE *3841:47 0 +32 *5960:DIODE *3841:23 0 +33 *6318:DIODE *3841:68 0 +34 *37538:B *3841:47 0 +35 *37576:B *3841:23 0 +36 *37980:B *3841:9 0 +37 *38004:C *3841:9 0 +38 *38238:A *3841:47 0 +39 *39913:A *3841:47 0 +40 *40040:A *3841:9 0 +41 *40690:A *3841:64 0 +42 *40882:A *3841:8 0 +43 *1185:28 *3841:68 0 +44 *1373:13 *37560:A 0 +45 *1373:13 *3841:68 0 +46 *1489:15 *3841:47 0 +47 *1887:63 *3841:9 0 +48 *1887:63 *3841:23 0 +49 *2890:20 *3841:9 0 +50 *2907:20 *3841:32 0 +51 *3338:55 *3841:32 0 +52 *3353:45 *3841:47 0 +53 *3453:32 *3841:32 0 +54 *3454:48 *3841:32 0 +55 *3565:92 *3841:9 0 +56 *3576:51 *3841:47 0 +57 *3591:56 *3841:9 0 +58 *3596:73 *3841:9 0 +59 *3606:32 *3841:47 0 +60 *3606:46 *3841:64 0 +61 *3606:46 *3841:68 0 +62 *3628:11 *5369:DIODE 0 +63 *3628:11 *3841:68 0 +64 *3629:133 *3841:64 0 +65 *3644:16 *3841:64 0 +66 *3645:29 *3841:9 0 +67 *3645:52 *3841:9 0 +68 *3645:68 *3841:23 0 +69 *3645:89 *3841:32 0 +70 *3645:97 *3841:32 0 +71 *3645:97 *3841:36 0 +72 *3645:115 *3841:36 0 +73 *3645:115 *3841:47 0 +74 *3839:35 *3841:8 0 +*RES +1 *40882:X *3841:8 19.9429 +2 *3841:8 *3841:9 49 +3 *3841:9 *3841:23 49.0536 +4 *3841:23 *3841:32 24.1266 +5 *3841:32 *3841:36 49.3929 +6 *3841:36 *3841:47 46.625 +7 *3841:47 *3841:64 49.125 +8 *3841:64 *3841:68 12.75 +9 *3841:68 *37560:A 17.8714 +10 *3841:68 *5369:DIODE 15.1571 +*END + +*D_NET *3842 0.0197056 +*CONN +*I *37558:A I *D sky130_fd_sc_hd__and2_1 +*I *5367:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40883:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37558:A 0 +2 *5367:DIODE 0.000226541 +3 *40883:X 0.00779332 +4 *3842:30 0.00205947 +5 *3842:19 0.00962624 +6 *5367:DIODE *4400:48 0 +7 *3842:19 *3850:32 0 +8 *3842:19 *3858:25 0 +9 *3842:19 *3858:40 0 +10 *3842:19 *3881:21 0 +11 *3842:19 *3881:36 0 +12 *3842:30 *3843:15 0 +13 *3842:30 *3852:37 0 +14 *3842:30 *3858:40 0 +15 *3842:30 *3881:36 0 +16 *3842:30 *3892:56 0 +17 *3842:30 *4390:20 0 +18 *1397:55 *3842:19 0 +19 *1785:10 *5367:DIODE 0 +20 *2171:31 *3842:19 0 +21 *3554:29 *3842:19 0 +22 *3559:26 *5367:DIODE 0 +23 *3610:22 *3842:30 0 +24 *3614:30 *3842:19 0 +25 *3622:28 *3842:19 0 +26 *3630:37 *3842:19 0 +27 *3632:57 *5367:DIODE 0 +28 *3632:68 *5367:DIODE 0 +29 *3634:16 *5367:DIODE 0 +30 *3634:16 *3842:30 0 +*RES +1 *40883:X *3842:19 46.9916 +2 *3842:19 *3842:30 20.0466 +3 *3842:30 *5367:DIODE 18.8893 +4 *3842:30 *37558:A 13.8 +*END + +*D_NET *3843 0.0190987 +*CONN +*I *5365:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37556:A I *D sky130_fd_sc_hd__and2_1 +*I *40884:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5365:DIODE 1.21519e-05 +2 *37556:A 0.000171538 +3 *40884:X 0.00758915 +4 *3843:19 0.0019602 +5 *3843:15 0.00936566 +6 *37556:A *4390:20 0 +7 *3843:15 *3845:90 0 +8 *3843:15 *3852:37 0 +9 *3843:15 *3858:25 0 +10 *3843:15 *3858:40 0 +11 *3843:15 *3881:21 0 +12 *3843:15 *3881:36 0 +13 *3843:15 *3884:108 0 +14 *3843:15 *4380:87 0 +15 *3843:15 *4383:15 0 +16 *3843:15 *4385:38 0 +17 *3843:15 *4985:26 0 +18 *3843:19 *4390:20 0 +19 *1165:11 *37556:A 0 +20 *1165:11 *3843:15 0 +21 *1165:11 *3843:19 0 +22 *1176:20 *3843:15 0 +23 *1383:49 *3843:15 0 +24 *1394:39 *3843:19 0 +25 *1496:16 *37556:A 0 +26 *1919:56 *3843:15 0 +27 *2792:43 *3843:15 0 +28 *3350:56 *3843:15 0 +29 *3554:29 *3843:15 0 +30 *3610:22 *3843:15 0 +31 *3618:47 *3843:15 0 +32 *3619:57 *3843:15 0 +33 *3639:52 *3843:15 0 +34 *3639:67 *3843:15 0 +35 *3842:30 *3843:15 0 +*RES +1 *40884:X *3843:15 49.3836 +2 *3843:15 *3843:19 10.994 +3 *3843:19 *37556:A 19.3399 +4 *3843:19 *5365:DIODE 17.4868 +*END + +*D_NET *3844 0.0199995 +*CONN +*I *5363:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37554:A I *D sky130_fd_sc_hd__and2_1 +*I *40885:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5363:DIODE 0.000139176 +2 *37554:A 6.50276e-05 +3 *40885:X 0.00117596 +4 *3844:51 0.00125271 +5 *3844:42 0.00312649 +6 *3844:35 0.00664959 +7 *3844:33 0.0054931 +8 *3844:14 0.00209746 +9 *37554:A *4400:29 0 +10 *3844:51 *4400:29 0 +11 *8342:DIODE *3844:14 0 +12 *8552:DIODE *3844:14 0 +13 *37554:B *37554:A 0 +14 *37554:B *3844:51 0 +15 *37579:A *3844:42 0 +16 *40007:A *3844:33 0 +17 *40700:A *3844:14 0 +18 *328:39 *3844:51 0 +19 *1390:10 *3844:14 0 +20 *1948:19 *3844:14 0 +21 *1954:24 *3844:14 0 +22 *2197:71 *3844:14 0 +23 *2197:80 *3844:14 0 +24 *2745:33 *3844:35 0 +25 *2745:33 *3844:42 0 +26 *2769:12 *3844:51 0 +27 *2790:23 *3844:42 0 +28 *2855:69 *3844:33 0 +29 *3548:23 *3844:33 0 +30 *3548:23 *3844:35 0 +31 *3548:25 *3844:35 0 +32 *3548:50 *3844:51 0 +33 *3557:42 *3844:51 0 +34 *3561:38 *3844:35 0 +35 *3561:39 *3844:35 0 +36 *3561:49 *3844:42 0 +37 *3602:45 *3844:33 0 +38 *3602:45 *3844:35 0 +39 *3614:49 *3844:51 0 +40 *3619:26 *3844:33 0 +41 *3832:30 *3844:14 0 +42 *3832:83 *3844:51 0 +*RES +1 *40885:X *3844:14 47.4964 +2 *3844:14 *3844:33 32.9643 +3 *3844:33 *3844:35 95.4107 +4 *3844:35 *3844:42 48.0268 +5 *3844:42 *3844:51 27.103 +6 *3844:51 *37554:A 10.6571 +7 *3844:51 *5363:DIODE 21.4607 +*END + +*D_NET *3845 0.0218812 +*CONN +*I *5361:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37552:A I *D sky130_fd_sc_hd__and2_1 +*I *40886:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5361:DIODE 0 +2 *37552:A 0.000321207 +3 *40886:X 0.000850042 +4 *3845:121 0.00191695 +5 *3845:114 0.00380053 +6 *3845:103 0.00357318 +7 *3845:90 0.00307085 +8 *3845:77 0.00311637 +9 *3845:46 0.00289795 +10 *3845:23 0.00233407 +11 *37552:A *3855:63 0 +12 *37552:A *4400:55 0 +13 *3845:23 *3856:76 0 +14 *3845:23 *4387:27 0 +15 *3845:23 *4403:31 0 +16 *3845:46 *3884:40 0 +17 *3845:46 *3884:54 0 +18 *3845:46 *3892:23 0 +19 *3845:46 *4400:17 0 +20 *3845:77 *3856:97 0 +21 *3845:77 *4390:14 0 +22 *3845:77 *4944:10 0 +23 *3845:90 *8567:DIODE 0 +24 *3845:90 *40892:A 0 +25 *3845:90 *3852:15 0 +26 *3845:90 *3856:97 0 +27 *3845:90 *3892:39 0 +28 *3845:90 *4383:15 0 +29 *3845:103 *3852:15 0 +30 *3845:103 *3884:87 0 +31 *3845:103 *4400:29 0 +32 *3845:114 *3884:108 0 +33 *3845:121 *8587:DIODE 0 +34 *3845:121 *38242:B 0 +35 *3845:121 *3884:132 0 +36 *3845:121 *4153:50 0 +37 *3845:121 *4383:63 0 +38 *3845:121 *4400:55 0 +39 *5389:DIODE *3845:103 0 +40 *5394:DIODE *3845:46 0 +41 *6283:DIODE *3845:77 0 +42 *8339:DIODE *3845:46 0 +43 *8371:DIODE *3845:90 0 +44 *38008:B *3845:46 0 +45 *38008:C *3845:77 0 +46 *39931:A *3845:103 0 +47 *40629:A *3845:114 0 +48 *40666:A *3845:90 0 +49 *40685:A *3845:90 0 +50 *40694:A *3845:46 0 +51 *40905:A *3845:46 0 +52 *40929:A *3845:46 0 +53 *41370:A *3845:46 0 +54 *41377:A *3845:77 0 +55 *1168:17 *37552:A 0 +56 *1168:17 *3845:121 0 +57 *1176:34 *3845:114 0 +58 *1182:15 *3845:114 0 +59 *1189:20 *3845:23 0 +60 *1195:28 *3845:23 0 +61 *1277:20 *3845:23 0 +62 *1489:15 *3845:114 0 +63 *1785:10 *3845:114 0 +64 *1920:61 *3845:46 0 +65 *2171:64 *3845:46 0 +66 *2750:12 *3845:114 0 +67 *2762:19 *3845:77 0 +68 *2762:19 *3845:114 0 +69 *2890:20 *3845:46 0 +70 *3267:40 *3845:114 0 +71 *3282:15 *3845:121 0 +72 *3450:49 *3845:23 0 +73 *3555:75 *3845:23 0 +74 *3559:26 *3845:121 0 +75 *3559:43 *3845:121 0 +76 *3573:20 *3845:77 0 +77 *3610:22 *3845:114 0 +78 *3614:18 *3845:46 0 +79 *3614:30 *3845:46 0 +80 *3632:14 *3845:46 0 +81 *3632:14 *3845:77 0 +82 *3632:41 *3845:77 0 +83 *3632:57 *3845:114 0 +84 *3632:68 *3845:121 0 +85 *3633:85 *3845:23 0 +86 *3634:23 *37552:A 0 +87 *3635:40 *3845:77 0 +88 *3635:59 *3845:77 0 +89 *3635:59 *3845:90 0 +90 *3639:69 *3845:103 0 +91 *3832:84 *3845:90 0 +92 *3843:15 *3845:90 0 +*RES +1 *40886:X *3845:23 45.7643 +2 *3845:23 *3845:46 49.5714 +3 *3845:46 *3845:77 30.8036 +4 *3845:77 *3845:90 48.1942 +5 *3845:90 *3845:103 37.7857 +6 *3845:103 *3845:114 48.9027 +7 *3845:114 *3845:121 37.9286 +8 *3845:121 *37552:A 25.2107 +9 *3845:121 *5361:DIODE 9.3 +*END + +*D_NET *3846 0.0174943 +*CONN +*I *5360:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37550:A I *D sky130_fd_sc_hd__and2_1 +*I *40887:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5360:DIODE 0 +2 *37550:A 0.000202783 +3 *40887:X 0.000619395 +4 *3846:16 0.00812776 +5 *3846:10 0.00854437 +6 *37550:A *3903:14 0 +7 *37550:A *3923:29 0 +8 *3846:10 *3909:8 0 +9 *3846:16 *3851:17 0 +10 *3846:16 *3878:30 0 +11 *3846:16 *3913:23 0 +12 *3846:16 *3921:11 0 +13 *3846:16 *4130:13 0 +14 *3846:16 *4179:47 0 +15 *8614:DIODE *3846:10 0 +16 *40887:A *3846:10 0 +17 *296:30 *37550:A 0 +18 *1806:35 *3846:16 0 +19 *2004:19 *3846:10 0 +20 *2018:30 *3846:16 0 +21 *2067:26 *3846:16 0 +22 *2107:45 *3846:16 0 +23 *3056:15 *3846:16 0 +24 *3131:10 *3846:10 0 +25 *3137:19 *3846:10 0 +26 *3339:53 *3846:16 0 +27 *3350:28 *3846:16 0 +28 *3364:13 *3846:16 0 +29 *3393:15 *37550:A 0 +*RES +1 *40887:X *3846:10 30.9154 +2 *3846:10 *3846:16 36.8443 +3 *3846:16 *37550:A 13.5321 +4 *3846:16 *5360:DIODE 9.3 +*END + +*D_NET *3847 0.0194203 +*CONN +*I *5358:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37548:A I *D sky130_fd_sc_hd__and2_1 +*I *40888:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5358:DIODE 0 +2 *37548:A 0.000245761 +3 *40888:X 4.55793e-05 +4 *3847:29 0.000721062 +5 *3847:26 0.00211431 +6 *3847:17 0.0070932 +7 *3847:15 0.00730448 +8 *3847:8 0.00189587 +9 *37548:A *4403:64 0 +10 *3847:17 *3853:34 0 +11 *3847:17 *3853:35 0 +12 *3847:26 *3863:74 0 +13 *8555:DIODE *3847:15 0 +14 *37804:B *3847:17 0 +15 *40036:A *3847:17 0 +16 *40872:A *3847:15 0 +17 *40881:A *3847:15 0 +18 *40911:A *3847:15 0 +19 *296:19 *3847:26 0 +20 *1677:19 *37548:A 0 +21 *1677:19 *3847:29 0 +22 *2174:92 *3847:15 0 +23 *2750:29 *3847:26 0 +24 *2855:69 *3847:17 0 +25 *2881:13 *3847:15 0 +26 *2887:47 *3847:17 0 +27 *3169:29 *37548:A 0 +28 *3169:29 *3847:29 0 +29 *3577:34 *3847:15 0 +30 *3577:49 *3847:17 0 +31 *3602:42 *3847:15 0 +32 *3835:26 *3847:15 0 +33 *3835:38 *3847:15 0 +34 *3835:45 *3847:17 0 +*RES +1 *40888:X *3847:8 19.3357 +2 *3847:8 *3847:15 38.8929 +3 *3847:15 *3847:17 113.893 +4 *3847:17 *3847:26 48.5357 +5 *3847:26 *3847:29 14.4821 +6 *3847:29 *37548:A 23.9429 +7 *3847:29 *5358:DIODE 9.3 +*END + +*D_NET *3848 0.0200397 +*CONN +*I *5356:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37546:A I *D sky130_fd_sc_hd__and2_1 +*I *40889:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5356:DIODE 8.57119e-05 +2 *37546:A 8.4707e-05 +3 *40889:X 0.00138104 +4 *3848:63 0.00139739 +5 *3848:56 0.00330653 +6 *3848:40 0.00357002 +7 *3848:31 0.00273819 +8 *3848:19 0.00361245 +9 *3848:16 0.00242368 +10 *3848:11 0.00144001 +11 *37546:A *3852:75 0 +12 *3848:11 *4400:29 0 +13 *3848:16 *3870:53 0 +14 *3848:19 *4385:47 0 +15 *3848:19 *4385:64 0 +16 *3848:19 *4402:17 0 +17 *3848:19 *4403:51 0 +18 *3848:31 *3852:37 0 +19 *3848:31 *3852:55 0 +20 *3848:31 *4381:21 0 +21 *3848:31 *4385:64 0 +22 *3848:40 *3852:55 0 +23 *3848:40 *3852:71 0 +24 *3848:40 *4381:21 0 +25 *3848:40 *4381:32 0 +26 *3848:40 *4400:55 0 +27 *3848:56 *3852:71 0 +28 *3848:56 *4381:39 0 +29 *3848:63 *37754:A 0 +30 *3848:63 *3852:75 0 +31 *3848:63 *3880:22 0 +32 *5357:DIODE *5356:DIODE 0 +33 *6222:DIODE *3848:19 0 +34 *37546:B *5356:DIODE 0 +35 *37547:A *5356:DIODE 0 +36 *37548:B *3848:19 0 +37 *37555:A *3848:31 0 +38 *37555:A *3848:40 0 +39 *37557:A *3848:56 0 +40 *37565:A *3848:31 0 +41 *37570:A *3848:19 0 +42 *37571:A *3848:19 0 +43 *37577:A *3848:19 0 +44 *40021:A *3848:40 0 +45 *40034:A *3848:19 0 +46 *40696:A *3848:31 0 +47 *1276:11 *37546:A 0 +48 *1276:11 *3848:63 0 +49 *1374:8 *3848:16 0 +50 *1383:49 *3848:19 0 +51 *1661:20 *3848:40 0 +52 *1675:32 *3848:11 0 +53 *1677:19 *3848:11 0 +54 *2792:47 *3848:19 0 +55 *2869:14 *3848:40 0 +56 *3061:38 *3848:11 0 +57 *3169:54 *3848:56 0 +58 *3371:15 *3848:19 0 +59 *3601:31 *3848:56 0 +60 *3601:35 *3848:56 0 +61 *3601:37 *3848:56 0 +62 *3601:37 *3848:63 0 +63 *3635:80 *3848:19 0 +64 *3635:87 *3848:31 0 +65 *3837:43 *3848:19 0 +*RES +1 *40889:X *3848:11 47.7286 +2 *3848:11 *3848:16 10.3393 +3 *3848:16 *3848:19 49.4286 +4 *3848:19 *3848:31 26.3036 +5 *3848:31 *3848:40 40.2679 +6 *3848:40 *3848:56 48.1964 +7 *3848:56 *3848:63 30.2321 +8 *3848:63 *37546:A 11.0679 +9 *3848:63 *5356:DIODE 20.2464 +*END + +*D_NET *3849 0.0149396 +*CONN +*I *5441:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37626:B I *D sky130_fd_sc_hd__and2_1 +*I *38564:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *5441:DIODE 0.000140383 +2 *37626:B 1.54013e-05 +3 *38564:X 0.00217568 +4 *3849:81 0.000529817 +5 *3849:67 0.00219092 +6 *3849:54 0.00308231 +7 *3849:46 0.0029474 +8 *3849:29 0.00385765 +9 *5441:DIODE *4501:56 0 +10 *5441:DIODE *4508:23 0 +11 *3849:46 *37636:B 0 +12 *3849:46 *4501:29 0 +13 *3849:46 *5159:41 0 +14 *3849:54 *3860:61 0 +15 *3849:67 *39133:A 0 +16 *3849:67 *4501:34 0 +17 *3849:67 *4502:15 0 +18 *3849:67 *4506:11 0 +19 *3849:81 *4508:23 0 +20 *6563:DIODE *3849:29 0 +21 *6706:DIODE *3849:29 0 +22 *6847:DIODE *3849:46 0 +23 *37626:A *5441:DIODE 0 +24 *40582:A *3849:46 0 +25 *495:8 *3849:29 0 +26 *2069:19 *3849:46 0 +27 *2080:33 *3849:46 0 +28 *2394:46 *3849:29 0 +29 *2394:46 *3849:46 0 +30 *2431:71 *3849:67 0 +31 *2527:19 *3849:67 0 +32 *2527:19 *3849:81 0 +33 *2594:21 *5441:DIODE 0 +34 *2594:21 *3849:81 0 +35 *3248:46 *3849:46 0 +36 *3442:51 *3849:46 0 +37 *3500:20 *3849:81 0 +38 *3523:32 *3849:67 0 +39 *3533:19 *3849:46 0 +40 *3771:17 *3849:29 0 +41 *3809:30 *3849:29 0 +42 *3809:33 *3849:46 0 +43 *3816:20 *3849:29 0 +*RES +1 *38564:X *3849:29 49.9738 +2 *3849:29 *3849:46 49.0041 +3 *3849:46 *3849:54 40.0179 +4 *3849:54 *3849:67 47.2127 +5 *3849:67 *3849:81 24.9546 +6 *3849:81 *37626:B 9.72857 +7 *3849:81 *5441:DIODE 21.425 +*END + +*D_NET *3850 0.0208606 +*CONN +*I *40889:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8563:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40890:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40889:A 0 +2 *8563:DIODE 0.000143745 +3 *40890:X 0.00220763 +4 *3850:32 0.0082227 +5 *3850:20 0.0102866 +6 *8563:DIODE *3884:54 0 +7 *8563:DIODE *4400:29 0 +8 *3850:32 *3858:25 0 +9 *3850:32 *3865:20 0 +10 *3850:32 *3884:54 0 +11 *3850:32 *4380:68 0 +12 *3850:32 *4387:27 0 +13 *3850:32 *4387:50 0 +14 *3850:32 *4389:23 0 +15 *5662:DIODE *3850:20 0 +16 *41357:A *3850:32 0 +17 *41389:A *3850:32 0 +18 *1403:43 *3850:32 0 +19 *1503:19 *3850:20 0 +20 *1675:32 *3850:32 0 +21 *1675:66 *3850:32 0 +22 *1897:26 *3850:32 0 +23 *1903:25 *3850:20 0 +24 *1939:12 *3850:20 0 +25 *1939:12 *3850:32 0 +26 *1942:24 *3850:32 0 +27 *2162:27 *3850:20 0 +28 *2164:22 *3850:20 0 +29 *2164:69 *3850:32 0 +30 *2172:33 *3850:32 0 +31 *2200:30 *3850:20 0 +32 *2202:23 *3850:32 0 +33 *2202:64 *3850:32 0 +34 *2733:42 *3850:32 0 +35 *2747:24 *3850:32 0 +36 *3151:24 *3850:32 0 +37 *3222:37 *3850:32 0 +38 *3363:14 *3850:20 0 +39 *3565:66 *3850:32 0 +40 *3565:102 *3850:32 0 +41 *3568:22 *3850:32 0 +42 *3568:34 *3850:32 0 +43 *3569:60 *3850:20 0 +44 *3574:20 *3850:32 0 +45 *3630:37 *3850:32 0 +46 *3632:41 *8563:DIODE 0 +47 *3842:19 *3850:32 0 +*RES +1 *40890:X *3850:20 48.0783 +2 *3850:20 *3850:32 45.6063 +3 *3850:32 *8563:DIODE 12.3 +4 *3850:32 *40889:A 9.3 +*END + +*D_NET *3851 0.0209771 +*CONN +*I *5350:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37542:A I *D sky130_fd_sc_hd__and2_1 +*I *40891:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5350:DIODE 7.4548e-05 +2 *37542:A 0.000162044 +3 *40891:X 0.0013706 +4 *3851:17 0.00911795 +5 *3851:16 0.010252 +6 *5350:DIODE *3922:11 0 +7 *37542:A *3913:53 0 +8 *37542:A *4660:16 0 +9 *3851:16 *4462:26 0 +10 *3851:16 *4470:47 0 +11 *3851:17 *3878:30 0 +12 *3851:17 *3878:43 0 +13 *3851:17 *3901:36 0 +14 *3851:17 *3913:23 0 +15 *3851:17 *3913:53 0 +16 *3851:17 *4437:23 0 +17 *3851:17 *4660:16 0 +18 *3851:17 *4993:17 0 +19 *37542:B *37542:A 0 +20 *40038:A *3851:16 0 +21 *296:55 *3851:17 0 +22 *1608:31 *3851:17 0 +23 *1811:25 *3851:17 0 +24 *2004:50 *3851:16 0 +25 *3052:14 *3851:17 0 +26 *3056:15 *3851:17 0 +27 *3249:18 *3851:17 0 +28 *3249:24 *5350:DIODE 0 +29 *3332:31 *3851:17 0 +30 *3333:27 *3851:17 0 +31 *3347:28 *3851:17 0 +32 *3364:13 *3851:17 0 +33 *3367:21 *3851:17 0 +34 *3379:17 *3851:17 0 +35 *3462:17 *3851:17 0 +36 *3846:16 *3851:17 0 +*RES +1 *40891:X *3851:16 48.4689 +2 *3851:16 *3851:17 32.1887 +3 *3851:17 *37542:A 20.1065 +4 *3851:17 *5350:DIODE 19.0404 +*END + +*D_NET *3852 0.0199427 +*CONN +*I *5348:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37540:A I *D sky130_fd_sc_hd__and2_1 +*I *40892:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5348:DIODE 0 +2 *37540:A 0.000262169 +3 *40892:X 0.00181992 +4 *3852:77 0.000734474 +5 *3852:75 0.00242591 +6 *3852:71 0.0043269 +7 *3852:55 0.00346915 +8 *3852:37 0.00309005 +9 *3852:15 0.00381411 +10 *37540:A *39649:A 0 +11 *3852:15 *8577:DIODE 0 +12 *3852:15 *3870:53 0 +13 *3852:15 *3892:39 0 +14 *3852:37 *4380:87 0 +15 *3852:37 *4381:21 0 +16 *3852:37 *4390:20 0 +17 *3852:55 *4381:32 0 +18 *3852:55 *4385:64 0 +19 *3852:55 *4385:73 0 +20 *3852:71 *37524:A 0 +21 *3852:71 *37986:B 0 +22 *3852:71 *3866:49 0 +23 *3852:71 *4381:32 0 +24 *3852:71 *4381:39 0 +25 *3852:71 *4385:73 0 +26 *3852:75 *37754:A 0 +27 *3852:75 *37758:A 0 +28 *3852:75 *3880:22 0 +29 *3852:75 *4399:26 0 +30 *3852:77 *39649:A 0 +31 *7424:DIODE *3852:55 0 +32 *37546:A *3852:75 0 +33 *37546:B *3852:75 0 +34 *37555:A *3852:37 0 +35 *37555:A *3852:55 0 +36 *37565:A *3852:37 0 +37 *39931:A *3852:15 0 +38 *40021:A *3852:71 0 +39 *40696:A *3852:37 0 +40 *40699:A *3852:15 0 +41 *1161:11 *3852:71 0 +42 *1167:11 *3852:75 0 +43 *1167:11 *3852:77 0 +44 *1167:13 *37540:A 0 +45 *1167:13 *3852:77 0 +46 *1276:11 *3852:75 0 +47 *1383:49 *3852:37 0 +48 *1392:14 *3852:71 0 +49 *1394:39 *3852:71 0 +50 *1661:20 *3852:55 0 +51 *1791:6 *3852:37 0 +52 *2855:16 *3852:37 0 +53 *2869:14 *3852:71 0 +54 *3601:31 *3852:71 0 +55 *3601:35 *3852:75 0 +56 *3601:37 *3852:75 0 +57 *3601:37 *3852:77 0 +58 *3610:22 *3852:37 0 +59 *3629:115 *3852:37 0 +60 *3634:16 *3852:37 0 +61 *3634:31 *37540:A 0 +62 *3635:59 *3852:15 0 +63 *3635:87 *3852:37 0 +64 *3637:7 *3852:15 0 +65 *3637:7 *3852:37 0 +66 *3637:9 *3852:37 0 +67 *3639:67 *3852:15 0 +68 *3639:69 *3852:15 0 +69 *3837:53 *3852:37 0 +70 *3842:30 *3852:37 0 +71 *3843:15 *3852:37 0 +72 *3845:90 *3852:15 0 +73 *3845:103 *3852:15 0 +74 *3848:31 *3852:37 0 +75 *3848:31 *3852:55 0 +76 *3848:40 *3852:55 0 +77 *3848:40 *3852:71 0 +78 *3848:56 *3852:71 0 +79 *3848:63 *3852:75 0 +*RES +1 *40892:X *3852:15 47.4071 +2 *3852:15 *3852:37 47.6534 +3 *3852:37 *3852:55 41.0357 +4 *3852:55 *3852:71 49.75 +5 *3852:71 *3852:75 40.8036 +6 *3852:75 *3852:77 9.98214 +7 *3852:77 *37540:A 23.9786 +8 *3852:77 *5348:DIODE 9.3 +*END + +*D_NET *3853 0.0203042 +*CONN +*I *8565:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40892:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40893:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8565:DIODE 2.26741e-05 +2 *40892:A 0.000314144 +3 *40893:X 0.0018465 +4 *3853:58 0.000831433 +5 *3853:52 0.00195586 +6 *3853:35 0.00524568 +7 *3853:34 0.005114 +8 *3853:22 0.00222847 +9 *3853:11 0.0027454 +10 *8565:DIODE *4381:21 0 +11 *40892:A *4381:21 0 +12 *3853:11 *4386:18 0 +13 *3853:22 *3863:28 0 +14 *3853:52 *4386:38 0 +15 *3853:58 *4644:10 0 +16 *37591:A *3853:35 0 +17 *37804:A *3853:34 0 +18 *40036:A *3853:34 0 +19 *282:18 *3853:52 0 +20 *365:11 *3853:58 0 +21 *1279:10 *3853:34 0 +22 *1301:9 *3853:34 0 +23 *1804:8 *3853:34 0 +24 *1806:16 *3853:22 0 +25 *1904:35 *3853:52 0 +26 *1955:32 *3853:11 0 +27 *2174:92 *3853:34 0 +28 *2768:20 *3853:52 0 +29 *2857:20 *3853:11 0 +30 *2864:28 *3853:22 0 +31 *2876:20 *3853:11 0 +32 *2887:21 *3853:35 0 +33 *2887:33 *3853:35 0 +34 *2887:38 *3853:35 0 +35 *2887:47 *3853:34 0 +36 *3353:27 *3853:58 0 +37 *3548:40 *3853:52 0 +38 *3554:45 *3853:58 0 +39 *3561:49 *3853:52 0 +40 *3577:43 *3853:34 0 +41 *3577:49 *3853:34 0 +42 *3577:49 *3853:35 0 +43 *3577:65 *3853:35 0 +44 *3607:23 *3853:11 0 +45 *3623:20 *3853:52 0 +46 *3635:59 *40892:A 0 +47 *3828:98 *3853:34 0 +48 *3835:26 *3853:11 0 +49 *3835:45 *3853:35 0 +50 *3845:90 *40892:A 0 +51 *3847:17 *3853:34 0 +52 *3847:17 *3853:35 0 +*RES +1 *40893:X *3853:11 47.9943 +2 *3853:11 *3853:22 35.9725 +3 *3853:22 *3853:34 45.8929 +4 *3853:34 *3853:35 78.9821 +5 *3853:35 *3853:52 48.7012 +6 *3853:52 *3853:58 19.75 +7 *3853:58 *40892:A 25.0143 +8 *3853:58 *8565:DIODE 9.83571 +*END + +*D_NET *3854 0.0192107 +*CONN +*I *5345:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37538:A I *D sky130_fd_sc_hd__and2_1 +*I *40894:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5345:DIODE 0.000108251 +2 *37538:A 0.000145568 +3 *40894:X 0.00575773 +4 *3854:25 0.00384763 +5 *3854:17 0.00935153 +6 *5345:DIODE *4383:41 0 +7 *37538:A *4398:43 0 +8 *3854:17 *3865:38 0 +9 *3854:17 *3868:25 0 +10 *3854:17 *4380:43 0 +11 *3854:17 *4388:26 0 +12 *3854:17 *4397:20 0 +13 *3854:25 *3865:38 0 +14 *3854:25 *4388:26 0 +15 *3854:25 *4388:30 0 +16 *8566:DIODE *3854:17 0 +17 *37976:A_N *3854:25 0 +18 *38002:A_N *5345:DIODE 0 +19 *39479:A *3854:17 0 +20 *39913:A *5345:DIODE 0 +21 *40882:A *3854:17 0 +22 *282:18 *3854:25 0 +23 *1675:66 *3854:17 0 +24 *1923:57 *3854:17 0 +25 *2191:30 *3854:17 0 +26 *2733:20 *3854:17 0 +27 *3222:37 *3854:17 0 +28 *3551:13 *3854:17 0 +29 *3551:20 *3854:17 0 +30 *3551:20 *3854:25 0 +31 *3565:66 *3854:17 0 +32 *3565:92 *3854:17 0 +33 *3568:22 *3854:17 0 +34 *3568:34 *3854:25 0 +35 *3576:23 *3854:25 0 +36 *3576:40 *5345:DIODE 0 +37 *3576:40 *3854:25 0 +38 *3576:51 *37538:A 0 +39 *3595:30 *3854:17 0 +40 *3616:41 *3854:17 0 +41 *3630:37 *3854:17 0 +42 *3639:19 *3854:17 0 +43 *3645:89 *3854:25 0 +44 *3841:47 *5345:DIODE 0 +*RES +1 *40894:X *3854:17 43.6312 +2 *3854:17 *3854:25 16.4494 +3 *3854:25 *37538:A 16.9875 +4 *3854:25 *5345:DIODE 16.2375 +*END + +*D_NET *3855 0.0212039 +*CONN +*I *37536:A I *D sky130_fd_sc_hd__and2_1 +*I *5342:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40895:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37536:A 0.00033042 +2 *5342:DIODE 4.5599e-05 +3 *40895:X 0.000985958 +4 *3855:73 0.000376019 +5 *3855:71 0.00195163 +6 *3855:63 0.0039416 +7 *3855:59 0.00313566 +8 *3855:48 0.00286804 +9 *3855:36 0.00415269 +10 *3855:24 0.0034163 +11 *3855:24 *4381:21 0 +12 *3855:24 *4385:47 0 +13 *3855:36 *3869:13 0 +14 *3855:36 *4381:21 0 +15 *3855:36 *4390:20 0 +16 *3855:59 *3866:46 0 +17 *3855:63 *40918:A 0 +18 *3855:63 *3880:13 0 +19 *3855:71 *3880:13 0 +20 *37536:B *37536:A 0 +21 *37552:A *3855:63 0 +22 *37558:B *3855:59 0 +23 *37909:A *3855:59 0 +24 *37909:A *3855:63 0 +25 *37986:C *3855:63 0 +26 *40677:A *3855:63 0 +27 *271:37 *3855:48 0 +28 *1161:11 *37536:A 0 +29 *1181:24 *3855:48 0 +30 *1277:52 *3855:48 0 +31 *1371:10 *3855:36 0 +32 *1496:16 *3855:71 0 +33 *1518:17 *3855:59 0 +34 *1675:32 *3855:36 0 +35 *2750:12 *3855:48 0 +36 *2773:12 *3855:71 0 +37 *2869:14 *3855:48 0 +38 *2951:21 *3855:48 0 +39 *3151:50 *3855:48 0 +40 *3554:54 *3855:48 0 +41 *3570:18 *3855:71 0 +42 *3579:15 *3855:24 0 +43 *3601:18 *3855:36 0 +44 *3613:7 *3855:71 0 +45 *3618:20 *3855:48 0 +46 *3622:37 *37536:A 0 +47 *3629:115 *3855:59 0 +48 *3634:16 *3855:48 0 +49 *3634:16 *3855:59 0 +50 *3634:21 *3855:63 0 +51 *3634:23 *3855:63 0 +52 *3634:23 *3855:71 0 +53 *3635:59 *3855:24 0 +54 *3637:9 *3855:59 0 +*RES +1 *40895:X *3855:24 47.3261 +2 *3855:24 *3855:36 47.4391 +3 *3855:36 *3855:48 34.8932 +4 *3855:48 *3855:59 24.25 +5 *3855:59 *3855:63 41.625 +6 *3855:63 *3855:71 49.8214 +7 *3855:71 *3855:73 4.5 +8 *3855:73 *5342:DIODE 14.8357 +9 *3855:73 *37536:A 22.5599 +*END + +*D_NET *3856 0.0228266 +*CONN +*I *40895:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8567:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40896:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40895:A 3.08319e-05 +2 *8567:DIODE 0.000143745 +3 *40896:X 0.00302635 +4 *3856:97 0.000275969 +5 *3856:94 0.00169248 +6 *3856:76 0.00320006 +7 *3856:54 0.00439959 +8 *3856:37 0.00470208 +9 *3856:28 0.00212033 +10 *3856:20 0.00323521 +11 *8567:DIODE *4390:14 0 +12 *3856:37 *3861:50 0 +13 *3856:76 *3884:40 0 +14 *3856:76 *3892:23 0 +15 *3856:76 *4385:38 0 +16 *3856:76 *4403:35 0 +17 *3856:94 *4385:45 0 +18 *3856:94 *4403:43 0 +19 *3856:97 *4390:14 0 +20 *5395:DIODE *3856:94 0 +21 *5402:DIODE *3856:76 0 +22 *5405:DIODE *3856:76 0 +23 *5979:DIODE *3856:94 0 +24 *7833:DIODE *3856:54 0 +25 *8968:DIODE *3856:94 0 +26 *38008:B *3856:94 0 +27 *40023:A *3856:54 0 +28 *40884:A *3856:76 0 +29 *41389:A *3856:54 0 +30 *1189:27 *3856:76 0 +31 *1195:28 *3856:54 0 +32 *1382:19 *3856:94 0 +33 *1391:28 *3856:94 0 +34 *1529:18 *3856:76 0 +35 *1897:26 *3856:54 0 +36 *1908:31 *3856:54 0 +37 *1919:23 *3856:37 0 +38 *1921:49 *3856:54 0 +39 *1951:18 *3856:37 0 +40 *1952:33 *3856:20 0 +41 *2046:25 *3856:20 0 +42 *2159:23 *3856:20 0 +43 *2162:36 *3856:37 0 +44 *2162:36 *3856:54 0 +45 *2162:58 *3856:54 0 +46 *2172:65 *3856:37 0 +47 *2202:23 *3856:20 0 +48 *2202:39 *3856:37 0 +49 *2733:86 *3856:37 0 +50 *2866:14 *3856:37 0 +51 *3450:49 *3856:76 0 +52 *3580:28 *3856:20 0 +53 *3580:43 *3856:37 0 +54 *3580:122 *3856:76 0 +55 *3608:64 *3856:20 0 +56 *3615:19 *3856:54 0 +57 *3632:41 *3856:94 0 +58 *3633:26 *3856:37 0 +59 *3633:85 *3856:54 0 +60 *3633:85 *3856:76 0 +61 *3829:20 *3856:20 0 +62 *3829:20 *3856:54 0 +63 *3845:23 *3856:76 0 +64 *3845:77 *3856:97 0 +65 *3845:90 *8567:DIODE 0 +66 *3845:90 *3856:97 0 +*RES +1 *40896:X *3856:20 48.6616 +2 *3856:20 *3856:28 15.6262 +3 *3856:28 *3856:37 49.0089 +4 *3856:37 *3856:54 33.8434 +5 *3856:54 *3856:76 43.7857 +6 *3856:76 *3856:94 47.1964 +7 *3856:94 *3856:97 6.67857 +8 *3856:97 *8567:DIODE 12.3 +9 *3856:97 *40895:A 19.0321 +*END + +*D_NET *3857 0.0172395 +*CONN +*I *5340:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37534:A I *D sky130_fd_sc_hd__and2_1 +*I *40897:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5340:DIODE 0 +2 *37534:A 0.000124066 +3 *40897:X 0.0012962 +4 *3857:64 0.000276554 +5 *3857:59 0.00454698 +6 *3857:58 0.00546279 +7 *3857:46 0.00265253 +8 *3857:19 0.00288043 +9 *37534:A *3872:11 0 +10 *37534:A *3918:25 0 +11 *3857:19 *3873:25 0 +12 *3857:19 *4175:17 0 +13 *3857:46 *37970:A_N 0 +14 *3857:46 *3895:18 0 +15 *3857:46 *3921:41 0 +16 *3857:46 *4145:20 0 +17 *3857:58 *4170:30 0 +18 *3857:58 *4209:19 0 +19 *3857:64 *4151:37 0 +20 *8088:DIODE *3857:19 0 +21 *40012:A *3857:19 0 +22 *41380:A *3857:19 0 +23 *1384:23 *3857:19 0 +24 *1384:23 *3857:46 0 +25 *1398:37 *3857:46 0 +26 *1524:35 *3857:19 0 +27 *1524:35 *3857:46 0 +28 *1805:38 *3857:46 0 +29 *1894:36 *3857:19 0 +30 *1896:37 *3857:46 0 +31 *2028:27 *3857:19 0 +32 *2036:17 *3857:46 0 +33 *2057:58 *3857:46 0 +34 *2063:22 *3857:46 0 +35 *2064:22 *3857:59 0 +36 *2747:24 *3857:19 0 +37 *3059:16 *3857:58 0 +38 *3131:28 *3857:59 0 +39 *3137:21 *3857:59 0 +40 *3152:43 *3857:19 0 +41 *3170:15 *3857:46 0 +42 *3231:5 *3857:58 0 +43 *3453:32 *3857:64 0 +44 *3596:56 *3857:46 0 +45 *3616:41 *3857:46 0 +*RES +1 *40897:X *3857:19 49.3947 +2 *3857:19 *3857:46 47.0089 +3 *3857:46 *3857:58 36.6374 +4 *3857:58 *3857:59 91.7143 +5 *3857:59 *3857:64 12.4643 +6 *3857:64 *37534:A 11.8893 +7 *3857:64 *5340:DIODE 9.3 +*END + +*D_NET *3858 0.0199256 +*CONN +*I *5338:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37532:A I *D sky130_fd_sc_hd__and2_1 +*I *40898:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5338:DIODE 0 +2 *37532:A 0.000131001 +3 *40898:X 0.00501167 +4 *3858:40 0.00495112 +5 *3858:25 0.00983179 +6 *37532:A *4153:50 0 +7 *3858:25 *3866:14 0 +8 *3858:25 *3881:21 0 +9 *3858:40 *3865:38 0 +10 *3858:40 *3881:36 0 +11 *3858:40 *3884:108 0 +12 *3858:40 *3892:56 0 +13 *3858:40 *4153:22 0 +14 *3858:40 *4380:87 0 +15 *1397:16 *3858:25 0 +16 *1397:55 *3858:25 0 +17 *1919:56 *3858:25 0 +18 *3061:38 *3858:25 0 +19 *3282:15 *37532:A 0 +20 *3350:41 *3858:40 0 +21 *3350:43 *3858:40 0 +22 *3350:56 *3858:40 0 +23 *3554:29 *3858:25 0 +24 *3559:43 *3858:40 0 +25 *3568:34 *3858:25 0 +26 *3568:34 *3858:40 0 +27 *3574:20 *3858:25 0 +28 *3583:62 *3858:25 0 +29 *3590:20 *3858:40 0 +30 *3622:37 *3858:40 0 +31 *3831:26 *3858:25 0 +32 *3837:53 *3858:40 0 +33 *3842:19 *3858:25 0 +34 *3842:19 *3858:40 0 +35 *3842:30 *3858:40 0 +36 *3843:15 *3858:25 0 +37 *3843:15 *3858:40 0 +38 *3850:32 *3858:25 0 +*RES +1 *40898:X *3858:25 45.7617 +2 *3858:25 *3858:40 30.877 +3 *3858:40 *37532:A 16.6929 +4 *3858:40 *5338:DIODE 13.8 +*END + +*D_NET *3859 0.0210887 +*CONN +*I *37530:A I *D sky130_fd_sc_hd__and2_1 +*I *5336:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40899:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37530:A 2.8197e-05 +2 *5336:DIODE 0.000526785 +3 *40899:X 0.000929796 +4 *3859:44 0.000885845 +5 *3859:41 0.00274458 +6 *3859:39 0.0024488 +7 *3859:37 0.00367491 +8 *3859:36 0.00518623 +9 *3859:29 0.00264005 +10 *3859:18 0.00202345 +11 *5336:DIODE *4191:28 0 +12 *5336:DIODE *4388:30 0 +13 *5336:DIODE *4398:63 0 +14 *5336:DIODE *4432:24 0 +15 *3859:18 *41216:A 0 +16 *3859:18 *3861:70 0 +17 *3859:18 *4152:66 0 +18 *3859:18 *4156:52 0 +19 *3859:18 *4191:19 0 +20 *3859:18 *4457:61 0 +21 *3859:29 *3895:79 0 +22 *3859:29 *4132:58 0 +23 *3859:36 *38198:A 0 +24 *3859:36 *4132:58 0 +25 *3859:36 *4154:50 0 +26 *3859:37 *40930:A 0 +27 *3859:37 *3862:35 0 +28 *3859:37 *3862:37 0 +29 *3859:37 *3895:93 0 +30 *3859:37 *3974:31 0 +31 *3859:37 *4152:91 0 +32 *3859:37 *4152:109 0 +33 *3859:37 *4161:16 0 +34 *3859:37 *4172:35 0 +35 *3859:37 *4401:23 0 +36 *3859:41 *3862:37 0 +37 *3859:41 *4172:35 0 +38 *3859:44 *4191:28 0 +39 *5337:DIODE *5336:DIODE 0 +40 *295:23 *3859:18 0 +41 *1179:9 *3859:41 0 +42 *1498:16 *3859:29 0 +43 *1502:11 *3859:29 0 +44 *1792:29 *5336:DIODE 0 +45 *2049:28 *3859:18 0 +46 *3063:22 *5336:DIODE 0 +47 *3063:22 *37530:A 0 +48 *3063:22 *3859:44 0 +49 *3136:14 *3859:36 0 +50 *3241:25 *3859:36 0 +51 *3241:25 *3859:37 0 +52 *3241:41 *3859:37 0 +53 *3241:51 *3859:37 0 +54 *3271:30 *5336:DIODE 0 +55 *3347:41 *3859:36 0 +56 *3347:48 *3859:36 0 +57 *3383:29 *3859:37 0 +58 *3644:37 *5336:DIODE 0 +*RES +1 *40899:X *3859:18 48.6153 +2 *3859:18 *3859:29 36.4643 +3 *3859:29 *3859:36 41.2857 +4 *3859:36 *3859:37 75.9018 +5 *3859:37 *3859:39 0.732143 +6 *3859:39 *3859:41 50.4375 +7 *3859:41 *3859:44 12.0625 +8 *3859:44 *5336:DIODE 30.4476 +9 *3859:44 *37530:A 14.6839 +*END + +*D_NET *3860 0.0136513 +*CONN +*I *37628:B I *D sky130_fd_sc_hd__and2_1 +*I *5444:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38565:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *37628:B 8.17123e-05 +2 *5444:DIODE 0.000494951 +3 *38565:X 0.00145858 +4 *3860:64 0.000655709 +5 *3860:61 0.00302675 +6 *3860:45 0.00471136 +7 *3860:37 0.00322224 +8 *5444:DIODE *4506:29 0 +9 *3860:37 *40412:A 0 +10 *3860:37 *3905:22 0 +11 *3860:37 *4488:24 0 +12 *3860:37 *4490:55 0 +13 *3860:37 *4501:20 0 +14 *3860:37 *5170:31 0 +15 *3860:45 *4488:45 0 +16 *3860:45 *4488:74 0 +17 *3860:45 *4501:20 0 +18 *3860:61 *39133:A 0 +19 *6846:DIODE *3860:37 0 +20 *37395:A *3860:61 0 +21 *38432:A *3860:61 0 +22 *38433:A *3860:61 0 +23 *38567:A *3860:37 0 +24 *38570:A *3860:45 0 +25 *38712:A *3860:45 0 +26 *38716:A *3860:61 0 +27 *627:12 *3860:45 0 +28 *627:12 *3860:61 0 +29 *879:8 *3860:37 0 +30 *886:11 *3860:61 0 +31 *890:8 *37628:B 0 +32 *1223:14 *5444:DIODE 0 +33 *2040:55 *5444:DIODE 0 +34 *2115:49 *3860:37 0 +35 *2516:12 *3860:45 0 +36 *2516:12 *3860:61 0 +37 *2560:21 *5444:DIODE 0 +38 *2738:39 *37628:B 0 +39 *3055:71 *5444:DIODE 0 +40 *3248:42 *3860:61 0 +41 *3511:15 *3860:45 0 +42 *3760:50 *3860:37 0 +43 *3782:35 *3860:37 0 +44 *3788:40 *3860:61 0 +45 *3849:54 *3860:61 0 +*RES +1 *38565:X *3860:37 49.6214 +2 *3860:37 *3860:45 36.8393 +3 *3860:45 *3860:61 48.5112 +4 *3860:61 *3860:64 6.29464 +5 *3860:64 *5444:DIODE 29.1571 +6 *3860:64 *37628:B 11.0679 +*END + +*D_NET *3861 0.0215349 +*CONN +*I *40899:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8570:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40900:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40899:A 8.29001e-05 +2 *8570:DIODE 2.35958e-05 +3 *40900:X 0.000471525 +4 *3861:70 0.00565793 +5 *3861:50 0.0080033 +6 *3861:34 0.0029217 +7 *3861:20 0.00218613 +8 *3861:10 0.00218781 +9 *3861:10 *4333:26 0 +10 *3861:20 *4101:23 0 +11 *3861:70 *37994:A_N 0 +12 *3861:70 *3898:39 0 +13 *3861:70 *4136:41 0 +14 *3861:70 *4175:17 0 +15 *3861:70 *4185:18 0 +16 *3861:70 *4206:18 0 +17 *3861:70 *4206:27 0 +18 *3861:70 *4464:12 0 +19 *3861:70 *4470:77 0 +20 *3861:70 *4948:22 0 +21 *295:23 *8570:DIODE 0 +22 *1894:36 *3861:70 0 +23 *1895:18 *3861:70 0 +24 *1912:25 *3861:20 0 +25 *1912:25 *3861:50 0 +26 *1921:18 *3861:34 0 +27 *1921:22 *3861:34 0 +28 *1922:13 *3861:50 0 +29 *1931:35 *3861:10 0 +30 *1940:18 *3861:50 0 +31 *1946:15 *3861:50 0 +32 *2044:18 *3861:10 0 +33 *2159:23 *3861:20 0 +34 *2159:40 *3861:34 0 +35 *2163:14 *3861:34 0 +36 *2172:10 *3861:20 0 +37 *2181:33 *3861:70 0 +38 *2188:13 *3861:10 0 +39 *2192:51 *3861:50 0 +40 *2201:26 *3861:20 0 +41 *2202:39 *3861:50 0 +42 *2733:86 *3861:50 0 +43 *2733:100 *3861:34 0 +44 *2747:24 *3861:50 0 +45 *2747:24 *3861:70 0 +46 *2859:18 *3861:50 0 +47 *3222:37 *3861:50 0 +48 *3332:62 *3861:70 0 +49 *3339:60 *3861:70 0 +50 *3363:14 *3861:20 0 +51 *3363:15 *3861:20 0 +52 *3565:13 *3861:20 0 +53 *3587:22 *3861:10 0 +54 *3612:78 *3861:10 0 +55 *3633:12 *3861:20 0 +56 *3856:37 *3861:50 0 +57 *3859:18 *3861:70 0 +*RES +1 *40900:X *3861:10 28.8893 +2 *3861:10 *3861:20 45.0893 +3 *3861:20 *3861:34 19.1607 +4 *3861:34 *3861:50 49.623 +5 *3861:50 *3861:70 36.9378 +6 *3861:70 *8570:DIODE 14.3357 +7 *3861:70 *40899:A 15.7464 +*END + +*D_NET *3862 0.0216977 +*CONN +*I *37528:A I *D sky130_fd_sc_hd__and2_1 +*I *5334:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40901:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37528:A 0 +2 *5334:DIODE 0.000104386 +3 *40901:X 0.00172283 +4 *3862:54 0.000617812 +5 *3862:51 0.00187639 +6 *3862:37 0.00729096 +7 *3862:35 0.00714524 +8 *3862:26 0.00294008 +9 *5334:DIODE *4184:57 0 +10 *3862:26 *38198:A 0 +11 *3862:26 *41205:A 0 +12 *3862:26 *3888:65 0 +13 *3862:26 *4123:32 0 +14 *3862:26 *4152:109 0 +15 *3862:26 *4162:62 0 +16 *3862:26 *4173:34 0 +17 *3862:26 *4200:36 0 +18 *3862:26 *4387:83 0 +19 *3862:26 *4442:48 0 +20 *3862:35 *40930:A 0 +21 *3862:35 *3895:93 0 +22 *3862:35 *4442:48 0 +23 *3862:35 *4443:11 0 +24 *3862:37 *5862:DIODE 0 +25 *3862:37 *37796:A 0 +26 *296:29 *3862:26 0 +27 *354:17 *3862:54 0 +28 *1179:9 *3862:37 0 +29 *1179:20 *3862:51 0 +30 *1179:21 *3862:51 0 +31 *2007:19 *3862:35 0 +32 *2358:16 *3862:51 0 +33 *2796:15 *3862:37 0 +34 *2796:15 *3862:51 0 +35 *2907:20 *3862:26 0 +36 *3213:22 *3862:26 0 +37 *3213:22 *3862:35 0 +38 *3241:41 *3862:26 0 +39 *3241:41 *3862:35 0 +40 *3241:51 *3862:37 0 +41 *3249:50 *3862:54 0 +42 *3453:32 *3862:26 0 +43 *3645:89 *3862:26 0 +44 *3859:37 *3862:35 0 +45 *3859:37 *3862:37 0 +46 *3859:41 *3862:37 0 +*RES +1 *40901:X *3862:26 49.0917 +2 *3862:26 *3862:35 24.9812 +3 *3862:35 *3862:37 123.75 +4 *3862:37 *3862:51 46.8702 +5 *3862:51 *3862:54 16.1607 +6 *3862:54 *5334:DIODE 11.4786 +7 *3862:54 *37528:A 9.3 +*END + +*D_NET *3863 0.0221902 +*CONN +*I *8571:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40901:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40902:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8571:DIODE 0.000177462 +2 *40901:A 4.1686e-05 +3 *40902:X 0.00119352 +4 *3863:74 0.00342604 +5 *3863:66 0.00496465 +6 *3863:60 0.00262445 +7 *3863:40 0.00284833 +8 *3863:28 0.00385109 +9 *3863:22 0.00306298 +10 *8571:DIODE *4146:23 0 +11 *3863:22 *4386:18 0 +12 *3863:74 *3866:32 0 +13 *3863:74 *3892:33 0 +14 *3863:74 *4381:20 0 +15 *37579:A *3863:74 0 +16 *39907:A *3863:60 0 +17 *296:19 *3863:74 0 +18 *1195:40 *3863:40 0 +19 *1300:18 *3863:40 0 +20 *1300:38 *3863:60 0 +21 *1300:60 *3863:74 0 +22 *1500:20 *40901:A 0 +23 *1500:20 *3863:74 0 +24 *1682:25 *3863:28 0 +25 *1806:16 *3863:28 0 +26 *1925:50 *3863:74 0 +27 *1926:56 *3863:60 0 +28 *1950:12 *3863:28 0 +29 *2159:40 *3863:22 0 +30 *2204:58 *3863:22 0 +31 *2204:92 *3863:22 0 +32 *2750:35 *3863:66 0 +33 *2750:37 *3863:60 0 +34 *2784:23 *3863:74 0 +35 *2887:38 *3863:40 0 +36 *2888:18 *3863:60 0 +37 *2889:14 *3863:40 0 +38 *3338:55 *8571:DIODE 0 +39 *3577:49 *3863:40 0 +40 *3607:23 *3863:74 0 +41 *3611:27 *3863:40 0 +42 *3830:17 *3863:40 0 +43 *3832:41 *3863:60 0 +44 *3832:58 *3863:60 0 +45 *3833:19 *3863:40 0 +46 *3835:26 *3863:22 0 +47 *3836:25 *3863:28 0 +48 *3840:17 *3863:28 0 +49 *3840:28 *3863:28 0 +50 *3847:26 *3863:74 0 +51 *3853:22 *3863:28 0 +*RES +1 *40902:X *3863:22 48.1036 +2 *3863:22 *3863:28 48.1875 +3 *3863:28 *3863:40 47.3766 +4 *3863:40 *3863:60 32.7143 +5 *3863:60 *3863:66 44.7404 +6 *3863:66 *3863:74 34.7141 +7 *3863:74 *40901:A 14.7464 +8 *3863:74 *8571:DIODE 17.7107 +*END + +*D_NET *3864 0.0189066 +*CONN +*I *5332:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37526:A I *D sky130_fd_sc_hd__and2_1 +*I *40903:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *5332:DIODE 0 +2 *37526:A 0.000222463 +3 *40903:X 2.54835e-05 +4 *3864:14 0.00942782 +5 *3864:8 0.00923084 +6 *3864:14 *37906:A_N 0 +7 *3864:14 *38184:A 0 +8 *3864:14 *4146:32 0 +9 *3864:14 *4184:70 0 +10 *3864:14 *4203:16 0 +11 *3864:14 *4388:30 0 +12 *3864:14 *4389:55 0 +13 *3864:14 *4417:34 0 +14 *3864:14 *4436:15 0 +15 *3864:14 *4436:23 0 +16 *3864:14 *4451:75 0 +17 *37914:B *3864:14 0 +18 *1185:28 *3864:14 0 +19 *3559:70 *3864:14 0 +20 *3585:25 *3864:14 0 +21 *3589:24 *3864:14 0 +22 *3589:25 *37526:A 0 +23 *3590:20 *3864:14 0 +24 *3593:43 *37526:A 0 +25 *3604:16 *3864:14 0 +26 *3617:23 *3864:14 0 +27 *3618:47 *3864:14 0 +*RES +1 *40903:X *3864:8 17.7904 +2 *3864:8 *3864:14 42.9897 +3 *3864:14 *37526:A 13.9429 +4 *3864:14 *5332:DIODE 9.3 +*END + +*D_NET *3865 0.0196325 +*CONN +*I *8572:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40903:A I *D sky130_fd_sc_hd__buf_2 +*I *40904:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8572:DIODE 0 +2 *40903:A 0.000144601 +3 *40904:X 0.00341398 +4 *3865:38 0.00640226 +5 *3865:20 0.00967164 +6 *3865:20 *4387:50 0 +7 *3865:20 *4389:23 0 +8 *3865:20 *4400:16 0 +9 *3865:38 *4132:80 0 +10 *3865:38 *4380:43 0 +11 *3865:38 *4380:87 0 +12 *3865:38 *4388:26 0 +13 *3865:38 *4985:20 0 +14 *1381:48 *3865:38 0 +15 *1403:43 *3865:20 0 +16 *1675:32 *3865:38 0 +17 *1804:8 *3865:20 0 +18 *1906:49 *3865:20 0 +19 *2164:69 *3865:20 0 +20 *2176:28 *3865:20 0 +21 *2205:22 *3865:20 0 +22 *3222:37 *3865:20 0 +23 *3565:66 *3865:20 0 +24 *3568:22 *3865:20 0 +25 *3568:22 *3865:38 0 +26 *3568:34 *3865:38 0 +27 *3576:40 *3865:38 0 +28 *3590:20 *3865:38 0 +29 *3606:32 *40903:A 0 +30 *3629:131 *40903:A 0 +31 *3635:18 *3865:20 0 +32 *3645:115 *3865:38 0 +33 *3850:32 *3865:20 0 +34 *3854:17 *3865:38 0 +35 *3854:25 *3865:38 0 +36 *3858:40 *3865:38 0 +*RES +1 *40904:X *3865:20 45.2712 +2 *3865:20 *3865:38 46.6988 +3 *3865:38 *40903:A 12.3179 +4 *3865:38 *8572:DIODE 9.3 +*END + +*D_NET *3866 0.0227006 +*CONN +*I *5329:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37524:A I *D sky130_fd_sc_hd__and2_1 +*I *40905:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5329:DIODE 0 +2 *37524:A 0.000195653 +3 *40905:X 0.00108122 +4 *3866:49 0.00106454 +5 *3866:46 0.00251998 +6 *3866:33 0.00575039 +7 *3866:32 0.00755345 +8 *3866:14 0.00453537 +9 *3866:32 *37966:C 0 +10 *3866:32 *3884:54 0 +11 *3866:32 *3892:33 0 +12 *3866:32 *4390:20 0 +13 *3866:32 *4400:29 0 +14 *3866:33 *3892:39 0 +15 *3866:49 *4381:32 0 +16 *37555:A *3866:46 0 +17 *37559:A *3866:33 0 +18 *37591:A *3866:14 0 +19 *37909:A *3866:46 0 +20 *39909:A *3866:33 0 +21 *300:10 *3866:32 0 +22 *335:21 *3866:14 0 +23 *1281:15 *3866:32 0 +24 *1377:14 *3866:14 0 +25 *1394:39 *3866:49 0 +26 *1492:42 *3866:32 0 +27 *1798:40 *3866:32 0 +28 *1922:47 *3866:32 0 +29 *1925:50 *3866:32 0 +30 *2876:20 *3866:32 0 +31 *2908:31 *3866:46 0 +32 *3226:26 *37524:A 0 +33 *3451:40 *3866:14 0 +34 *3554:29 *3866:14 0 +35 *3554:29 *3866:32 0 +36 *3559:43 *3866:33 0 +37 *3559:43 *3866:46 0 +38 *3577:83 *3866:32 0 +39 *3577:88 *3866:32 0 +40 *3598:50 *3866:32 0 +41 *3614:70 *37524:A 0 +42 *3632:41 *3866:32 0 +43 *3634:16 *3866:46 0 +44 *3637:9 *3866:33 0 +45 *3637:21 *3866:46 0 +46 *3637:23 *3866:46 0 +47 *3639:52 *3866:32 0 +48 *3852:71 *37524:A 0 +49 *3852:71 *3866:49 0 +50 *3855:59 *3866:46 0 +51 *3858:25 *3866:14 0 +52 *3863:74 *3866:32 0 +*RES +1 *40905:X *3866:14 44.8595 +2 *3866:14 *3866:32 48.5395 +3 *3866:32 *3866:33 85.5536 +4 *3866:33 *3866:46 48.3929 +5 *3866:46 *3866:49 22.6964 +6 *3866:49 *37524:A 22.4429 +7 *3866:49 *5329:DIODE 9.3 +*END + +*D_NET *3867 0.0199821 +*CONN +*I *37522:A I *D sky130_fd_sc_hd__and2_1 +*I *5327:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40906:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *37522:A 0.000248402 +2 *5327:DIODE 0 +3 *40906:X 0.00109765 +4 *3867:18 0.00889338 +5 *3867:14 0.00974263 +6 *37522:A *4523:10 0 +7 *3867:14 *4172:34 0 +8 *3867:14 *4726:19 0 +9 *3867:18 *4523:10 0 +10 *37522:B *37522:A 0 +11 *295:24 *3867:18 0 +12 *1170:26 *3867:18 0 +13 *1786:11 *3867:18 0 +14 *2355:20 *3867:18 0 +15 *2358:16 *3867:18 0 +16 *3063:22 *3867:14 0 +17 *3065:30 *3867:18 0 +18 *3096:17 *37522:A 0 +19 *3226:21 *3867:14 0 +20 *3271:30 *3867:18 0 +21 *3344:17 *3867:18 0 +22 *3382:31 *3867:18 0 +23 *3463:21 *3867:18 0 +24 *3468:21 *3867:18 0 +25 *3468:31 *37522:A 0 +*RES +1 *40906:X *3867:14 36.5794 +2 *3867:14 *3867:18 35.9728 +3 *3867:18 *5327:DIODE 13.8 +4 *3867:18 *37522:A 19.0679 +*END + +*D_NET *3868 0.0199685 +*CONN +*I *40906:A I *D sky130_fd_sc_hd__buf_2 +*I *8575:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40907:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40906:A 0 +2 *8575:DIODE 0.000211995 +3 *40907:X 0.00365902 +4 *3868:44 0.00632525 +5 *3868:25 0.00977228 +6 *8575:DIODE *3875:53 0 +7 *8575:DIODE *4169:59 0 +8 *3868:25 *4142:13 0 +9 *3868:25 *4142:15 0 +10 *3868:25 *4389:23 0 +11 *3868:25 *4397:20 0 +12 *3868:44 *3897:48 0 +13 *3868:44 *4147:14 0 +14 *3868:44 *4175:17 0 +15 *3868:44 *4185:21 0 +16 *3868:44 *4206:27 0 +17 *3868:44 *4208:21 0 +18 *5948:DIODE *3868:44 0 +19 *8104:DIODE *3868:25 0 +20 *8972:DIODE *3868:25 0 +21 *37572:A *3868:44 0 +22 *38004:C *3868:25 0 +23 *1370:17 *3868:44 0 +24 *1387:58 *3868:25 0 +25 *1528:42 *3868:25 0 +26 *1675:32 *3868:25 0 +27 *1675:70 *3868:25 0 +28 *3267:19 *3868:44 0 +29 *3333:58 *3868:44 0 +30 *3343:22 *3868:44 0 +31 *3551:13 *3868:44 0 +32 *3568:22 *3868:25 0 +33 *3595:30 *3868:25 0 +34 *3595:30 *3868:44 0 +35 *3596:72 *3868:25 0 +36 *3630:46 *3868:25 0 +37 *3641:14 *3868:44 0 +38 *3854:17 *3868:25 0 +*RES +1 *40907:X *3868:25 47.417 +2 *3868:25 *3868:44 44.1113 +3 *3868:44 *8575:DIODE 22.8714 +4 *3868:44 *40906:A 9.3 +*END + +*D_NET *3869 0.019178 +*CONN +*I *5324:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37520:A I *D sky130_fd_sc_hd__and2_1 +*I *40908:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5324:DIODE 0 +2 *37520:A 0.000124066 +3 *40908:X 0.00248901 +4 *3869:20 0.00709999 +5 *3869:13 0.00946493 +6 *3869:13 *8577:DIODE 0 +7 *3869:13 *4390:20 0 +8 *3869:13 *4403:64 0 +9 *3869:20 *4207:16 0 +10 *3869:20 *4390:20 0 +11 *3869:20 *4399:40 0 +12 *1165:11 *3869:20 0 +13 *1167:13 *37520:A 0 +14 *1383:33 *3869:20 0 +15 *1794:18 *3869:20 0 +16 *2951:28 *3869:20 0 +17 *3383:20 *3869:20 0 +18 *3384:87 *3869:13 0 +19 *3564:20 *3869:20 0 +20 *3570:25 *37520:A 0 +21 *3601:18 *3869:13 0 +22 *3601:18 *3869:20 0 +23 *3601:31 *3869:20 0 +24 *3613:16 *3869:20 0 +25 *3855:36 *3869:13 0 +*RES +1 *40908:X *3869:13 29.9734 +2 *3869:13 *3869:20 33.4211 +3 *3869:20 *37520:A 11.8893 +4 *3869:20 *5324:DIODE 9.3 +*END + +*D_NET *3870 0.0212473 +*CONN +*I *40908:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8577:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40909:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40908:A 0 +2 *8577:DIODE 0.000176045 +3 *40909:X 0.00234539 +4 *3870:53 0.00377067 +5 *3870:46 0.0081022 +6 *3870:28 0.00685296 +7 *3870:53 *4386:38 0 +8 *3870:53 *4997:8 0 +9 *8387:DIODE *3870:28 0 +10 *1189:37 *3870:53 0 +11 *1374:8 *3870:53 0 +12 *1494:18 *3870:46 0 +13 *1500:34 *3870:53 0 +14 *1681:14 *3870:46 0 +15 *1920:61 *3870:46 0 +16 *1954:24 *3870:28 0 +17 *2160:63 *3870:46 0 +18 *2188:39 *3870:28 0 +19 *2197:71 *3870:28 0 +20 *2747:63 *3870:28 0 +21 *2753:8 *3870:46 0 +22 *2857:20 *3870:46 0 +23 *2876:20 *3870:46 0 +24 *3555:63 *3870:46 0 +25 *3607:23 *3870:28 0 +26 *3607:23 *3870:46 0 +27 *3607:23 *3870:53 0 +28 *3611:27 *3870:28 0 +29 *3611:27 *3870:46 0 +30 *3611:27 *3870:53 0 +31 *3614:49 *3870:53 0 +32 *3620:33 *3870:28 0 +33 *3623:20 *3870:28 0 +34 *3635:59 *3870:53 0 +35 *3640:43 *3870:28 0 +36 *3683:25 *3870:28 0 +37 *3830:24 *3870:46 0 +38 *3832:58 *3870:46 0 +39 *3833:19 *3870:28 0 +40 *3833:19 *3870:46 0 +41 *3833:24 *3870:53 0 +42 *3840:71 *3870:46 0 +43 *3848:16 *3870:53 0 +44 *3852:15 *8577:DIODE 0 +45 *3852:15 *3870:53 0 +46 *3869:13 *8577:DIODE 0 +*RES +1 *40909:X *3870:28 44.5052 +2 *3870:28 *3870:46 41.1833 +3 *3870:46 *3870:53 36.2332 +4 *3870:53 *8577:DIODE 13.2821 +5 *3870:53 *40908:A 9.3 +*END + +*D_NET *3871 0.0136809 +*CONN +*I *37484:B I *D sky130_fd_sc_hd__and2_1 +*I *5294:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38566:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *37484:B 0 +2 *5294:DIODE 0.000252112 +3 *38566:X 0.00023527 +4 *3871:28 0.00194035 +5 *3871:17 0.00439031 +6 *3871:16 0.00270207 +7 *3871:14 0.00196277 +8 *3871:10 0.00219804 +9 *5294:DIODE *3993:31 0 +10 *5294:DIODE *4879:12 0 +11 *3871:10 *4451:72 0 +12 *3871:10 *4499:10 0 +13 *3871:10 *4537:23 0 +14 *3871:14 *7127:DIODE 0 +15 *3871:14 *4126:14 0 +16 *3871:14 *4371:13 0 +17 *3871:14 *4371:17 0 +18 *3871:14 *4879:28 0 +19 *3871:17 *4787:25 0 +20 *3871:17 *4797:24 0 +21 *3871:17 *5161:30 0 +22 *3871:28 *3872:37 0 +23 *3871:28 *3914:18 0 +24 *3871:28 *3993:31 0 +25 *6938:DIODE *5294:DIODE 0 +26 *6938:DIODE *3871:28 0 +27 *37475:A *3871:14 0 +28 *37485:A *3871:28 0 +29 *39437:A *3871:17 0 +30 *39437:B *3871:14 0 +31 *39443:A *3871:10 0 +32 *343:52 *3871:28 0 +33 *2827:43 *3871:28 0 +34 *3069:9 *3871:17 0 +35 *3104:19 *3871:28 0 +36 *3149:12 *5294:DIODE 0 +37 *3171:26 *3871:28 0 +38 *3171:32 *3871:17 0 +39 *3171:42 *3871:17 0 +40 *3431:17 *3871:28 0 +41 *3431:25 *5294:DIODE 0 +42 *3467:9 *5294:DIODE 0 +*RES +1 *38566:X *3871:10 23.6125 +2 *3871:10 *3871:14 45.7679 +3 *3871:14 *3871:16 4.5 +4 *3871:16 *3871:17 56.3929 +5 *3871:17 *3871:28 23.9353 +6 *3871:28 *5294:DIODE 23.8893 +7 *3871:28 *37484:B 9.3 +*END + +*D_NET *3872 0.0188372 +*CONN +*I *5323:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37518:A I *D sky130_fd_sc_hd__and2_1 +*I *40910:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5323:DIODE 0 +2 *37518:A 0.000124066 +3 *40910:X 0.000808089 +4 *3872:37 0.00204195 +5 *3872:24 0.00535295 +6 *3872:13 0.00656858 +7 *3872:11 0.00394161 +8 *37518:A *4799:28 0 +9 *3872:11 *3918:25 0 +10 *3872:11 *3918:31 0 +11 *3872:11 *4151:37 0 +12 *3872:11 *4197:36 0 +13 *3872:11 *4748:23 0 +14 *3872:13 *3889:21 0 +15 *3872:13 *3918:31 0 +16 *3872:13 *3918:33 0 +17 *3872:13 *3929:23 0 +18 *3872:24 *3878:43 0 +19 *3872:24 *3922:33 0 +20 *3872:24 *4429:67 0 +21 *3872:24 *4660:16 0 +22 *3872:24 *4799:37 0 +23 *3872:24 *4817:20 0 +24 *3872:24 *4824:24 0 +25 *3872:24 *5200:12 0 +26 *3872:37 *39061:A 0 +27 *3872:37 *4429:15 0 +28 *3872:37 *4430:36 0 +29 *3872:37 *4797:50 0 +30 *3872:37 *4799:28 0 +31 *7417:DIODE *3872:11 0 +32 *7417:DIODE *3872:13 0 +33 *37534:A *3872:11 0 +34 *37534:B *3872:11 0 +35 *39434:A *3872:24 0 +36 *40185:A *3872:24 0 +37 *296:29 *3872:11 0 +38 *301:47 *3872:24 0 +39 *343:52 *3872:37 0 +40 *376:71 *3872:24 0 +41 *1787:11 *3872:11 0 +42 *1787:11 *3872:13 0 +43 *1800:36 *3872:11 0 +44 *2359:15 *37518:A 0 +45 *2359:15 *3872:37 0 +46 *2359:19 *3872:37 0 +47 *2360:36 *3872:37 0 +48 *3067:15 *3872:24 0 +49 *3131:35 *3872:11 0 +50 *3171:14 *3872:24 0 +51 *3304:34 *3872:37 0 +52 *3367:21 *3872:24 0 +53 *3468:10 *3872:37 0 +54 *3871:28 *3872:37 0 +*RES +1 *40910:X *3872:11 35.55 +2 *3872:11 *3872:13 65.4286 +3 *3872:13 *3872:24 43.0054 +4 *3872:24 *3872:37 42.7127 +5 *3872:37 *37518:A 11.8893 +6 *3872:37 *5323:DIODE 9.3 +*END + +*D_NET *3873 0.0205265 +*CONN +*I *8578:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40910:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40911:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8578:DIODE 0 +2 *40910:A 0.000162783 +3 *40911:X 0.0011166 +4 *3873:53 0.00150764 +5 *3873:41 0.00430275 +6 *3873:40 0.0045059 +7 *3873:32 0.00326769 +8 *3873:25 0.0031331 +9 *3873:13 0.00253001 +10 *40910:A *4200:35 0 +11 *40910:A *5152:15 0 +12 *3873:25 *4175:17 0 +13 *3873:40 *3923:14 0 +14 *3873:53 *4139:42 0 +15 *3873:53 *4200:35 0 +16 *3873:53 *5152:15 0 +17 *8833:DIODE *3873:32 0 +18 *37982:B *3873:25 0 +19 *40445:A *3873:41 0 +20 *1385:22 *3873:25 0 +21 *1386:16 *3873:32 0 +22 *1506:22 *3873:25 0 +23 *1523:21 *3873:25 0 +24 *1523:22 *3873:25 0 +25 *1895:18 *3873:32 0 +26 *1901:32 *3873:32 0 +27 *1919:23 *3873:13 0 +28 *1935:20 *3873:13 0 +29 *1940:18 *3873:13 0 +30 *2057:49 *3873:32 0 +31 *2066:8 *3873:41 0 +32 *2067:29 *3873:32 0 +33 *2148:17 *3873:41 0 +34 *2157:28 *3873:25 0 +35 *2177:17 *3873:25 0 +36 *2191:20 *3873:13 0 +37 *3059:17 *3873:41 0 +38 *3059:17 *3873:53 0 +39 *3063:14 *3873:53 0 +40 *3065:17 *3873:53 0 +41 *3137:21 *3873:40 0 +42 *3231:5 *3873:32 0 +43 *3231:5 *3873:41 0 +44 *3231:20 *3873:53 0 +45 *3268:30 *3873:53 0 +46 *3338:25 *3873:53 0 +47 *3374:76 *3873:32 0 +48 *3494:8 *3873:32 0 +49 *3555:31 *3873:13 0 +50 *3577:43 *3873:13 0 +51 *3636:39 *3873:13 0 +52 *3857:19 *3873:25 0 +*RES +1 *40911:X *3873:13 47.1214 +2 *3873:13 *3873:25 37.6055 +3 *3873:25 *3873:32 46.5982 +4 *3873:32 *3873:40 45.9643 +5 *3873:40 *3873:41 61.7321 +6 *3873:41 *3873:53 40.8727 +7 *3873:53 *40910:A 12.7286 +8 *3873:53 *8578:DIODE 9.3 +*END + +*D_NET *3874 0.0198065 +*CONN +*I *5321:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37516:A I *D sky130_fd_sc_hd__and2_1 +*I *40912:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *5321:DIODE 0 +2 *37516:A 0.00026959 +3 *40912:X 0.000879641 +4 *3874:25 0.00666839 +5 *3874:24 0.00720679 +6 *3874:18 0.00235524 +7 *3874:12 0.00242688 +8 *37516:A *4463:32 0 +9 *37516:A *4818:7 0 +10 *3874:12 *8580:DIODE 0 +11 *3874:12 *3875:69 0 +12 *3874:12 *4167:19 0 +13 *3874:12 *4169:69 0 +14 *3874:12 *4180:82 0 +15 *3874:12 *4821:22 0 +16 *3874:18 *4814:8 0 +17 *3874:25 *39558:A 0 +18 *3874:25 *3894:27 0 +19 *3874:25 *4798:11 0 +20 *3874:25 *4818:7 0 +21 *39426:A *3874:25 0 +22 *39439:B *3874:25 0 +23 *291:35 *3874:18 0 +24 *354:17 *3874:24 0 +25 *1148:12 *3874:25 0 +26 *1297:14 *3874:12 0 +27 *1643:10 *3874:25 0 +28 *1771:10 *3874:25 0 +29 *1782:36 *3874:12 0 +30 *1786:42 *37516:A 0 +31 *3068:25 *3874:18 0 +32 *3068:25 *3874:25 0 +33 *3096:10 *3874:24 0 +34 *3127:17 *3874:18 0 +35 *3127:24 *3874:18 0 +36 *3127:32 *3874:25 0 +37 *3136:48 *3874:12 0 +38 *3215:23 *3874:25 0 +39 *3215:34 *3874:25 0 +40 *3215:37 *3874:25 0 +41 *3249:50 *3874:24 0 +42 *3304:45 *3874:18 0 +43 *3376:27 *3874:18 0 +44 *3376:27 *3874:24 0 +45 *3468:21 *3874:24 0 +46 *3567:44 *3874:12 0 +*RES +1 *40912:X *3874:12 38.1214 +2 *3874:12 *3874:18 41.375 +3 *3874:18 *3874:24 26.1429 +4 *3874:24 *3874:25 133.607 +5 *3874:25 *37516:A 24.1571 +6 *3874:25 *5321:DIODE 9.3 +*END + +*D_NET *3875 0.0198325 +*CONN +*I *40912:A I *D sky130_fd_sc_hd__buf_2 +*I *8580:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40913:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40912:A 0 +2 *8580:DIODE 0.000348856 +3 *40913:X 0.00102691 +4 *3875:69 0.00103849 +5 *3875:65 0.00301095 +6 *3875:53 0.00418702 +7 *3875:41 0.00381222 +8 *3875:32 0.00366381 +9 *3875:25 0.0027442 +10 *8580:DIODE *4167:18 0 +11 *8580:DIODE *4169:69 0 +12 *8580:DIODE *4180:99 0 +13 *3875:25 *4157:46 0 +14 *3875:32 *4136:49 0 +15 *3875:32 *4157:52 0 +16 *3875:41 *3897:49 0 +17 *3875:41 *4133:76 0 +18 *3875:41 *4169:38 0 +19 *3875:41 *4180:60 0 +20 *3875:53 *5864:DIODE 0 +21 *3875:53 *8807:DIODE 0 +22 *3875:53 *41182:A 0 +23 *3875:53 *4140:115 0 +24 *3875:53 *4157:78 0 +25 *3875:53 *4169:59 0 +26 *3875:53 *4180:60 0 +27 *3875:53 *4180:65 0 +28 *3875:53 *4180:67 0 +29 *3875:65 *8801:DIODE 0 +30 *3875:65 *8840:DIODE 0 +31 *3875:65 *37946:C 0 +32 *3875:65 *38220:B 0 +33 *3875:65 *41168:A 0 +34 *3875:65 *41185:A 0 +35 *3875:65 *41382:A 0 +36 *3875:65 *3897:92 0 +37 *3875:65 *4167:11 0 +38 *3875:65 *4167:18 0 +39 *3875:65 *4169:59 0 +40 *3875:65 *4169:67 0 +41 *3875:65 *4180:67 0 +42 *3875:69 *41215:A 0 +43 *3875:69 *3896:14 0 +44 *3875:69 *4167:18 0 +45 *3875:69 *4169:67 0 +46 *3875:69 *4169:69 0 +47 *5959:DIODE *3875:25 0 +48 *8575:DIODE *3875:53 0 +49 *37575:A *3875:32 0 +50 *38258:A *3875:32 0 +51 *1164:17 *3875:69 0 +52 *1292:14 *3875:53 0 +53 *1490:33 *3875:32 0 +54 *1509:20 *3875:65 0 +55 *1528:17 *3875:25 0 +56 *2741:14 *3875:25 0 +57 *3315:28 *3875:41 0 +58 *3315:28 *3875:53 0 +59 *3367:40 *3875:69 0 +60 *3629:45 *3875:25 0 +61 *3874:12 *8580:DIODE 0 +62 *3874:12 *3875:69 0 +*RES +1 *40913:X *3875:25 48.9429 +2 *3875:25 *3875:32 45.0536 +3 *3875:32 *3875:41 49.9286 +4 *3875:41 *3875:53 39.2321 +5 *3875:53 *3875:65 48.7857 +6 *3875:65 *3875:69 14.5179 +7 *3875:69 *8580:DIODE 25.6571 +8 *3875:69 *40912:A 9.3 +*END + +*D_NET *3876 0.0220232 +*CONN +*I *8581:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40913:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40914:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8581:DIODE 0 +2 *40913:A 0.000254372 +3 *40914:X 0.00190745 +4 *3876:93 0.00246371 +5 *3876:62 0.0058843 +6 *3876:25 0.00664045 +7 *3876:23 0.00487293 +8 *40913:A *3897:21 0 +9 *40913:A *4136:48 0 +10 *40913:A *4168:39 0 +11 *3876:25 *4212:17 0 +12 *3876:62 *4156:37 0 +13 *3876:62 *4176:32 0 +14 *3876:62 *4212:43 0 +15 *3876:93 *4156:37 0 +16 *3876:93 *4191:19 0 +17 *3876:93 *4212:43 0 +18 *3876:93 *4384:56 0 +19 *3876:93 *4392:49 0 +20 *3876:93 *4395:53 0 +21 *3876:93 *4462:26 0 +22 *38006:A_N *3876:62 0 +23 *40040:A *3876:93 0 +24 *41143:A *3876:23 0 +25 *1530:15 *3876:23 0 +26 *1530:15 *3876:25 0 +27 *1530:32 *3876:23 0 +28 *1533:22 *3876:62 0 +29 *1533:43 *3876:62 0 +30 *1672:43 *40913:A 0 +31 *1796:20 *3876:93 0 +32 *1810:21 *3876:23 0 +33 *1893:39 *3876:62 0 +34 *1894:89 *40913:A 0 +35 *1894:89 *3876:93 0 +36 *1898:36 *3876:93 0 +37 *1899:38 *3876:62 0 +38 *1901:32 *3876:62 0 +39 *1901:69 *3876:93 0 +40 *1907:16 *3876:23 0 +41 *1907:20 *3876:25 0 +42 *1952:33 *3876:23 0 +43 *2038:8 *3876:23 0 +44 *2043:8 *3876:23 0 +45 *2043:8 *3876:25 0 +46 *2061:21 *3876:62 0 +47 *2067:46 *3876:93 0 +48 *2096:17 *3876:25 0 +49 *2112:9 *3876:23 0 +50 *2151:19 *3876:23 0 +51 *2741:69 *3876:25 0 +52 *2850:39 *3876:23 0 +53 *3058:28 *3876:93 0 +54 *3331:94 *3876:62 0 +55 *3332:62 *3876:93 0 +56 *3558:50 *3876:23 0 +57 *3620:33 *3876:23 0 +*RES +1 *40914:X *3876:23 45.9051 +2 *3876:23 *3876:25 61.9375 +3 *3876:25 *3876:62 49.5347 +4 *3876:62 *3876:93 49.3514 +5 *3876:93 *40913:A 23.6929 +6 *3876:93 *8581:DIODE 9.3 +*END + +*D_NET *3877 0.0186031 +*CONN +*I *5319:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37514:A I *D sky130_fd_sc_hd__and2_1 +*I *40915:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *5319:DIODE 0 +2 *37514:A 0.000230624 +3 *40915:X 0.00168197 +4 *3877:63 0.00254256 +5 *3877:50 0.00419331 +6 *3877:44 0.00257256 +7 *3877:38 0.00319566 +8 *3877:20 0.00418644 +9 *3877:20 *40200:A 0 +10 *3877:20 *3903:36 0 +11 *3877:20 *4660:16 0 +12 *3877:20 *4797:50 0 +13 *3877:20 *4817:14 0 +14 *3877:20 *4817:20 0 +15 *3877:38 *4437:31 0 +16 *3877:38 *4797:50 0 +17 *3877:44 *4797:31 0 +18 *3877:44 *4797:50 0 +19 *3877:50 *3900:29 0 +20 *3877:50 *4429:36 0 +21 *3877:63 *3922:72 0 +22 *3877:63 *3924:36 0 +23 *3877:63 *3928:26 0 +24 *3877:63 *4249:28 0 +25 *3877:63 *4537:23 0 +26 *3877:63 *5161:23 0 +27 *37330:A *3877:63 0 +28 *37495:A *3877:63 0 +29 *37514:B *37514:A 0 +30 *39443:A *3877:63 0 +31 *39509:B *3877:20 0 +32 *296:55 *3877:20 0 +33 *304:23 *3877:50 0 +34 *343:72 *37514:A 0 +35 *343:72 *3877:63 0 +36 *1156:9 *37514:A 0 +37 *1760:13 *37514:A 0 +38 *2359:14 *3877:63 0 +39 *3171:26 *3877:38 0 +40 *3171:42 *3877:63 0 +41 *3171:48 *37514:A 0 +42 *3249:38 *3877:38 0 +43 *3465:25 *3877:20 0 +44 *3466:19 *3877:38 0 +45 *3488:13 *3877:20 0 +46 *3488:26 *3877:20 0 +47 *3749:23 *3877:50 0 +*RES +1 *40915:X *3877:20 47.3363 +2 *3877:20 *3877:38 41.3743 +3 *3877:38 *3877:44 23.5089 +4 *3877:44 *3877:50 47.2225 +5 *3877:50 *3877:63 45.788 +6 *3877:63 *37514:A 23.4071 +7 *3877:63 *5319:DIODE 9.3 +*END + +*D_NET *3878 0.0197379 +*CONN +*I *8582:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40915:A I *D sky130_fd_sc_hd__buf_2 +*I *40916:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8582:DIODE 0 +2 *40915:A 0.000124066 +3 *40916:X 0.00111111 +4 *3878:43 0.00194998 +5 *3878:31 0.00705293 +6 *3878:30 0.00680788 +7 *3878:14 0.00269197 +8 *40915:A *4817:20 0 +9 *3878:14 *4152:54 0 +10 *3878:14 *4470:47 0 +11 *3878:14 *5026:42 0 +12 *3878:30 *3913:15 0 +13 *3878:30 *3923:17 0 +14 *3878:30 *4831:15 0 +15 *3878:30 *4835:8 0 +16 *3878:30 *5200:21 0 +17 *3878:31 *3901:21 0 +18 *3878:31 *3901:36 0 +19 *3878:31 *4817:29 0 +20 *3878:31 *4837:13 0 +21 *3878:31 *5198:26 0 +22 *3878:31 *5199:9 0 +23 *3878:43 *4817:20 0 +24 *3878:43 *5199:8 0 +25 *7365:DIODE *3878:31 0 +26 *37358:A *3878:31 0 +27 *37490:B *3878:31 0 +28 *39404:A *3878:31 0 +29 *376:46 *3878:31 0 +30 *376:71 *3878:43 0 +31 *1395:23 *3878:30 0 +32 *1790:8 *3878:43 0 +33 *3052:14 *3878:43 0 +34 *3058:22 *3878:30 0 +35 *3249:18 *3878:43 0 +36 *3249:24 *40915:A 0 +37 *3249:24 *3878:43 0 +38 *3326:13 *3878:31 0 +39 *3329:22 *3878:14 0 +40 *3339:60 *3878:14 0 +41 *3343:13 *3878:30 0 +42 *3364:13 *3878:30 0 +43 *3364:13 *3878:43 0 +44 *3846:16 *3878:30 0 +45 *3851:17 *3878:30 0 +46 *3851:17 *3878:43 0 +47 *3872:24 *3878:43 0 +*RES +1 *40916:X *3878:14 43.6036 +2 *3878:14 *3878:30 43.3772 +3 *3878:30 *3878:31 108.964 +4 *3878:31 *3878:43 43.6361 +5 *3878:43 *40915:A 11.8893 +6 *3878:43 *8582:DIODE 9.3 +*END + +*D_NET *3879 0.0190932 +*CONN +*I *5318:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37512:A I *D sky130_fd_sc_hd__and2_1 +*I *40917:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5318:DIODE 0 +2 *37512:A 0.000300539 +3 *40917:X 0.00278629 +4 *3879:37 0.000936631 +5 *3879:33 0.00224237 +6 *3879:25 0.00582366 +7 *3879:24 0.00421738 +8 *3879:22 0.00278629 +9 *37512:A *4820:18 0 +10 *37512:A *5202:15 0 +11 *3879:22 *3974:23 0 +12 *3879:22 *4141:21 0 +13 *3879:22 *4154:36 0 +14 *3879:22 *4165:20 0 +15 *3879:22 *4189:40 0 +16 *3879:25 *4139:42 0 +17 *3879:25 *4154:39 0 +18 *3879:33 *3911:13 0 +19 *3879:33 *4441:10 0 +20 *3879:37 *3923:46 0 +21 *3879:37 *4820:18 0 +22 *6779:DIODE *3879:37 0 +23 *37512:B *37512:A 0 +24 *282:36 *3879:25 0 +25 *282:36 *3879:33 0 +26 *295:24 *3879:22 0 +27 *376:71 *3879:37 0 +28 *1811:25 *3879:22 0 +29 *2012:37 *3879:22 0 +30 *2351:15 *3879:25 0 +31 *2354:11 *3879:25 0 +32 *3061:38 *3879:22 0 +33 *3271:20 *3879:37 0 +34 *3337:20 *3879:37 0 +35 *3338:25 *3879:22 0 +36 *3350:28 *3879:25 0 +37 *3384:51 *3879:22 0 +38 *3452:11 *3879:25 0 +*RES +1 *40917:X *3879:22 45.9476 +2 *3879:22 *3879:24 4.5 +3 *3879:24 *3879:25 88.0179 +4 *3879:25 *3879:33 47.1071 +5 *3879:33 *3879:37 18.75 +6 *3879:37 *37512:A 15.6036 +7 *3879:37 *5318:DIODE 9.3 +*END + +*D_NET *3880 0.0196473 +*CONN +*I *5316:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37510:A I *D sky130_fd_sc_hd__and2_1 +*I *40918:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *5316:DIODE 0 +2 *37510:A 0.000124066 +3 *40918:X 0.00171612 +4 *3880:41 0.000835519 +5 *3880:40 0.00243234 +6 *3880:34 0.00277991 +7 *3880:23 0.00476474 +8 *3880:22 0.00449208 +9 *3880:13 0.00250248 +10 *37510:A *4203:19 0 +11 *3880:13 *4390:20 0 +12 *3880:23 *39649:A 0 +13 *3880:34 *4207:16 0 +14 *3880:41 *4203:19 0 +15 *5599:DIODE *3880:22 0 +16 *39440:B *3880:34 0 +17 *313:39 *3880:40 0 +18 *1159:20 *3880:34 0 +19 *1159:23 *3880:40 0 +20 *1165:11 *3880:13 0 +21 *1167:13 *3880:23 0 +22 *1167:21 *3880:40 0 +23 *1356:23 *3880:34 0 +24 *1478:10 *3880:40 0 +25 *1777:10 *3880:34 0 +26 *2781:6 *3880:34 0 +27 *3564:20 *3880:22 0 +28 *3570:25 *3880:23 0 +29 *3570:25 *3880:40 0 +30 *3600:23 *3880:34 0 +31 *3601:37 *3880:22 0 +32 *3601:37 *3880:23 0 +33 *3613:7 *3880:13 0 +34 *3613:37 *37510:A 0 +35 *3613:37 *3880:41 0 +36 *3848:63 *3880:22 0 +37 *3852:75 *3880:22 0 +38 *3855:63 *3880:13 0 +39 *3855:71 *3880:13 0 +*RES +1 *40918:X *3880:13 49.4923 +2 *3880:13 *3880:22 30.1161 +3 *3880:22 *3880:23 77.3393 +4 *3880:23 *3880:34 20.631 +5 *3880:34 *3880:40 45.3214 +6 *3880:40 *3880:41 14.9107 +7 *3880:41 *37510:A 11.8893 +8 *3880:41 *5316:DIODE 9.3 +*END + +*D_NET *3881 0.0196686 +*CONN +*I *40918:A I *D sky130_fd_sc_hd__buf_2 +*I *8585:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40919:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40918:A 0.000153541 +2 *8585:DIODE 0 +3 *40919:X 0.00396693 +4 *3881:36 0.00586736 +5 *3881:21 0.00968075 +6 *3881:21 *4385:38 0 +7 *3881:36 *3884:108 0 +8 *3881:36 *3884:132 0 +9 *37552:B *3881:36 0 +10 *1165:11 *3881:36 0 +11 *1363:22 *3881:36 0 +12 *1372:24 *40918:A 0 +13 *1372:24 *3881:36 0 +14 *3554:29 *3881:21 0 +15 *3574:20 *3881:21 0 +16 *3587:56 *3881:21 0 +17 *3614:30 *3881:21 0 +18 *3615:19 *3881:21 0 +19 *3618:47 *3881:36 0 +20 *3622:28 *3881:36 0 +21 *3622:37 *3881:36 0 +22 *3634:23 *40918:A 0 +23 *3831:30 *3881:21 0 +24 *3837:53 *3881:36 0 +25 *3842:19 *3881:21 0 +26 *3842:19 *3881:36 0 +27 *3842:30 *3881:36 0 +28 *3843:15 *3881:21 0 +29 *3843:15 *3881:36 0 +30 *3855:63 *40918:A 0 +31 *3858:25 *3881:21 0 +32 *3858:40 *3881:36 0 +*RES +1 *40919:X *3881:21 39.2066 +2 *3881:21 *3881:36 32.3177 +3 *3881:36 *8585:DIODE 13.8 +4 *3881:36 *40918:A 17.1214 +*END + +*D_NET *3882 0.0154681 +*CONN +*I *40518:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8273:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38567:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40518:A 0.000319089 +2 *8273:DIODE 0 +3 *38567:X 0.00118454 +4 *3882:53 0.000748859 +5 *3882:44 0.00221766 +6 *3882:37 0.00250554 +7 *3882:30 0.00234916 +8 *3882:23 0.00329511 +9 *3882:13 0.00284815 +10 *3882:13 *39126:A 0 +11 *3882:13 *4488:24 0 +12 *3882:13 *4500:13 0 +13 *3882:23 *39130:A 0 +14 *3882:30 *39130:A 0 +15 *3882:30 *4505:22 0 +16 *3882:37 *4038:49 0 +17 *3882:37 *4505:30 0 +18 *3882:44 *39137:A 0 +19 *3882:44 *4505:30 0 +20 *5443:DIODE *3882:44 0 +21 *5468:DIODE *3882:44 0 +22 *5497:DIODE *3882:37 0 +23 *6862:DIODE *3882:53 0 +24 *37389:A *3882:13 0 +25 *37393:A *3882:30 0 +26 *37396:A *3882:37 0 +27 *2390:49 *3882:37 0 +28 *2393:63 *3882:44 0 +29 *2409:29 *3882:13 0 +30 *2424:69 *3882:23 0 +31 *2435:52 *40518:A 0 +32 *2505:32 *3882:30 0 +33 *2560:15 *3882:44 0 +34 *3140:84 *3882:30 0 +35 *3154:56 *40518:A 0 +36 *3154:56 *3882:53 0 +37 *3207:64 *3882:53 0 +38 *3217:59 *40518:A 0 +39 *3300:28 *40518:A 0 +40 *3300:28 *3882:53 0 +41 *3305:34 *3882:23 0 +42 *3305:35 *3882:23 0 +43 *3305:35 *3882:30 0 +44 *3320:72 *3882:23 0 +45 *3321:63 *40518:A 0 +46 *3335:27 *3882:13 0 +47 *3442:66 *3882:30 0 +48 *3444:5 *3882:13 0 +49 *3444:5 *3882:37 0 +50 *3744:36 *40518:A 0 +51 *3785:34 *3882:37 0 +52 *3785:48 *3882:53 0 +53 *3800:43 *3882:37 0 +54 *3800:53 *3882:37 0 +55 *3800:53 *3882:44 0 +56 *3807:41 *3882:37 0 +57 *3807:49 *3882:44 0 +58 *3813:44 *3882:13 0 +59 *3813:57 *3882:44 0 +60 *3814:31 *3882:23 0 +*RES +1 *38567:X *3882:13 47.7643 +2 *3882:13 *3882:23 39.5714 +3 *3882:23 *3882:30 43.2143 +4 *3882:30 *3882:37 15.0714 +5 *3882:37 *3882:44 46.3036 +6 *3882:44 *3882:53 18.1964 +7 *3882:53 *8273:DIODE 9.3 +8 *3882:53 *40518:A 25.3893 +*END + +*D_NET *3883 0.019283 +*CONN +*I *5313:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37508:A I *D sky130_fd_sc_hd__and2_1 +*I *40920:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *5313:DIODE 0 +2 *37508:A 0.000173672 +3 *40920:X 4.55793e-05 +4 *3883:49 0.0021968 +5 *3883:47 0.00243426 +6 *3883:41 0.00297544 +7 *3883:39 0.00308498 +8 *3883:25 0.00236218 +9 *3883:12 0.00390302 +10 *3883:8 0.00210708 +11 *37508:A *4203:19 0 +12 *3883:12 *8587:DIODE 0 +13 *3883:12 *8978:DIODE 0 +14 *3883:12 *3892:57 0 +15 *3883:12 *4399:13 0 +16 *3883:12 *4400:55 0 +17 *3883:25 *4399:13 0 +18 *3883:39 *37914:C 0 +19 *3883:41 *5833:DIODE 0 +20 *3883:41 *37886:A_N 0 +21 *3883:41 *37914:C 0 +22 *3883:41 *41212:A 0 +23 *3883:41 *4153:97 0 +24 *3883:41 *4208:33 0 +25 *3883:47 *5764:DIODE 0 +26 *3883:47 *37886:A_N 0 +27 *3883:47 *37886:C 0 +28 *6216:DIODE *3883:25 0 +29 *7410:DIODE *3883:41 0 +30 *8389:DIODE *3883:41 0 +31 *37886:B *3883:41 0 +32 *39929:A *3883:41 0 +33 *39942:A *3883:49 0 +34 *40058:A *3883:49 0 +35 *40693:A *3883:25 0 +36 *311:49 *3883:47 0 +37 *1161:11 *3883:25 0 +38 *1165:11 *3883:25 0 +39 *1292:14 *3883:8 0 +40 *1350:55 *3883:49 0 +41 *1356:10 *3883:41 0 +42 *1383:33 *3883:8 0 +43 *1394:24 *3883:25 0 +44 *1481:19 *3883:49 0 +45 *1485:34 *37508:A 0 +46 *1485:34 *3883:49 0 +47 *2797:75 *3883:25 0 +48 *2797:75 *3883:39 0 +49 *3609:15 *3883:41 0 +50 *3609:17 *3883:41 0 +51 *3609:17 *3883:47 0 +52 *3609:17 *3883:49 0 +53 *3613:17 *3883:41 0 +54 *3613:17 *3883:47 0 +55 *3613:17 *3883:49 0 +56 *3613:31 *37508:A 0 +57 *3613:31 *3883:49 0 +58 *3613:37 *37508:A 0 +59 *3622:37 *3883:25 0 +60 *3631:19 *3883:25 0 +61 *3631:37 *3883:39 0 +62 *3631:37 *3883:41 0 +63 *3632:68 *3883:12 0 +*RES +1 *40920:X *3883:8 19.3357 +2 *3883:8 *3883:12 47.5089 +3 *3883:12 *3883:25 45.8651 +4 *3883:25 *3883:39 20.4107 +5 *3883:39 *3883:41 53.5179 +6 *3883:41 *3883:47 8.58036 +7 *3883:47 *3883:49 42.2232 +8 *3883:49 *37508:A 22.1036 +9 *3883:49 *5313:DIODE 9.3 +*END + +*D_NET *3884 0.0207891 +*CONN +*I *40920:A I *D sky130_fd_sc_hd__buf_2 +*I *8587:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40921:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40920:A 0 +2 *8587:DIODE 0.000163425 +3 *40921:X 0.00146162 +4 *3884:132 0.00157222 +5 *3884:108 0.00262497 +6 *3884:87 0.00258642 +7 *3884:71 0.00296534 +8 *3884:54 0.00331548 +9 *3884:40 0.00317919 +10 *3884:23 0.00292043 +11 *3884:23 *4387:27 0 +12 *3884:40 *3892:23 0 +13 *3884:40 *4400:17 0 +14 *3884:54 *4383:15 0 +15 *3884:54 *4400:29 0 +16 *3884:71 *4400:29 0 +17 *3884:71 *4997:7 0 +18 *3884:87 *4400:29 0 +19 *3884:108 *4153:50 0 +20 *3884:108 *4400:29 0 +21 *3884:108 *4400:48 0 +22 *3884:132 *38242:B 0 +23 *3884:132 *4153:50 0 +24 *8339:DIODE *3884:40 0 +25 *8358:DIODE *3884:54 0 +26 *8380:DIODE *3884:71 0 +27 *8563:DIODE *3884:54 0 +28 *37566:A *3884:108 0 +29 *37566:B *3884:132 0 +30 *37586:B *3884:23 0 +31 *37756:A *3884:23 0 +32 *37756:B *3884:23 0 +33 *38151:A *3884:71 0 +34 *40629:A *3884:108 0 +35 *41370:A *3884:54 0 +36 *1176:34 *3884:108 0 +37 *1182:15 *3884:132 0 +38 *1192:14 *3884:23 0 +39 *1277:20 *3884:40 0 +40 *1363:22 *3884:132 0 +41 *1377:14 *3884:40 0 +42 *1403:16 *3884:40 0 +43 *1489:15 *3884:132 0 +44 *1490:33 *3884:71 0 +45 *2171:31 *3884:23 0 +46 *2171:61 *3884:23 0 +47 *2171:64 *3884:40 0 +48 *2733:42 *3884:23 0 +49 *3555:75 *3884:23 0 +50 *3555:75 *3884:40 0 +51 *3556:14 *3884:87 0 +52 *3559:26 *3884:108 0 +53 *3559:26 *3884:132 0 +54 *3565:41 *3884:23 0 +55 *3565:66 *3884:23 0 +56 *3577:88 *3884:71 0 +57 *3579:15 *3884:54 0 +58 *3580:143 *3884:54 0 +59 *3586:20 *3884:54 0 +60 *3610:10 *3884:71 0 +61 *3614:18 *3884:40 0 +62 *3618:47 *3884:132 0 +63 *3622:37 *3884:108 0 +64 *3622:37 *3884:132 0 +65 *3629:24 *3884:40 0 +66 *3629:115 *3884:132 0 +67 *3632:41 *3884:54 0 +68 *3632:41 *3884:71 0 +69 *3632:49 *3884:71 0 +70 *3632:49 *3884:87 0 +71 *3632:57 *3884:87 0 +72 *3632:57 *3884:108 0 +73 *3632:68 *8587:DIODE 0 +74 *3833:24 *3884:71 0 +75 *3837:53 *3884:108 0 +76 *3843:15 *3884:108 0 +77 *3845:46 *3884:40 0 +78 *3845:46 *3884:54 0 +79 *3845:103 *3884:87 0 +80 *3845:114 *3884:108 0 +81 *3845:121 *8587:DIODE 0 +82 *3845:121 *3884:132 0 +83 *3850:32 *3884:54 0 +84 *3856:76 *3884:40 0 +85 *3858:40 *3884:108 0 +86 *3866:32 *3884:54 0 +87 *3881:36 *3884:108 0 +88 *3881:36 *3884:132 0 +89 *3883:12 *8587:DIODE 0 +*RES +1 *40921:X *3884:23 40.3 +2 *3884:23 *3884:40 49.0893 +3 *3884:40 *3884:54 49.75 +4 *3884:54 *3884:71 47 +5 *3884:71 *3884:87 47.0536 +6 *3884:87 *3884:108 48.8696 +7 *3884:108 *3884:132 46.3679 +8 *3884:132 *8587:DIODE 12.7107 +9 *3884:132 *40920:A 9.3 +*END + +*D_NET *3885 0.0192985 +*CONN +*I *37506:A I *D sky130_fd_sc_hd__and2_1 +*I *5311:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40922:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *37506:A 0.000153561 +2 *5311:DIODE 0 +3 *40922:X 0.00102301 +4 *3885:38 0.00174012 +5 *3885:34 0.00217483 +6 *3885:23 0.00279129 +7 *3885:15 0.00629783 +8 *3885:13 0.00511782 +9 *37506:A *4177:19 0 +10 *37506:A *4213:23 0 +11 *37506:A *4815:32 0 +12 *3885:13 *4132:80 0 +13 *3885:13 *4159:63 0 +14 *3885:13 *4401:23 0 +15 *3885:13 *4432:43 0 +16 *3885:15 *4172:35 0 +17 *3885:15 *4177:11 0 +18 *3885:15 *4401:23 0 +19 *3885:15 *4401:25 0 +20 *3885:15 *4432:43 0 +21 *3885:23 *4172:35 0 +22 *3885:23 *4172:41 0 +23 *3885:23 *4177:11 0 +24 *3885:23 *4177:16 0 +25 *3885:23 *4187:16 0 +26 *3885:23 *4213:23 0 +27 *3885:34 *5847:DIODE 0 +28 *3885:34 *4649:38 0 +29 *3885:38 *4811:16 0 +30 *3885:38 *4815:32 0 +31 *39444:A *3885:38 0 +32 *1179:38 *3885:34 0 +33 *1354:49 *3885:34 0 +34 *1509:30 *3885:13 0 +35 *1648:11 *3885:38 0 +36 *1774:16 *3885:34 0 +37 *1777:10 *37506:A 0 +38 *1777:10 *3885:38 0 +39 *2358:16 *3885:34 0 +40 *2796:13 *3885:38 0 +41 *3060:15 *3885:38 0 +42 *3136:38 *3885:13 0 +43 *3567:33 *3885:15 0 +*RES +1 *40922:X *3885:13 40.425 +2 *3885:13 *3885:15 85.5536 +3 *3885:15 *3885:23 46.2857 +4 *3885:23 *3885:34 19.4365 +5 *3885:34 *3885:38 37.6964 +6 *3885:38 *5311:DIODE 13.8 +7 *3885:38 *37506:A 17.1214 +*END + +*D_NET *3886 0.019172 +*CONN +*I *8589:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40922:A I *D sky130_fd_sc_hd__buf_2 +*I *40923:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8589:DIODE 0 +2 *40922:A 0.00015693 +3 *40923:X 8.56922e-05 +4 *3886:77 0.000435436 +5 *3886:76 0.000936155 +6 *3886:51 0.00302709 +7 *3886:41 0.0036911 +8 *3886:19 0.00382693 +9 *3886:18 0.00261345 +10 *3886:12 0.00221087 +11 *3886:8 0.00218839 +12 *40922:A *4180:65 0 +13 *3886:12 *37970:A_N 0 +14 *3886:12 *4133:5 0 +15 *3886:12 *4395:20 0 +16 *3886:12 *4926:46 0 +17 *3886:19 *37980:C 0 +18 *3886:19 *4133:5 0 +19 *3886:19 *4133:27 0 +20 *3886:19 *4146:21 0 +21 *3886:19 *4168:26 0 +22 *3886:19 *4457:61 0 +23 *3886:19 *4926:49 0 +24 *3886:41 *38248:B 0 +25 *3886:41 *41213:A 0 +26 *3886:41 *4130:17 0 +27 *3886:41 *4133:27 0 +28 *3886:41 *4136:48 0 +29 *3886:41 *4146:21 0 +30 *3886:51 *4133:53 0 +31 *3886:51 *4146:23 0 +32 *3886:51 *4180:27 0 +33 *3886:51 *4205:8 0 +34 *3886:76 *4133:76 0 +35 *3886:76 *4142:15 0 +36 *3886:76 *4175:29 0 +37 *3886:76 *4180:60 0 +38 *3886:76 *4394:45 0 +39 *3886:77 *4180:65 0 +40 *5388:DIODE *3886:41 0 +41 *5925:DIODE *3886:19 0 +42 *6366:DIODE *3886:51 0 +43 *7430:DIODE *3886:19 0 +44 *8337:DIODE *3886:19 0 +45 *8398:DIODE *3886:19 0 +46 *37595:A *3886:12 0 +47 *37980:B *3886:19 0 +48 *38254:B *3886:51 0 +49 *40379:A *3886:19 0 +50 *40702:A *3886:51 0 +51 *41145:A *3886:12 0 +52 *41387:A *3886:51 0 +53 *1196:14 *3886:12 0 +54 *1509:30 *3886:76 0 +55 *1528:17 *3886:19 0 +56 *1528:42 *3886:19 0 +57 *1675:66 *3886:12 0 +58 *1675:66 *3886:19 0 +59 *1887:89 *3886:51 0 +60 *1889:34 *3886:12 0 +61 *1889:45 *3886:19 0 +62 *1889:70 *3886:41 0 +63 *1889:74 *3886:41 0 +64 *3315:28 *3886:76 0 +65 *3338:55 *3886:41 0 +66 *3338:55 *3886:51 0 +67 *3338:57 *3886:51 0 +68 *3591:32 *3886:19 0 +69 *3642:101 *3886:51 0 +*RES +1 *40923:X *3886:8 20.2464 +2 *3886:8 *3886:12 48.5268 +3 *3886:12 *3886:18 7.04464 +4 *3886:18 *3886:19 52.2857 +5 *3886:19 *3886:41 37.125 +6 *3886:41 *3886:51 49.4821 +7 *3886:51 *3886:76 46.2803 +8 *3886:76 *3886:77 5.875 +9 *3886:77 *40922:A 21.7107 +10 *3886:77 *8589:DIODE 9.3 +*END + +*D_NET *3887 0.0188946 +*CONN +*I *5310:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37504:A I *D sky130_fd_sc_hd__and2_1 +*I *40924:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5310:DIODE 0 +2 *37504:A 0.000262677 +3 *40924:X 0.000508641 +4 *3887:25 0.00126868 +5 *3887:21 0.0052514 +6 *3887:19 0.00559729 +7 *3887:14 0.00342457 +8 *3887:10 0.00258132 +9 *3887:10 *3920:8 0 +10 *3887:10 *3974:31 0 +11 *3887:10 *4150:13 0 +12 *3887:10 *4391:38 0 +13 *3887:10 *4443:8 0 +14 *3887:10 *5152:15 0 +15 *3887:14 *3920:9 0 +16 *3887:14 *4441:10 0 +17 *3887:21 *37502:A 0 +18 *3887:25 *37502:A 0 +19 *37360:A *3887:14 0 +20 *282:36 *3887:19 0 +21 *282:36 *3887:21 0 +22 *282:50 *37504:A 0 +23 *282:50 *3887:25 0 +24 *282:64 *37504:A 0 +25 *1150:10 *3887:25 0 +26 *1790:8 *3887:14 0 +27 *1795:21 *3887:10 0 +28 *2351:15 *3887:19 0 +29 *2352:13 *3887:19 0 +30 *2352:13 *3887:21 0 +31 *2354:11 *3887:19 0 +32 *2356:11 *3887:25 0 +33 *2356:17 *3887:19 0 +34 *3059:21 *3887:14 0 +35 *3093:15 *3887:25 0 +36 *3104:19 *3887:25 0 +37 *3204:9 *3887:21 0 +38 *3241:41 *3887:10 0 +39 *3437:59 *3887:14 0 +40 *3452:19 *3887:14 0 +*RES +1 *40924:X *3887:10 29.4429 +2 *3887:10 *3887:14 48.5536 +3 *3887:14 *3887:19 32.7768 +4 *3887:19 *3887:21 88.6339 +5 *3887:21 *3887:25 21.0893 +6 *3887:25 *37504:A 14.7821 +7 *3887:25 *5310:DIODE 9.3 +*END + +*D_NET *3888 0.0176566 +*CONN +*I *8591:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40924:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40925:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8591:DIODE 0 +2 *40924:A 0.000179337 +3 *40925:X 0.00183001 +4 *3888:65 0.000714513 +5 *3888:53 0.00392452 +6 *3888:51 0.0053113 +7 *3888:40 0.00289443 +8 *3888:24 0.00280249 +9 *40924:A *3974:31 0 +10 *3888:24 *3895:18 0 +11 *3888:24 *4206:18 0 +12 *3888:40 *4206:18 0 +13 *3888:40 *4926:26 0 +14 *3888:51 *37956:A_N 0 +15 *3888:51 *4162:51 0 +16 *3888:53 *4162:62 0 +17 *3888:65 *3974:31 0 +18 *3888:65 *4123:32 0 +19 *8407:DIODE *3888:24 0 +20 *37784:B *3888:51 0 +21 *38190:B *3888:24 0 +22 *1377:13 *3888:51 0 +23 *1398:37 *3888:40 0 +24 *1399:25 *3888:24 0 +25 *1494:14 *3888:24 0 +26 *1498:16 *3888:53 0 +27 *1523:21 *3888:24 0 +28 *1803:8 *3888:24 0 +29 *1895:25 *3888:51 0 +30 *1899:56 *3888:51 0 +31 *2004:65 *3888:53 0 +32 *2067:32 *3888:51 0 +33 *2067:46 *3888:51 0 +34 *2157:28 *3888:24 0 +35 *2161:63 *3888:24 0 +36 *2741:15 *3888:40 0 +37 *3146:12 *3888:40 0 +38 *3241:23 *3888:51 0 +39 *3241:23 *3888:53 0 +40 *3241:25 *40924:A 0 +41 *3241:25 *3888:53 0 +42 *3241:25 *3888:65 0 +43 *3384:18 *3888:24 0 +44 *3630:37 *3888:24 0 +45 *3646:53 *3888:24 0 +46 *3862:26 *3888:65 0 +*RES +1 *40925:X *3888:24 46.7786 +2 *3888:24 *3888:40 34.5714 +3 *3888:40 *3888:51 40.2679 +4 *3888:51 *3888:53 70.7679 +5 *3888:53 *3888:65 22.411 +6 *3888:65 *40924:A 22.1661 +7 *3888:65 *8591:DIODE 9.3 +*END + +*D_NET *3889 0.0191025 +*CONN +*I *5309:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37502:A I *D sky130_fd_sc_hd__and2_1 +*I *40926:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5309:DIODE 0 +2 *37502:A 0.000228703 +3 *40926:X 0.00144754 +4 *3889:26 0.000421383 +5 *3889:21 0.00773588 +6 *3889:20 0.00768234 +7 *3889:15 0.00158668 +8 *3889:15 *41429:A 0 +9 *3889:15 *3925:9 0 +10 *3889:15 *4391:27 0 +11 *3889:21 *3894:13 0 +12 *3889:21 *3929:27 0 +13 *3889:21 *4802:21 0 +14 *3889:21 *4802:25 0 +15 *39455:B *3889:15 0 +16 *282:50 *37502:A 0 +17 *376:33 *3889:20 0 +18 *1800:36 *3889:15 0 +19 *2064:22 *3889:15 0 +20 *2355:9 *3889:21 0 +21 *2362:9 *3889:21 0 +22 *2362:18 *3889:21 0 +23 *2364:30 *3889:26 0 +24 *3052:15 *3889:21 0 +25 *3064:25 *3889:15 0 +26 *3204:9 *37502:A 0 +27 *3204:21 *37502:A 0 +28 *3231:20 *3889:15 0 +29 *3361:36 *3889:15 0 +30 *3872:13 *3889:21 0 +31 *3887:21 *37502:A 0 +32 *3887:25 *37502:A 0 +*RES +1 *40926:X *3889:15 49.3714 +2 *3889:15 *3889:20 12.1607 +3 *3889:20 *3889:21 157.429 +4 *3889:21 *3889:26 13.375 +5 *3889:26 *37502:A 23.1571 +6 *3889:26 *5309:DIODE 9.3 +*END + +*D_NET *3890 0.0219337 +*CONN +*I *40926:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8593:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40927:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40926:A 0.000148006 +2 *8593:DIODE 0 +3 *40927:X 0.00348607 +4 *3890:50 0.00748077 +5 *3890:24 0.0108188 +6 *3890:24 *4143:36 0 +7 *3890:24 *4454:83 0 +8 *3890:50 *3921:41 0 +9 *3890:50 *3921:46 0 +10 *3890:50 *4068:37 0 +11 *3890:50 *4137:44 0 +12 *3890:50 *4137:59 0 +13 *3890:50 *4148:22 0 +14 *3890:50 *4162:62 0 +15 *3890:50 *4209:27 0 +16 *3890:50 *4882:25 0 +17 *3890:50 *4915:35 0 +18 *1374:8 *40926:A 0 +19 *1374:8 *3890:50 0 +20 *1376:23 *3890:50 0 +21 *1492:27 *40926:A 0 +22 *1492:27 *3890:50 0 +23 *1944:19 *3890:24 0 +24 *2026:25 *3890:24 0 +25 *2043:40 *3890:50 0 +26 *2046:27 *3890:24 0 +27 *2057:49 *3890:50 0 +28 *2063:22 *3890:24 0 +29 *2063:22 *3890:50 0 +30 *2188:39 *3890:24 0 +31 *2202:23 *3890:24 0 +32 *2210:21 *3890:24 0 +33 *3136:14 *40926:A 0 +34 *3170:15 *3890:24 0 +35 *3213:18 *3890:50 0 +36 *3213:22 *3890:50 0 +37 *3241:25 *40926:A 0 +38 *3242:27 *3890:24 0 +39 *3333:27 *3890:50 0 +40 *3374:25 *3890:50 0 +41 *3384:48 *3890:50 0 +42 *3583:32 *3890:50 0 +43 *3640:43 *3890:24 0 +*RES +1 *40927:X *3890:24 48.9114 +2 *3890:24 *3890:50 45.7847 +3 *3890:50 *8593:DIODE 13.8 +4 *3890:50 *40926:A 17.1036 +*END + +*D_NET *3891 0.0192639 +*CONN +*I *5307:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37500:A I *D sky130_fd_sc_hd__and2_1 +*I *40928:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *5307:DIODE 0 +2 *37500:A 0.000104386 +3 *40928:X 0.0013596 +4 *3891:20 0.00827235 +5 *3891:13 0.00952756 +6 *37500:A *4420:83 0 +7 *3891:20 *37888:A_N 0 +8 *3891:20 *37914:C 0 +9 *3891:20 *4203:16 0 +10 *3891:20 *4371:43 0 +11 *3891:20 *4511:52 0 +12 *40059:A *3891:20 0 +13 *40684:A *3891:20 0 +14 *1149:8 *3891:20 0 +15 *1159:20 *3891:20 0 +16 *1161:11 *3891:20 0 +17 *1350:36 *37500:A 0 +18 *1350:46 *3891:20 0 +19 *1350:55 *3891:20 0 +20 *1485:34 *3891:20 0 +21 *2797:26 *3891:20 0 +22 *3383:48 *3891:20 0 +23 *3559:70 *3891:13 0 +24 *3559:70 *3891:20 0 +25 *3585:44 *37500:A 0 +26 *3585:44 *3891:20 0 +27 *3589:38 *3891:20 0 +28 *3600:53 *3891:20 0 +29 *3606:83 *3891:20 0 +30 *3609:34 *3891:20 0 +31 *3613:31 *3891:20 0 +32 *3621:21 *3891:20 0 +33 *3621:32 *3891:20 0 +34 *3622:37 *3891:13 0 +35 *3622:37 *3891:20 0 +36 *3628:39 *3891:20 0 +37 *3631:63 *37500:A 0 +38 *3644:68 *3891:20 0 +*RES +1 *40928:X *3891:13 23.2272 +2 *3891:13 *3891:20 40.0448 +3 *3891:20 *37500:A 11.4786 +4 *3891:20 *5307:DIODE 9.3 +*END + +*D_NET *3892 0.0224821 +*CONN +*I *8594:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40928:A I *D sky130_fd_sc_hd__buf_2 +*I *40929:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8594:DIODE 0 +2 *40928:A 0.000159146 +3 *40929:X 0.000713602 +4 *3892:57 0.00179553 +5 *3892:56 0.0037695 +6 *3892:39 0.00562235 +7 *3892:38 0.00423609 +8 *3892:33 0.00310958 +9 *3892:23 0.00307633 +10 *40928:A *4399:13 0 +11 *3892:23 *4403:43 0 +12 *3892:33 *4381:11 0 +13 *3892:33 *4381:20 0 +14 *3892:33 *4403:43 0 +15 *3892:33 *4944:10 0 +16 *3892:56 *4390:20 0 +17 *3892:56 *4400:55 0 +18 *3892:57 *4399:13 0 +19 *37585:A *3892:39 0 +20 *39916:A *3892:23 0 +21 *39916:A *3892:33 0 +22 *40025:A *3892:38 0 +23 *300:10 *3892:33 0 +24 *387:20 *3892:38 0 +25 *1165:11 *3892:56 0 +26 *1189:27 *3892:33 0 +27 *1189:37 *3892:33 0 +28 *1363:22 *3892:56 0 +29 *1377:14 *3892:23 0 +30 *2768:20 *3892:33 0 +31 *3350:41 *3892:56 0 +32 *3350:43 *3892:56 0 +33 *3350:56 *3892:56 0 +34 *3451:40 *3892:23 0 +35 *3580:138 *3892:23 0 +36 *3597:10 *3892:38 0 +37 *3598:50 *3892:33 0 +38 *3598:50 *3892:38 0 +39 *3614:18 *3892:23 0 +40 *3622:37 *3892:56 0 +41 *3623:20 *3892:38 0 +42 *3637:7 *3892:39 0 +43 *3637:9 *3892:39 0 +44 *3842:30 *3892:56 0 +45 *3845:46 *3892:23 0 +46 *3845:90 *3892:39 0 +47 *3852:15 *3892:39 0 +48 *3856:76 *3892:23 0 +49 *3858:40 *3892:56 0 +50 *3863:74 *3892:33 0 +51 *3866:32 *3892:33 0 +52 *3866:33 *3892:39 0 +53 *3883:12 *3892:57 0 +54 *3884:40 *3892:23 0 +*RES +1 *40929:X *3892:23 42.7643 +2 *3892:23 *3892:33 49.9512 +3 *3892:33 *3892:38 21.4018 +4 *3892:38 *3892:39 72.8214 +5 *3892:39 *3892:56 34.9719 +6 *3892:56 *3892:57 34.2143 +7 *3892:57 *40928:A 12.6214 +8 *3892:57 *8594:DIODE 9.3 +*END + +*D_NET *3893 0.00396067 +*CONN +*I *37632:B I *D sky130_fd_sc_hd__and2_1 +*I *38568:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *37632:B 0.000852808 +2 *38568:X 0.00112753 +3 *3893:22 0.00198033 +4 *37632:B *4020:17 0 +5 *37632:B *4083:39 0 +6 la_data_in_mprj[82] *3893:22 0 +7 *5449:DIODE *37632:B 0 +8 *8658:DIODE *37632:B 0 +9 *496:10 *3893:22 0 +10 *1967:58 *37632:B 0 +11 *2053:54 *37632:B 0 +12 *2053:54 *3893:22 0 +13 *2115:49 *3893:22 0 +14 *2118:56 *3893:22 0 +15 *2141:34 *3893:22 0 +16 *2232:74 *37632:B 0 +17 *2405:24 *3893:22 0 +18 *2405:40 *3893:22 0 +19 *2409:22 *3893:22 0 +20 *2415:50 *37632:B 0 +21 *2424:69 *3893:22 0 +22 *2435:68 *3893:22 0 +23 *2461:20 *3893:22 0 +24 *3173:51 *37632:B 0 +25 *3173:70 *37632:B 0 +*RES +1 *38568:X *3893:22 47.5321 +2 *3893:22 *37632:B 32.9964 +*END + +*D_NET *3894 0.0195673 +*CONN +*I *5306:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37498:A I *D sky130_fd_sc_hd__and2_1 +*I *40930:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *5306:DIODE 0 +2 *37498:A 0.000157822 +3 *40930:X 0.000619784 +4 *3894:27 0.00189533 +5 *3894:17 0.00483702 +6 *3894:15 0.00312004 +7 *3894:13 0.00416904 +8 *3894:12 0.00476829 +9 *37498:A *4593:20 0 +10 *3894:13 *3925:9 0 +11 *3894:13 *4802:21 0 +12 *3894:13 *4802:25 0 +13 *3894:17 *4802:21 0 +14 *3894:17 *4816:10 0 +15 *3894:27 *39558:A 0 +16 *37343:A *37498:A 0 +17 *37790:B *3894:12 0 +18 *1778:15 *37498:A 0 +19 *2355:8 *3894:27 0 +20 *3127:25 *3894:27 0 +21 *3215:23 *3894:13 0 +22 *3215:23 *3894:17 0 +23 *3215:23 *3894:27 0 +24 *3215:34 *3894:27 0 +25 *3315:22 *3894:12 0 +26 *3458:16 *3894:12 0 +27 *3874:25 *3894:27 0 +28 *3889:21 *3894:13 0 +*RES +1 *40930:X *3894:12 32.4429 +2 *3894:12 *3894:13 86.5804 +3 *3894:13 *3894:15 0.428571 +4 *3894:15 *3894:17 64.8125 +5 *3894:17 *3894:27 45.3214 +6 *3894:27 *37498:A 21.8 +7 *3894:27 *5306:DIODE 9.3 +*END + +*D_NET *3895 0.0195779 +*CONN +*I *8596:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40930:A I *D sky130_fd_sc_hd__buf_2 +*I *40931:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8596:DIODE 0 +2 *40930:A 0.000260545 +3 *40931:X 0.00124805 +4 *3895:93 0.000962692 +5 *3895:81 0.00293041 +6 *3895:79 0.00408347 +7 *3895:76 0.00348949 +8 *3895:49 0.00349472 +9 *3895:18 0.00310849 +10 *40930:A *4159:51 0 +11 *40930:A *4401:23 0 +12 *3895:18 *4380:19 0 +13 *3895:18 *4395:20 0 +14 *3895:18 *4457:109 0 +15 *3895:49 *4132:28 0 +16 *3895:49 *4140:15 0 +17 *3895:49 *4140:40 0 +18 *3895:49 *4162:11 0 +19 *3895:49 *4392:26 0 +20 *3895:76 *4123:32 0 +21 *3895:76 *4156:52 0 +22 *3895:76 *4212:43 0 +23 *3895:76 *4384:56 0 +24 *3895:76 *4392:49 0 +25 *3895:76 *4915:35 0 +26 *3895:79 *4152:77 0 +27 *3895:79 *4159:49 0 +28 *3895:79 *4159:51 0 +29 *3895:81 *4152:77 0 +30 *3895:81 *4152:91 0 +31 *3895:81 *4159:51 0 +32 *3895:81 *4395:83 0 +33 *3895:93 *4173:42 0 +34 *3895:93 *4395:83 0 +35 *3895:93 *4401:20 0 +36 *5976:DIODE *3895:49 0 +37 *38006:C *3895:49 0 +38 *1196:14 *3895:18 0 +39 *1393:64 *3895:49 0 +40 *1402:20 *3895:49 0 +41 *1502:10 *3895:79 0 +42 *1533:57 *3895:49 0 +43 *1799:29 *40930:A 0 +44 *1803:8 *3895:49 0 +45 *1898:36 *3895:49 0 +46 *1901:77 *3895:79 0 +47 *1909:70 *3895:18 0 +48 *2061:56 *3895:49 0 +49 *2166:75 *3895:76 0 +50 *3056:20 *3895:76 0 +51 *3325:37 *3895:49 0 +52 *3325:44 *3895:49 0 +53 *3458:16 *40930:A 0 +54 *3596:56 *3895:18 0 +55 *3642:98 *40930:A 0 +56 *3857:46 *3895:18 0 +57 *3859:29 *3895:79 0 +58 *3859:37 *40930:A 0 +59 *3859:37 *3895:93 0 +60 *3862:35 *40930:A 0 +61 *3862:35 *3895:93 0 +62 *3888:24 *3895:18 0 +*RES +1 *40931:X *3895:18 49.9964 +2 *3895:18 *3895:49 49.9961 +3 *3895:49 *3895:76 41.7474 +4 *3895:76 *3895:79 38.75 +5 *3895:79 *3895:81 46.5357 +6 *3895:81 *3895:93 23.2733 +7 *3895:93 *40930:A 24.2821 +8 *3895:93 *8596:DIODE 9.3 +*END + +*D_NET *3896 0.0190879 +*CONN +*I *5304:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37496:A I *D sky130_fd_sc_hd__and2_1 +*I *40932:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *5304:DIODE 0 +2 *37496:A 0.000144601 +3 *40932:X 0.000551318 +4 *3896:35 0.00232901 +5 *3896:33 0.00289073 +6 *3896:27 0.00361053 +7 *3896:25 0.00440369 +8 *3896:21 0.00305309 +9 *3896:14 0.00210492 +10 *3896:14 *4169:67 0 +11 *3896:27 *4811:26 0 +12 *3896:27 *4821:10 0 +13 *5312:DIODE *3896:27 0 +14 *37496:B *37496:A 0 +15 *1297:14 *3896:21 0 +16 *1373:5 *3896:21 0 +17 *1373:7 *3896:21 0 +18 *1648:11 *3896:25 0 +19 *1648:11 *3896:27 0 +20 *1776:8 *3896:27 0 +21 *2364:29 *3896:25 0 +22 *2796:13 *3896:25 0 +23 *2796:15 *3896:21 0 +24 *2796:15 *3896:25 0 +25 *2907:11 *3896:21 0 +26 *3060:15 *37496:A 0 +27 *3060:15 *3896:27 0 +28 *3060:15 *3896:33 0 +29 *3060:15 *3896:35 0 +30 *3063:22 *3896:14 0 +31 *3149:41 *3896:33 0 +32 *3344:28 *3896:14 0 +33 *3367:40 *3896:14 0 +34 *3875:69 *3896:14 0 +*RES +1 *40932:X *3896:14 30.7643 +2 *3896:14 *3896:21 32.7321 +3 *3896:21 *3896:25 31.3571 +4 *3896:25 *3896:27 60.7054 +5 *3896:27 *3896:33 14.7411 +6 *3896:33 *3896:35 45.7143 +7 *3896:35 *37496:A 12.3179 +8 *3896:35 *5304:DIODE 9.3 +*END + +*D_NET *3897 0.0222945 +*CONN +*I *8598:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40932:A I *D sky130_fd_sc_hd__buf_6 +*I *40933:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8598:DIODE 0 +2 *40932:A 0.000263281 +3 *40933:X 0.00141931 +4 *3897:93 0.00115185 +5 *3897:92 0.00222567 +6 *3897:73 0.0029605 +7 *3897:60 0.00348562 +8 *3897:49 0.00456429 +9 *3897:48 0.00375337 +10 *3897:21 0.00247061 +11 *40932:A *4174:37 0 +12 *40932:A *4184:28 0 +13 *40932:A *4824:14 0 +14 *3897:21 *8599:DIODE 0 +15 *3897:21 *38228:A 0 +16 *3897:21 *4136:41 0 +17 *3897:21 *4136:48 0 +18 *3897:21 *4157:31 0 +19 *3897:21 *4183:21 0 +20 *3897:21 *4214:63 0 +21 *3897:48 *4140:63 0 +22 *3897:48 *4185:21 0 +23 *3897:49 *4140:107 0 +24 *3897:49 *4147:10 0 +25 *3897:49 *4168:55 0 +26 *3897:49 *4183:32 0 +27 *3897:60 *5864:DIODE 0 +28 *3897:60 *4196:32 0 +29 *3897:60 *4401:20 0 +30 *3897:60 *4442:20 0 +31 *3897:60 *4443:11 0 +32 *3897:60 *4704:8 0 +33 *3897:60 *4715:18 0 +34 *3897:73 *4146:23 0 +35 *3897:92 *8801:DIODE 0 +36 *3897:92 *8814:DIODE 0 +37 *3897:92 *37946:C 0 +38 *3897:92 *38220:B 0 +39 *3897:92 *41168:A 0 +40 *3897:92 *4180:67 0 +41 *3897:93 *4146:23 0 +42 *3897:93 *4174:37 0 +43 *37574:A *3897:49 0 +44 *37574:B *3897:49 0 +45 *40913:A *3897:21 0 +46 *41378:A *3897:48 0 +47 *1292:14 *3897:73 0 +48 *1372:24 *3897:92 0 +49 *1498:36 *3897:21 0 +50 *1500:20 *3897:49 0 +51 *1509:20 *3897:92 0 +52 *1513:15 *3897:21 0 +53 *1521:23 *3897:48 0 +54 *1672:21 *3897:21 0 +55 *1672:21 *3897:48 0 +56 *1672:43 *3897:21 0 +57 *1785:14 *3897:60 0 +58 *1894:89 *3897:21 0 +59 *2741:14 *3897:21 0 +60 *2796:24 *3897:60 0 +61 *3226:21 *3897:92 0 +62 *3267:19 *3897:48 0 +63 *3338:55 *3897:48 0 +64 *3344:28 *40932:A 0 +65 *3347:65 *3897:49 0 +66 *3369:21 *3897:92 0 +67 *3383:20 *3897:60 0 +68 *3457:36 *3897:60 0 +69 *3595:49 *3897:92 0 +70 *3606:32 *3897:73 0 +71 *3642:98 *3897:60 0 +72 *3868:44 *3897:48 0 +73 *3875:41 *3897:49 0 +74 *3875:65 *3897:92 0 +*RES +1 *40933:X *3897:21 48.425 +2 *3897:21 *3897:48 38.4761 +3 *3897:48 *3897:49 56.3929 +4 *3897:49 *3897:60 42.6782 +5 *3897:60 *3897:73 47.8929 +6 *3897:73 *3897:92 44.1989 +7 *3897:92 *3897:93 18.6071 +8 *3897:93 *40932:A 24.05 +9 *3897:93 *8598:DIODE 9.3 +*END + +*D_NET *3898 0.023197 +*CONN +*I *40933:A I *D sky130_fd_sc_hd__buf_4 +*I *8599:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40934:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40933:A 0 +2 *8599:DIODE 0.000222463 +3 *40934:X 0.00618394 +4 *3898:44 0.000328251 +5 *3898:39 0.00519208 +6 *3898:15 0.0112702 +7 *8599:DIODE *37994:C 0 +8 *3898:15 *4186:12 0 +9 *3898:39 *4173:7 0 +10 *3898:39 *4173:12 0 +11 *3898:39 *4206:27 0 +12 *3898:39 *4470:77 0 +13 *37792:A *3898:39 0 +14 *37792:B *3898:39 0 +15 *38214:B *3898:39 0 +16 *39916:A *3898:44 0 +17 *41181:A *3898:39 0 +18 *1398:37 *3898:39 0 +19 *1398:50 *3898:39 0 +20 *1672:43 *8599:DIODE 0 +21 *1894:89 *8599:DIODE 0 +22 *1895:18 *3898:39 0 +23 *1898:36 *3898:39 0 +24 *1899:38 *3898:39 0 +25 *2004:19 *3898:15 0 +26 *2017:14 *3898:15 0 +27 *2046:25 *3898:15 0 +28 *2057:49 *3898:15 0 +29 *2063:22 *3898:15 0 +30 *2166:16 *3898:15 0 +31 *2181:33 *3898:39 0 +32 *2741:69 *3898:15 0 +33 *3152:30 *3898:15 0 +34 *3227:14 *3898:15 0 +35 *3339:60 *3898:39 0 +36 *3671:14 *3898:15 0 +37 *3861:70 *3898:39 0 +38 *3897:21 *8599:DIODE 0 +*RES +1 *40934:X *3898:15 48.3819 +2 *3898:15 *3898:39 49.3479 +3 *3898:39 *3898:44 10.3118 +4 *3898:44 *8599:DIODE 13.9429 +5 *3898:44 *40933:A 9.3 +*END + +*D_NET *3899 0.0219932 +*CONN +*I *8600:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40934:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40935:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8600:DIODE 0 +2 *40934:A 0.000187277 +3 *40935:X 0.000988952 +4 *3899:32 0.000925158 +5 *3899:29 0.00739401 +6 *3899:27 0.00675324 +7 *3899:23 0.00242634 +8 *3899:16 0.00331818 +9 *3899:23 *4690:15 0 +10 *3899:27 *5135:13 0 +11 *3899:29 *39804:A 0 +12 *8925:DIODE *3899:32 0 +13 *37825:A *3899:29 0 +14 *37985:A *3899:23 0 +15 *39485:A *3899:29 0 +16 *39863:A *3899:29 0 +17 *39899:A *3899:29 0 +18 *39906:A *3899:29 0 +19 *347:50 *3899:32 0 +20 *1018:54 *3899:16 0 +21 *1689:11 *3899:29 0 +22 *1940:47 *3899:29 0 +23 *2178:19 *40934:A 0 +24 *2277:30 *3899:23 0 +25 *2286:43 *3899:23 0 +26 *2329:52 *3899:16 0 +27 *2338:18 *3899:16 0 +28 *2564:32 *3899:29 0 +29 *2628:10 *3899:29 0 +30 *2693:14 *3899:29 0 +31 *2734:7 *3899:29 0 +32 *2735:39 *3899:29 0 +33 *2739:29 *3899:29 0 +34 *2846:15 *3899:23 0 +35 *2846:15 *3899:27 0 +36 *2846:15 *3899:29 0 +37 *2846:17 *3899:23 0 +38 *2853:11 *3899:29 0 +39 *2856:20 *3899:27 0 +40 *2856:20 *3899:29 0 +41 *2875:14 *3899:32 0 +42 *2875:39 *3899:23 0 +43 *2875:41 *3899:23 0 +44 *3358:48 *3899:16 0 +45 *3552:22 *3899:32 0 +46 *3575:68 *3899:32 0 +47 *3608:55 *40934:A 0 +*RES +1 *40935:X *3899:16 44.8315 +2 *3899:16 *3899:23 48.75 +3 *3899:23 *3899:27 2.19643 +4 *3899:27 *3899:29 138.946 +5 *3899:29 *3899:32 21.3214 +6 *3899:32 *40934:A 17.9071 +7 *3899:32 *8600:DIODE 13.8 +*END + +*D_NET *3900 0.0187176 +*CONN +*I *5303:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37494:A I *D sky130_fd_sc_hd__and2_1 +*I *40936:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *5303:DIODE 0 +2 *37494:A 0.000124066 +3 *40936:X 0.000165879 +4 *3900:33 0.000518509 +5 *3900:29 0.0029194 +6 *3900:27 0.00254549 +7 *3900:25 0.00295426 +8 *3900:24 0.00360399 +9 *3900:9 0.00319521 +10 *3900:8 0.00269083 +11 *37494:A *3928:9 0 +12 *3900:8 *40936:A 0 +13 *3900:8 *3901:40 0 +14 *3900:9 *40200:A 0 +15 *3900:9 *4437:31 0 +16 *3900:9 *4817:26 0 +17 *3900:24 *5291:DIODE 0 +18 *3900:24 *4431:17 0 +19 *3900:24 *4827:11 0 +20 *3900:25 *3928:9 0 +21 *3900:29 *3922:57 0 +22 *3900:29 *3928:9 0 +23 *3900:33 *3928:9 0 +24 *5215:DIODE *3900:24 0 +25 *37494:B *37494:A 0 +26 *37494:B *3900:33 0 +27 *39434:A *3900:9 0 +28 *39434:B *3900:9 0 +29 *39498:A *3900:25 0 +30 *39498:B *3900:25 0 +31 *296:55 *3900:25 0 +32 *1628:9 *3900:29 0 +33 *1628:9 *3900:33 0 +34 *2362:24 *3900:24 0 +35 *2949:17 *3900:25 0 +36 *3249:34 *3900:24 0 +37 *3249:34 *3900:25 0 +38 *3337:20 *3900:9 0 +39 *3465:5 *3900:9 0 +40 *3488:27 *3900:9 0 +41 *3749:23 *3900:29 0 +42 *3749:31 *3900:25 0 +43 *3749:31 *3900:29 0 +44 *3749:37 *3900:24 0 +45 *3749:37 *3900:25 0 +46 *3877:50 *3900:29 0 +*RES +1 *40936:X *3900:8 22.0679 +2 *3900:8 *3900:9 52.6964 +3 *3900:9 *3900:24 32.25 +4 *3900:24 *3900:25 61.3214 +5 *3900:25 *3900:27 0.428571 +6 *3900:27 *3900:29 52.6964 +7 *3900:29 *3900:33 8.35714 +8 *3900:33 *37494:A 11.8893 +9 *3900:33 *5303:DIODE 9.3 +*END + +*D_NET *3901 0.0200839 +*CONN +*I *40936:A I *D sky130_fd_sc_hd__buf_2 +*I *8601:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40937:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40936:A 0.000123072 +2 *8601:DIODE 6.97335e-05 +3 *40937:X 0.00135982 +4 *3901:40 0.00146523 +5 *3901:36 0.00250341 +6 *3901:21 0.00395123 +7 *3901:19 0.00274078 +8 *3901:17 0.00319341 +9 *3901:16 0.00324516 +10 *3901:11 0.0014321 +11 *40936:A *4827:30 0 +12 *3901:11 *3921:41 0 +13 *3901:11 *4970:36 0 +14 *3901:16 *4915:35 0 +15 *3901:17 *4837:13 0 +16 *3901:21 *4817:37 0 +17 *3901:21 *4837:13 0 +18 *3901:21 *5198:26 0 +19 *3901:36 *4817:29 0 +20 *3901:36 *4817:37 0 +21 *3901:40 *40470:A 0 +22 *3901:40 *4817:26 0 +23 *5219:DIODE *3901:11 0 +24 *37351:A *3901:40 0 +25 *37358:A *3901:21 0 +26 *37490:B *3901:21 0 +27 *376:59 *40936:A 0 +28 *376:71 *8601:DIODE 0 +29 *1144:10 *3901:21 0 +30 *1796:43 *3901:11 0 +31 *1798:57 *3901:21 0 +32 *3059:10 *3901:11 0 +33 *3146:12 *3901:16 0 +34 *3231:5 *3901:11 0 +35 *3315:22 *3901:36 0 +36 *3326:13 *3901:21 0 +37 *3333:9 *3901:17 0 +38 *3336:41 *3901:11 0 +39 *3458:16 *3901:36 0 +40 *3465:5 *3901:40 0 +41 *3488:27 *3901:40 0 +42 *3851:17 *3901:36 0 +43 *3878:31 *3901:21 0 +44 *3878:31 *3901:36 0 +45 *3900:8 *40936:A 0 +46 *3900:8 *3901:40 0 +*RES +1 *40937:X *3901:11 47.7464 +2 *3901:11 *3901:16 10.6429 +3 *3901:16 *3901:17 66.25 +4 *3901:17 *3901:19 0.428571 +5 *3901:19 *3901:21 56.8036 +6 *3901:21 *3901:36 40.904 +7 *3901:36 *3901:40 31.2143 +8 *3901:40 *8601:DIODE 15.4429 +9 *3901:40 *40936:A 16.6571 +*END + +*D_NET *3902 0.019934 +*CONN +*I *8602:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40937:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40938:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8602:DIODE 0 +2 *40937:A 0.000144601 +3 *40938:X 0.00102927 +4 *3902:64 0.000577879 +5 *3902:61 0.00194762 +6 *3902:49 0.00457064 +7 *3902:48 0.00376589 +8 *3902:39 0.00189027 +9 *3902:25 0.00307964 +10 *3902:10 0.00292823 +11 *3902:25 *38236:A 0 +12 *3902:25 *3934:14 0 +13 *3902:25 *4462:55 0 +14 *3902:48 *4479:16 0 +15 *3902:49 *3912:15 0 +16 *3902:49 *4139:11 0 +17 *3902:61 *4139:15 0 +18 *3902:64 *3915:14 0 +19 *37370:A *3902:39 0 +20 *1805:38 *3902:64 0 +21 *1807:17 *3902:48 0 +22 *1811:30 *3902:48 0 +23 *1958:19 *3902:39 0 +24 *1980:10 *3902:48 0 +25 *1980:15 *3902:48 0 +26 *1991:28 *3902:49 0 +27 *1998:29 *3902:48 0 +28 *2029:38 *3902:48 0 +29 *2029:38 *3902:49 0 +30 *2037:22 *3902:48 0 +31 *2048:9 *3902:10 0 +32 *2049:13 *3902:39 0 +33 *2071:11 *3902:39 0 +34 *2078:15 *3902:48 0 +35 *2107:33 *3902:49 0 +36 *2107:45 *3902:61 0 +37 *2140:39 *3902:39 0 +38 *2144:61 *3902:61 0 +39 *2149:19 *3902:48 0 +40 *3132:15 *3902:25 0 +41 *3132:15 *3902:39 0 +42 *3147:73 *3902:10 0 +43 *3156:18 *3902:10 0 +44 *3156:28 *3902:10 0 +45 *3156:47 *3902:25 0 +46 *3156:47 *3902:48 0 +47 *3156:54 *3902:48 0 +48 *3214:13 *3902:39 0 +49 *3231:5 *40937:A 0 +50 *3349:36 *3902:64 0 +51 *3373:66 *3902:10 0 +52 *3452:10 *3902:64 0 +*RES +1 *40938:X *3902:10 39.8893 +2 *3902:10 *3902:25 49.3571 +3 *3902:25 *3902:39 47.4821 +4 *3902:39 *3902:48 20.735 +5 *3902:48 *3902:49 63.7857 +6 *3902:49 *3902:61 45.4107 +7 *3902:61 *3902:64 14.3393 +8 *3902:64 *40937:A 12.3179 +9 *3902:64 *8602:DIODE 9.3 +*END + +*D_NET *3903 0.0189701 +*CONN +*I *5301:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37492:A I *D sky130_fd_sc_hd__and2_1 +*I *40939:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *5301:DIODE 0 +2 *37492:A 0.000124066 +3 *40939:X 0.000272847 +4 *3903:39 0.00154398 +5 *3903:36 0.00375569 +6 *3903:26 0.00320246 +7 *3903:15 0.00349003 +8 *3903:14 0.00446578 +9 *3903:8 0.00211528 +10 *37492:A *3917:15 0 +11 *3903:8 *4148:22 0 +12 *3903:15 *3913:29 0 +13 *3903:15 *3923:31 0 +14 *3903:26 *4827:30 0 +15 *3903:36 *8247:DIODE 0 +16 *3903:36 *41433:A 0 +17 *3903:36 *3917:13 0 +18 *3903:36 *3924:15 0 +19 *3903:36 *4821:29 0 +20 *3903:36 *4827:30 0 +21 *3903:36 *5201:10 0 +22 *3903:39 *8620:DIODE 0 +23 *3903:39 *41434:A 0 +24 *3903:39 *3917:15 0 +25 *37550:A *3903:14 0 +26 *282:35 *3903:8 0 +27 *295:24 *3903:36 0 +28 *296:30 *3903:14 0 +29 *296:43 *3903:36 0 +30 *296:55 *3903:36 0 +31 *365:11 *3903:8 0 +32 *376:46 *3903:14 0 +33 *376:78 *3903:36 0 +34 *1140:15 *3903:39 0 +35 *1174:10 *3903:14 0 +36 *1691:9 *37492:A 0 +37 *1691:9 *3903:39 0 +38 *2351:20 *3903:14 0 +39 *2352:23 *3903:14 0 +40 *3052:14 *3903:26 0 +41 *3068:19 *3903:36 0 +42 *3364:13 *3903:26 0 +43 *3393:15 *3903:14 0 +44 *3454:48 *3903:8 0 +45 *3465:25 *3903:36 0 +46 *3538:18 *3903:8 0 +47 *3877:20 *3903:36 0 +*RES +1 *40939:X *3903:8 24.4964 +2 *3903:8 *3903:14 47.5357 +3 *3903:14 *3903:15 54.75 +4 *3903:15 *3903:26 20.4455 +5 *3903:26 *3903:36 45.8932 +6 *3903:36 *3903:39 34.1964 +7 *3903:39 *37492:A 11.8893 +8 *3903:39 *5301:DIODE 9.3 +*END + +*D_NET *3904 0.0187506 +*CONN +*I *38118:C I *D sky130_fd_sc_hd__and3b_1 +*I *6166:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38569:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *38118:C 0.00036948 +2 *6166:DIODE 0 +3 *38569:X 0.000915946 +4 *3904:86 0.00124295 +5 *3904:80 0.00354499 +6 *3904:49 0.00721642 +7 *3904:26 0.00546085 +8 *38118:C *37748:B 0 +9 *38118:C *38118:B 0 +10 *38118:C *3975:87 0 +11 *3904:49 *8735:DIODE 0 +12 *3904:49 *3972:34 0 +13 *3904:49 *4000:35 0 +14 *3904:49 *4018:23 0 +15 *3904:49 *4030:18 0 +16 *3904:49 *4215:82 0 +17 *3904:49 *4251:92 0 +18 *3904:49 *5182:81 0 +19 *3904:80 *3970:19 0 +20 *3904:80 *4003:26 0 +21 *3904:80 *4216:24 0 +22 *3904:80 *4228:22 0 +23 *3904:80 *4256:77 0 +24 *3904:80 *4322:68 0 +25 *3904:86 *3999:26 0 +26 *3904:86 *4322:93 0 +27 *6138:DIODE *3904:80 0 +28 *7879:DIODE *3904:80 0 +29 *39580:A *3904:26 0 +30 *408:23 *3904:26 0 +31 *408:52 *3904:26 0 +32 *1009:155 *3904:80 0 +33 *1027:80 *3904:49 0 +34 *2371:20 *3904:26 0 +35 *2414:109 *3904:80 0 +36 *2871:69 *3904:80 0 +37 *2893:17 *3904:26 0 +38 *3025:68 *3904:49 0 +39 *3070:50 *3904:49 0 +40 *3077:108 *3904:26 0 +41 *3087:74 *3904:26 0 +42 *3163:40 *3904:49 0 +43 *3297:75 *3904:80 0 +44 *3402:120 *38118:C 0 +45 *3411:91 *3904:49 0 +46 *3434:45 *3904:49 0 +47 *3434:56 *3904:80 0 +48 *3478:61 *38118:C 0 +49 *3682:46 *3904:49 0 +50 *3682:46 *3904:80 0 +*RES +1 *38569:X *3904:26 43.5411 +2 *3904:26 *3904:49 49.338 +3 *3904:49 *3904:80 47.6973 +4 *3904:80 *3904:86 12.0073 +5 *3904:86 *6166:DIODE 13.8 +6 *3904:86 *38118:C 23.2107 +*END + +*D_NET *3905 0.00718905 +*CONN +*I *37634:B I *D sky130_fd_sc_hd__and2_2 +*I *38570:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *37634:B 8.56726e-05 +2 *38570:X 0.000609991 +3 *3905:23 0.00298453 +4 *3905:22 0.00350885 +5 *3905:22 *4488:45 0 +6 *3905:22 *4490:55 0 +7 *3905:22 *4501:20 0 +8 *6846:DIODE *3905:22 0 +9 *37379:A *37634:B 0 +10 *488:5 *37634:B 0 +11 *879:8 *3905:22 0 +12 *2115:49 *3905:22 0 +13 *2141:26 *3905:23 0 +14 *2141:34 *3905:23 0 +15 *2405:24 *3905:22 0 +16 *3782:9 *3905:23 0 +17 *3860:37 *3905:22 0 +*RES +1 *38570:X *3905:22 40.3536 +2 *3905:22 *3905:23 60.5 +3 *3905:23 *37634:B 20.2464 +*END + +*D_NET *3906 0.0184345 +*CONN +*I *8603:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40939:A I *D sky130_fd_sc_hd__buf_2 +*I *40940:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8603:DIODE 0 +2 *40939:A 0.000171114 +3 *40940:X 0.00156198 +4 *3906:11 0.00765527 +5 *3906:10 0.00748416 +6 *3906:8 0.00156198 +7 *40939:A *3915:15 0 +8 *3906:8 *4148:5 0 +9 *3906:11 *40192:A 0 +10 *3906:11 *3915:15 0 +11 *3906:11 *4131:14 0 +12 *3906:11 *4131:25 0 +13 *3906:11 *4466:28 0 +14 *3906:11 *5070:43 0 +15 *40533:A *3906:11 0 +16 *282:35 *40939:A 0 +17 *1974:44 *40939:A 0 +18 *2132:10 *3906:8 0 +19 *2150:40 *3906:11 0 +20 *2150:42 *3906:11 0 +21 *2186:29 *3906:8 0 +22 *2850:21 *3906:8 0 +23 *3132:23 *3906:8 0 +24 *3214:21 *3906:11 0 +25 *3214:30 *3906:11 0 +26 *3452:10 *3906:11 0 +27 *3454:48 *40939:A 0 +28 *3594:35 *3906:11 0 +29 *3660:33 *3906:11 0 +*RES +1 *40940:X *3906:8 46.6571 +2 *3906:8 *3906:10 4.5 +3 *3906:10 *3906:11 156.196 +4 *3906:11 *40939:A 22.1036 +5 *3906:11 *8603:DIODE 9.3 +*END + +*D_NET *3907 0.0187685 +*CONN +*I *40940:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8604:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40941:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40940:A 0 +2 *8604:DIODE 0.000131021 +3 *40941:X 0.0072704 +4 *3907:36 0.00211386 +5 *3907:21 0.00925324 +6 *3907:21 *4090:21 0 +7 *3907:21 *4090:49 0 +8 *3907:21 *4243:21 0 +9 *3907:36 *4090:21 0 +10 *3907:36 *4170:19 0 +11 *1961:19 *3907:36 0 +12 *1992:9 *3907:36 0 +13 *2006:25 *3907:21 0 +14 *2006:25 *3907:36 0 +15 *2061:21 *3907:36 0 +16 *2150:27 *8604:DIODE 0 +17 *3143:25 *3907:21 0 +18 *3147:37 *3907:21 0 +19 *3214:21 *8604:DIODE 0 +20 *3268:16 *3907:36 0 +21 *3269:19 *3907:21 0 +22 *3269:39 *3907:21 0 +23 *3269:39 *3907:36 0 +*RES +1 *40941:X *3907:21 49.7123 +2 *3907:21 *3907:36 25.316 +3 *3907:36 *8604:DIODE 16.6929 +4 *3907:36 *40940:A 13.8 +*END + +*D_NET *3908 0.0182931 +*CONN +*I *5299:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37490:A I *D sky130_fd_sc_hd__and2_1 +*I *40942:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5299:DIODE 0 +2 *37490:A 0.000130962 +3 *40942:X 0.00144981 +4 *3908:41 0.00326298 +5 *3908:40 0.0054164 +6 *3908:28 0.00419231 +7 *3908:18 0.00214938 +8 *3908:9 0.00169127 +9 *37490:A *40199:A 0 +10 *3908:9 *40437:A 0 +11 *3908:28 *5198:39 0 +12 *3908:40 *4835:25 0 +13 *3908:41 *40199:A 0 +14 *3908:41 *4461:56 0 +15 *3908:41 *4831:9 0 +16 *5223:DIODE *3908:40 0 +17 *40534:A *3908:28 0 +18 *40537:A *3908:40 0 +19 *40540:A *3908:41 0 +20 *1985:9 *3908:18 0 +21 *1991:28 *3908:9 0 +22 *1992:9 *3908:9 0 +23 *2013:9 *3908:18 0 +24 *2018:8 *3908:9 0 +25 *2018:14 *3908:9 0 +26 *2018:14 *3908:18 0 +27 *2033:47 *3908:9 0 +28 *2048:15 *3908:40 0 +29 *2138:23 *3908:9 0 +30 *2140:27 *3908:9 0 +31 *3058:5 *3908:40 0 +32 *3058:5 *3908:41 0 +33 *3061:19 *3908:40 0 +34 *3332:31 *3908:41 0 +35 *3333:7 *3908:18 0 +36 *3338:21 *37490:A 0 +37 *3338:21 *3908:41 0 +38 *3338:25 *37490:A 0 +39 *3346:14 *37490:A 0 +40 *3379:17 *3908:40 0 +41 *3451:9 *3908:28 0 +42 *3451:9 *3908:40 0 +43 *3456:19 *3908:40 0 +44 *3457:7 *3908:41 0 +45 *3457:9 *3908:41 0 +46 *3516:13 *3908:28 0 +*RES +1 *40942:X *3908:9 49.5857 +2 *3908:9 *3908:18 14.1786 +3 *3908:18 *3908:28 49.125 +4 *3908:28 *3908:40 49.7854 +5 *3908:40 *3908:41 65.4286 +6 *3908:41 *37490:A 21.1929 +7 *3908:41 *5299:DIODE 9.3 +*END + +*D_NET *3909 0.0186459 +*CONN +*I *5297:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37488:A I *D sky130_fd_sc_hd__and2_1 +*I *40943:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5297:DIODE 0 +2 *37488:A 0.000143299 +3 *40943:X 0.000486664 +4 *3909:43 0.000710639 +5 *3909:37 0.00287582 +6 *3909:36 0.00254561 +7 *3909:21 0.00323139 +8 *3909:20 0.00355418 +9 *3909:12 0.00258578 +10 *3909:8 0.00251252 +11 *3909:20 *4970:36 0 +12 *3909:20 *5198:33 0 +13 *3909:21 *39089:A 0 +14 *3909:21 *4452:33 0 +15 *3909:21 *4461:42 0 +16 *3909:21 *4793:19 0 +17 *3909:21 *4828:21 0 +18 *3909:21 *4828:27 0 +19 *3909:36 *4793:17 0 +20 *3909:36 *4793:19 0 +21 *3909:36 *4828:21 0 +22 *3909:36 *4837:13 0 +23 *3909:37 *4793:17 0 +24 *3909:37 *4822:9 0 +25 *3909:37 *4828:17 0 +26 *3909:37 *4828:21 0 +27 *3909:37 *5197:23 0 +28 *3909:43 *4904:52 0 +29 *8614:DIODE *3909:8 0 +30 *387:45 *3909:43 0 +31 *387:51 *3909:37 0 +32 *2007:13 *3909:8 0 +33 *2151:35 *3909:8 0 +34 *3332:17 *3909:21 0 +35 *3333:9 *3909:12 0 +36 *3333:9 *3909:20 0 +37 *3337:9 *37488:A 0 +38 *3337:9 *3909:43 0 +39 *3347:11 *3909:21 0 +40 *3347:28 *3909:37 0 +41 *3371:12 *37488:A 0 +42 *3415:18 *37488:A 0 +43 *3461:45 *3909:36 0 +44 *3461:50 *3909:37 0 +45 *3660:33 *3909:8 0 +46 *3846:10 *3909:8 0 +*RES +1 *40943:X *3909:8 29.3536 +2 *3909:8 *3909:12 46.8393 +3 *3909:12 *3909:20 25.5893 +4 *3909:20 *3909:21 62.5536 +5 *3909:21 *3909:36 14.5536 +6 *3909:36 *3909:37 48.1786 +7 *3909:37 *3909:43 21.0357 +8 *3909:43 *37488:A 21.425 +9 *3909:43 *5297:DIODE 9.3 +*END + +*D_NET *3910 0.019097 +*CONN +*I *37486:A I *D sky130_fd_sc_hd__and2_1 +*I *5295:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40944:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37486:A 0.000373202 +2 *5295:DIODE 8.57316e-05 +3 *40944:X 0.000570315 +4 *3910:22 0.000932306 +5 *3910:19 0.00385438 +6 *3910:17 0.00445824 +7 *3910:11 0.00466487 +8 *3910:10 0.00415795 +9 *5295:DIODE *3922:33 0 +10 *37486:A *4431:17 0 +11 *37486:A *4626:14 0 +12 *3910:10 *4151:23 0 +13 *3910:11 *3915:15 0 +14 *3910:11 *3929:20 0 +15 *3910:11 *4148:15 0 +16 *3910:17 *40948:A 0 +17 *3910:17 *3915:15 0 +18 *3910:22 *8617:DIODE 0 +19 *3910:22 *3922:33 0 +20 *3910:22 *3925:12 0 +21 *39509:B *5295:DIODE 0 +22 *295:23 *3910:10 0 +23 *343:30 *37486:A 0 +24 *365:14 *3910:17 0 +25 *365:14 *3910:19 0 +26 *1166:9 *3910:11 0 +27 *1166:9 *3910:17 0 +28 *1166:9 *3910:19 0 +29 *1802:19 *3910:11 0 +30 *1802:21 *3910:11 0 +31 *1974:24 *3910:10 0 +32 *2351:9 *3910:19 0 +33 *2355:21 *3910:19 0 +34 *3056:20 *3910:10 0 +35 *3065:17 *3910:11 0 +36 *3215:22 *5295:DIODE 0 +37 *3215:22 *3910:22 0 +38 *3431:25 *37486:A 0 +39 *3456:35 *3910:17 0 +40 *3466:5 *37486:A 0 +*RES +1 *40944:X *3910:10 30.3357 +2 *3910:10 *3910:11 74.875 +3 *3910:11 *3910:17 22.5446 +4 *3910:17 *3910:19 70.5625 +5 *3910:19 *3910:22 15.25 +6 *3910:22 *5295:DIODE 15.7464 +7 *3910:22 *37486:A 30.7464 +*END + +*D_NET *3911 0.0187761 +*CONN +*I *5293:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37484:A I *D sky130_fd_sc_hd__and2_1 +*I *40945:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *5293:DIODE 0 +2 *37484:A 0.000201286 +3 *40945:X 0.000133692 +4 *3911:18 0.000610635 +5 *3911:13 0.0090531 +6 *3911:11 0.00877744 +7 *3911:13 *4154:39 0 +8 *3911:18 *5202:9 0 +9 *282:36 *3911:13 0 +10 *365:17 *3911:18 0 +11 *1802:19 *3911:13 0 +12 *2356:11 *3911:13 0 +13 *3068:24 *3911:18 0 +14 *3304:34 *3911:18 0 +15 *3304:45 *3911:18 0 +16 *3350:28 *3911:13 0 +17 *3378:20 *3911:18 0 +18 *3431:25 *37484:A 0 +19 *3452:11 *3911:11 0 +20 *3457:21 *3911:13 0 +21 *3467:7 *37484:A 0 +22 *3467:9 *37484:A 0 +23 *3879:33 *3911:13 0 +*RES +1 *40945:X *3911:11 12.2464 +2 *3911:11 *3911:13 180.429 +3 *3911:13 *3911:18 18.2321 +4 *3911:18 *37484:A 14.2286 +5 *3911:18 *5293:DIODE 9.3 +*END + +*D_NET *3912 0.0179462 +*CONN +*I *8607:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40945:A I *D sky130_fd_sc_hd__buf_2 +*I *40946:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8607:DIODE 0 +2 *40945:A 0.000124066 +3 *40946:X 0.000209185 +4 *3912:25 0.0027641 +5 *3912:23 0.00292681 +6 *3912:15 0.00599979 +7 *3912:14 0.0059222 +8 *40945:A *4139:27 0 +9 *40945:A *4154:25 0 +10 *3912:15 *4139:11 0 +11 *3912:15 *4139:15 0 +12 *3912:15 *4154:13 0 +13 *3912:23 *4154:13 0 +14 *3912:25 *4139:27 0 +15 *3912:25 *4154:25 0 +16 *1991:28 *3912:14 0 +17 *2107:33 *3912:15 0 +18 *2108:46 *3912:14 0 +19 *2151:19 *3912:14 0 +20 *3156:55 *3912:15 0 +21 *3452:11 *40945:A 0 +22 *3452:11 *3912:23 0 +23 *3452:11 *3912:25 0 +24 *3902:49 *3912:15 0 +*RES +1 *40946:X *3912:14 23.3714 +2 *3912:14 *3912:15 119.232 +3 *3912:15 *3912:23 15.1071 +4 *3912:23 *3912:25 55.1607 +5 *3912:25 *40945:A 11.8893 +6 *3912:25 *8607:DIODE 9.3 +*END + +*D_NET *3913 0.0195932 +*CONN +*I *37482:A I *D sky130_fd_sc_hd__and2_1 +*I *5291:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40947:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37482:A 0.000154613 +2 *5291:DIODE 0.00010567 +3 *40947:X 0.00135709 +4 *3913:53 0.00109557 +5 *3913:48 0.00232738 +6 *3913:29 0.00517813 +7 *3913:28 0.00372497 +8 *3913:23 0.00216579 +9 *3913:15 0.00348395 +10 *5291:DIODE *4615:17 0 +11 *37482:A *3917:13 0 +12 *37482:A *4431:17 0 +13 *37482:A *4615:17 0 +14 *3913:15 *3923:17 0 +15 *3913:15 *3923:25 0 +16 *3913:15 *4447:17 0 +17 *3913:15 *5198:27 0 +18 *3913:23 *3923:25 0 +19 *3913:28 *4748:23 0 +20 *3913:28 *4904:52 0 +21 *3913:29 *41431:A 0 +22 *3913:29 *3923:31 0 +23 *3913:29 *5198:18 0 +24 *3913:48 *9008:DIODE 0 +25 *3913:48 *3922:11 0 +26 *3913:48 *3923:46 0 +27 *3913:53 *4660:16 0 +28 *3913:53 *4817:14 0 +29 *37362:A *3913:15 0 +30 *37542:A *3913:53 0 +31 *39509:A *37482:A 0 +32 *40541:A *3913:15 0 +33 *40541:A *3913:23 0 +34 *296:30 *3913:29 0 +35 *296:43 *3913:29 0 +36 *296:55 *3913:53 0 +37 *376:33 *3913:29 0 +38 *1395:23 *3913:15 0 +39 *1787:22 *3913:15 0 +40 *1841:17 *5291:DIODE 0 +41 *3052:14 *3913:48 0 +42 *3058:22 *3913:15 0 +43 *3137:32 *3913:15 0 +44 *3249:18 *3913:48 0 +45 *3249:24 *3913:48 0 +46 *3332:38 *3913:15 0 +47 *3361:36 *3913:23 0 +48 *3364:13 *3913:23 0 +49 *3393:15 *3913:23 0 +50 *3846:16 *3913:23 0 +51 *3851:17 *3913:23 0 +52 *3851:17 *3913:53 0 +53 *3878:30 *3913:15 0 +54 *3900:24 *5291:DIODE 0 +55 *3903:15 *3913:29 0 +*RES +1 *40947:X *3913:15 47.9071 +2 *3913:15 *3913:23 48.0272 +3 *3913:23 *3913:28 8.79393 +4 *3913:28 *3913:29 76.9286 +5 *3913:29 *3913:48 48.7203 +6 *3913:48 *3913:53 9.82173 +7 *3913:53 *5291:DIODE 16.2018 +8 *3913:53 *37482:A 17.2554 +*END + +*D_NET *3914 0.0189644 +*CONN +*I *5289:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37480:A I *D sky130_fd_sc_hd__and2_1 +*I *40948:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5289:DIODE 2.56688e-05 +2 *37480:A 9.80294e-05 +3 *40948:X 0 +4 *3914:18 0.00180547 +5 *3914:12 0.00380442 +6 *3914:5 0.00767673 +7 *3914:4 0.00555408 +8 *37480:A *4419:20 0 +9 *3914:5 *3918:42 0 +10 *3914:12 *4538:8 0 +11 *3914:12 *4671:41 0 +12 *3914:18 *4430:50 0 +13 *3914:18 *4604:21 0 +14 *3914:18 *4604:30 0 +15 *3914:18 *4799:14 0 +16 *3914:18 *4891:40 0 +17 *6622:DIODE *37480:A 0 +18 *6860:DIODE *37480:A 0 +19 *37325:A *3914:12 0 +20 *39429:A *3914:12 0 +21 *365:14 *3914:5 0 +22 *1150:10 *3914:12 0 +23 *1170:11 *3914:12 0 +24 *1628:27 *3914:18 0 +25 *1756:10 *3914:12 0 +26 *2351:9 *3914:12 0 +27 *2351:15 *3914:5 0 +28 *2352:7 *3914:12 0 +29 *2352:11 *3914:5 0 +30 *2352:11 *3914:12 0 +31 *2352:13 *3914:5 0 +32 *2356:17 *3914:5 0 +33 *2827:43 *3914:18 0 +34 *3104:19 *3914:12 0 +35 *3204:21 *3914:12 0 +36 *3466:29 *3914:12 0 +37 *3871:28 *3914:18 0 +*RES +1 *40948:X *3914:4 9.3 +2 *3914:4 *3914:5 115.946 +3 *3914:5 *3914:12 49.8125 +4 *3914:12 *3914:18 15.9601 +5 *3914:18 *37480:A 15.9786 +6 *3914:18 *5289:DIODE 14.3357 +*END + +*D_NET *3915 0.0181737 +*CONN +*I *8610:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40948:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40949:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8610:DIODE 0 +2 *40948:A 0.000167703 +3 *40949:X 0.00133295 +4 *3915:15 0.00749443 +5 *3915:14 0.0075862 +6 *3915:9 0.00159243 +7 *3915:9 *4464:12 0 +8 *3915:9 *4948:22 0 +9 *3915:15 *40197:A 0 +10 *3915:15 *4148:15 0 +11 *3915:15 *4151:20 0 +12 *3915:15 *4970:37 0 +13 *40939:A *3915:15 0 +14 *365:14 *40948:A 0 +15 *365:14 *3915:15 0 +16 *2150:42 *3915:15 0 +17 *3059:10 *3915:15 0 +18 *3065:17 *3915:15 0 +19 *3137:21 *3915:9 0 +20 *3349:36 *3915:14 0 +21 *3902:64 *3915:14 0 +22 *3906:11 *3915:15 0 +23 *3910:11 *3915:15 0 +24 *3910:17 *40948:A 0 +25 *3910:17 *3915:15 0 +*RES +1 *40949:X *3915:9 46.425 +2 *3915:9 *3915:14 14.8929 +3 *3915:14 *3915:15 152.911 +4 *3915:15 *40948:A 12.8625 +5 *3915:15 *8610:DIODE 9.3 +*END + +*D_NET *3916 0.00128087 +*CONN +*I *37636:B I *D sky130_fd_sc_hd__and2_1 +*I *38571:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *37636:B 0.000640436 +2 *38571:X 0.000640436 +3 *37636:B *4495:36 0 +4 *37636:B *4501:29 0 +5 *37636:B *4872:19 0 +6 *40582:A *37636:B 0 +7 *3442:39 *37636:B 0 +8 *3442:51 *37636:B 0 +9 *3809:33 *37636:B 0 +10 *3849:46 *37636:B 0 +*RES +1 *38571:X *37636:B 32.0286 +*END + +*D_NET *3917 0.0179613 +*CONN +*I *5288:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37478:A I *D sky130_fd_sc_hd__and2_1 +*I *40950:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *5288:DIODE 0 +2 *37478:A 0.000124066 +3 *40950:X 0.00120136 +4 *3917:37 0.00110989 +5 *3917:25 0.0035895 +6 *3917:24 0.00266699 +7 *3917:15 0.00406572 +8 *3917:13 0.00520376 +9 *37478:A *5161:9 0 +10 *3917:13 *41433:A 0 +11 *3917:13 *4821:29 0 +12 *3917:13 *4827:11 0 +13 *3917:13 *4827:30 0 +14 *3917:15 *3922:49 0 +15 *3917:15 *3922:56 0 +16 *3917:25 *5161:9 0 +17 *3917:37 *5161:9 0 +18 *5218:DIODE *3917:15 0 +19 *7455:DIODE *3917:15 0 +20 *37349:A *3917:13 0 +21 *37482:A *3917:13 0 +22 *37482:B *3917:13 0 +23 *37482:B *3917:15 0 +24 *37492:A *3917:15 0 +25 *37492:B *3917:15 0 +26 *39415:B *3917:25 0 +27 *39429:B *3917:15 0 +28 *39435:A *3917:25 0 +29 *39435:B *3917:25 0 +30 *39487:A *3917:15 0 +31 *296:56 *3917:15 0 +32 *1140:15 *3917:13 0 +33 *1140:15 *3917:15 0 +34 *1145:13 *3917:15 0 +35 *1145:13 *3917:25 0 +36 *1170:10 *3917:13 0 +37 *1691:9 *3917:15 0 +38 *1767:12 *3917:25 0 +39 *1819:11 *3917:15 0 +40 *3068:19 *3917:15 0 +41 *3175:22 *3917:15 0 +42 *3175:22 *3917:25 0 +43 *3175:28 *3917:25 0 +44 *3249:49 *3917:15 0 +45 *3380:51 *3917:15 0 +46 *3381:19 *3917:15 0 +47 *3381:30 *3917:25 0 +48 *3381:30 *3917:37 0 +49 *3381:39 *37478:A 0 +50 *3381:39 *3917:37 0 +51 *3390:23 *3917:25 0 +52 *3903:36 *3917:13 0 +53 *3903:39 *3917:15 0 +*RES +1 *40950:X *3917:13 43.425 +2 *3917:13 *3917:15 83.5 +3 *3917:15 *3917:24 10.5 +4 *3917:24 *3917:25 54.3393 +5 *3917:25 *3917:37 34.9053 +6 *3917:37 *37478:A 11.8893 +7 *3917:37 *5288:DIODE 9.3 +*END + +*D_NET *3918 0.0203877 +*CONN +*I *8611:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40950:A I *D sky130_fd_sc_hd__buf_2 +*I *40951:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8611:DIODE 0 +2 *40950:A 0.000162141 +3 *40951:X 0.000567067 +4 *3918:45 0.000460327 +5 *3918:42 0.00190113 +6 *3918:33 0.00383955 +7 *3918:31 0.00266741 +8 *3918:25 0.00344475 +9 *3918:24 0.00319319 +10 *3918:21 0.00188215 +11 *3918:8 0.00226996 +12 *40950:A *4827:30 0 +13 *3918:21 *4139:27 0 +14 *3918:21 *4154:25 0 +15 *3918:21 *5015:41 0 +16 *3918:25 *4151:23 0 +17 *3918:25 *4151:37 0 +18 *3918:33 *3929:23 0 +19 *3918:42 *4802:29 0 +20 *3918:42 *4827:30 0 +21 *37534:A *3918:25 0 +22 *37534:B *3918:25 0 +23 *295:23 *3918:24 0 +24 *296:43 *40950:A 0 +25 *296:43 *3918:45 0 +26 *1402:20 *3918:8 0 +27 *1974:44 *3918:25 0 +28 *2018:35 *3918:8 0 +29 *2351:14 *3918:42 0 +30 *2356:17 *3918:42 0 +31 *3058:28 *3918:24 0 +32 *3170:27 *3918:8 0 +33 *3350:11 *3918:8 0 +34 *3452:11 *3918:21 0 +35 *3583:32 *3918:21 0 +36 *3872:11 *3918:25 0 +37 *3872:11 *3918:31 0 +38 *3872:13 *3918:31 0 +39 *3872:13 *3918:33 0 +40 *3914:5 *3918:42 0 +*RES +1 *40951:X *3918:8 31.175 +2 *3918:8 *3918:21 49.5179 +3 *3918:21 *3918:24 8.57143 +4 *3918:24 *3918:25 62.9643 +5 *3918:25 *3918:31 8.99107 +6 *3918:31 *3918:33 46.7411 +7 *3918:33 *3918:42 47.4643 +8 *3918:42 *3918:45 10.7857 +9 *3918:45 *40950:A 12.7464 +10 *3918:45 *8611:DIODE 9.3 +*END + +*D_NET *3919 0.0200731 +*CONN +*I *8612:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40951:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40952:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8612:DIODE 0 +2 *40951:A 0.00016428 +3 *40952:X 0.00659263 +4 *3919:30 0.00344394 +5 *3919:19 0.00987229 +6 *40951:A *3974:23 0 +7 *40951:A *4137:44 0 +8 *3919:19 *4047:14 0 +9 *3919:19 *4090:21 0 +10 *3919:19 *4144:12 0 +11 *3919:19 *4165:11 0 +12 *3919:19 *4165:20 0 +13 *3919:30 *4152:33 0 +14 *3919:30 *4156:37 0 +15 *3919:30 *4410:19 0 +16 *3919:30 *4457:28 0 +17 *3919:30 *4457:109 0 +18 *3919:30 *5048:82 0 +19 *41094:A *3919:19 0 +20 *1524:35 *3919:30 0 +21 *1533:43 *3919:30 0 +22 *1896:55 *3919:30 0 +23 *1991:28 *3919:19 0 +24 *2031:48 *3919:19 0 +25 *2060:24 *3919:19 0 +26 *2091:19 *3919:19 0 +27 *2099:39 *3919:19 0 +28 *2108:26 *3919:19 0 +29 *2108:46 *3919:19 0 +30 *2151:35 *3919:19 0 +31 *3214:30 *3919:30 0 +32 *3232:24 *3919:19 0 +33 *3242:36 *3919:30 0 +34 *3269:39 *3919:19 0 +*RES +1 *40952:X *3919:19 49.2902 +2 *3919:19 *3919:30 41.4907 +3 *3919:30 *40951:A 12.7286 +4 *3919:30 *8612:DIODE 9.3 +*END + +*D_NET *3920 0.0192943 +*CONN +*I *5286:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37476:A I *D sky130_fd_sc_hd__and2_1 +*I *40953:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *5286:DIODE 0 +2 *37476:A 0.000223318 +3 *40953:X 0.000286119 +4 *3920:19 0.00174163 +5 *3920:18 0.00263753 +6 *3920:9 0.00761941 +7 *3920:8 0.00678631 +8 *3920:8 *4132:74 0 +9 *3920:8 *4443:8 0 +10 *3920:9 *3925:9 0 +11 *3920:18 *39554:A 0 +12 *3920:18 *4538:8 0 +13 *3920:19 *4814:8 0 +14 *37360:A *3920:8 0 +15 *37477:A *37476:A 0 +16 *37477:A *3920:19 0 +17 *1783:17 *3920:18 0 +18 *1783:17 *3920:19 0 +19 *1795:21 *3920:8 0 +20 *3068:25 *3920:9 0 +21 *3068:25 *3920:18 0 +22 *3096:17 *37476:A 0 +23 *3127:24 *3920:18 0 +24 *3215:23 *3920:9 0 +25 *3215:23 *3920:18 0 +26 *3382:40 *37476:A 0 +27 *3437:59 *3920:9 0 +28 *3468:21 *3920:19 0 +29 *3887:10 *3920:8 0 +30 *3887:14 *3920:9 0 +*RES +1 *40953:X *3920:8 24.8 +2 *3920:8 *3920:9 135.661 +3 *3920:9 *3920:18 32.5536 +4 *3920:18 *3920:19 31.75 +5 *3920:19 *37476:A 13.9607 +6 *3920:19 *5286:DIODE 9.3 +*END + +*D_NET *3921 0.0213406 +*CONN +*I *40953:A I *D sky130_fd_sc_hd__buf_2 +*I *8613:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40954:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40953:A 0.00016447 +2 *8613:DIODE 0 +3 *40954:X 0.00286725 +4 *3921:46 0.00434566 +5 *3921:41 0.00763855 +6 *3921:11 0.00632462 +7 *40953:A *4068:45 0 +8 *40953:A *4132:74 0 +9 *40953:A *4443:8 0 +10 *3921:41 *40192:A 0 +11 *3921:41 *4068:37 0 +12 *3921:41 *4132:28 0 +13 *3921:41 *4466:28 0 +14 *3921:41 *4915:35 0 +15 *3921:46 *3929:20 0 +16 *3921:46 *4132:74 0 +17 *3921:46 *4137:59 0 +18 *3921:46 *4443:8 0 +19 *37562:B *3921:46 0 +20 *282:35 *3921:46 0 +21 *301:39 *3921:11 0 +22 *1376:23 *3921:41 0 +23 *1376:23 *3921:46 0 +24 *1395:32 *3921:11 0 +25 *1793:13 *3921:46 0 +26 *1800:18 *3921:41 0 +27 *1800:18 *3921:46 0 +28 *1802:8 *3921:46 0 +29 *1805:38 *3921:41 0 +30 *2043:27 *3921:41 0 +31 *2063:22 *3921:41 0 +32 *2067:26 *3921:11 0 +33 *2144:61 *3921:11 0 +34 *2144:61 *3921:41 0 +35 *3056:15 *3921:11 0 +36 *3059:16 *3921:41 0 +37 *3131:10 *3921:11 0 +38 *3136:32 *40953:A 0 +39 *3137:19 *3921:11 0 +40 *3170:15 *3921:41 0 +41 *3213:22 *3921:46 0 +42 *3231:5 *3921:41 0 +43 *3333:27 *3921:46 0 +44 *3344:17 *3921:46 0 +45 *3379:18 *3921:41 0 +46 *3384:48 *3921:41 0 +47 *3384:77 *3921:46 0 +48 *3616:41 *3921:41 0 +49 *3642:78 *3921:46 0 +50 *3642:94 *3921:46 0 +51 *3840:71 *3921:46 0 +52 *3840:80 *3921:46 0 +53 *3846:16 *3921:11 0 +54 *3857:46 *3921:41 0 +55 *3890:50 *3921:41 0 +56 *3890:50 *3921:46 0 +57 *3901:11 *3921:41 0 +*RES +1 *40954:X *3921:11 47.5542 +2 *3921:11 *3921:41 47.9129 +3 *3921:41 *3921:46 19.8298 +4 *3921:46 *8613:DIODE 13.8 +5 *3921:46 *40953:A 17.55 +*END + +*D_NET *3922 0.0209581 +*CONN +*I *5285:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37474:A I *D sky130_fd_sc_hd__and2_1 +*I *40955:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *5285:DIODE 0 +2 *37474:A 0.000417526 +3 *40955:X 0.00133542 +4 *3922:72 0.00213902 +5 *3922:57 0.00420709 +6 *3922:56 0.00319925 +7 *3922:49 0.00234048 +8 *3922:33 0.00380537 +9 *3922:11 0.00351397 +10 *37474:A *4249:15 0 +11 *37474:A *4249:24 0 +12 *37474:A *4249:28 0 +13 *37474:A *4493:15 0 +14 *37474:A *4493:34 0 +15 *3922:11 *4802:29 0 +16 *3922:11 *4827:11 0 +17 *3922:11 *4827:30 0 +18 *3922:33 *4429:67 0 +19 *3922:33 *4436:14 0 +20 *3922:33 *4660:16 0 +21 *3922:33 *4671:30 0 +22 *3922:33 *4797:50 0 +23 *3922:57 *3928:9 0 +24 *3922:72 *3928:26 0 +25 *3922:72 *4249:28 0 +26 *3922:72 *4571:36 0 +27 *3922:72 *5161:9 0 +28 *3922:72 *5161:23 0 +29 *5295:DIODE *3922:33 0 +30 *5350:DIODE *3922:11 0 +31 *6573:DIODE *37474:A 0 +32 *37474:B *37474:A 0 +33 *37475:A *37474:A 0 +34 *37492:B *3922:49 0 +35 *39429:B *3922:56 0 +36 *504:5 *37474:A 0 +37 *1691:9 *3922:49 0 +38 *1691:9 *3922:56 0 +39 *1782:36 *3922:49 0 +40 *3069:29 *37474:A 0 +41 *3069:29 *3922:72 0 +42 *3249:24 *3922:11 0 +43 *3376:20 *3922:33 0 +44 *3378:20 *3922:49 0 +45 *3381:30 *3922:72 0 +46 *3488:13 *3922:33 0 +47 *3488:26 *3922:33 0 +48 *3749:31 *3922:33 0 +49 *3749:37 *3922:33 0 +50 *3872:24 *3922:33 0 +51 *3877:63 *3922:72 0 +52 *3900:29 *3922:57 0 +53 *3910:22 *3922:33 0 +54 *3913:48 *3922:11 0 +55 *3917:15 *3922:49 0 +56 *3917:15 *3922:56 0 +*RES +1 *40955:X *3922:11 46.3536 +2 *3922:11 *3922:33 46.654 +3 *3922:33 *3922:49 47.9821 +4 *3922:49 *3922:56 24.1071 +5 *3922:56 *3922:57 51.875 +6 *3922:57 *3922:72 40.9142 +7 *3922:72 *37474:A 23.0143 +8 *3922:72 *5285:DIODE 13.8 +*END + +*D_NET *3923 0.0191452 +*CONN +*I *8615:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40955:A I *D sky130_fd_sc_hd__buf_2 +*I *40956:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8615:DIODE 0 +2 *40955:A 0.000124066 +3 *40956:X 0.000605596 +4 *3923:46 0.00148711 +5 *3923:31 0.00447838 +6 *3923:29 0.00335384 +7 *3923:25 0.00256688 +8 *3923:17 0.00412604 +9 *3923:14 0.00240327 +10 *3923:14 *4139:26 0 +11 *3923:14 *4154:24 0 +12 *3923:14 *4456:24 0 +13 *3923:14 *5200:25 0 +14 *3923:17 *4831:15 0 +15 *3923:31 *5198:18 0 +16 *3923:46 *9008:DIODE 0 +17 *3923:46 *4817:29 0 +18 *3923:46 *5199:8 0 +19 *3923:46 *5200:13 0 +20 *37550:A *3923:29 0 +21 *296:30 *3923:31 0 +22 *376:33 *3923:31 0 +23 *376:59 *3923:46 0 +24 *376:71 *40955:A 0 +25 *376:71 *3923:46 0 +26 *3057:12 *3923:14 0 +27 *3058:22 *3923:17 0 +28 *3249:24 *3923:46 0 +29 *3343:13 *3923:25 0 +30 *3393:15 *3923:29 0 +31 *3873:40 *3923:14 0 +32 *3878:30 *3923:17 0 +33 *3879:37 *3923:46 0 +34 *3903:15 *3923:31 0 +35 *3913:15 *3923:17 0 +36 *3913:15 *3923:25 0 +37 *3913:23 *3923:25 0 +38 *3913:29 *3923:31 0 +39 *3913:48 *3923:46 0 +*RES +1 *40956:X *3923:14 32.0679 +2 *3923:14 *3923:17 37.5179 +3 *3923:17 *3923:25 48.75 +4 *3923:25 *3923:29 5.07143 +5 *3923:29 *3923:31 65.0179 +6 *3923:31 *3923:46 47.1071 +7 *3923:46 *40955:A 11.8893 +8 *3923:46 *8615:DIODE 9.3 +*END + +*D_NET *3924 0.0186569 +*CONN +*I *5284:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37472:A I *D sky130_fd_sc_hd__and2_1 +*I *40957:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *5284:DIODE 0 +2 *37472:A 0.000124066 +3 *40957:X 0.00157902 +4 *3924:39 0.00113071 +5 *3924:36 0.00240995 +6 *3924:21 0.00650626 +7 *3924:20 0.00521541 +8 *3924:15 0.00169147 +9 *37472:A *3928:35 0 +10 *3924:15 *4626:14 0 +11 *3924:21 *3928:9 0 +12 *3924:36 *3928:26 0 +13 *3924:36 *3928:35 0 +14 *3924:36 *4499:10 0 +15 *3924:36 *4801:15 0 +16 *3924:36 *4815:26 0 +17 *3924:39 *3928:35 0 +18 *3924:39 *4787:11 0 +19 *3924:39 *4797:9 0 +20 *3924:39 *4815:26 0 +21 *37330:A *3924:36 0 +22 *37494:B *3924:21 0 +23 *37495:A *3924:36 0 +24 *39509:A *3924:15 0 +25 *296:55 *3924:15 0 +26 *1140:15 *3924:15 0 +27 *1760:13 *3924:36 0 +28 *1794:18 *3924:15 0 +29 *2362:24 *3924:15 0 +30 *3068:19 *3924:15 0 +31 *3175:33 *3924:36 0 +32 *3378:20 *3924:20 0 +33 *3465:25 *3924:20 0 +34 *3877:63 *3924:36 0 +35 *3903:36 *3924:15 0 +*RES +1 *40957:X *3924:15 48.0426 +2 *3924:15 *3924:20 11.5536 +3 *3924:20 *3924:21 106.5 +4 *3924:21 *3924:36 48.6546 +5 *3924:36 *3924:39 25.5714 +6 *3924:39 *37472:A 11.8893 +7 *3924:39 *5284:DIODE 9.3 +*END + +*D_NET *3925 0.018966 +*CONN +*I *40957:A I *D sky130_fd_sc_hd__buf_6 +*I *8617:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40958:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *40957:A 2.56688e-05 +2 *8617:DIODE 0.000109249 +3 *40958:X 0.000613912 +4 *3925:12 0.000538721 +5 *3925:9 0.00873418 +6 *3925:7 0.00894429 +7 *8617:DIODE *4626:14 0 +8 *3925:7 *4200:24 0 +9 *3925:9 *40195:A 0 +10 *3925:9 *41429:A 0 +11 *3925:9 *4200:24 0 +12 *3925:9 *4993:17 0 +13 *3925:9 *5197:13 0 +14 *3925:12 *4626:14 0 +15 *39455:B *3925:9 0 +16 *1800:36 *3925:9 0 +17 *2009:31 *3925:7 0 +18 *2064:22 *3925:9 0 +19 *2066:23 *3925:9 0 +20 *3131:28 *3925:7 0 +21 *3131:28 *3925:9 0 +22 *3131:35 *3925:9 0 +23 *3215:23 *3925:9 0 +24 *3583:32 *3925:7 0 +25 *3889:15 *3925:9 0 +26 *3894:13 *3925:9 0 +27 *3910:22 *8617:DIODE 0 +28 *3910:22 *3925:12 0 +29 *3920:9 *3925:9 0 +*RES +1 *40958:X *3925:7 22.175 +2 *3925:7 *3925:9 173.857 +3 *3925:9 *3925:12 13.7321 +4 *3925:12 *8617:DIODE 16.2821 +5 *3925:12 *40957:A 14.3357 +*END + +*D_NET *3926 0.0186299 +*CONN +*I *40958:A I *D sky130_fd_sc_hd__buf_4 +*I *8618:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40959:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40958:A 0.000147213 +2 *8618:DIODE 0 +3 *40959:X 0.00896839 +4 *3926:16 0.000346558 +5 *3926:13 0.00916773 +6 *3926:13 *4076:32 0 +7 *3926:13 *4141:14 0 +8 *3926:13 *4141:21 0 +9 *3926:13 *4165:11 0 +10 *3926:13 *4165:20 0 +11 *3926:13 *4179:20 0 +12 *3926:13 *4179:47 0 +13 *3926:13 *4302:26 0 +14 *3926:13 *4462:21 0 +15 *3926:13 *4466:28 0 +16 *3926:16 *4179:50 0 +17 *1998:51 *3926:13 0 +18 *2140:27 *3926:13 0 +19 *2150:20 *3926:13 0 +20 *3131:19 *40958:A 0 +21 *3137:21 *40958:A 0 +22 *3232:24 *3926:13 0 +23 *3451:38 *3926:16 0 +*RES +1 *40959:X *3926:13 49.9481 +2 *3926:13 *3926:16 7.93679 +3 *3926:16 *8618:DIODE 13.8 +4 *3926:16 *40958:A 17.0143 +*END + +*D_NET *3927 0.0124095 +*CONN +*I *37638:B I *D sky130_fd_sc_hd__and2_1 +*I *5456:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38572:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *37638:B 0.000136659 +2 *5456:DIODE 2.22194e-05 +3 *38572:X 0.000720163 +4 *3927:84 0.00127818 +5 *3927:66 0.00296106 +6 *3927:42 0.00337961 +7 *3927:32 0.00236463 +8 *3927:23 0.00154694 +9 *5456:DIODE *4135:62 0 +10 *5456:DIODE *4284:82 0 +11 *37638:B *4061:75 0 +12 *37638:B *4135:62 0 +13 *3927:23 *4495:40 0 +14 *3927:23 *5170:45 0 +15 *3927:42 *4501:34 0 +16 *3927:42 *4508:15 0 +17 *3927:66 *4501:56 0 +18 *3927:66 *4513:31 0 +19 *3927:84 *4500:13 0 +20 *3927:84 *4501:56 0 +21 *3927:84 *4881:73 0 +22 *40167:A *3927:32 0 +23 *499:9 *3927:23 0 +24 *1216:17 *3927:66 0 +25 *1960:22 *3927:66 0 +26 *2089:25 *3927:42 0 +27 *2399:9 *3927:66 0 +28 *2407:13 *3927:66 0 +29 *2407:35 *3927:23 0 +30 *2472:33 *3927:84 0 +31 *2505:15 *3927:23 0 +32 *2516:12 *3927:23 0 +33 *2516:26 *3927:66 0 +34 *3055:71 *3927:66 0 +35 *3121:56 *3927:84 0 +36 *3154:76 *3927:66 0 +37 *3234:35 *3927:84 0 +38 *3442:51 *3927:32 0 +39 *3442:66 *3927:42 0 +40 *3444:17 *3927:42 0 +41 *3792:41 *3927:66 0 +*RES +1 *38572:X *3927:23 47.6929 +2 *3927:23 *3927:32 31.0714 +3 *3927:32 *3927:42 46.2321 +4 *3927:42 *3927:66 49.5634 +5 *3927:66 *3927:84 29.8915 +6 *3927:84 *5456:DIODE 14.3357 +7 *3927:84 *37638:B 16.9964 +*END + +*D_NET *3928 0.0188699 +*CONN +*I *6549:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38394:A I *D sky130_fd_sc_hd__and2_1 +*I *40960:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *6549:DIODE 0 +2 *38394:A 0.000302036 +3 *40960:X 5.89699e-05 +4 *3928:37 0.00099381 +5 *3928:35 0.00215229 +6 *3928:26 0.0026465 +7 *3928:9 0.00692167 +8 *3928:8 0.00579466 +9 *3928:35 *4787:11 0 +10 *3928:35 *4801:15 0 +11 *37330:A *3928:35 0 +12 *37472:A *3928:35 0 +13 *37472:B *3928:35 0 +14 *37472:B *3928:37 0 +15 *37473:A *3928:37 0 +16 *37494:A *3928:9 0 +17 *37494:B *3928:9 0 +18 *38395:A *38394:A 0 +19 *39435:B *3928:26 0 +20 *296:55 *3928:9 0 +21 *1760:13 *3928:35 0 +22 *2705:15 *38394:A 0 +23 *3068:19 *3928:8 0 +24 *3877:63 *3928:26 0 +25 *3900:25 *3928:9 0 +26 *3900:29 *3928:9 0 +27 *3900:33 *3928:9 0 +28 *3922:57 *3928:9 0 +29 *3922:72 *3928:26 0 +30 *3924:21 *3928:9 0 +31 *3924:36 *3928:26 0 +32 *3924:36 *3928:35 0 +33 *3924:39 *3928:35 0 +*RES +1 *40960:X *3928:8 19.6393 +2 *3928:8 *3928:9 119.643 +3 *3928:9 *3928:26 47.4423 +4 *3928:26 *3928:35 39.75 +5 *3928:35 *3928:37 14.5 +6 *3928:37 *38394:A 15.6036 +7 *3928:37 *6549:DIODE 9.3 +*END + +*D_NET *3929 0.0194034 +*CONN +*I *40960:A I *D sky130_fd_sc_hd__buf_2 +*I *8620:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40961:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40960:A 0 +2 *8620:DIODE 0.000183104 +3 *40961:X 0 +4 *3929:32 0.000482732 +5 *3929:27 0.00317731 +6 *3929:25 0.00289822 +7 *3929:23 0.00270143 +8 *3929:22 0.00268089 +9 *3929:20 0.00157429 +10 *3929:8 0.00363988 +11 *3929:4 0.00206559 +12 *3929:8 *4904:52 0 +13 *3929:20 *4151:37 0 +14 *3929:20 *4443:8 0 +15 *3929:20 *4748:23 0 +16 *37562:A *3929:8 0 +17 *37562:B *3929:20 0 +18 *296:29 *3929:8 0 +19 *1140:15 *8620:DIODE 0 +20 *1166:9 *3929:20 0 +21 *1166:9 *3929:23 0 +22 *1492:27 *3929:8 0 +23 *1785:15 *3929:23 0 +24 *1785:15 *3929:27 0 +25 *1802:8 *3929:20 0 +26 *1802:19 *3929:20 0 +27 *2354:5 *3929:27 0 +28 *3052:15 *3929:27 0 +29 *3068:19 *8620:DIODE 0 +30 *3344:17 *3929:20 0 +31 *3384:51 *3929:8 0 +32 *3384:63 *3929:8 0 +33 *3453:32 *3929:20 0 +34 *3642:81 *3929:8 0 +35 *3872:13 *3929:23 0 +36 *3889:21 *3929:27 0 +37 *3903:39 *8620:DIODE 0 +38 *3910:11 *3929:20 0 +39 *3918:33 *3929:23 0 +40 *3921:46 *3929:20 0 +*RES +1 *40961:X *3929:4 9.3 +2 *3929:4 *3929:8 47.7054 +3 *3929:8 *3929:20 46.8447 +4 *3929:20 *3929:22 4.5 +5 *3929:22 *3929:23 55.9821 +6 *3929:23 *3929:25 0.428571 +7 *3929:25 *3929:27 60.0893 +8 *3929:27 *3929:32 15.8036 +9 *3929:32 *8620:DIODE 13.1214 +10 *3929:32 *40960:A 9.3 +*END + +*D_NET *3930 0.0184345 +*CONN +*I *38392:B I *D sky130_fd_sc_hd__and2_1 +*I *6548:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40962:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *38392:B 0.000240003 +2 *6548:DIODE 0 +3 *40962:X 0.000334978 +4 *3930:13 0.00888226 +5 *3930:11 0.00897723 +6 *3930:11 *8622:DIODE 0 +7 *3930:11 *4318:79 0 +8 *3930:11 *4318:97 0 +9 *3930:11 *4900:16 0 +10 *3930:13 *4318:97 0 +11 *3930:13 *4318:104 0 +12 *5473:DIODE *3930:13 0 +13 *8008:DIODE *3930:13 0 +14 *39507:B *3930:13 0 +15 *39511:A *3930:13 0 +16 *39511:B *3930:13 0 +17 *39585:A *3930:13 0 +18 *1574:17 *3930:13 0 +19 *1715:14 *3930:13 0 +20 *1842:9 *38392:B 0 +21 *1842:9 *3930:13 0 +22 *1843:5 *3930:13 0 +23 *2385:10 *3930:13 0 +24 *2388:10 *3930:13 0 +25 *2800:75 *3930:13 0 +26 *2815:83 *3930:11 0 +27 *2815:83 *3930:13 0 +28 *2815:94 *3930:13 0 +29 *2815:101 *3930:13 0 +30 *3009:71 *3930:13 0 +31 *3019:42 *3930:13 0 +32 *3019:43 *38392:B 0 +33 *3019:43 *3930:13 0 +34 *3539:11 *3930:13 0 +35 *3539:21 *38392:B 0 +*RES +1 *40962:X *3930:11 16.5679 +2 *3930:11 *3930:13 180.429 +3 *3930:13 *6548:DIODE 9.3 +4 *3930:13 *38392:B 14.3714 +*END + +*D_NET *3931 0.0196494 +*CONN +*I *8622:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40962:A I *D sky130_fd_sc_hd__buf_6 +*I *40963:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *8622:DIODE 0.000240645 +2 *40962:A 0 +3 *40963:X 0.0016781 +4 *3931:43 0.00174548 +5 *3931:34 0.00264405 +6 *3931:9 0.0064011 +7 *3931:7 0.00693998 +8 *8622:DIODE *4318:79 0 +9 *3931:7 *6414:DIODE 0 +10 *3931:7 *8688:DIODE 0 +11 *3931:7 *8729:DIODE 0 +12 *3931:7 *38034:B 0 +13 *3931:7 *3937:61 0 +14 *3931:7 *3978:20 0 +15 *3931:7 *4011:63 0 +16 *3931:7 *4065:7 0 +17 *3931:7 *4066:45 0 +18 *3931:9 *38300:B 0 +19 *3931:9 *3963:40 0 +20 *3931:9 *3963:84 0 +21 *3931:9 *3978:33 0 +22 *3931:9 *3978:50 0 +23 *3931:9 *4065:7 0 +24 *3931:9 *4065:19 0 +25 *3931:9 *4091:64 0 +26 *3931:9 *4091:71 0 +27 *3931:34 *3963:84 0 +28 *3931:34 *4065:45 0 +29 *3931:34 *4318:79 0 +30 *3931:34 *5106:24 0 +31 *3931:43 *38046:B 0 +32 *3931:43 *3963:84 0 +33 *3931:43 *3978:120 0 +34 *3931:43 *4223:35 0 +35 *3931:43 *4318:79 0 +36 *38034:A_N *3931:9 0 +37 *40786:A *3931:9 0 +38 *363:45 *3931:34 0 +39 *1448:38 *3931:9 0 +40 *1581:29 *3931:34 0 +41 *2802:21 *3931:43 0 +42 *2815:83 *8622:DIODE 0 +43 *2815:83 *3931:43 0 +44 *3310:87 *3931:9 0 +45 *3418:13 *3931:7 0 +46 *3509:68 *3931:9 0 +47 *3509:110 *3931:9 0 +48 *3542:20 *3931:9 0 +49 *3542:41 *3931:9 0 +50 *3724:53 *3931:9 0 +51 *3930:11 *8622:DIODE 0 +*RES +1 *40963:X *3931:7 44.3536 +2 *3931:7 *3931:9 109.786 +3 *3931:9 *3931:34 47.5714 +4 *3931:34 *3931:43 36 +5 *3931:43 *40962:A 9.3 +6 *3931:43 *8622:DIODE 14.3536 +*END + +*D_NET *3932 0.0204325 +*CONN +*I *8623:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40963:A I *D sky130_fd_sc_hd__buf_6 +*I *40964:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8623:DIODE 0.00075402 +2 *40963:A 0 +3 *40964:X 0.000340753 +4 *3932:21 0.00102746 +5 *3932:11 0.00912147 +6 *3932:9 0.00918878 +7 *8623:DIODE *6414:DIODE 0 +8 *8623:DIODE *8729:DIODE 0 +9 *8623:DIODE *3937:61 0 +10 *8623:DIODE *4066:45 0 +11 *8623:DIODE *4220:53 0 +12 *3932:9 *3979:18 0 +13 *3932:9 *4067:39 0 +14 *3932:9 *4119:89 0 +15 *3932:9 *4119:109 0 +16 *3932:9 *4230:90 0 +17 *3932:11 *8649:DIODE 0 +18 *3932:11 *8730:DIODE 0 +19 *3932:11 *41030:A 0 +20 *3932:11 *41392:A 0 +21 *3932:11 *3964:33 0 +22 *3932:11 *4005:17 0 +23 *3932:11 *4006:85 0 +24 *3932:11 *4014:13 0 +25 *3932:11 *4014:15 0 +26 *3932:11 *4054:10 0 +27 *3932:11 *4066:30 0 +28 *3932:11 *4066:31 0 +29 *3932:11 *4066:45 0 +30 *3932:11 *4067:39 0 +31 *3932:11 *4092:44 0 +32 *3932:11 *4230:90 0 +33 *3932:11 *4245:51 0 +34 *3932:11 *4407:12 0 +35 *3932:11 *4407:30 0 +36 *3932:11 *5151:17 0 +37 *3932:21 *6414:DIODE 0 +38 *3932:21 *3937:61 0 +39 *3932:21 *4220:23 0 +40 *3932:21 *4220:53 0 +41 *3932:21 *5151:17 0 +42 *40756:A *3932:11 0 +43 *2419:88 *3932:11 0 +44 *2837:67 *3932:21 0 +45 *3024:34 *3932:21 0 +46 *3025:68 *3932:11 0 +47 *3436:80 *3932:11 0 +48 *3746:17 *3932:11 0 +49 *3746:17 *3932:21 0 +*RES +1 *40964:X *3932:9 16.4429 +2 *3932:9 *3932:11 184.536 +3 *3932:11 *3932:21 15 +4 *3932:21 *40963:A 9.3 +5 *3932:21 *8623:DIODE 25.1929 +*END + +*D_NET *3933 0.0223566 +*CONN +*I *40964:A I *D sky130_fd_sc_hd__buf_4 +*I *8624:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40965:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40964:A 0.000397025 +2 *8624:DIODE 0 +3 *40965:X 0.00101587 +4 *3933:64 0.0014902 +5 *3933:49 0.00976538 +6 *3933:16 0.00968808 +7 *3933:16 *41131:A 0 +8 *3933:16 *4032:37 0 +9 *3933:16 *4112:19 0 +10 *3933:16 *4284:51 0 +11 *3933:16 *4300:15 0 +12 *3933:16 *4303:81 0 +13 *3933:49 *8897:DIODE 0 +14 *3933:49 *4001:74 0 +15 *3933:49 *4027:32 0 +16 *3933:49 *4135:45 0 +17 *3933:49 *4221:48 0 +18 *3933:49 *4221:60 0 +19 *3933:49 *4252:37 0 +20 *3933:49 *4265:36 0 +21 *3933:49 *4281:59 0 +22 *3933:49 *4287:49 0 +23 *3933:49 *4509:53 0 +24 *3933:64 *4233:54 0 +25 *3933:64 *4288:94 0 +26 *3933:64 *4294:77 0 +27 *40365:A *3933:64 0 +28 *1238:38 *3933:49 0 +29 *3128:19 *3933:49 0 +30 *3128:39 *3933:49 0 +31 *3158:16 *3933:49 0 +32 *3174:40 *3933:49 0 +33 *3252:14 *3933:64 0 +34 *3270:93 *3933:16 0 +35 *3272:67 *3933:49 0 +36 *3285:14 *3933:64 0 +37 *3312:23 *3933:49 0 +38 *3312:78 *3933:49 0 +39 *3314:62 *3933:49 0 +40 *3314:62 *3933:64 0 +41 *3318:13 *3933:49 0 +42 *3443:53 *40964:A 0 +43 *3520:20 *3933:49 0 +44 *3520:45 *3933:49 0 +45 *3530:41 *3933:49 0 +46 *3533:49 *3933:49 0 +47 *3759:45 *3933:49 0 +*RES +1 *40965:X *3933:16 47.6654 +2 *3933:16 *3933:49 42.2965 +3 *3933:49 *3933:64 29.6696 +4 *3933:64 *8624:DIODE 9.3 +5 *3933:64 *40964:A 27.2643 +*END + +*D_NET *3934 0.0217482 +*CONN +*I *40965:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8625:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40966:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40965:A 0.000363553 +2 *8625:DIODE 2.56688e-05 +3 *40966:X 0.00108695 +4 *3934:88 0.00225987 +5 *3934:77 0.00336316 +6 *3934:59 0.0028617 +7 *3934:41 0.00403488 +8 *3934:40 0.00363846 +9 *3934:30 0.00199991 +10 *3934:14 0.0021141 +11 *3934:14 *40431:A 0 +12 *3934:14 *4179:20 0 +13 *3934:14 *4265:19 0 +14 *3934:14 *4280:10 0 +15 *3934:14 *4481:25 0 +16 *3934:14 *4845:15 0 +17 *3934:30 *4155:29 0 +18 *3934:40 *4155:11 0 +19 *3934:40 *4155:29 0 +20 *3934:41 *4122:56 0 +21 *3934:41 *4155:11 0 +22 *3934:41 *4296:35 0 +23 *3934:59 *4296:35 0 +24 *3934:77 *3998:19 0 +25 *3934:77 *4076:63 0 +26 *3934:77 *4303:30 0 +27 *3934:88 *4002:23 0 +28 *3934:88 *4076:63 0 +29 *3934:88 *4284:21 0 +30 *3934:88 *4500:13 0 +31 *5645:DIODE *3934:59 0 +32 *5985:DIODE *3934:30 0 +33 *8078:DIODE *3934:77 0 +34 *8146:DIODE *3934:30 0 +35 *8532:DIODE *3934:77 0 +36 *8742:DIODE *3934:77 0 +37 *37372:A *3934:30 0 +38 *38240:A *3934:59 0 +39 *40459:A *3934:40 0 +40 *41012:A *3934:40 0 +41 *41060:A *3934:77 0 +42 *41097:A *3934:59 0 +43 *41134:A *3934:59 0 +44 *41165:A *3934:59 0 +45 *41261:A *3934:41 0 +46 *41281:A *3934:77 0 +47 *41281:A *3934:88 0 +48 *1961:26 *3934:40 0 +49 *1975:37 *3934:59 0 +50 *1975:37 *3934:77 0 +51 *1982:15 *3934:59 0 +52 *1993:13 *3934:40 0 +53 *2091:36 *3934:41 0 +54 *2104:28 *3934:30 0 +55 *2116:45 *3934:88 0 +56 *2123:24 *3934:77 0 +57 *2135:19 *3934:30 0 +58 *2420:76 *3934:77 0 +59 *2437:40 *3934:88 0 +60 *3132:15 *3934:14 0 +61 *3156:28 *3934:14 0 +62 *3214:13 *3934:30 0 +63 *3223:35 *3934:30 0 +64 *3228:69 *3934:59 0 +65 *3233:41 *3934:41 0 +66 *3247:22 *3934:77 0 +67 *3258:56 *3934:14 0 +68 *3316:7 *8625:DIODE 0 +69 *3324:14 *3934:40 0 +70 *3330:12 *3934:88 0 +71 *3365:10 *3934:41 0 +72 *3807:40 *3934:88 0 +73 *3813:20 *3934:88 0 +74 *3902:25 *3934:14 0 +*RES +1 *40966:X *3934:14 41.1571 +2 *3934:14 *3934:30 39.8393 +3 *3934:30 *3934:40 29.7143 +4 *3934:40 *3934:41 55.5714 +5 *3934:41 *3934:59 46.875 +6 *3934:59 *3934:77 40.5357 +7 *3934:77 *3934:88 34.897 +8 *3934:88 *8625:DIODE 14.3357 +9 *3934:88 *40965:A 22.1214 +*END + +*D_NET *3935 0.0190717 +*CONN +*I *38390:B I *D sky130_fd_sc_hd__and2_2 +*I *6545:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40967:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *38390:B 0.000195511 +2 *6545:DIODE 0 +3 *40967:X 0.000783468 +4 *3935:47 0.00197564 +5 *3935:44 0.00397971 +6 *3935:29 0.00431597 +7 *3935:25 0.00370593 +8 *3935:21 0.00246078 +9 *3935:17 0.00165471 +10 *3935:17 *3981:89 0 +11 *3935:17 *4228:49 0 +12 *3935:21 *38130:B 0 +13 *3935:21 *38350:B 0 +14 *3935:21 *3981:89 0 +15 *3935:21 *3981:99 0 +16 *3935:21 *4228:49 0 +17 *3935:25 *3953:72 0 +18 *3935:25 *3981:99 0 +19 *3935:25 *4223:71 0 +20 *3935:25 *4223:78 0 +21 *3935:29 *3953:72 0 +22 *3935:44 *38376:B 0 +23 *3935:44 *3953:94 0 +24 *3935:44 *4223:103 0 +25 *3935:44 *4223:105 0 +26 *3935:47 *38384:B 0 +27 *3935:47 *3948:35 0 +28 *5540:DIODE *3935:21 0 +29 *6200:DIODE *3935:29 0 +30 *37713:A *3935:29 0 +31 *38368:A *3935:25 0 +32 *38376:A *3935:44 0 +33 *38384:A *3935:47 0 +34 *39514:A *3935:44 0 +35 *39514:B *3935:44 0 +36 *267:15 *3935:44 0 +37 *1465:9 *3935:47 0 +38 *1578:11 *3935:25 0 +39 *1587:7 *3935:44 0 +40 *1590:16 *3935:47 0 +41 *1591:10 *3935:47 0 +42 *1594:7 *38390:B 0 +43 *1718:29 *3935:47 0 +44 *2414:22 *3935:17 0 +45 *2808:35 *3935:29 0 +46 *3395:95 *3935:21 0 +47 *3395:109 *3935:29 0 +48 *3395:117 *3935:29 0 +49 *3395:117 *3935:44 0 +50 *3396:49 *3935:47 0 +51 *3399:16 *3935:17 0 +52 *3478:98 *3935:17 0 +53 *3478:107 *3935:17 0 +54 *3478:107 *3935:21 0 +*RES +1 *40967:X *3935:17 35.05 +2 *3935:17 *3935:21 18.2143 +3 *3935:21 *3935:25 33.2054 +4 *3935:25 *3935:29 44.2946 +5 *3935:29 *3935:44 46.8861 +6 *3935:44 *3935:47 41.5893 +7 *3935:47 *6545:DIODE 9.3 +8 *3935:47 *38390:B 13.4429 +*END + +*D_NET *3936 0.0202459 +*CONN +*I *40967:A I *D sky130_fd_sc_hd__buf_6 +*I *8626:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40968:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *40967:A 0.000156473 +2 *8626:DIODE 0 +3 *40968:X 0.00128187 +4 *3936:79 0.00397321 +5 *3936:50 0.00588997 +6 *3936:40 0.00332727 +7 *3936:23 0.00279467 +8 *3936:14 0.0028225 +9 *40967:A *3957:13 0 +10 *40967:A *4228:49 0 +11 *3936:14 *8700:DIODE 0 +12 *3936:14 *41041:A 0 +13 *3936:14 *4018:23 0 +14 *3936:14 *4026:30 0 +15 *3936:14 *4028:45 0 +16 *3936:14 *4031:81 0 +17 *3936:14 *4244:10 0 +18 *3936:14 *4322:40 0 +19 *3936:23 *38346:B 0 +20 *3936:23 *4026:45 0 +21 *3936:23 *4073:22 0 +22 *3936:23 *4322:68 0 +23 *3936:23 *5182:66 0 +24 *3936:40 *3950:51 0 +25 *3936:40 *4026:62 0 +26 *3936:40 *4360:77 0 +27 *3936:40 *4360:104 0 +28 *3936:40 *5182:66 0 +29 *3936:50 *37748:B 0 +30 *3936:50 *38118:B 0 +31 *3936:50 *4018:71 0 +32 *3936:50 *4404:113 0 +33 *3936:50 *4596:48 0 +34 *3936:79 *3957:13 0 +35 *3936:79 *3999:52 0 +36 *3936:79 *4026:124 0 +37 *3936:79 *4044:49 0 +38 *3936:79 *4219:105 0 +39 *3936:79 *4228:49 0 +40 *3936:79 *5176:9 0 +41 *7984:DIODE *3936:14 0 +42 *38110:C *3936:14 0 +43 *38346:A *3936:23 0 +44 *39594:A *3936:23 0 +45 *39958:A *3936:50 0 +46 *39975:A *3936:50 0 +47 *1453:30 *3936:50 0 +48 *1454:41 *3936:23 0 +49 *1454:69 *3936:40 0 +50 *1459:21 *3936:40 0 +51 *1459:21 *3936:50 0 +52 *1459:28 *3936:50 0 +53 *1462:63 *3936:50 0 +54 *1864:72 *3936:79 0 +55 *2980:49 *3936:79 0 +56 *3348:75 *3936:14 0 +57 *3413:94 *3936:14 0 +58 *3413:94 *3936:23 0 +59 *3477:53 *3936:79 0 +60 *3501:64 *3936:23 0 +61 *3501:64 *3936:40 0 +62 *3767:51 *3936:79 0 +*RES +1 *40968:X *3936:14 45.175 +2 *3936:14 *3936:23 41.3929 +3 *3936:23 *3936:40 44.4821 +4 *3936:40 *3936:50 49.1546 +5 *3936:50 *3936:79 47.5341 +6 *3936:79 *8626:DIODE 9.3 +7 *3936:79 *40967:A 13.2464 +*END + +*D_NET *3937 0.0231719 +*CONN +*I *40968:A I *D sky130_fd_sc_hd__buf_6 +*I *8627:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40969:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *40968:A 0 +2 *8627:DIODE 0.00100142 +3 *40969:X 0.00039653 +4 *3937:67 0.00139982 +5 *3937:61 0.00127156 +6 *3937:37 0.00633034 +7 *3937:36 0.00549639 +8 *3937:33 0.00192893 +9 *3937:24 0.00342003 +10 *3937:17 0.00192685 +11 *8627:DIODE *4031:81 0 +12 *8627:DIODE *4254:54 0 +13 *8627:DIODE *5189:34 0 +14 *3937:17 *4006:69 0 +15 *3937:17 *4059:42 0 +16 *3937:17 *4078:26 0 +17 *3937:17 *4107:83 0 +18 *3937:24 *38086:B 0 +19 *3937:24 *41050:A 0 +20 *3937:24 *3964:26 0 +21 *3937:24 *4008:99 0 +22 *3937:24 *4028:19 0 +23 *3937:24 *4059:42 0 +24 *3937:24 *4078:26 0 +25 *3937:24 *4078:41 0 +26 *3937:24 *4107:83 0 +27 *3937:24 *4230:99 0 +28 *3937:24 *4291:79 0 +29 *3937:33 *3988:11 0 +30 *3937:33 *4011:27 0 +31 *3937:33 *4088:27 0 +32 *3937:33 *4095:85 0 +33 *3937:33 *4095:89 0 +34 *3937:33 *4289:36 0 +35 *3937:36 *4106:26 0 +36 *3937:37 *4011:31 0 +37 *3937:37 *4011:35 0 +38 *3937:37 *4011:37 0 +39 *3937:37 *4106:27 0 +40 *3937:37 *4263:17 0 +41 *3937:61 *6414:DIODE 0 +42 *3937:61 *8649:DIODE 0 +43 *3937:61 *3964:33 0 +44 *3937:61 *4011:62 0 +45 *3937:61 *5151:17 0 +46 *3937:67 *4028:45 0 +47 *7984:DIODE *3937:67 0 +48 *8307:DIODE *8627:DIODE 0 +49 *8623:DIODE *3937:61 0 +50 *39567:A *8627:DIODE 0 +51 *39992:A *3937:17 0 +52 *1442:10 *3937:24 0 +53 *2365:71 *3937:24 0 +54 *2412:16 *3937:24 0 +55 *2442:54 *3937:37 0 +56 *2987:124 *3937:61 0 +57 *3001:67 *3937:61 0 +58 *3348:57 *8627:DIODE 0 +59 *3506:24 *8627:DIODE 0 +60 *3715:25 *3937:24 0 +61 *3931:7 *3937:61 0 +62 *3932:21 *3937:61 0 +*RES +1 *40969:X *3937:17 26.7286 +2 *3937:17 *3937:24 41.5 +3 *3937:24 *3937:33 48.844 +4 *3937:33 *3937:36 5.6875 +5 *3937:36 *3937:37 113.893 +6 *3937:37 *3937:61 45.8036 +7 *3937:61 *3937:67 17.9643 +8 *3937:67 *8627:DIODE 43.8169 +9 *3937:67 *40968:A 9.3 +*END + +*D_NET *3938 0.00717421 +*CONN +*I *37640:B I *D sky130_fd_sc_hd__and2_1 +*I *38573:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *37640:B 0.000111341 +2 *38573:X 4.55596e-05 +3 *3938:9 0.00354155 +4 *3938:8 0.00347576 +5 *3938:9 *4495:40 0 +6 *3938:9 *4501:56 0 +7 *3938:9 *4875:47 0 +8 *3938:9 *4875:55 0 +9 *2549:19 *3938:9 0 +10 *3207:83 *3938:9 0 +*RES +1 *38573:X *3938:8 19.3357 +2 *3938:8 *3938:9 71.5893 +3 *3938:9 *37640:B 20.7821 +*END + +*D_NET *3939 0.0239194 +*CONN +*I *8628:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40969:A I *D sky130_fd_sc_hd__buf_4 +*I *40970:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8628:DIODE 6.20329e-05 +2 *40969:A 8.56922e-05 +3 *40970:X 0.00223852 +4 *3939:141 0.000803135 +5 *3939:140 0.00198335 +6 *3939:117 0.00320386 +7 *3939:99 0.0025938 +8 *3939:93 0.0031502 +9 *3939:62 0.00394105 +10 *3939:44 0.002564 +11 *3939:24 0.00329379 +12 *8628:DIODE *4008:99 0 +13 *8628:DIODE *4297:108 0 +14 *3939:24 *3980:26 0 +15 *3939:44 *4250:42 0 +16 *3939:62 *4496:52 0 +17 *3939:93 *3961:49 0 +18 *3939:93 *4017:53 0 +19 *3939:93 *4236:33 0 +20 *3939:93 *4258:34 0 +21 *3939:93 *4275:56 0 +22 *3939:93 *4306:62 0 +23 *3939:93 *4509:22 0 +24 *3939:99 *38068:A_N 0 +25 *3939:99 *38070:B 0 +26 *3939:99 *3965:66 0 +27 *3939:99 *4053:92 0 +28 *3939:99 *4060:32 0 +29 *3939:99 *4303:167 0 +30 *3939:117 *38070:A_N 0 +31 *3939:117 *38070:B 0 +32 *3939:117 *38074:B 0 +33 *3939:117 *3965:83 0 +34 *3939:117 *4017:58 0 +35 *3939:117 *4061:75 0 +36 *3939:117 *4236:65 0 +37 *3939:117 *4300:65 0 +38 *3939:117 *4516:83 0 +39 *3939:117 *4517:15 0 +40 *3939:140 *8856:DIODE 0 +41 *3939:140 *41066:A 0 +42 *3939:140 *4236:65 0 +43 *3939:140 *4408:108 0 +44 *3939:140 *4516:83 0 +45 *3939:141 *8192:DIODE 0 +46 *3939:141 *3955:8 0 +47 *3939:141 *4008:99 0 +48 *3939:141 *4107:63 0 +49 *3939:141 *4297:108 0 +50 *5250:DIODE *3939:44 0 +51 *8629:DIODE *3939:24 0 +52 *38068:B *3939:93 0 +53 *38212:A *3939:93 0 +54 *38212:B *3939:93 0 +55 *40361:A *3939:24 0 +56 *40519:A *3939:62 0 +57 *41043:A *3939:24 0 +58 *1432:30 *3939:99 0 +59 *1432:30 *3939:117 0 +60 *1505:19 *3939:93 0 +61 *1960:34 *3939:93 0 +62 *2129:29 *3939:62 0 +63 *2383:48 *3939:62 0 +64 *2394:52 *3939:44 0 +65 *2401:18 *3939:44 0 +66 *2415:50 *3939:24 0 +67 *2420:26 *3939:117 0 +68 *2427:26 *3939:62 0 +69 *2431:112 *3939:24 0 +70 *2594:28 *3939:117 0 +71 *2844:41 *3939:44 0 +72 *3140:84 *3939:24 0 +73 *3144:50 *3939:62 0 +74 *3147:19 *3939:24 0 +75 *3154:97 *3939:93 0 +76 *3187:47 *3939:117 0 +77 *3228:28 *3939:44 0 +78 *3228:28 *3939:62 0 +79 *3228:37 *3939:44 0 +80 *3233:29 *3939:44 0 +81 *3248:46 *3939:24 0 +82 *3255:13 *3939:24 0 +83 *3314:28 *3939:24 0 +84 *3317:81 *3939:117 0 +85 *3318:13 *3939:93 0 +86 *3436:16 *3939:62 0 +87 *3440:9 *3939:62 0 +88 *3441:21 *3939:117 0 +89 *3443:11 *3939:117 0 +90 *3443:11 *3939:140 0 +91 *3443:36 *3939:140 0 +92 *3443:53 *3939:140 0 +93 *3518:54 *3939:62 0 +94 *3530:41 *3939:44 0 +95 *3530:41 *3939:62 0 +96 *3797:90 *3939:62 0 +*RES +1 *40970:X *3939:24 46.9243 +2 *3939:24 *3939:44 42.1725 +3 *3939:44 *3939:62 47.2358 +4 *3939:62 *3939:93 47.3137 +5 *3939:93 *3939:99 19.7143 +6 *3939:99 *3939:117 49.0714 +7 *3939:117 *3939:140 37.4107 +8 *3939:140 *3939:141 13.6786 +9 *3939:141 *40969:A 20.2464 +10 *3939:141 *8628:DIODE 10.6571 +*END + +*D_NET *3940 0.0197871 +*CONN +*I *38388:B I *D sky130_fd_sc_hd__and2_1 +*I *6542:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40971:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *38388:B 0.000230233 +2 *6542:DIODE 0 +3 *40971:X 0.00258111 +4 *3940:26 0.00731243 +5 *3940:19 0.0096633 +6 *38388:B *3962:19 0 +7 *5524:DIODE *3940:26 0 +8 *38388:A *38388:B 0 +9 *39517:B *3940:26 0 +10 *39588:A *3940:26 0 +11 *375:41 *3940:19 0 +12 *1024:137 *3940:26 0 +13 *1448:71 *3940:19 0 +14 *1456:46 *3940:26 0 +15 *1466:38 *38388:B 0 +16 *1582:13 *38388:B 0 +17 *1587:8 *3940:26 0 +18 *1601:33 *3940:26 0 +19 *2803:61 *3940:26 0 +20 *2833:20 *3940:19 0 +21 *2839:52 *3940:19 0 +22 *2973:23 *3940:26 0 +23 *3009:71 *3940:26 0 +24 *3012:14 *3940:26 0 +25 *3290:69 *3940:26 0 +26 *3299:75 *3940:19 0 +27 *3401:27 *3940:19 0 +28 *3401:27 *3940:26 0 +29 *3401:36 *38388:B 0 +30 *3401:36 *3940:26 0 +31 *3406:112 *3940:19 0 +32 *3476:45 *3940:26 0 +33 *3495:36 *3940:26 0 +34 *3700:82 *3940:19 0 +35 *3700:82 *3940:26 0 +36 *3745:27 *3940:19 0 +37 *3764:69 *3940:26 0 +*RES +1 *40971:X *3940:19 30.7495 +2 *3940:19 *3940:26 37.3828 +3 *3940:26 *6542:DIODE 9.3 +4 *3940:26 *38388:B 23.1973 +*END + +*D_NET *3941 0.023149 +*CONN +*I *40971:A I *D sky130_fd_sc_hd__buf_6 +*I *8630:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40972:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *40971:A 0.000253926 +2 *8630:DIODE 0 +3 *40972:X 0.00134446 +4 *3941:63 0.00116035 +5 *3941:57 0.00673751 +6 *3941:56 0.00691403 +7 *3941:34 0.00284106 +8 *3941:28 0.00215565 +9 *3941:19 0.00174199 +10 *40971:A *4051:68 0 +11 *3941:19 *8875:DIODE 0 +12 *3941:19 *3987:19 0 +13 *3941:19 *4084:11 0 +14 *3941:19 *4084:31 0 +15 *3941:19 *4309:63 0 +16 *3941:28 *4034:88 0 +17 *3941:28 *4081:62 0 +18 *3941:34 *4319:25 0 +19 *3941:34 *4323:93 0 +20 *3941:34 *4327:15 0 +21 *3941:34 *4335:13 0 +22 *3941:34 *4343:15 0 +23 *3941:63 *3963:40 0 +24 *3941:63 *4051:68 0 +25 *3941:63 *4224:51 0 +26 *8482:DIODE *3941:34 0 +27 *40712:A *3941:19 0 +28 *1327:19 *3941:19 0 +29 *1561:31 *3941:56 0 +30 *2837:67 *3941:56 0 +31 *2842:14 *3941:63 0 +32 *2919:42 *3941:34 0 +33 *3010:20 *3941:19 0 +34 *3070:68 *3941:28 0 +35 *3073:29 *3941:34 0 +36 *3107:91 *3941:56 0 +37 *3107:101 *3941:34 0 +38 *3279:107 *3941:19 0 +39 *3283:81 *3941:34 0 +40 *3284:11 *3941:57 0 +41 *3301:114 *3941:63 0 +42 *3470:59 *3941:63 0 +43 *3481:57 *40971:A 0 +44 *3490:20 *3941:63 0 +45 *3509:98 *40971:A 0 +46 *3540:13 *3941:57 0 +47 *3658:98 *3941:56 0 +48 *3667:76 *3941:19 0 +49 *3684:86 *3941:57 0 +50 *3700:65 *3941:63 0 +51 *3780:49 *3941:19 0 +52 *3802:45 *3941:63 0 +*RES +1 *40972:X *3941:19 46.5679 +2 *3941:19 *3941:28 18.0893 +3 *3941:28 *3941:34 45.875 +4 *3941:34 *3941:56 33.7321 +5 *3941:56 *3941:57 121.696 +6 *3941:57 *3941:63 29.5 +7 *3941:63 *8630:DIODE 9.3 +8 *3941:63 *40971:A 23.9875 +*END + +*D_NET *3942 0.0295562 +*CONN +*I *8631:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40972:A I *D sky130_fd_sc_hd__buf_6 +*I *40973:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8631:DIODE 0.000583907 +2 *40972:A 2.0535e-05 +3 *40973:X 0.000977173 +4 *3942:151 0.00270666 +5 *3942:125 0.00404123 +6 *3942:107 0.00350802 +7 *3942:80 0.00473204 +8 *3942:70 0.00431113 +9 *3942:64 0.00248337 +10 *3942:61 0.00327511 +11 *3942:28 0.00291701 +12 *8631:DIODE *4051:24 0 +13 *8631:DIODE *4084:11 0 +14 *3942:28 *8632:DIODE 0 +15 *3942:28 *8670:DIODE 0 +16 *3942:28 *3977:47 0 +17 *3942:28 *4022:43 0 +18 *3942:28 *4113:75 0 +19 *3942:28 *4113:82 0 +20 *3942:28 *4230:53 0 +21 *3942:61 *4328:24 0 +22 *3942:61 *4341:39 0 +23 *3942:61 *4345:111 0 +24 *3942:61 *4352:19 0 +25 *3942:61 *4358:94 0 +26 *3942:61 *4372:22 0 +27 *3942:70 *4309:24 0 +28 *3942:80 *4735:14 0 +29 *3942:107 *4320:23 0 +30 *3942:107 *4340:26 0 +31 *3942:107 *4344:17 0 +32 *3942:107 *4352:58 0 +33 *3942:125 *6005:DIODE 0 +34 *3942:125 *38088:B 0 +35 *3942:125 *40387:A 0 +36 *3942:125 *4351:18 0 +37 *3942:125 *4372:39 0 +38 *3942:151 *4007:12 0 +39 *3942:151 *4041:86 0 +40 *3942:151 *4070:95 0 +41 *3942:151 *4084:11 0 +42 *3942:151 *4099:76 0 +43 *3942:151 *4351:33 0 +44 *5423:DIODE *3942:107 0 +45 *5426:DIODE *3942:151 0 +46 *5992:DIODE *3942:61 0 +47 *8030:DIODE *3942:151 0 +48 *8392:DIODE *3942:151 0 +49 *8505:DIODE *3942:151 0 +50 *37744:A *3942:125 0 +51 *38024:A_N *3942:125 0 +52 *1018:54 *3942:80 0 +53 *1204:25 *3942:61 0 +54 *1210:54 *3942:107 0 +55 *1210:69 *3942:125 0 +56 *1210:89 *3942:125 0 +57 *1222:14 *3942:28 0 +58 *1222:14 *3942:61 0 +59 *1222:32 *3942:61 0 +60 *1224:42 *3942:61 0 +61 *1271:25 *3942:125 0 +62 *1327:19 *8631:DIODE 0 +63 *1407:24 *3942:28 0 +64 *1414:44 *8631:DIODE 0 +65 *1446:29 *3942:64 0 +66 *2312:38 *3942:70 0 +67 *2417:100 *3942:80 0 +68 *2719:9 *3942:80 0 +69 *2822:32 *3942:107 0 +70 *2822:97 *8631:DIODE 0 +71 *2824:23 *3942:125 0 +72 *2832:38 *3942:61 0 +73 *2835:31 *3942:61 0 +74 *2919:91 *3942:80 0 +75 *2920:19 *3942:80 0 +76 *2931:8 *3942:64 0 +77 *2946:13 *3942:70 0 +78 *2992:44 *3942:107 0 +79 *2992:44 *3942:125 0 +80 *2995:19 *3942:151 0 +81 *3011:16 *3942:28 0 +82 *3029:46 *3942:80 0 +83 *3070:117 *3942:125 0 +84 *3159:40 *3942:107 0 +85 *3191:10 *3942:28 0 +86 *3191:28 *3942:28 0 +87 *3277:20 *3942:125 0 +88 *3317:176 *3942:80 0 +89 *3518:131 *3942:28 0 +90 *3518:131 *3942:61 0 +91 *3626:29 *3942:61 0 +92 *3653:36 *3942:61 0 +93 *3667:76 *8631:DIODE 0 +94 *3675:24 *3942:61 0 +95 *3693:36 *3942:64 0 +96 *3713:39 *3942:80 0 +97 *3726:28 *3942:61 0 +98 *3726:89 *3942:125 0 +99 *3726:114 *3942:151 0 +100 *3763:36 *3942:107 0 +101 *3766:48 *3942:61 0 +102 *3766:95 *3942:125 0 +103 *3766:95 *3942:151 0 +104 *3769:51 *3942:107 0 +105 *3784:29 *3942:61 0 +106 *3803:82 *8631:DIODE 0 +107 *3811:31 *3942:61 0 +108 *3820:101 *3942:151 0 +109 *3822:86 *3942:107 0 +*RES +1 *40973:X *3942:28 48.925 +2 *3942:28 *3942:61 44.7792 +3 *3942:61 *3942:64 34.8304 +4 *3942:64 *3942:70 33.1429 +5 *3942:70 *3942:80 46.8981 +6 *3942:80 *3942:107 40.9131 +7 *3942:107 *3942:125 49.7143 +8 *3942:125 *3942:151 46.8171 +9 *3942:151 *40972:A 9.72857 +10 *3942:151 *8631:DIODE 31.1036 +*END + +*D_NET *3943 0.0233605 +*CONN +*I *8632:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40973:A I *D sky130_fd_sc_hd__buf_4 +*I *40974:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8632:DIODE 0.000199789 +2 *40973:A 0 +3 *40974:X 0.00628231 +4 *3943:51 0.000323854 +5 *3943:50 0.00519816 +6 *3943:17 0.0113564 +7 *8632:DIODE *3977:47 0 +8 *8632:DIODE *4083:124 0 +9 *8632:DIODE *4230:53 0 +10 *3943:17 *3992:38 0 +11 *3943:17 *4125:33 0 +12 *3943:17 *4129:24 0 +13 *3943:17 *4321:26 0 +14 *3943:17 *4373:20 0 +15 *3943:17 *4379:47 0 +16 *3943:50 *6096:DIODE 0 +17 *3943:50 *4022:43 0 +18 *3943:50 *4113:75 0 +19 *3943:50 *4125:33 0 +20 *3943:50 *4129:41 0 +21 *3943:50 *4324:70 0 +22 *3943:50 *4372:22 0 +23 *3943:50 *4373:20 0 +24 *3943:50 *4379:47 0 +25 *3943:50 *4379:66 0 +26 *3943:51 *3980:80 0 +27 *3943:51 *4083:124 0 +28 *3943:51 *4230:53 0 +29 *38078:C *3943:50 0 +30 *40315:A *3943:50 0 +31 *1226:21 *3943:50 0 +32 *1229:29 *3943:50 0 +33 *1296:15 *3943:17 0 +34 *2034:18 *3943:17 0 +35 *2052:21 *3943:17 0 +36 *2228:22 *3943:17 0 +37 *2295:28 *3943:50 0 +38 *3186:11 *3943:50 0 +39 *3447:60 *3943:50 0 +40 *3716:17 *3943:17 0 +41 *3784:29 *3943:50 0 +42 *3797:24 *3943:17 0 +43 *3797:90 *3943:50 0 +44 *3801:69 *3943:50 0 +45 *3942:28 *8632:DIODE 0 +*RES +1 *40974:X *3943:17 47.5922 +2 *3943:17 *3943:50 49.7085 +3 *3943:50 *3943:51 2.58929 +4 *3943:51 *40973:A 9.3 +5 *3943:51 *8632:DIODE 13.5321 +*END + +*D_NET *3944 0.0190329 +*CONN +*I *38386:B I *D sky130_fd_sc_hd__and2_1 +*I *6540:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40975:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *38386:B 0.000220324 +2 *6540:DIODE 0 +3 *40975:X 0.00080108 +4 *3944:35 0.00142911 +5 *3944:29 0.00301969 +6 *3944:21 0.00728627 +7 *3944:19 0.00627644 +8 *3944:19 *8634:DIODE 0 +9 *3944:19 *38336:B 0 +10 *3944:19 *4216:75 0 +11 *3944:21 *6519:DIODE 0 +12 *3944:21 *8648:DIODE 0 +13 *3944:21 *38342:B 0 +14 *3944:21 *3986:13 0 +15 *3944:21 *4216:75 0 +16 *3944:21 *4216:99 0 +17 *3944:21 *4216:108 0 +18 *5489:DIODE *3944:29 0 +19 *6124:DIODE *3944:29 0 +20 *37712:B *3944:21 0 +21 *38343:A *3944:21 0 +22 *38387:A *38386:B 0 +23 *40570:A *3944:19 0 +24 *370:55 *3944:29 0 +25 *1255:7 *3944:21 0 +26 *1567:19 *3944:19 0 +27 *1722:10 *3944:29 0 +28 *1831:16 *38386:B 0 +29 *1831:17 *3944:21 0 +30 *1851:5 *38386:B 0 +31 *1851:5 *3944:35 0 +32 *2811:81 *3944:29 0 +33 *2825:47 *3944:19 0 +34 *2825:49 *3944:19 0 +35 *2825:49 *3944:21 0 +36 *2825:59 *3944:21 0 +37 *3039:52 *3944:29 0 +38 *3039:52 *3944:35 0 +39 *3394:73 *3944:29 0 +40 *3399:31 *3944:35 0 +41 *3399:38 *38386:B 0 +42 *3399:38 *3944:35 0 +43 *3476:31 *3944:29 0 +44 *3495:19 *3944:19 0 +*RES +1 *40975:X *3944:19 26.3 +2 *3944:19 *3944:21 114.304 +3 *3944:21 *3944:29 47.1071 +4 *3944:29 *3944:35 25.3214 +5 *3944:35 *6540:DIODE 9.3 +6 *3944:35 *38386:B 13.9607 +*END + +*D_NET *3945 0.0219875 +*CONN +*I *8634:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40975:A I *D sky130_fd_sc_hd__buf_6 +*I *40976:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8634:DIODE 0.000193412 +2 *40975:A 6.50276e-05 +3 *40976:X 0.00121836 +4 *3945:52 0.00101152 +5 *3945:38 0.00238318 +6 *3945:25 0.00838462 +7 *3945:23 0.00713378 +8 *3945:18 0.00159762 +9 *8634:DIODE *3950:75 0 +10 *8634:DIODE *4216:75 0 +11 *3945:18 *8635:DIODE 0 +12 *3945:18 *4040:15 0 +13 *3945:18 *4069:5 0 +14 *3945:23 *4051:47 0 +15 *3945:25 *3990:21 0 +16 *3945:25 *4051:47 0 +17 *3945:38 *4729:10 0 +18 *3945:38 *4897:22 0 +19 *3945:52 *38042:B 0 +20 *3945:52 *4223:35 0 +21 *8491:DIODE *3945:18 0 +22 *8491:DIODE *3945:23 0 +23 *38313:A *3945:52 0 +24 *1208:53 *3945:25 0 +25 *1333:9 *3945:38 0 +26 *1333:24 *3945:25 0 +27 *1423:21 *3945:38 0 +28 *1456:36 *3945:52 0 +29 *1569:15 *3945:25 0 +30 *1826:28 *3945:38 0 +31 *1826:50 *3945:25 0 +32 *2426:25 *3945:25 0 +33 *2434:15 *3945:18 0 +34 *2440:31 *3945:25 0 +35 *2801:33 *3945:38 0 +36 *2811:59 *3945:52 0 +37 *2822:123 *3945:23 0 +38 *2822:123 *3945:25 0 +39 *2825:47 *8634:DIODE 0 +40 *2825:47 *40975:A 0 +41 *3218:36 *3945:25 0 +42 *3284:29 *3945:38 0 +43 *3286:90 *3945:52 0 +44 *3286:114 *3945:52 0 +45 *3432:102 *3945:38 0 +46 *3509:110 *3945:52 0 +47 *3666:13 *3945:23 0 +48 *3666:28 *3945:25 0 +49 *3780:49 *3945:18 0 +50 *3780:61 *3945:18 0 +51 *3802:45 *3945:25 0 +52 *3802:45 *3945:38 0 +53 *3944:19 *8634:DIODE 0 +*RES +1 *40976:X *3945:18 48.7286 +2 *3945:18 *3945:23 12.4464 +3 *3945:23 *3945:25 141 +4 *3945:25 *3945:38 48.7946 +5 *3945:38 *3945:52 21.6339 +6 *3945:52 *40975:A 10.6571 +7 *3945:52 *8634:DIODE 22.5321 +*END + +*D_NET *3946 0.0313547 +*CONN +*I *8635:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40976:A I *D sky130_fd_sc_hd__buf_4 +*I *40977:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8635:DIODE 0.0006283 +2 *40976:A 0 +3 *40977:X 0.00189522 +4 *3946:50 0.0006283 +5 *3946:48 0.00218436 +6 *3946:47 0.00279892 +7 *3946:43 0.00234533 +8 *3946:35 0.00893943 +9 *3946:34 0.00862412 +10 *3946:31 0.0033107 +11 *8635:DIODE *4069:5 0 +12 *3946:31 *8636:DIODE 0 +13 *3946:31 *3991:13 0 +14 *3946:31 *3998:45 0 +15 *3946:31 *4006:45 0 +16 *3946:31 *4341:39 0 +17 *3946:34 *4714:14 0 +18 *3946:35 *4021:11 0 +19 *3946:43 *4314:25 0 +20 *362:8 *3946:35 0 +21 *366:8 *3946:35 0 +22 *368:9 *3946:43 0 +23 *373:16 *3946:48 0 +24 *1014:94 *3946:48 0 +25 *1027:74 *3946:48 0 +26 *1413:15 *3946:31 0 +27 *1428:23 *3946:47 0 +28 *1446:29 *3946:31 0 +29 *1516:25 *3946:35 0 +30 *2367:16 *3946:48 0 +31 *2429:14 *3946:48 0 +32 *2459:10 *3946:48 0 +33 *2480:16 *3946:43 0 +34 *2840:27 *3946:31 0 +35 *2956:16 *3946:35 0 +36 *3081:38 *3946:48 0 +37 *3112:82 *3946:31 0 +38 *3275:39 *3946:48 0 +39 *3362:26 *3946:34 0 +40 *3427:78 *3946:48 0 +41 *3625:28 *3946:48 0 +42 *3650:93 *8635:DIODE 0 +43 *3654:15 *3946:43 0 +44 *3654:15 *3946:47 0 +45 *3664:9 *3946:35 0 +46 *3720:50 *3946:34 0 +47 *3780:49 *8635:DIODE 0 +48 *3796:22 *3946:34 0 +49 *3796:29 *3946:34 0 +50 *3810:9 *8635:DIODE 0 +51 *3945:18 *8635:DIODE 0 +*RES +1 *40977:X *3946:31 45.5792 +2 *3946:31 *3946:34 36.6518 +3 *3946:34 *3946:35 150.446 +4 *3946:35 *3946:43 45.2857 +5 *3946:43 *3946:47 17.3571 +6 *3946:47 *3946:48 49.6071 +7 *3946:48 *3946:50 4.5 +8 *3946:50 *40976:A 9.3 +9 *3946:50 *8635:DIODE 31.4964 +*END + +*D_NET *3947 0.0230527 +*CONN +*I *8636:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40977:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *40978:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8636:DIODE 0.000429639 +2 *40977:A 0.000311641 +3 *40978:X 0.000566713 +4 *3947:27 0.00784428 +5 *3947:9 0.0102183 +6 *3947:8 0.00368205 +7 *8636:DIODE *3988:11 0 +8 *8636:DIODE *4081:24 0 +9 *40977:A *3991:13 0 +10 *40977:A *4006:45 0 +11 *40977:A *4119:89 0 +12 *3947:9 *4053:41 0 +13 *3947:9 *4096:11 0 +14 *3947:9 *4096:25 0 +15 *3947:9 *4108:9 0 +16 *3947:27 *3988:11 0 +17 *3947:27 *3989:67 0 +18 *3947:27 *3992:38 0 +19 *3947:27 *4006:12 0 +20 *3947:27 *4020:20 0 +21 *3947:27 *4041:29 0 +22 *3947:27 *4041:54 0 +23 *3947:27 *4064:40 0 +24 *3947:27 *4096:44 0 +25 *3947:27 *4105:45 0 +26 *3947:27 *4128:22 0 +27 *3947:27 *4230:46 0 +28 *3947:27 *4292:22 0 +29 *3947:27 *5150:21 0 +30 *41057:A *3947:8 0 +31 *1224:71 *8636:DIODE 0 +32 *1229:51 *3947:27 0 +33 *1229:65 *8636:DIODE 0 +34 *1229:65 *3947:27 0 +35 *1438:30 *3947:27 0 +36 *1446:29 *8636:DIODE 0 +37 *1972:20 *3947:9 0 +38 *1990:16 *3947:8 0 +39 *1999:52 *3947:27 0 +40 *2008:13 *3947:8 0 +41 *2073:72 *3947:27 0 +42 *2439:14 *3947:27 0 +43 *2671:57 *3947:27 0 +44 *2832:38 *3947:27 0 +45 *3054:24 *3947:27 0 +46 *3055:104 *3947:27 0 +47 *3196:10 *3947:27 0 +48 *3320:156 *3947:27 0 +49 *3323:30 *3947:27 0 +50 *3330:37 *3947:27 0 +51 *3747:30 *3947:27 0 +52 *3747:72 *8636:DIODE 0 +53 *3796:38 *8636:DIODE 0 +54 *3946:31 *8636:DIODE 0 +*RES +1 *40978:X *3947:8 31.175 +2 *3947:8 *3947:9 65.0179 +3 *3947:9 *3947:27 44.9127 +4 *3947:27 *40977:A 33.0761 +5 *3947:27 *8636:DIODE 22.5944 +*END + +*D_NET *3948 0.0198053 +*CONN +*I *38384:B I *D sky130_fd_sc_hd__and2_1 +*I *6538:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40979:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *38384:B 0.000206049 +2 *6538:DIODE 0 +3 *40979:X 0.0014699 +4 *3948:35 0.00843273 +5 *3948:28 0.00969659 +6 *3948:28 *3953:48 0 +7 *3948:28 *4018:109 0 +8 *3948:28 *4026:124 0 +9 *3948:28 *4240:28 0 +10 *3948:35 *3953:62 0 +11 *3948:35 *3953:104 0 +12 *3948:35 *3999:56 0 +13 *3948:35 *5176:9 0 +14 *38384:A *38384:B 0 +15 *39417:B *3948:35 0 +16 *1014:118 *3948:35 0 +17 *1214:43 *3948:28 0 +18 *1252:12 *3948:35 0 +19 *1589:22 *3948:35 0 +20 *2414:22 *3948:28 0 +21 *2808:17 *3948:28 0 +22 *3117:12 *3948:28 0 +23 *3392:93 *3948:35 0 +24 *3392:107 *3948:35 0 +25 *3396:24 *3948:35 0 +26 *3398:71 *3948:35 0 +27 *3398:94 *3948:35 0 +28 *3421:65 *3948:35 0 +29 *3935:47 *38384:B 0 +30 *3935:47 *3948:35 0 +*RES +1 *40979:X *3948:28 47.5329 +2 *3948:28 *3948:35 42.9702 +3 *3948:35 *6538:DIODE 9.3 +4 *3948:35 *38384:B 22.7464 +*END + +*D_NET *3949 0.00705167 +*CONN +*I *37642:B I *D sky130_fd_sc_hd__and2_1 +*I *38574:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *37642:B 0.000510737 +2 *38574:X 0.00119196 +3 *3949:44 0.00233388 +4 *3949:16 0.0030151 +5 *37642:B *4135:45 0 +6 *3949:16 *3971:12 0 +7 *3949:44 *4878:61 0 +8 *1227:26 *3949:44 0 +9 *1982:31 *3949:16 0 +10 *2051:22 *3949:16 0 +11 *2051:33 *3949:16 0 +12 *2089:16 *3949:16 0 +13 *2390:49 *3949:44 0 +14 *2404:16 *3949:44 0 +15 *2433:22 *3949:44 0 +16 *3158:16 *3949:44 0 +17 *3270:42 *3949:44 0 +18 *3316:17 *3949:44 0 +19 *3316:29 *3949:44 0 +20 *3327:61 *37642:B 0 +21 *3440:38 *3949:44 0 +22 *3508:18 *3949:44 0 +23 *3786:90 *37642:B 0 +*RES +1 *38574:X *3949:16 47.9696 +2 *3949:16 *3949:44 44.3546 +3 *3949:44 *37642:B 25.3446 +*END + +*D_NET *3950 0.018906 +*CONN +*I *8637:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40979:A I *D sky130_fd_sc_hd__buf_6 +*I *40980:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *8637:DIODE 0.000239147 +2 *40979:A 0 +3 *40980:X 0.0012463 +4 *3950:83 0.000996346 +5 *3950:75 0.00215648 +6 *3950:51 0.00421942 +7 *3950:49 0.00374614 +8 *3950:41 0.00299095 +9 *3950:18 0.00331125 +10 *8637:DIODE *4018:109 0 +11 *3950:18 *8700:DIODE 0 +12 *3950:18 *4026:30 0 +13 *3950:18 *4028:45 0 +14 *3950:18 *4031:81 0 +15 *3950:18 *4263:29 0 +16 *3950:18 *4322:22 0 +17 *3950:41 *8262:DIODE 0 +18 *3950:41 *8861:DIODE 0 +19 *3950:41 *4026:30 0 +20 *3950:41 *4026:45 0 +21 *3950:41 *4026:62 0 +22 *3950:49 *38120:B 0 +23 *3950:49 *4026:62 0 +24 *3950:51 *4018:95 0 +25 *3950:51 *4026:62 0 +26 *3950:51 *4026:68 0 +27 *3950:51 *4322:93 0 +28 *3950:75 *3986:11 0 +29 *3950:75 *4018:95 0 +30 *3950:75 *4073:79 0 +31 *3950:75 *4216:75 0 +32 *3950:83 *6447:DIODE 0 +33 *3950:83 *38310:B 0 +34 *3950:83 *4073:79 0 +35 *8296:DIODE *3950:83 0 +36 *8634:DIODE *3950:75 0 +37 *38114:C *3950:51 0 +38 *39966:A *3950:51 0 +39 *1449:59 *3950:51 0 +40 *1450:80 *3950:51 0 +41 *1454:24 *3950:41 0 +42 *1454:69 *3950:51 0 +43 *1459:28 *3950:51 0 +44 *1459:40 *3950:75 0 +45 *1564:16 *3950:51 0 +46 *1846:32 *3950:83 0 +47 *2366:112 *3950:18 0 +48 *2388:48 *3950:83 0 +49 *2800:17 *3950:75 0 +50 *2809:11 *3950:75 0 +51 *2815:70 *3950:75 0 +52 *2815:70 *3950:83 0 +53 *2825:47 *3950:75 0 +54 *3088:14 *3950:75 0 +55 *3107:73 *3950:41 0 +56 *3286:72 *3950:75 0 +57 *3348:75 *3950:18 0 +58 *3409:37 *3950:18 0 +59 *3409:84 *3950:41 0 +60 *3411:164 *3950:51 0 +61 *3413:60 *3950:41 0 +62 *3417:67 *3950:41 0 +63 *3418:13 *3950:41 0 +64 *3434:56 *3950:51 0 +65 *3470:40 *3950:51 0 +66 *3481:68 *8637:DIODE 0 +67 *3481:68 *3950:83 0 +68 *3501:34 *3950:41 0 +69 *3936:40 *3950:51 0 +*RES +1 *40980:X *3950:18 48.8089 +2 *3950:18 *3950:41 48.1875 +3 *3950:41 *3950:49 19.5893 +4 *3950:49 *3950:51 58.8571 +5 *3950:51 *3950:75 47.3214 +6 *3950:75 *3950:83 25.125 +7 *3950:83 *40979:A 9.3 +8 *3950:83 *8637:DIODE 14.3536 +*END + +*D_NET *3951 0.0323403 +*CONN +*I *40980:A I *D sky130_fd_sc_hd__buf_6 +*I *8638:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40981:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *40980:A 0 +2 *8638:DIODE 0.000884391 +3 *40981:X 0.000143745 +4 *3951:39 0.00237316 +5 *3951:29 0.00306318 +6 *3951:23 0.00327459 +7 *3951:11 0.00962712 +8 *3951:10 0.00792695 +9 *3951:8 0.00245173 +10 *3951:7 0.00259547 +11 *8638:DIODE *41245:A 0 +12 *8638:DIODE *4244:10 0 +13 *3951:7 *40981:A 0 +14 *3951:7 *4008:48 0 +15 *3951:7 *4020:113 0 +16 *3951:7 *4166:60 0 +17 *3951:8 *8710:DIODE 0 +18 *3951:8 *8736:DIODE 0 +19 *3951:8 *41092:A 0 +20 *3951:8 *4031:17 0 +21 *3951:8 *4061:146 0 +22 *3951:8 *4070:63 0 +23 *3951:8 *4358:94 0 +24 *3951:11 *4031:21 0 +25 *3951:11 *4613:13 0 +26 *3951:11 *4613:15 0 +27 *3951:23 *4031:21 0 +28 *3951:23 *4241:81 0 +29 *3951:29 *8706:DIODE 0 +30 *3951:29 *4245:40 0 +31 *3951:29 *4351:33 0 +32 *3951:39 *4000:23 0 +33 *3951:39 *4005:32 0 +34 *3951:39 *4028:41 0 +35 *3951:39 *4220:23 0 +36 *3951:39 *4245:51 0 +37 *3951:39 *5151:17 0 +38 *7984:DIODE *8638:DIODE 0 +39 *40086:A *3951:11 0 +40 *1226:80 *3951:7 0 +41 *1226:80 *3951:8 0 +42 *1228:19 *3951:7 0 +43 *1337:12 *3951:29 0 +44 *1536:23 *3951:8 0 +45 *1542:17 *3951:39 0 +46 *2367:8 *3951:39 0 +47 *2369:53 *3951:8 0 +48 *2417:90 *3951:11 0 +49 *2436:44 *3951:8 0 +50 *2818:38 *3951:29 0 +51 *2837:38 *3951:29 0 +52 *2840:34 *3951:8 0 +53 *2842:23 *3951:23 0 +54 *2924:19 *3951:23 0 +55 *2943:17 *3951:23 0 +56 *2943:23 *3951:11 0 +57 *2958:28 *3951:23 0 +58 *2987:124 *3951:39 0 +59 *3001:38 *3951:23 0 +60 *3047:33 *3951:11 0 +61 *3050:11 *3951:11 0 +62 *3050:11 *3951:23 0 +63 *3050:17 *3951:23 0 +64 *3081:63 *3951:23 0 +65 *3110:7 *8638:DIODE 0 +66 *3166:29 *3951:11 0 +67 *3166:33 *3951:11 0 +68 *3278:19 *3951:23 0 +69 *3499:48 *3951:11 0 +70 *3652:40 *3951:23 0 +71 *3688:8 *3951:8 0 +72 *3688:32 *3951:29 0 +73 *3688:37 *3951:29 0 +74 *3742:40 *3951:8 0 +75 *3765:22 *3951:29 0 +*RES +1 *40981:X *3951:7 16.8 +2 *3951:7 *3951:8 55.6786 +3 *3951:8 *3951:10 4.5 +4 *3951:10 *3951:11 165.438 +5 *3951:11 *3951:23 49.2768 +6 *3951:23 *3951:29 39.2917 +7 *3951:29 *3951:39 46.3839 +8 *3951:39 *8638:DIODE 37.1571 +9 *3951:39 *40980:A 9.3 +*END + +*D_NET *3952 0.0233679 +*CONN +*I *40981:A I *D sky130_fd_sc_hd__buf_4 +*I *8639:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40982:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40981:A 0.000347738 +2 *8639:DIODE 0 +3 *40982:X 0.00150992 +4 *3952:122 0.00133669 +5 *3952:108 0.00273713 +6 *3952:80 0.0038977 +7 *3952:75 0.00319936 +8 *3952:72 0.00200187 +9 *3952:52 0.00243277 +10 *3952:40 0.0029378 +11 *3952:26 0.00296697 +12 *40981:A *4020:113 0 +13 *40981:A *4230:74 0 +14 *3952:26 *4032:37 0 +15 *3952:26 *4039:18 0 +16 *3952:26 *4061:51 0 +17 *3952:26 *4246:27 0 +18 *3952:26 *4250:23 0 +19 *3952:26 *4303:43 0 +20 *3952:26 *4303:81 0 +21 *3952:72 *4046:70 0 +22 *3952:72 *4301:47 0 +23 *3952:108 *3956:80 0 +24 *3952:108 *4049:43 0 +25 *3952:108 *4221:48 0 +26 *3952:108 *4301:67 0 +27 *3952:108 *4301:79 0 +28 *3952:122 *4279:39 0 +29 *3952:122 *4294:67 0 +30 *8523:DIODE *3952:52 0 +31 *8902:DIODE *3952:52 0 +32 *37782:A *3952:40 0 +33 *37782:B *3952:26 0 +34 *37782:B *3952:40 0 +35 *38072:C *3952:122 0 +36 *40276:A *3952:72 0 +37 *41285:A *3952:26 0 +38 *1220:14 *3952:80 0 +39 *1435:19 *3952:122 0 +40 *1969:40 *3952:52 0 +41 *1979:57 *3952:52 0 +42 *2671:44 *3952:108 0 +43 *3084:62 *40981:A 0 +44 *3147:19 *3952:40 0 +45 *3153:24 *3952:72 0 +46 *3173:51 *3952:40 0 +47 *3202:48 *3952:108 0 +48 *3219:45 *3952:72 0 +49 *3236:53 *3952:72 0 +50 *3279:51 *3952:122 0 +51 *3319:33 *3952:80 0 +52 *3322:56 *3952:52 0 +53 *3327:53 *3952:52 0 +54 *3327:53 *3952:72 0 +55 *3327:61 *3952:72 0 +56 *3327:61 *3952:75 0 +57 *3327:61 *3952:80 0 +58 *3442:99 *3952:80 0 +59 *3446:16 *3952:52 0 +60 *3446:28 *3952:52 0 +61 *3446:89 *3952:122 0 +62 *3532:24 *3952:108 0 +63 *3534:58 *3952:122 0 +64 *3534:92 *3952:72 0 +65 *3534:92 *3952:75 0 +66 *3534:105 *3952:52 0 +67 *3653:36 *3952:108 0 +68 *3951:7 *40981:A 0 +*RES +1 *40982:X *3952:26 47.7818 +2 *3952:26 *3952:40 49.1071 +3 *3952:40 *3952:52 44.75 +4 *3952:52 *3952:72 38.8393 +5 *3952:72 *3952:75 21.9107 +6 *3952:75 *3952:80 49.6786 +7 *3952:80 *3952:108 46.8208 +8 *3952:108 *3952:122 35.4821 +9 *3952:122 *8639:DIODE 13.8 +10 *3952:122 *40981:A 21.5679 +*END + +*D_NET *3953 0.0214313 +*CONN +*I *38382:B I *D sky130_fd_sc_hd__and2_1 +*I *6535:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40983:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *38382:B 0.000294227 +2 *6535:DIODE 0 +3 *40983:X 0.00126035 +4 *3953:104 0.000876491 +5 *3953:94 0.00344536 +6 *3953:72 0.00488227 +7 *3953:68 0.00261061 +8 *3953:62 0.0019503 +9 *3953:48 0.0031051 +10 *3953:17 0.00300659 +11 *3953:17 *3966:14 0 +12 *3953:17 *3981:67 0 +13 *3953:48 *4013:98 0 +14 *3953:48 *4219:105 0 +15 *3953:48 *4223:62 0 +16 *3953:48 *4240:28 0 +17 *3953:72 *4223:78 0 +18 *3953:94 *3966:14 0 +19 *3953:94 *4223:103 0 +20 *38386:A *3953:94 0 +21 *39514:A *3953:94 0 +22 *40487:A *3953:17 0 +23 *1023:101 *3953:94 0 +24 *1252:12 *3953:62 0 +25 *1589:10 *38382:B 0 +26 *1610:7 *3953:104 0 +27 *1742:6 *3953:94 0 +28 *1744:16 *3953:62 0 +29 *1850:11 *3953:62 0 +30 *1851:26 *3953:62 0 +31 *2806:71 *3953:17 0 +32 *2808:35 *3953:72 0 +33 *2970:56 *3953:17 0 +34 *2985:14 *3953:94 0 +35 *2988:24 *3953:94 0 +36 *3391:64 *3953:68 0 +37 *3391:74 *3953:68 0 +38 *3394:108 *3953:94 0 +39 *3396:24 *3953:62 0 +40 *3396:49 *3953:94 0 +41 *3397:31 *3953:48 0 +42 *3397:35 *3953:48 0 +43 *3397:35 *3953:62 0 +44 *3397:35 *3953:68 0 +45 *3398:94 *3953:94 0 +46 *3398:98 *3953:94 0 +47 *3398:98 *3953:104 0 +48 *3400:108 *3953:17 0 +49 *3400:116 *3953:17 0 +50 *3402:166 *3953:17 0 +51 *3407:55 *3953:62 0 +52 *3699:16 *3953:68 0 +53 *3699:17 *38382:B 0 +54 *3935:25 *3953:72 0 +55 *3935:29 *3953:72 0 +56 *3935:44 *3953:94 0 +57 *3948:28 *3953:48 0 +58 *3948:35 *3953:62 0 +59 *3948:35 *3953:104 0 +*RES +1 *40983:X *3953:17 48.8798 +2 *3953:17 *3953:48 47.0382 +3 *3953:48 *3953:62 43.3766 +4 *3953:62 *3953:68 21.625 +5 *3953:68 *3953:72 46.6875 +6 *3953:72 *3953:94 48.19 +7 *3953:94 *3953:104 21.6786 +8 *3953:104 *6535:DIODE 9.3 +9 *3953:104 *38382:B 25.1571 +*END + +*D_NET *3954 0.0193472 +*CONN +*I *40983:A I *D sky130_fd_sc_hd__buf_6 +*I *8641:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40984:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *40983:A 6.85346e-05 +2 *8641:DIODE 0.000413595 +3 *40984:X 0.00139995 +4 *3954:99 0.0012086 +5 *3954:86 0.00272515 +6 *3954:65 0.00369967 +7 *3954:53 0.00331638 +8 *3954:34 0.00336541 +9 *3954:14 0.00314996 +10 *8641:DIODE *3975:106 0 +11 *3954:34 *8261:DIODE 0 +12 *3954:34 *40246:A 0 +13 *3954:34 *3958:25 0 +14 *3954:34 *3999:26 0 +15 *3954:34 *4240:21 0 +16 *3954:34 *4270:66 0 +17 *3954:53 *41003:A 0 +18 *3954:53 *3975:29 0 +19 *3954:53 *3975:51 0 +20 *3954:53 *3976:67 0 +21 *3954:53 *4219:42 0 +22 *3954:53 *5084:82 0 +23 *3954:65 *40236:A 0 +24 *3954:65 *4219:42 0 +25 *3954:86 *38128:B 0 +26 *3954:86 *40223:A 0 +27 *3954:86 *4013:67 0 +28 *3954:86 *4411:102 0 +29 *3954:86 *4427:131 0 +30 *3954:99 *3981:67 0 +31 *3954:99 *4219:85 0 +32 *3954:99 *4411:145 0 +33 *6138:DIODE *3954:65 0 +34 *6510:DIODE *3954:86 0 +35 *8305:DIODE *3954:14 0 +36 *8469:DIODE *3954:53 0 +37 *38326:A *3954:34 0 +38 *40588:A *3954:14 0 +39 *40777:A *3954:53 0 +40 *1450:45 *3954:53 0 +41 *1458:18 *3954:65 0 +42 *1580:45 *8641:DIODE 0 +43 *2414:41 *40983:A 0 +44 *2414:89 *3954:53 0 +45 *3023:58 *3954:34 0 +46 *3110:7 *3954:14 0 +47 *3119:10 *3954:14 0 +48 *3288:36 *40983:A 0 +49 *3402:120 *3954:65 0 +50 *3402:157 *3954:65 0 +51 *3406:45 *3954:14 0 +52 *3406:49 *3954:34 0 +53 *3406:51 *3954:53 0 +54 *3406:51 *3954:65 0 +55 *3406:65 *3954:65 0 +56 *3410:55 *3954:34 0 +57 *3410:128 *3954:65 0 +58 *3412:40 *3954:14 0 +59 *3412:106 *3954:65 0 +60 *3413:94 *3954:34 0 +61 *3416:54 *3954:14 0 +62 *3416:54 *3954:34 0 +63 *3417:94 *3954:34 0 +64 *3417:94 *3954:53 0 +65 *3478:48 *3954:53 0 +66 *3478:61 *3954:65 0 +67 *3478:68 *3954:65 0 +68 *3478:68 *3954:86 0 +69 *3478:75 *3954:86 0 +70 *3501:34 *3954:34 0 +71 *3696:80 *3954:14 0 +72 *3767:39 *3954:99 0 +*RES +1 *40984:X *3954:14 47.6393 +2 *3954:14 *3954:34 47.4754 +3 *3954:34 *3954:53 47.4464 +4 *3954:53 *3954:65 35.75 +5 *3954:65 *3954:86 46.9643 +6 *3954:86 *3954:99 33.5357 +7 *3954:99 *8641:DIODE 22.6393 +8 *3954:99 *40983:A 15.3714 +*END + +*D_NET *3955 0.0245476 +*CONN +*I *8642:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40984:A I *D sky130_fd_sc_hd__buf_6 +*I *40985:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8642:DIODE 0.000673235 +2 *40984:A 0 +3 *40985:X 0.00152746 +4 *3955:55 0.00156992 +5 *3955:49 0.00272719 +6 *3955:43 0.00555291 +7 *3955:41 0.00479193 +8 *3955:38 0.00259085 +9 *3955:26 0.00255397 +10 *3955:8 0.00256012 +11 *8642:DIODE *4232:40 0 +12 *8642:DIODE *5189:34 0 +13 *3955:8 *8192:DIODE 0 +14 *3955:8 *38090:C 0 +15 *3955:8 *4020:129 0 +16 *3955:8 *4297:108 0 +17 *3955:8 *4516:85 0 +18 *3955:26 *41238:A 0 +19 *3955:26 *4055:82 0 +20 *3955:26 *4278:23 0 +21 *3955:38 *4008:102 0 +22 *3955:38 *4011:24 0 +23 *3955:38 *4034:48 0 +24 *3955:38 *4052:27 0 +25 *3955:38 *4059:51 0 +26 *3955:38 *4107:101 0 +27 *3955:38 *4235:14 0 +28 *3955:38 *5151:10 0 +29 *3955:41 *3991:84 0 +30 *3955:41 *4005:23 0 +31 *3955:41 *4078:58 0 +32 *3955:41 *4078:72 0 +33 *3955:41 *4092:69 0 +34 *3955:43 *4000:23 0 +35 *3955:43 *4005:23 0 +36 *3955:43 *4078:72 0 +37 *3955:49 *4028:29 0 +38 *3955:49 *4319:37 0 +39 *3955:49 *5151:11 0 +40 *3955:55 *4005:32 0 +41 *3955:55 *4074:65 0 +42 *3955:55 *4106:47 0 +43 *3955:55 *4263:28 0 +44 *7574:DIODE *8642:DIODE 0 +45 *38092:C *3955:26 0 +46 *1437:59 *3955:38 0 +47 *1445:22 *3955:26 0 +48 *2367:8 *3955:55 0 +49 *2378:94 *8642:DIODE 0 +50 *2378:94 *3955:55 0 +51 *2380:60 *3955:55 0 +52 *2382:70 *8642:DIODE 0 +53 *2972:84 *8642:DIODE 0 +54 *3073:17 *8642:DIODE 0 +55 *3107:91 *3955:49 0 +56 *3124:17 *3955:26 0 +57 *3183:61 *3955:38 0 +58 *3184:84 *8642:DIODE 0 +59 *3410:33 *3955:55 0 +60 *3412:40 *8642:DIODE 0 +61 *3412:40 *3955:55 0 +62 *3434:45 *3955:55 0 +63 *3443:53 *3955:8 0 +64 *3443:53 *3955:26 0 +65 *3507:42 *3955:55 0 +66 *3696:62 *8642:DIODE 0 +67 *3696:80 *8642:DIODE 0 +68 *3726:68 *3955:41 0 +69 *3939:141 *3955:8 0 +*RES +1 *40985:X *3955:8 45.7643 +2 *3955:8 *3955:26 44.3571 +3 *3955:26 *3955:38 37.6189 +4 *3955:38 *3955:41 22.3214 +5 *3955:41 *3955:43 77.75 +6 *3955:43 *3955:49 47.4107 +7 *3955:49 *3955:55 29.0357 +8 *3955:55 *40984:A 9.3 +9 *3955:55 *8642:DIODE 44.4961 +*END + +*D_NET *3956 0.0262163 +*CONN +*I *40985:A I *D sky130_fd_sc_hd__buf_4 +*I *8643:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40986:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40985:A 0.000228888 +2 *8643:DIODE 4.53482e-05 +3 *40986:X 0.00334301 +4 *3956:80 0.00267939 +5 *3956:50 0.00949088 +6 *3956:24 0.0104287 +7 *8643:DIODE *4279:39 0 +8 *8643:DIODE *4294:67 0 +9 *40985:A *4408:108 0 +10 *40985:A *4516:83 0 +11 *3956:24 *4243:32 0 +12 *3956:24 *4375:19 0 +13 *3956:50 *3961:49 0 +14 *3956:50 *4098:58 0 +15 *3956:50 *4125:58 0 +16 *3956:50 *4129:41 0 +17 *3956:50 *4255:13 0 +18 *3956:50 *4321:26 0 +19 *3956:50 *4358:51 0 +20 *3956:50 *4365:34 0 +21 *3956:50 *4374:21 0 +22 *3956:80 *4001:74 0 +23 *3956:80 *4035:49 0 +24 *3956:80 *4112:119 0 +25 *3956:80 *4276:20 0 +26 *3956:80 *4295:58 0 +27 *5263:DIODE *40985:A 0 +28 *37405:A *40985:A 0 +29 *40287:A *3956:50 0 +30 *1218:36 *3956:80 0 +31 *1237:27 *3956:50 0 +32 *1432:41 *3956:80 0 +33 *1531:43 *3956:50 0 +34 *2101:27 *3956:50 0 +35 *2232:63 *3956:24 0 +36 *2272:78 *3956:24 0 +37 *2289:30 *3956:24 0 +38 *2345:19 *3956:24 0 +39 *2346:25 *3956:24 0 +40 *2347:16 *3956:24 0 +41 *2421:73 *3956:80 0 +42 *2437:40 *3956:24 0 +43 *2671:44 *3956:80 0 +44 *2962:87 *3956:24 0 +45 *3229:18 *3956:80 0 +46 *3279:51 *3956:80 0 +47 *3323:30 *3956:50 0 +48 *3345:78 *3956:24 0 +49 *3355:42 *3956:24 0 +50 *3372:48 *3956:24 0 +51 *3447:25 *3956:24 0 +52 *3447:40 *3956:50 0 +53 *3526:67 *3956:50 0 +54 *3546:50 *3956:50 0 +55 *3653:36 *3956:80 0 +56 *3674:14 *3956:50 0 +57 *3685:40 *3956:50 0 +58 *3720:16 *3956:50 0 +59 *3733:20 *3956:50 0 +60 *3763:21 *3956:50 0 +61 *3784:59 *3956:80 0 +62 *3812:77 *3956:50 0 +63 *3817:83 *3956:50 0 +64 *3821:15 *3956:24 0 +65 *3952:108 *3956:80 0 +*RES +1 *40986:X *3956:24 49.2455 +2 *3956:24 *3956:50 46.7632 +3 *3956:50 *3956:80 37.2526 +4 *3956:80 *8643:DIODE 14.7464 +5 *3956:80 *40985:A 19.0143 +*END + +*D_NET *3957 0.0194019 +*CONN +*I *38380:B I *D sky130_fd_sc_hd__and2_1 +*I *6532:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40987:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *38380:B 0.00021519 +2 *6532:DIODE 0 +3 *40987:X 0.00107619 +4 *3957:51 0.0021104 +5 *3957:50 0.00368299 +6 *3957:29 0.0040554 +7 *3957:27 0.00238323 +8 *3957:15 0.00245896 +9 *3957:13 0.00341953 +10 *3957:13 *3975:118 0 +11 *3957:13 *3981:67 0 +12 *3957:13 *4228:49 0 +13 *3957:15 *38124:B 0 +14 *3957:15 *3975:118 0 +15 *3957:15 *4223:71 0 +16 *7491:DIODE *3957:29 0 +17 *38132:A_N *3957:51 0 +18 *39518:A *3957:27 0 +19 *39518:A *3957:29 0 +20 *39518:B *3957:29 0 +21 *40487:A *3957:13 0 +22 *40967:A *3957:13 0 +23 *288:11 *3957:50 0 +24 *1017:93 *3957:50 0 +25 *1252:12 *3957:50 0 +26 *1589:10 *38380:B 0 +27 *1589:10 *3957:50 0 +28 *1589:10 *3957:51 0 +29 *1589:22 *3957:50 0 +30 *1590:30 *3957:51 0 +31 *1599:24 *3957:50 0 +32 *1851:17 *3957:29 0 +33 *1851:26 *3957:15 0 +34 *1851:29 *3957:13 0 +35 *1851:29 *3957:15 0 +36 *2999:55 *3957:29 0 +37 *2999:55 *3957:50 0 +38 *2999:63 *38380:B 0 +39 *2999:63 *3957:51 0 +40 *3028:44 *3957:13 0 +41 *3392:93 *3957:50 0 +42 *3394:108 *3957:50 0 +43 *3394:108 *3957:51 0 +44 *3397:31 *3957:13 0 +45 *3397:31 *3957:15 0 +46 *3397:35 *3957:15 0 +47 *3397:35 *3957:27 0 +48 *3398:75 *3957:29 0 +49 *3398:94 *3957:50 0 +50 *3399:16 *3957:13 0 +51 *3400:116 *3957:13 0 +52 *3478:98 *3957:13 0 +53 *3699:17 *38380:B 0 +54 *3936:79 *3957:13 0 +*RES +1 *40987:X *3957:13 40.9429 +2 *3957:13 *3957:15 49 +3 *3957:15 *3957:27 11.6607 +4 *3957:27 *3957:29 47.3571 +5 *3957:29 *3957:50 46.4148 +6 *3957:50 *3957:51 39.5536 +7 *3957:51 *6532:DIODE 9.3 +8 *3957:51 *38380:B 13.8536 +*END + +*D_NET *3958 0.0182329 +*CONN +*I *8645:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40987:A I *D sky130_fd_sc_hd__buf_6 +*I *40988:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8645:DIODE 0.000216885 +2 *40987:A 5.08884e-05 +3 *40988:X 0.00258438 +4 *3958:25 0.00653207 +5 *3958:19 0.00884867 +6 *8645:DIODE *4240:28 0 +7 *3958:19 *3976:60 0 +8 *3958:19 *4014:66 0 +9 *3958:19 *4232:40 0 +10 *3958:19 *4404:44 0 +11 *3958:19 *4404:67 0 +12 *3958:19 *4596:48 0 +13 *3958:19 *5189:34 0 +14 *3958:25 *3976:60 0 +15 *3958:25 *4015:55 0 +16 *3958:25 *4030:18 0 +17 *3958:25 *4044:49 0 +18 *3958:25 *4232:40 0 +19 *3958:25 *4232:43 0 +20 *3958:25 *4240:21 0 +21 *3958:25 *4240:28 0 +22 *3958:25 *4251:92 0 +23 *3958:25 *4404:91 0 +24 *3958:25 *4596:48 0 +25 *281:51 *3958:25 0 +26 *1002:53 *3958:25 0 +27 *1214:43 *8645:DIODE 0 +28 *1214:43 *3958:25 0 +29 *1451:24 *3958:25 0 +30 *1453:30 *3958:25 0 +31 *1568:22 *3958:25 0 +32 *2382:70 *3958:19 0 +33 *2981:128 *3958:19 0 +34 *3028:25 *3958:19 0 +35 *3110:7 *3958:19 0 +36 *3348:57 *3958:19 0 +37 *3417:119 *3958:25 0 +38 *3469:49 *3958:25 0 +39 *3487:45 *3958:19 0 +40 *3745:49 *3958:25 0 +41 *3954:34 *3958:25 0 +*RES +1 *40988:X *3958:19 39.8682 +2 *3958:19 *3958:25 22.7308 +3 *3958:25 *40987:A 18.3975 +4 *3958:25 *8645:DIODE 19.7876 +*END + +*D_NET *3959 0.0254539 +*CONN +*I *8646:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40988:A I *D sky130_fd_sc_hd__buf_4 +*I *40989:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8646:DIODE 0.000991349 +2 *40988:A 0 +3 *40989:X 0.00283733 +4 *3959:54 0.00254545 +5 *3959:43 0.00293165 +6 *3959:27 0.0066773 +7 *3959:26 0.00596661 +8 *3959:23 0.00350418 +9 *8646:DIODE *8700:DIODE 0 +10 *8646:DIODE *8703:DIODE 0 +11 *8646:DIODE *4026:30 0 +12 *8646:DIODE *4278:37 0 +13 *3959:23 *3995:25 0 +14 *3959:23 *4008:99 0 +15 *3959:23 *4012:105 0 +16 *3959:23 *4017:117 0 +17 *3959:23 *4246:50 0 +18 *3959:23 *4255:20 0 +19 *3959:23 *4272:38 0 +20 *3959:23 *4276:20 0 +21 *3959:26 *4008:102 0 +22 *3959:26 *4059:51 0 +23 *3959:27 *4028:29 0 +24 *3959:27 *4092:58 0 +25 *3959:27 *4248:45 0 +26 *3959:27 *5151:11 0 +27 *3959:43 *4054:22 0 +28 *3959:43 *4094:9 0 +29 *3959:43 *4319:37 0 +30 *3959:43 *4357:21 0 +31 *3959:54 *4030:18 0 +32 *3959:54 *4031:81 0 +33 *3959:54 *5189:34 0 +34 *39992:A *3959:23 0 +35 *40242:A *8646:DIODE 0 +36 *1218:48 *3959:23 0 +37 *2822:149 *8646:DIODE 0 +38 *2981:92 *3959:54 0 +39 *3070:32 *3959:54 0 +40 *3084:34 *3959:23 0 +41 *3092:17 *3959:26 0 +42 *3163:40 *3959:54 0 +43 *3301:42 *3959:54 0 +44 *3313:47 *3959:43 0 +45 *3410:33 *8646:DIODE 0 +46 *3410:55 *8646:DIODE 0 +47 *3417:47 *8646:DIODE 0 +48 *3428:21 *3959:54 0 +49 *3443:53 *3959:23 0 +50 *3443:88 *3959:26 0 +51 *3507:60 *8646:DIODE 0 +52 *3532:24 *3959:23 0 +53 *3682:32 *3959:54 0 +54 *3682:46 *3959:54 0 +55 *3696:62 *3959:54 0 +*RES +1 *40989:X *3959:23 41.7039 +2 *3959:23 *3959:26 19.6518 +3 *3959:26 *3959:27 110.607 +4 *3959:27 *3959:43 47.3571 +5 *3959:43 *3959:54 33.3964 +6 *3959:54 *40988:A 9.3 +7 *3959:54 *8646:DIODE 39.2286 +*END + +*D_NET *3960 0.0147406 +*CONN +*I *8271:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40516:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38575:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8271:DIODE 0.000205476 +2 *40516:A 0 +3 *38575:X 0.00536007 +4 *3960:35 0.00201023 +5 *3960:18 0.00716482 +6 *8271:DIODE *3977:137 0 +7 *3960:18 *3973:49 0 +8 *3960:18 *4878:61 0 +9 *3960:35 *4233:54 0 +10 *3960:35 *4275:56 0 +11 *7997:DIODE *8271:DIODE 0 +12 *40589:A *3960:35 0 +13 *2386:63 *3960:35 0 +14 *2425:42 *8271:DIODE 0 +15 *2425:42 *3960:35 0 +16 *2435:52 *3960:18 0 +17 *2505:26 *3960:18 0 +18 *3032:20 *3960:18 0 +19 *3086:51 *3960:18 0 +20 *3234:27 *3960:35 0 +21 *3261:53 *8271:DIODE 0 +22 *3272:51 *8271:DIODE 0 +23 *3515:28 *8271:DIODE 0 +24 *3515:28 *3960:35 0 +25 *3525:29 *3960:35 0 +26 *3744:63 *3960:18 0 +27 *3792:41 *3960:18 0 +*RES +1 *38575:X *3960:18 47.7052 +2 *3960:18 *3960:35 29.2532 +3 *3960:35 *40516:A 9.3 +4 *3960:35 *8271:DIODE 22.9786 +*END + +*D_NET *3961 0.0219298 +*CONN +*I *40989:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8647:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40990:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40989:A 0.000177429 +2 *8647:DIODE 0.000260004 +3 *40990:X 0.000540069 +4 *3961:49 0.00694369 +5 *3961:30 0.00787569 +6 *3961:11 0.00348113 +7 *3961:8 0.00265175 +8 *8647:DIODE *4012:105 0 +9 *8647:DIODE *4294:77 0 +10 *40989:A *3995:25 0 +11 *40989:A *4261:51 0 +12 *3961:11 *4239:17 0 +13 *3961:11 *4273:31 0 +14 *3961:30 *3969:72 0 +15 *3961:30 *4055:24 0 +16 *3961:30 *4105:45 0 +17 *3961:30 *4108:43 0 +18 *3961:30 *4262:38 0 +19 *3961:30 *4299:23 0 +20 *3961:30 *4409:15 0 +21 *3961:49 *37654:B 0 +22 *3961:49 *3995:25 0 +23 *3961:49 *4039:112 0 +24 *3961:49 *4075:76 0 +25 *3961:49 *4079:57 0 +26 *3961:49 *4116:43 0 +27 *3961:49 *4222:22 0 +28 *3961:49 *4227:57 0 +29 *3961:49 *4248:24 0 +30 *3961:49 *4252:25 0 +31 *3961:49 *4253:87 0 +32 *3961:49 *4255:13 0 +33 *3961:49 *4258:34 0 +34 *3961:49 *4261:27 0 +35 *3961:49 *4261:51 0 +36 *3961:49 *4272:28 0 +37 *3961:49 *4273:50 0 +38 *3961:49 *4516:58 0 +39 *1430:18 *3961:49 0 +40 *2047:16 *3961:30 0 +41 *2077:68 *3961:30 0 +42 *2113:22 *3961:8 0 +43 *3054:24 *3961:30 0 +44 *3192:66 *3961:49 0 +45 *3210:87 *3961:49 0 +46 *3327:18 *3961:8 0 +47 *3327:92 *3961:49 0 +48 *3330:37 *3961:30 0 +49 *3354:12 *3961:30 0 +50 *3939:93 *3961:49 0 +51 *3956:50 *3961:49 0 +*RES +1 *40990:X *3961:8 30.5679 +2 *3961:8 *3961:11 48.5714 +3 *3961:11 *3961:30 36.8149 +4 *3961:30 *3961:49 35.1532 +5 *3961:49 *8647:DIODE 22.8975 +6 *3961:49 *40989:A 19.5721 +*END + +*D_NET *3962 0.0187493 +*CONN +*I *6529:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38378:B I *D sky130_fd_sc_hd__and2_1 +*I *40991:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *6529:DIODE 0 +2 *38378:B 0.00023872 +3 *40991:X 0.00187788 +4 *3962:21 0.00213778 +5 *3962:19 0.00350828 +6 *3962:15 0.00322977 +7 *3962:11 0.00374978 +8 *3962:7 0.00400711 +9 *3962:7 *6501:DIODE 0 +10 *3962:7 *6524:DIODE 0 +11 *3962:7 *3978:127 0 +12 *3962:7 *4624:13 0 +13 *3962:7 *4908:11 0 +14 *3962:15 *4744:16 0 +15 *3962:19 *4619:11 0 +16 *6106:DIODE *3962:15 0 +17 *6518:DIODE *3962:7 0 +18 *8024:DIODE *3962:7 0 +19 *38052:A_N *3962:7 0 +20 *38054:A_N *3962:11 0 +21 *38054:A_N *3962:15 0 +22 *38075:A *3962:15 0 +23 *38371:A *3962:7 0 +24 *38388:B *3962:19 0 +25 *39411:B *3962:21 0 +26 *40610:A *3962:7 0 +27 *1466:57 *3962:21 0 +28 *1615:11 *3962:21 0 +29 *1716:13 *3962:19 0 +30 *1716:13 *3962:21 0 +31 *1831:29 *3962:7 0 +32 *1831:29 *3962:11 0 +33 *1839:12 *3962:15 0 +34 *1839:12 *3962:19 0 +35 *1839:23 *3962:11 0 +36 *1839:23 *3962:15 0 +37 *2388:20 *3962:15 0 +38 *2388:23 *3962:7 0 +39 *2388:23 *3962:11 0 +40 *2388:48 *3962:7 0 +41 *2800:75 *3962:11 0 +42 *3006:20 *3962:15 0 +43 *3009:71 *3962:19 0 +44 *3288:84 *3962:11 0 +45 *3498:51 *3962:7 0 +46 *3498:53 *3962:7 0 +47 *3539:10 *3962:11 0 +*RES +1 *40991:X *3962:7 48.4607 +2 *3962:7 *3962:11 44.5 +3 *3962:11 *3962:15 33.8214 +4 *3962:15 *3962:19 33.6161 +5 *3962:19 *3962:21 39.7589 +6 *3962:21 *38378:B 14.2821 +7 *3962:21 *6529:DIODE 9.3 +*END + +*D_NET *3963 0.0198368 +*CONN +*I *40991:A I *D sky130_fd_sc_hd__buf_6 +*I *8648:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40992:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *40991:A 4.53482e-05 +2 *8648:DIODE 0.000265241 +3 *40992:X 0.000484496 +4 *3963:88 0.00082693 +5 *3963:84 0.00199415 +6 *3963:56 0.002836 +7 *3963:40 0.00335917 +8 *3963:34 0.0034321 +9 *3963:19 0.00376998 +10 *3963:10 0.00282335 +11 *8648:DIODE *4216:75 0 +12 *8648:DIODE *4216:99 0 +13 *3963:10 *41006:A 0 +14 *3963:10 *5151:17 0 +15 *3963:19 *6471:DIODE 0 +16 *3963:19 *4018:38 0 +17 *3963:19 *4220:73 0 +18 *3963:34 *6169:DIODE 0 +19 *3963:34 *38290:B 0 +20 *3963:34 *38332:B 0 +21 *3963:34 *4048:79 0 +22 *3963:34 *4217:39 0 +23 *3963:34 *4360:66 0 +24 *3963:56 *3987:43 0 +25 *3963:84 *4065:19 0 +26 *3963:84 *4065:45 0 +27 *3963:84 *4223:35 0 +28 *3963:84 *4318:79 0 +29 *3963:88 *4223:62 0 +30 *3963:88 *4318:79 0 +31 *6042:DIODE *3963:84 0 +32 *6046:DIODE *3963:88 0 +33 *6449:DIODE *3963:84 0 +34 *8449:DIODE *3963:19 0 +35 *38329:A *3963:19 0 +36 *39572:A *3963:84 0 +37 *39611:A *3963:19 0 +38 *1019:42 *3963:88 0 +39 *1416:17 *3963:19 0 +40 *1448:64 *3963:56 0 +41 *1544:7 *3963:34 0 +42 *1547:22 *3963:34 0 +43 *1572:12 *3963:34 0 +44 *1581:29 *3963:84 0 +45 *1849:21 *3963:84 0 +46 *2370:19 *3963:84 0 +47 *2382:70 *3963:19 0 +48 *2388:48 *40991:A 0 +49 *2414:126 *3963:19 0 +50 *2837:67 *3963:10 0 +51 *3014:78 *3963:19 0 +52 *3288:69 *40991:A 0 +53 *3297:81 *3963:56 0 +54 *3310:87 *3963:19 0 +55 *3409:37 *3963:10 0 +56 *3425:7 *3963:19 0 +57 *3481:43 *3963:34 0 +58 *3481:48 *3963:34 0 +59 *3498:43 *3963:56 0 +60 *3499:79 *3963:34 0 +61 *3509:68 *3963:34 0 +62 *3509:110 *3963:84 0 +63 *3509:123 *3963:88 0 +64 *3700:18 *3963:19 0 +65 *3745:27 *3963:56 0 +66 *3746:19 *3963:10 0 +67 *3746:19 *3963:19 0 +68 *3931:9 *3963:40 0 +69 *3931:9 *3963:84 0 +70 *3931:34 *3963:84 0 +71 *3931:43 *3963:84 0 +72 *3941:63 *3963:40 0 +73 *3944:21 *8648:DIODE 0 +*RES +1 *40992:X *3963:10 28.4964 +2 *3963:10 *3963:19 48.875 +3 *3963:19 *3963:34 48.6607 +4 *3963:34 *3963:40 49.8654 +5 *3963:40 *3963:56 49.3065 +6 *3963:56 *3963:84 45.0536 +7 *3963:84 *3963:88 15.5893 +8 *3963:88 *8648:DIODE 19.4607 +9 *3963:88 *40991:A 14.7464 +*END + +*D_NET *3964 0.0211601 +*CONN +*I *8649:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40992:A I *D sky130_fd_sc_hd__buf_6 +*I *40993:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8649:DIODE 0.000663972 +2 *40992:A 0 +3 *40993:X 0.00142224 +4 *3964:33 0.000886367 +5 *3964:27 0.0074704 +6 *3964:26 0.00827146 +7 *3964:15 0.00244569 +8 *8649:DIODE *4005:39 0 +9 *3964:15 *5624:DIODE 0 +10 *3964:15 *8663:DIODE 0 +11 *3964:15 *4008:72 0 +12 *3964:15 *4230:90 0 +13 *3964:15 *4230:99 0 +14 *3964:15 *4291:75 0 +15 *3964:26 *4119:109 0 +16 *3964:26 *4229:28 0 +17 *3964:26 *4230:99 0 +18 *3964:27 *4066:31 0 +19 *3964:27 *4066:45 0 +20 *3964:27 *4263:17 0 +21 *3964:27 *4407:13 0 +22 *3964:33 *5151:17 0 +23 *7994:DIODE *8649:DIODE 0 +24 *40298:A *3964:15 0 +25 *40302:A *3964:15 0 +26 *1439:17 *3964:15 0 +27 *2378:58 *8649:DIODE 0 +28 *2413:98 *3964:15 0 +29 *2419:88 *3964:26 0 +30 *2419:97 *3964:26 0 +31 *2986:13 *8649:DIODE 0 +32 *3000:32 *8649:DIODE 0 +33 *3001:67 *8649:DIODE 0 +34 *3167:59 *3964:26 0 +35 *3177:26 *3964:15 0 +36 *3181:18 *3964:15 0 +37 *3183:67 *3964:15 0 +38 *3274:37 *3964:15 0 +39 *3274:74 *3964:26 0 +40 *3279:94 *3964:26 0 +41 *3702:125 *3964:15 0 +42 *3715:25 *3964:26 0 +43 *3932:11 *8649:DIODE 0 +44 *3932:11 *3964:33 0 +45 *3937:24 *3964:26 0 +46 *3937:61 *8649:DIODE 0 +47 *3937:61 *3964:33 0 +*RES +1 *40993:X *3964:15 48.0679 +2 *3964:15 *3964:26 39.6786 +3 *3964:26 *3964:27 151.268 +4 *3964:27 *3964:33 13.75 +5 *3964:33 *40992:A 9.3 +6 *3964:33 *8649:DIODE 32.4964 +*END + +*D_NET *3965 0.0216377 +*CONN +*I *40993:A I *D sky130_fd_sc_hd__buf_4 +*I *8650:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40994:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40993:A 0.000348594 +2 *8650:DIODE 4.53482e-05 +3 *40994:X 0.00208493 +4 *3965:96 0.00215845 +5 *3965:83 0.00355586 +6 *3965:66 0.00261279 +7 *3965:43 0.0047841 +8 *3965:20 0.00604761 +9 *40993:A *5624:DIODE 0 +10 *40993:A *41246:A 0 +11 *40993:A *4078:26 0 +12 *3965:20 *4072:20 0 +13 *3965:20 *4072:51 0 +14 *3965:20 *4125:15 0 +15 *3965:20 *4166:35 0 +16 *3965:20 *4298:20 0 +17 *3965:43 *4035:49 0 +18 *3965:43 *4053:66 0 +19 *3965:43 *4075:76 0 +20 *3965:43 *4242:22 0 +21 *3965:43 *4261:27 0 +22 *3965:43 *4262:38 0 +23 *3965:43 *4269:36 0 +24 *3965:43 *4280:24 0 +25 *3965:43 *4510:30 0 +26 *3965:43 *4514:27 0 +27 *3965:43 *4514:46 0 +28 *3965:66 *8871:DIODE 0 +29 *3965:66 *38068:A_N 0 +30 *3965:66 *38070:B 0 +31 *3965:66 *4053:92 0 +32 *3965:66 *4253:93 0 +33 *3965:66 *4303:167 0 +34 *3965:66 *4514:27 0 +35 *3965:83 *4279:39 0 +36 *3965:83 *4516:83 0 +37 *3965:96 *4121:50 0 +38 *3965:96 *4246:50 0 +39 *3965:96 *4295:58 0 +40 *8629:DIODE *3965:20 0 +41 *38070:C *3965:83 0 +42 *40271:A *3965:20 0 +43 *40525:A *3965:83 0 +44 *1432:30 *3965:83 0 +45 *1432:41 *3965:83 0 +46 *2077:68 *3965:20 0 +47 *2117:26 *3965:20 0 +48 *3084:34 *3965:96 0 +49 *3143:25 *3965:20 0 +50 *3187:47 *3965:96 0 +51 *3210:93 *3965:43 0 +52 *3236:86 *3965:20 0 +53 *3443:11 *3965:83 0 +54 *3443:53 *8650:DIODE 0 +55 *3449:37 *3965:20 0 +56 *3532:24 *3965:96 0 +57 *3939:99 *3965:66 0 +58 *3939:117 *3965:83 0 +*RES +1 *40994:X *3965:20 43.3744 +2 *3965:20 *3965:43 47.9634 +3 *3965:43 *3965:66 44.6071 +4 *3965:66 *3965:83 46.625 +5 *3965:83 *3965:96 26.1413 +6 *3965:96 *8650:DIODE 14.7464 +7 *3965:96 *40993:A 21.5857 +*END + +*D_NET *3966 0.019122 +*CONN +*I *38376:B I *D sky130_fd_sc_hd__and2_1 +*I *6526:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40995:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *38376:B 0.000238078 +2 *6526:DIODE 0 +3 *40995:X 2.55425e-05 +4 *3966:14 0.00953545 +5 *3966:8 0.00932291 +6 *3966:8 *6473:DIODE 0 +7 *3966:14 *38358:B 0 +8 *3966:14 *4223:62 0 +9 *3966:14 *4228:22 0 +10 *3966:14 *4228:49 0 +11 *3966:14 *4240:28 0 +12 *3966:14 *4322:93 0 +13 *38363:A *3966:14 0 +14 *38368:A *3966:14 0 +15 *38376:A *38376:B 0 +16 *1252:12 *3966:14 0 +17 *1458:18 *3966:14 0 +18 *1466:38 *3966:14 0 +19 *1587:7 *38376:B 0 +20 *2806:71 *3966:14 0 +21 *2808:34 *3966:14 0 +22 *2988:24 *3966:14 0 +23 *2999:54 *3966:14 0 +24 *3039:46 *3966:14 0 +25 *3395:106 *3966:14 0 +26 *3399:16 *3966:14 0 +27 *3402:157 *3966:14 0 +28 *3402:166 *3966:14 0 +29 *3935:44 *38376:B 0 +30 *3953:17 *3966:14 0 +31 *3953:94 *3966:14 0 +*RES +1 *40995:X *3966:8 17.7904 +2 *3966:8 *3966:14 41.8169 +3 *3966:14 *6526:DIODE 9.3 +4 *3966:14 *38376:B 14.55 +*END + +*D_NET *3967 0.0318619 +*CONN +*I *40995:A I *D sky130_fd_sc_hd__buf_6 +*I *8652:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40996:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *40995:A 0.000143745 +2 *8652:DIODE 0 +3 *40996:X 0 +4 *3967:42 0.000143745 +5 *3967:40 0.00364168 +6 *3967:39 0.00576021 +7 *3967:35 0.00319876 +8 *3967:29 0.00621955 +9 *3967:28 0.0062276 +10 *3967:6 0.00380745 +11 *3967:5 0.00271916 +12 *40995:A *4013:33 0 +13 *3967:6 *40996:A 0 +14 *3967:6 *4718:6 0 +15 *3967:29 *3983:21 0 +16 *3967:35 *3983:21 0 +17 *3967:35 *3983:33 0 +18 *3967:35 *4898:5 0 +19 *3967:39 *4898:5 0 +20 *3967:40 *37748:B 0 +21 *3967:40 *4018:86 0 +22 *3967:40 *4894:6 0 +23 *5428:DIODE *3967:6 0 +24 *377:33 *3967:35 0 +25 *385:8 *3967:39 0 +26 *1450:80 *3967:40 0 +27 *1451:39 *3967:40 0 +28 *1552:19 *3967:39 0 +29 *1572:21 *3967:35 0 +30 *1826:50 *3967:40 0 +31 *2369:34 *3967:6 0 +32 *2414:55 *40995:A 0 +33 *2426:12 *3967:40 0 +34 *2447:6 *3967:40 0 +35 *2447:14 *3967:39 0 +36 *2448:14 *3967:35 0 +37 *2448:14 *3967:39 0 +38 *2463:9 *3967:39 0 +39 *2464:8 *3967:6 0 +40 *2473:21 *3967:40 0 +41 *2480:16 *3967:6 0 +42 *2481:16 *3967:29 0 +43 *2481:16 *3967:35 0 +44 *2717:30 *3967:6 0 +45 *2814:26 *3967:6 0 +46 *2997:20 *3967:40 0 +47 *3406:70 *3967:40 0 +48 *3418:38 *3967:40 0 +49 *3490:20 *3967:40 0 +50 *3522:35 *3967:6 0 +51 *3625:16 *3967:6 0 +52 *3625:20 *3967:6 0 +53 *3625:50 *3967:40 0 +54 *3678:32 *3967:6 0 +55 *3684:34 *3967:6 0 +56 *3729:19 *3967:28 0 +57 *3729:19 *3967:29 0 +58 *3735:27 *3967:29 0 +59 *3770:28 *3967:28 0 +60 *3770:31 *3967:29 0 +61 *3770:31 *3967:35 0 +*RES +1 *40996:X *3967:5 13.8 +2 *3967:5 *3967:6 61.75 +3 *3967:6 *3967:28 46.4464 +4 *3967:28 *3967:29 107.321 +5 *3967:29 *3967:35 22.5446 +6 *3967:35 *3967:39 48.7768 +7 *3967:39 *3967:40 82.6964 +8 *3967:40 *3967:42 4.5 +9 *3967:42 *8652:DIODE 9.3 +10 *3967:42 *40995:A 12.3 +*END + +*D_NET *3968 0.0251457 +*CONN +*I *40996:A I *D sky130_fd_sc_hd__buf_6 +*I *8653:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40997:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *40996:A 0.00077564 +2 *8653:DIODE 0 +3 *40997:X 0.00100133 +4 *3968:35 0.0023803 +5 *3968:23 0.00928561 +6 *3968:22 0.00919123 +7 *3968:16 0.00251161 +8 *3968:16 *4053:92 0 +9 *3968:16 *4075:126 0 +10 *3968:22 *4367:61 0 +11 *3968:35 *4007:12 0 +12 *1224:42 *3968:16 0 +13 *1338:14 *3968:35 0 +14 *1515:6 *3968:22 0 +15 *1559:39 *3968:23 0 +16 *1560:45 *3968:23 0 +17 *2369:35 *3968:23 0 +18 *2384:63 *40996:A 0 +19 *2384:63 *3968:35 0 +20 *2413:45 *3968:23 0 +21 *2814:11 *3968:35 0 +22 *2822:55 *40996:A 0 +23 *2840:34 *3968:23 0 +24 *2840:48 *3968:23 0 +25 *3252:37 *3968:23 0 +26 *3283:37 *3968:23 0 +27 *3298:40 *3968:35 0 +28 *3310:40 *3968:35 0 +29 *3358:81 *3968:16 0 +30 *3447:103 *3968:22 0 +31 *3518:122 *3968:16 0 +32 *3522:35 *40996:A 0 +33 *3625:16 *40996:A 0 +34 *3667:56 *40996:A 0 +35 *3674:68 *3968:22 0 +36 *3678:32 *3968:35 0 +37 *3720:41 *3968:23 0 +38 *3733:52 *3968:23 0 +39 *3756:25 *40996:A 0 +40 *3758:53 *40996:A 0 +41 *3803:82 *40996:A 0 +42 *3808:60 *3968:16 0 +43 *3967:6 *40996:A 0 +*RES +1 *40997:X *3968:16 49.6214 +2 *3968:16 *3968:22 42.8214 +3 *3968:22 *3968:23 160.304 +4 *3968:23 *3968:35 34.3606 +5 *3968:35 *8653:DIODE 9.3 +6 *3968:35 *40996:A 44.925 +*END + +*D_NET *3969 0.021605 +*CONN +*I *40997:A I *D sky130_fd_sc_hd__buf_4 +*I *8654:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40998:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40997:A 0.000162112 +2 *8654:DIODE 0 +3 *40998:X 0.000441573 +4 *3969:72 0.00441539 +5 *3969:35 0.00672283 +6 *3969:33 0.00430508 +7 *3969:28 0.003476 +8 *3969:18 0.00208204 +9 *40997:A *4017:58 0 +10 *40997:A *4053:92 0 +11 *3969:33 *4262:15 0 +12 *3969:35 *4062:19 0 +13 *3969:35 *4262:19 0 +14 *3969:72 *8722:DIODE 0 +15 *3969:72 *4012:18 0 +16 *3969:72 *4035:49 0 +17 *3969:72 *4075:76 0 +18 *3969:72 *4108:43 0 +19 *3969:72 *4116:43 0 +20 *3969:72 *4117:51 0 +21 *3969:72 *4227:23 0 +22 *3969:72 *4227:57 0 +23 *3969:72 *4242:22 0 +24 *3969:72 *4258:34 0 +25 *3969:72 *4261:27 0 +26 *3969:72 *4295:53 0 +27 *3969:72 *4303:167 0 +28 *3969:72 *4305:50 0 +29 *3969:72 *4307:21 0 +30 *37736:B *3969:72 0 +31 *41037:A *3969:72 0 +32 *1519:19 *3969:18 0 +33 *1960:13 *3969:18 0 +34 *1965:21 *3969:28 0 +35 *1967:16 *3969:28 0 +36 *1972:12 *3969:33 0 +37 *2085:25 *3969:28 0 +38 *2097:8 *3969:33 0 +39 *2097:12 *3969:33 0 +40 *2097:12 *3969:35 0 +41 *2125:33 *3969:28 0 +42 *3086:56 *40997:A 0 +43 *3132:10 *3969:18 0 +44 *3153:89 *3969:28 0 +45 *3157:5 *3969:72 0 +46 *3219:80 *3969:28 0 +47 *3243:51 *3969:28 0 +48 *3327:92 *3969:72 0 +49 *3759:19 *3969:72 0 +50 *3961:30 *3969:72 0 +*RES +1 *40998:X *3969:18 28.425 +2 *3969:18 *3969:28 48.125 +3 *3969:28 *3969:33 42.8393 +4 *3969:33 *3969:35 51.6027 +5 *3969:35 *3969:72 48.5673 +6 *3969:72 *8654:DIODE 13.8 +7 *3969:72 *40997:A 17.4964 +*END + +*D_NET *3970 0.0194906 +*CONN +*I *6524:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38374:B I *D sky130_fd_sc_hd__and2_1 +*I *40999:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *6524:DIODE 0.000200697 +2 *38374:B 0 +3 *40999:X 0.00492721 +4 *3970:34 0.0048181 +5 *3970:19 0.00954461 +6 *6524:DIODE *4908:11 0 +7 *3970:19 *41029:A 0 +8 *3970:19 *41241:A 0 +9 *3970:19 *4003:26 0 +10 *3970:19 *4003:42 0 +11 *3970:19 *4073:22 0 +12 *3970:19 *4228:22 0 +13 *3970:19 *4229:69 0 +14 *3970:19 *4256:77 0 +15 *3970:19 *4360:66 0 +16 *3970:19 *4360:104 0 +17 *3970:19 *4360:117 0 +18 *3970:19 *5151:31 0 +19 *3970:19 *5182:81 0 +20 *3970:34 *4003:42 0 +21 *3970:34 *4322:103 0 +22 *3970:34 *4360:117 0 +23 *1454:41 *3970:19 0 +24 *1454:58 *3970:19 0 +25 *1456:22 *3970:34 0 +26 *1586:17 *6524:DIODE 0 +27 *2388:62 *3970:34 0 +28 *2800:17 *3970:34 0 +29 *2811:20 *3970:19 0 +30 *2968:34 *3970:34 0 +31 *3408:24 *3970:34 0 +32 *3409:138 *3970:19 0 +33 *3409:138 *3970:34 0 +34 *3434:56 *3970:19 0 +35 *3498:25 *3970:19 0 +36 *3682:46 *3970:19 0 +37 *3724:53 *3970:34 0 +38 *3734:21 *3970:34 0 +39 *3734:40 *3970:34 0 +40 *3904:80 *3970:19 0 +41 *3962:7 *6524:DIODE 0 +*RES +1 *40999:X *3970:19 49.8971 +2 *3970:19 *3970:34 27.5439 +3 *3970:34 *38374:B 13.8 +4 *3970:34 *6524:DIODE 18.2286 +*END + +*D_NET *3971 0.0104469 +*CONN +*I *5466:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37646:B I *D sky130_fd_sc_hd__and2_1 +*I *38576:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5466:DIODE 0.000143745 +2 *37646:B 0 +3 *38576:X 0.00127383 +4 *3971:38 0.000463233 +5 *3971:33 0.00234843 +6 *3971:20 0.0034864 +7 *3971:12 0.00273128 +8 *5466:DIODE *4113:75 0 +9 *3971:33 *4298:55 0 +10 la_data_in_mprj[93] *3971:20 0 +11 *502:5 *3971:12 0 +12 *1222:14 *5466:DIODE 0 +13 *1236:11 *3971:12 0 +14 *1537:22 *3971:33 0 +15 *2040:28 *3971:12 0 +16 *2040:48 *3971:12 0 +17 *2051:22 *3971:12 0 +18 *2051:33 *3971:12 0 +19 *2399:9 *3971:20 0 +20 *2407:13 *3971:20 0 +21 *3086:56 *3971:33 0 +22 *3134:59 *3971:33 0 +23 *3444:17 *3971:12 0 +24 *3782:69 *3971:12 0 +25 *3808:60 *3971:33 0 +26 *3811:14 *5466:DIODE 0 +27 *3949:16 *3971:12 0 +*RES +1 *38576:X *3971:12 49.8 +2 *3971:12 *3971:20 39.9107 +3 *3971:20 *3971:33 47.7542 +4 *3971:33 *3971:38 15.1689 +5 *3971:38 *37646:B 9.3 +6 *3971:38 *5466:DIODE 12.3 +*END + +*D_NET *3972 0.0218993 +*CONN +*I *40999:A I *D sky130_fd_sc_hd__buf_6 +*I *8656:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41000:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *40999:A 0 +2 *8656:DIODE 0.000471918 +3 *41000:X 0.00132376 +4 *3972:39 0.00207066 +5 *3972:34 0.00209891 +6 *3972:29 0.00665298 +7 *3972:27 0.00705506 +8 *3972:24 0.00222602 +9 *8656:DIODE *4010:27 0 +10 *8656:DIODE *4054:66 0 +11 *3972:24 *8853:DIODE 0 +12 *3972:24 *41050:A 0 +13 *3972:24 *4017:117 0 +14 *3972:24 *4078:41 0 +15 *3972:24 *4230:99 0 +16 *3972:24 *4261:51 0 +17 *3972:24 *4261:62 0 +18 *3972:24 *4276:20 0 +19 *3972:34 *4000:35 0 +20 *3972:34 *5189:34 0 +21 *3972:39 *4005:39 0 +22 *3972:39 *4011:62 0 +23 *3972:39 *4054:48 0 +24 *3972:39 *4073:10 0 +25 *3972:39 *4073:22 0 +26 *3972:39 *4245:51 0 +27 *3972:39 *4263:28 0 +28 *3972:39 *5151:31 0 +29 *38092:C *3972:24 0 +30 *39611:A *3972:39 0 +31 *40316:A *3972:27 0 +32 *2414:126 *8656:DIODE 0 +33 *3025:68 *3972:34 0 +34 *3053:62 *3972:24 0 +35 *3150:35 *3972:29 0 +36 *3313:15 *3972:27 0 +37 *3313:17 *3972:27 0 +38 *3313:17 *3972:29 0 +39 *3348:75 *3972:39 0 +40 *3406:32 *3972:29 0 +41 *3409:26 *3972:34 0 +42 *3409:37 *3972:39 0 +43 *3423:21 *3972:29 0 +44 *3432:28 *3972:39 0 +45 *3432:49 *3972:39 0 +46 *3439:24 *3972:24 0 +47 *3441:55 *3972:24 0 +48 *3502:29 *3972:29 0 +49 *3507:35 *3972:29 0 +50 *3507:42 *3972:29 0 +51 *3535:19 *3972:27 0 +52 *3535:19 *3972:29 0 +53 *3535:25 *3972:27 0 +54 *3696:27 *3972:29 0 +55 *3904:49 *3972:34 0 +*RES +1 *41000:X *3972:24 42.6166 +2 *3972:24 *3972:27 18.8304 +3 *3972:27 *3972:29 128.473 +4 *3972:29 *3972:34 20.3571 +5 *3972:34 *3972:39 33.4286 +6 *3972:39 *8656:DIODE 28.2821 +7 *3972:39 *40999:A 9.3 +*END + +*D_NET *3973 0.0257935 +*CONN +*I *8657:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41000:A I *D sky130_fd_sc_hd__buf_4 +*I *41001:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8657:DIODE 0.000336477 +2 *41000:A 0 +3 *41001:X 0.00450093 +4 *3973:56 0.00221446 +5 *3973:49 0.00805935 +6 *3973:21 0.0106823 +7 *8657:DIODE *8683:DIODE 0 +8 *3973:21 *41131:A 0 +9 *3973:21 *4284:62 0 +10 *3973:21 *4878:61 0 +11 *3973:49 *37666:B 0 +12 *3973:49 *4502:15 0 +13 *3973:49 *4502:38 0 +14 *3973:49 *4507:27 0 +15 *3973:49 *4513:31 0 +16 *3973:49 *4521:10 0 +17 *3973:56 *4297:122 0 +18 la_data_in_mprj[99] *3973:49 0 +19 *389:8 *3973:49 0 +20 *1212:16 *3973:49 0 +21 *1437:59 *8657:DIODE 0 +22 *2103:48 *3973:21 0 +23 *2381:73 *3973:49 0 +24 *2389:29 *3973:49 0 +25 *2393:62 *3973:49 0 +26 *2401:13 *3973:49 0 +27 *2410:34 *3973:49 0 +28 *2413:98 *8657:DIODE 0 +29 *2415:50 *3973:21 0 +30 *2428:17 *3973:49 0 +31 *2431:112 *3973:21 0 +32 *2516:30 *3973:49 0 +33 *2594:21 *3973:49 0 +34 *3032:20 *3973:49 0 +35 *3032:36 *3973:49 0 +36 *3034:11 *3973:49 0 +37 *3043:26 *3973:49 0 +38 *3086:51 *3973:49 0 +39 *3174:40 *3973:49 0 +40 *3206:25 *3973:21 0 +41 *3274:36 *3973:56 0 +42 *3508:18 *3973:21 0 +43 *3508:18 *3973:49 0 +44 *3511:52 *3973:21 0 +45 *3523:32 *3973:21 0 +46 *3717:31 *3973:56 0 +47 *3771:17 *3973:21 0 +48 *3792:41 *3973:21 0 +49 *3807:40 *3973:21 0 +50 *3809:30 *3973:21 0 +51 *3960:18 *3973:49 0 +*RES +1 *41001:X *3973:21 49.1716 +2 *3973:21 *3973:49 46.3908 +3 *3973:49 *3973:56 15.4539 +4 *3973:56 *41000:A 13.8 +5 *3973:56 *8657:DIODE 21.5679 +*END + +*D_NET *3974 0.019168 +*CONN +*I *5648:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37796:A I *D sky130_fd_sc_hd__and2_1 +*I *41002:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5648:DIODE 0 +2 *37796:A 0.000418135 +3 *41002:X 0.00118609 +4 *3974:31 0.00210871 +5 *3974:25 0.00415499 +6 *3974:23 0.00459813 +7 *3974:20 0.00382478 +8 *3974:10 0.00287715 +9 *37796:A *5862:DIODE 0 +10 *3974:10 *38214:A 0 +11 *3974:10 *4152:14 0 +12 *3974:10 *4448:38 0 +13 *3974:23 *4137:44 0 +14 *3974:23 *4137:59 0 +15 *3974:23 *5152:44 0 +16 *3974:31 *5198:18 0 +17 *37563:A *3974:25 0 +18 *37784:A *3974:23 0 +19 *40917:A *3974:23 0 +20 *40924:A *3974:31 0 +21 *40951:A *3974:23 0 +22 *40961:A *3974:23 0 +23 *1805:38 *3974:10 0 +24 *1895:18 *3974:10 0 +25 *2022:31 *3974:23 0 +26 *2064:16 *3974:20 0 +27 *2067:32 *3974:10 0 +28 *2165:29 *3974:20 0 +29 *2165:43 *3974:23 0 +30 *3131:38 *3974:31 0 +31 *3241:25 *3974:31 0 +32 *3241:41 *37796:A 0 +33 *3241:41 *3974:31 0 +34 *3241:51 *37796:A 0 +35 *3349:36 *3974:10 0 +36 *3371:12 *3974:31 0 +37 *3384:51 *3974:23 0 +38 *3642:62 *3974:23 0 +39 *3642:78 *3974:23 0 +40 *3642:81 *3974:25 0 +41 *3642:94 *3974:25 0 +42 *3859:37 *3974:31 0 +43 *3862:37 *37796:A 0 +44 *3879:22 *3974:23 0 +45 *3887:10 *3974:31 0 +46 *3888:65 *3974:31 0 +*RES +1 *41002:X *3974:10 43.425 +2 *3974:10 *3974:20 44.9821 +3 *3974:20 *3974:23 44.5 +4 *3974:23 *3974:25 51.4643 +5 *3974:25 *3974:31 44.625 +6 *3974:31 *37796:A 27.0857 +7 *3974:31 *5648:DIODE 9.3 +*END + +*D_NET *3975 0.0216344 +*CONN +*I *38372:B I *D sky130_fd_sc_hd__and2_1 +*I *6521:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41003:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *38372:B 0.000299856 +2 *6521:DIODE 7.90461e-05 +3 *41003:X 0.00095399 +4 *3975:127 0.00214114 +5 *3975:118 0.00289583 +6 *3975:106 0.00301186 +7 *3975:95 0.00233989 +8 *3975:87 0.00245933 +9 *3975:77 0.00338828 +10 *3975:51 0.00225088 +11 *3975:29 0.0018143 +12 *3975:51 *38108:B 0 +13 *3975:51 *4244:11 0 +14 *3975:77 *40236:A 0 +15 *3975:77 *4411:89 0 +16 *3975:77 *4427:113 0 +17 *3975:77 *4572:47 0 +18 *3975:87 *37748:B 0 +19 *3975:87 *4219:58 0 +20 *3975:87 *4219:76 0 +21 *3975:87 *4404:113 0 +22 *3975:87 *4404:129 0 +23 *3975:87 *4411:89 0 +24 *3975:87 *4411:102 0 +25 *3975:87 *4427:131 0 +26 *3975:95 *6185:DIODE 0 +27 *3975:95 *4411:121 0 +28 *3975:95 *4427:139 0 +29 *3975:106 *6185:DIODE 0 +30 *3975:106 *8257:DIODE 0 +31 *3975:106 *40485:A 0 +32 *3975:106 *3999:52 0 +33 *3975:106 *4013:98 0 +34 *3975:106 *4404:130 0 +35 *3975:118 *4013:98 0 +36 *3975:118 *4580:30 0 +37 *3975:127 *4579:22 0 +38 *3975:127 *5176:9 0 +39 *8641:DIODE *3975:106 0 +40 *38108:C *3975:51 0 +41 *38118:C *3975:87 0 +42 *39568:A *3975:106 0 +43 *39568:A *3975:118 0 +44 *39579:A *3975:106 0 +45 *39591:A *3975:29 0 +46 *39962:A *3975:77 0 +47 *40477:A *3975:29 0 +48 *369:31 *3975:127 0 +49 *1450:25 *3975:29 0 +50 *1450:45 *3975:29 0 +51 *1451:24 *3975:77 0 +52 *1466:19 *38372:B 0 +53 *1580:16 *3975:106 0 +54 *1580:45 *3975:106 0 +55 *1744:16 *6521:DIODE 0 +56 *1840:52 *3975:51 0 +57 *1840:52 *3975:77 0 +58 *1850:17 *3975:127 0 +59 *1851:29 *3975:118 0 +60 *2378:7 *3975:106 0 +61 *2391:30 *3975:29 0 +62 *2411:18 *3975:106 0 +63 *2414:89 *3975:51 0 +64 *3091:90 *3975:77 0 +65 *3099:25 *3975:29 0 +66 *3107:53 *3975:29 0 +67 *3388:24 *3975:29 0 +68 *3397:31 *3975:118 0 +69 *3398:71 *3975:127 0 +70 *3403:56 *3975:118 0 +71 *3406:51 *3975:51 0 +72 *3407:55 *6521:DIODE 0 +73 *3412:71 *3975:29 0 +74 *3412:79 *3975:77 0 +75 *3412:95 *3975:77 0 +76 *3416:88 *3975:87 0 +77 *3417:94 *3975:29 0 +78 *3417:119 *3975:77 0 +79 *3476:16 *3975:118 0 +80 *3478:48 *3975:51 0 +81 *3478:75 *3975:95 0 +82 *3478:75 *3975:106 0 +83 *3478:98 *3975:106 0 +84 *3767:39 *3975:87 0 +85 *3767:55 *38372:B 0 +86 *3767:57 *38372:B 0 +87 *3793:95 *3975:29 0 +88 *3954:53 *3975:29 0 +89 *3954:53 *3975:51 0 +90 *3957:13 *3975:118 0 +91 *3957:15 *3975:118 0 +*RES +1 *41003:X *3975:29 47.9964 +2 *3975:29 *3975:51 45.6607 +3 *3975:51 *3975:77 48.9403 +4 *3975:77 *3975:87 46.4821 +5 *3975:87 *3975:95 18.7857 +6 *3975:95 *3975:106 48.4107 +7 *3975:106 *3975:118 41.875 +8 *3975:118 *3975:127 41.5488 +9 *3975:127 *6521:DIODE 15.5946 +10 *3975:127 *38372:B 20.8268 +*END + +*D_NET *3976 0.0232461 +*CONN +*I *41003:A I *D sky130_fd_sc_hd__buf_6 +*I *8659:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41004:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *41003:A 6.20329e-05 +2 *8659:DIODE 8.57119e-05 +3 *41004:X 0.00330426 +4 *3976:67 0.000492134 +5 *3976:60 0.00474368 +6 *3976:41 0.00704232 +7 *3976:40 0.00342735 +8 *3976:25 0.00408858 +9 *8659:DIODE *4219:19 0 +10 *8659:DIODE *4411:42 0 +11 *3976:25 *4045:53 0 +12 *3976:25 *4215:74 0 +13 *3976:25 *4252:50 0 +14 *3976:25 *4254:19 0 +15 *3976:40 *3997:60 0 +16 *3976:40 *4011:31 0 +17 *3976:40 *4220:23 0 +18 *3976:41 *4000:23 0 +19 *3976:41 *4220:23 0 +20 *3976:60 *4094:40 0 +21 *3976:60 *4094:60 0 +22 *3976:60 *4215:74 0 +23 *3976:60 *4240:21 0 +24 *3976:60 *4254:19 0 +25 *3976:60 *4357:45 0 +26 *3976:60 *4404:67 0 +27 *3976:67 *4219:19 0 +28 *3976:67 *4270:66 0 +29 *8136:DIODE *3976:25 0 +30 *40345:A *3976:25 0 +31 *2374:52 *3976:40 0 +32 *2400:11 *3976:60 0 +33 *2414:89 *41003:A 0 +34 *2414:89 *3976:67 0 +35 *2829:14 *3976:25 0 +36 *3281:33 *3976:67 0 +37 *3301:68 *3976:60 0 +38 *3406:51 *3976:67 0 +39 *3409:138 *3976:60 0 +40 *3417:94 *3976:67 0 +41 *3423:37 *3976:60 0 +42 *3487:45 *3976:60 0 +43 *3499:27 *3976:25 0 +44 *3509:52 *3976:60 0 +45 *3520:45 *3976:25 0 +46 *3522:24 *3976:40 0 +47 *3745:27 *3976:60 0 +48 *3759:57 *3976:25 0 +49 *3954:53 *41003:A 0 +50 *3954:53 *3976:67 0 +51 *3958:19 *3976:60 0 +52 *3958:25 *3976:60 0 +*RES +1 *41004:X *3976:25 47.0566 +2 *3976:25 *3976:40 33.5796 +3 *3976:40 *3976:41 55.1607 +4 *3976:41 *3976:60 45.6431 +5 *3976:60 *3976:67 11.75 +6 *3976:67 *8659:DIODE 20.2464 +7 *3976:67 *41003:A 10.6571 +*END + +*D_NET *3977 0.0257465 +*CONN +*I *8660:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41004:A I *D sky130_fd_sc_hd__buf_4 +*I *41005:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8660:DIODE 0.00068584 +2 *41004:A 0 +3 *41005:X 0.000267092 +4 *3977:155 0.000841991 +5 *3977:151 0.0020743 +6 *3977:137 0.00293867 +7 *3977:119 0.00289875 +8 *3977:108 0.00314779 +9 *3977:91 0.00264691 +10 *3977:74 0.00245004 +11 *3977:47 0.00218181 +12 *3977:21 0.00322764 +13 *3977:14 0.00238562 +14 *3977:14 *41011:A 0 +15 *3977:14 *4001:23 0 +16 *3977:14 *4308:40 0 +17 *3977:21 *38060:A_N 0 +18 *3977:21 *41033:A 0 +19 *3977:21 *4009:39 0 +20 *3977:21 *4039:83 0 +21 *3977:21 *4230:53 0 +22 *3977:21 *4408:15 0 +23 *3977:47 *8670:DIODE 0 +24 *3977:47 *4006:44 0 +25 *3977:47 *4006:45 0 +26 *3977:47 *4083:124 0 +27 *3977:47 *4095:18 0 +28 *3977:47 *4095:24 0 +29 *3977:47 *4119:46 0 +30 *3977:47 *4230:53 0 +31 *3977:74 *3980:80 0 +32 *3977:74 *4039:131 0 +33 *3977:74 *4079:57 0 +34 *3977:74 *4083:124 0 +35 *3977:74 *4230:53 0 +36 *3977:74 *4291:58 0 +37 *3977:74 *4408:78 0 +38 *3977:91 *3995:25 0 +39 *3977:91 *4001:74 0 +40 *3977:91 *4032:88 0 +41 *3977:91 *4509:69 0 +42 *3977:91 *4881:62 0 +43 *3977:91 *4886:21 0 +44 *3977:108 *37662:B 0 +45 *3977:108 *4518:33 0 +46 *3977:119 *4233:71 0 +47 *3977:119 *4264:42 0 +48 *3977:119 *4518:46 0 +49 *3977:137 *4281:77 0 +50 *3977:151 *4220:20 0 +51 *3977:151 *4221:81 0 +52 *3977:155 *4220:20 0 +53 *5474:DIODE *3977:21 0 +54 *8271:DIODE *3977:137 0 +55 *8632:DIODE *3977:47 0 +56 *8718:DIODE *3977:14 0 +57 *8772:DIODE *3977:14 0 +58 *39600:A *3977:151 0 +59 *39615:A *3977:137 0 +60 *40268:A *3977:151 0 +61 *40311:A *3977:74 0 +62 *40328:A *8660:DIODE 0 +63 *40809:A *3977:21 0 +64 *41021:A *3977:91 0 +65 *41114:A *3977:21 0 +66 *41138:A *3977:14 0 +67 *1226:53 *3977:74 0 +68 *1227:67 *3977:119 0 +69 *1429:21 *3977:21 0 +70 *2124:66 *3977:91 0 +71 *2386:25 *8660:DIODE 0 +72 *2386:25 *3977:151 0 +73 *2393:28 *3977:151 0 +74 *2393:62 *3977:108 0 +75 *2419:97 *3977:137 0 +76 *2425:25 *3977:137 0 +77 *2425:25 *3977:151 0 +78 *2460:44 *3977:108 0 +79 *2472:33 *3977:119 0 +80 *2560:21 *3977:21 0 +81 *2832:38 *3977:74 0 +82 *3053:35 *3977:119 0 +83 *3121:68 *3977:21 0 +84 *3144:17 *3977:137 0 +85 *3144:17 *3977:151 0 +86 *3144:21 *3977:137 0 +87 *3148:39 *3977:119 0 +88 *3154:14 *3977:151 0 +89 *3191:10 *3977:74 0 +90 *3208:37 *8660:DIODE 0 +91 *3208:37 *3977:151 0 +92 *3208:37 *3977:155 0 +93 *3248:24 *3977:137 0 +94 *3296:9 *3977:108 0 +95 *3296:62 *8660:DIODE 0 +96 *3309:49 *3977:151 0 +97 *3312:78 *3977:108 0 +98 *3435:37 *3977:108 0 +99 *3436:41 *3977:119 0 +100 *3440:59 *3977:119 0 +101 *3502:28 *8660:DIODE 0 +102 *3511:73 *3977:91 0 +103 *3511:73 *3977:119 0 +104 *3511:85 *3977:119 0 +105 *3513:24 *3977:119 0 +106 *3513:24 *3977:137 0 +107 *3513:38 *3977:137 0 +108 *3513:52 *3977:151 0 +109 *3530:79 *3977:21 0 +110 *3702:64 *3977:47 0 +111 *3777:33 *3977:74 0 +112 *3798:77 *3977:21 0 +113 *3942:28 *3977:47 0 +*RES +1 *41005:X *3977:14 24.1929 +2 *3977:14 *3977:21 48.7143 +3 *3977:21 *3977:47 46.6786 +4 *3977:47 *3977:74 46.0893 +5 *3977:74 *3977:91 48.625 +6 *3977:91 *3977:108 41.8929 +7 *3977:108 *3977:119 48.3214 +8 *3977:119 *3977:137 30.6071 +9 *3977:137 *3977:151 49.4464 +10 *3977:151 *3977:155 3.42857 +11 *3977:155 *41004:A 9.3 +12 *3977:155 *8660:DIODE 33.0679 +*END + +*D_NET *3978 0.0207051 +*CONN +*I *6519:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38370:B I *D sky130_fd_sc_hd__and2_1 +*I *41006:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *6519:DIODE 0.000206385 +2 *38370:B 0 +3 *41006:X 0.000632836 +4 *3978:127 0.000447386 +5 *3978:120 0.00172385 +6 *3978:99 0.00308305 +7 *3978:77 0.0034101 +8 *3978:67 0.00320108 +9 *3978:50 0.00283214 +10 *3978:33 0.00298821 +11 *3978:20 0.00218009 +12 *6519:DIODE *4216:99 0 +13 *3978:20 *4011:63 0 +14 *3978:20 *4254:54 0 +15 *3978:20 *5151:17 0 +16 *3978:33 *8662:DIODE 0 +17 *3978:33 *4065:7 0 +18 *3978:33 *4065:18 0 +19 *3978:33 *4094:60 0 +20 *3978:33 *4360:42 0 +21 *3978:50 *4065:18 0 +22 *3978:50 *4091:64 0 +23 *3978:67 *4010:69 0 +24 *3978:67 *4094:60 0 +25 *3978:77 *4010:89 0 +26 *3978:77 *4010:96 0 +27 *3978:99 *38302:B 0 +28 *3978:99 *4010:96 0 +29 *3978:99 *4010:139 0 +30 *3978:99 *4065:45 0 +31 *3978:99 *4065:57 0 +32 *3978:99 *4087:93 0 +33 *3978:99 *4318:63 0 +34 *3978:99 *4343:65 0 +35 *3978:120 *38046:B 0 +36 *3978:120 *38302:B 0 +37 *3978:120 *4318:79 0 +38 *3978:120 *4896:14 0 +39 *6040:DIODE *3978:99 0 +40 *8024:DIODE *3978:127 0 +41 *38065:A *3978:99 0 +42 *1001:43 *3978:20 0 +43 *1019:23 *3978:120 0 +44 *1448:22 *3978:50 0 +45 *1550:17 *3978:99 0 +46 *1561:45 *3978:50 0 +47 *2366:112 *3978:33 0 +48 *2384:28 *3978:20 0 +49 *2388:48 *3978:127 0 +50 *2411:30 *6519:DIODE 0 +51 *2871:54 *3978:67 0 +52 *3009:22 *3978:77 0 +53 *3044:16 *3978:120 0 +54 *3045:47 *3978:67 0 +55 *3292:55 *3978:67 0 +56 *3297:81 *3978:77 0 +57 *3297:81 *3978:99 0 +58 *3299:75 *3978:99 0 +59 *3406:112 *3978:99 0 +60 *3406:130 *3978:120 0 +61 *3432:49 *3978:33 0 +62 *3498:53 *3978:127 0 +63 *3509:68 *3978:50 0 +64 *3700:65 *3978:67 0 +65 *3746:19 *3978:20 0 +66 *3931:7 *3978:20 0 +67 *3931:9 *3978:33 0 +68 *3931:9 *3978:50 0 +69 *3931:43 *3978:120 0 +70 *3944:21 *6519:DIODE 0 +71 *3962:7 *3978:127 0 +*RES +1 *41006:X *3978:20 40.8357 +2 *3978:20 *3978:33 41.4554 +3 *3978:33 *3978:50 48.4732 +4 *3978:50 *3978:67 36.846 +5 *3978:67 *3978:77 46.8571 +6 *3978:77 *3978:99 42.75 +7 *3978:99 *3978:120 49.5179 +8 *3978:120 *3978:127 14.0893 +9 *3978:127 *38370:B 9.3 +10 *3978:127 *6519:DIODE 22.8536 +*END + +*D_NET *3979 0.0327113 +*CONN +*I *8662:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41006:A I *D sky130_fd_sc_hd__buf_6 +*I *41007:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8662:DIODE 0.00104556 +2 *41006:A 0.000724617 +3 *41007:X 0.00143997 +4 *3979:52 0.00347745 +5 *3979:41 0.00297311 +6 *3979:33 0.00882872 +7 *3979:32 0.00890775 +8 *3979:26 0.00260949 +9 *3979:18 0.00270459 +10 *41006:A *4054:48 0 +11 *3979:18 *4067:39 0 +12 *3979:18 *4369:67 0 +13 *3979:32 *4989:11 0 +14 *3979:32 *5144:5 0 +15 *3979:52 *4087:40 0 +16 *1413:35 *3979:18 0 +17 *1418:25 *3979:52 0 +18 *2336:47 *3979:26 0 +19 *2365:51 *3979:32 0 +20 *2458:10 *3979:52 0 +21 *2682:61 *3979:52 0 +22 *2720:8 *3979:32 0 +23 *2822:145 *3979:52 0 +24 *2917:10 *3979:26 0 +25 *2958:39 *3979:32 0 +26 *2972:41 *3979:18 0 +27 *3000:32 *41006:A 0 +28 *3000:32 *3979:52 0 +29 *3005:76 *8662:DIODE 0 +30 *3005:76 *3979:52 0 +31 *3029:57 *3979:41 0 +32 *3045:21 *8662:DIODE 0 +33 *3098:41 *41006:A 0 +34 *3109:48 *3979:52 0 +35 *3162:23 *3979:33 0 +36 *3277:25 *3979:33 0 +37 *3283:110 *3979:52 0 +38 *3409:66 *41006:A 0 +39 *3425:8 *3979:52 0 +40 *3519:13 *3979:33 0 +41 *3531:36 *3979:18 0 +42 *3531:36 *3979:26 0 +43 *3679:64 *3979:26 0 +44 *3688:22 *3979:32 0 +45 *3733:72 *3979:18 0 +46 *3743:32 *8662:DIODE 0 +47 *3746:19 *41006:A 0 +48 *3932:9 *3979:18 0 +49 *3963:10 *41006:A 0 +50 *3978:33 *8662:DIODE 0 +*RES +1 *41007:X *3979:18 49.8515 +2 *3979:18 *3979:26 39.6084 +3 *3979:26 *3979:32 37.25 +4 *3979:32 *3979:33 157.839 +5 *3979:33 *3979:41 40.7143 +6 *3979:41 *3979:52 47.8661 +7 *3979:52 *41006:A 31.5946 +8 *3979:52 *8662:DIODE 34.0839 +*END + +*D_NET *3980 0.0218761 +*CONN +*I *41007:A I *D sky130_fd_sc_hd__buf_4 +*I *8663:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41008:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41007:A 9.62907e-05 +2 *8663:DIODE 0.000183104 +3 *41008:X 0.000833642 +4 *3980:100 0.00180725 +5 *3980:89 0.00312723 +6 *3980:80 0.00343639 +7 *3980:73 0.00243273 +8 *3980:68 0.00209589 +9 *3980:41 0.00333531 +10 *3980:32 0.00276489 +11 *3980:26 0.00176339 +12 *8663:DIODE *4078:26 0 +13 *8663:DIODE *4230:90 0 +14 *3980:26 *4083:11 0 +15 *3980:26 *4083:39 0 +16 *3980:32 *4062:19 0 +17 *3980:41 *4020:39 0 +18 *3980:41 *4039:61 0 +19 *3980:41 *4056:17 0 +20 *3980:41 *4075:21 0 +21 *3980:41 *4120:15 0 +22 *3980:41 *4120:40 0 +23 *3980:41 *4291:24 0 +24 *3980:41 *4294:17 0 +25 *3980:41 *4408:15 0 +26 *3980:68 *8759:DIODE 0 +27 *3980:68 *8761:DIODE 0 +28 *3980:68 *8899:DIODE 0 +29 *3980:68 *37654:B 0 +30 *3980:68 *38322:A 0 +31 *3980:68 *4001:23 0 +32 *3980:68 *4039:83 0 +33 *3980:68 *4107:23 0 +34 *3980:68 *4107:43 0 +35 *3980:68 *4248:24 0 +36 *3980:68 *4291:24 0 +37 *3980:68 *4308:40 0 +38 *3980:73 *4039:83 0 +39 *3980:80 *38060:B 0 +40 *3980:80 *4039:83 0 +41 *3980:80 *4083:124 0 +42 *3980:80 *4120:75 0 +43 *3980:80 *4298:40 0 +44 *3980:80 *4408:59 0 +45 *3980:89 *4020:113 0 +46 *3980:89 *4039:131 0 +47 *3980:89 *4408:78 0 +48 *3980:100 *41246:A 0 +49 *3980:100 *4020:113 0 +50 *3980:100 *4059:42 0 +51 *3980:100 *4230:74 0 +52 *37782:B *3980:26 0 +53 *38276:A *3980:80 0 +54 *40302:A *8663:DIODE 0 +55 *40311:A *3980:80 0 +56 *40361:A *3980:26 0 +57 *1226:10 *3980:73 0 +58 *1226:53 *3980:80 0 +59 *1226:53 *3980:89 0 +60 *1228:19 *3980:89 0 +61 *1228:49 *3980:100 0 +62 *1233:18 *3980:89 0 +63 *1434:26 *3980:80 0 +64 *1439:17 *8663:DIODE 0 +65 *3147:19 *3980:26 0 +66 *3236:86 *3980:26 0 +67 *3247:17 *3980:26 0 +68 *3255:13 *3980:26 0 +69 *3365:21 *3980:32 0 +70 *3518:72 *3980:68 0 +71 *3518:122 *3980:80 0 +72 *3526:40 *3980:41 0 +73 *3744:20 *3980:80 0 +74 *3939:24 *3980:26 0 +75 *3943:51 *3980:80 0 +76 *3964:15 *8663:DIODE 0 +77 *3977:74 *3980:80 0 +*RES +1 *41008:X *3980:26 45.6929 +2 *3980:26 *3980:32 29.0536 +3 *3980:32 *3980:41 47.4643 +4 *3980:41 *3980:68 45.9464 +5 *3980:68 *3980:73 16.9643 +6 *3980:73 *3980:80 47.4464 +7 *3980:80 *3980:89 42.625 +8 *3980:89 *3980:100 45.6786 +9 *3980:100 *8663:DIODE 17.6214 +10 *3980:100 *41007:A 16.05 +*END + +*D_NET *3981 0.0195934 +*CONN +*I *38368:B I *D sky130_fd_sc_hd__and2_1 +*I *6517:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41009:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *38368:B 0.00016043 +2 *6517:DIODE 0 +3 *41009:X 0.00149217 +4 *3981:99 0.0015166 +5 *3981:89 0.0028235 +6 *3981:67 0.00344026 +7 *3981:59 0.00342311 +8 *3981:39 0.00334768 +9 *3981:21 0.00338967 +10 *38368:B *4223:71 0 +11 *3981:21 *8665:DIODE 0 +12 *3981:21 *38108:B 0 +13 *3981:21 *4013:24 0 +14 *3981:21 *4244:11 0 +15 *3981:39 *4013:33 0 +16 *3981:59 *4013:33 0 +17 *3981:59 *4013:67 0 +18 *3981:59 *4411:121 0 +19 *3981:67 *4013:80 0 +20 *3981:67 *4240:28 0 +21 *3981:89 *38130:B 0 +22 *3981:99 *38350:B 0 +23 *3981:99 *4223:71 0 +24 *6508:DIODE *3981:67 0 +25 *7985:DIODE *3981:39 0 +26 *38130:C *3981:89 0 +27 *38338:A *3981:67 0 +28 *38348:A *3981:89 0 +29 *38358:A *3981:99 0 +30 *38368:A *38368:B 0 +31 *38368:A *3981:99 0 +32 *39965:A *3981:39 0 +33 *39983:A *3981:21 0 +34 *380:31 *3981:89 0 +35 *1005:91 *3981:89 0 +36 *1450:80 *3981:39 0 +37 *1453:16 *3981:21 0 +38 *1453:16 *3981:39 0 +39 *1580:16 *3981:59 0 +40 *1583:11 *38368:B 0 +41 *2388:62 *3981:59 0 +42 *2414:22 *3981:67 0 +43 *2414:22 *3981:89 0 +44 *2414:41 *3981:59 0 +45 *2414:41 *3981:67 0 +46 *2414:53 *3981:59 0 +47 *2414:55 *3981:21 0 +48 *2414:55 *3981:39 0 +49 *2414:55 *3981:59 0 +50 *2806:50 *3981:59 0 +51 *2808:17 *3981:67 0 +52 *2808:34 *3981:89 0 +53 *2808:35 *38368:B 0 +54 *2808:35 *3981:99 0 +55 *2811:20 *3981:39 0 +56 *3395:85 *3981:89 0 +57 *3395:95 *3981:89 0 +58 *3400:116 *3981:67 0 +59 *3410:85 *3981:21 0 +60 *3421:65 *3981:89 0 +61 *3478:68 *3981:59 0 +62 *3478:98 *3981:67 0 +63 *3767:26 *3981:39 0 +64 *3935:17 *3981:89 0 +65 *3935:21 *3981:89 0 +66 *3935:21 *3981:99 0 +67 *3935:25 *3981:99 0 +68 *3953:17 *3981:67 0 +69 *3954:99 *3981:67 0 +70 *3957:13 *3981:67 0 +*RES +1 *41009:X *3981:21 49.9786 +2 *3981:21 *3981:39 48.8929 +3 *3981:39 *3981:59 48.9286 +4 *3981:59 *3981:67 46.8805 +5 *3981:67 *3981:89 48.0261 +6 *3981:89 *3981:99 28.6429 +7 *3981:99 *6517:DIODE 9.3 +8 *3981:99 *38368:B 12.7107 +*END + +*D_NET *3982 0.00188127 +*CONN +*I *37648:B I *D sky130_fd_sc_hd__and2_1 +*I *38577:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *37648:B 0.000940634 +2 *38577:X 0.000940634 +3 *37648:B *4501:56 0 +4 *37648:B *4506:29 0 +5 *37648:B *4510:22 0 +6 *6571:DIODE *37648:B 0 +7 *38579:A *37648:B 0 +8 *38719:A *37648:B 0 +9 *38721:A *37648:B 0 +10 *2431:71 *37648:B 0 +11 *2527:19 *37648:B 0 +12 *2583:20 *37648:B 0 +*RES +1 *38577:X *37648:B 47.2786 +*END + +*D_NET *3983 0.0320341 +*CONN +*I *8665:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41009:A I *D sky130_fd_sc_hd__buf_6 +*I *41010:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8665:DIODE 0.000383748 +2 *41009:A 0 +3 *41010:X 0.00138875 +4 *3983:40 0.00079235 +5 *3983:34 0.00367029 +6 *3983:33 0.00396949 +7 *3983:21 0.00921229 +8 *3983:20 0.00986647 +9 *3983:17 0.00275072 +10 *8665:DIODE *4013:24 0 +11 *8665:DIODE *4244:11 0 +12 *3983:17 *4106:21 0 +13 *3983:17 *4109:65 0 +14 *3983:20 *4738:6 0 +15 *3983:20 *5005:8 0 +16 *3983:21 *4331:9 0 +17 *3983:33 *4898:5 0 +18 *3983:34 *5029:8 0 +19 *40227:A *8665:DIODE 0 +20 *361:8 *3983:21 0 +21 *377:27 *3983:21 0 +22 *1015:109 *3983:20 0 +23 *1026:101 *3983:17 0 +24 *1565:38 *3983:34 0 +25 *3303:17 *3983:20 0 +26 *3411:106 *8665:DIODE 0 +27 *3420:20 *3983:17 0 +28 *3524:25 *3983:17 0 +29 *3655:62 *3983:20 0 +30 *3704:32 *3983:34 0 +31 *3708:36 *3983:34 0 +32 *3713:39 *3983:17 0 +33 *3735:27 *3983:21 0 +34 *3762:29 *3983:21 0 +35 *3762:42 *3983:34 0 +36 *3770:31 *3983:33 0 +37 *3967:29 *3983:21 0 +38 *3967:35 *3983:21 0 +39 *3967:35 *3983:33 0 +40 *3981:21 *8665:DIODE 0 +*RES +1 *41010:X *3983:17 35.0306 +2 *3983:17 *3983:20 35.4375 +3 *3983:20 *3983:21 177.554 +4 *3983:21 *3983:33 28.3571 +5 *3983:33 *3983:34 74.0446 +6 *3983:34 *3983:40 13.8125 +7 *3983:40 *41009:A 9.3 +8 *3983:40 *8665:DIODE 17.3714 +*END + +*D_NET *3984 0.0250013 +*CONN +*I *41010:A I *D sky130_fd_sc_hd__buf_4 +*I *8666:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41011:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41010:A 4.23535e-05 +2 *8666:DIODE 0.000730977 +3 *41011:X 0.00118202 +4 *3984:137 0.00121213 +5 *3984:125 0.00463788 +6 *3984:90 0.00684089 +7 *3984:68 0.00452811 +8 *3984:46 0.00326562 +9 *3984:19 0.00256135 +10 *41010:A *4099:60 0 +11 *3984:19 *8899:DIODE 0 +12 *3984:19 *4001:23 0 +13 *3984:19 *4289:21 0 +14 *3984:19 *4291:36 0 +15 *3984:19 *4308:40 0 +16 *3984:46 *4310:59 0 +17 *3984:46 *4332:58 0 +18 *3984:46 *4377:72 0 +19 *3984:68 *4109:48 0 +20 *3984:68 *4218:43 0 +21 *3984:68 *4310:59 0 +22 *3984:68 *4332:58 0 +23 *3984:68 *4377:79 0 +24 *3984:90 *4218:43 0 +25 *3984:125 *4109:65 0 +26 *3984:125 *4218:52 0 +27 *3984:125 *4328:24 0 +28 *3984:137 *6390:DIODE 0 +29 *3984:137 *4099:60 0 +30 *1270:10 *41010:A 0 +31 *1408:29 *3984:125 0 +32 *1408:73 *3984:68 0 +33 *1820:18 *3984:68 0 +34 *2276:51 *3984:46 0 +35 *2314:25 *3984:19 0 +36 *2420:34 *3984:90 0 +37 *2421:51 *8666:DIODE 0 +38 *2962:19 *3984:90 0 +39 *3124:49 *3984:19 0 +40 *3305:168 *8666:DIODE 0 +41 *3305:168 *3984:125 0 +42 *3372:76 *3984:46 0 +43 *3546:26 *3984:46 0 +44 *3655:18 *3984:46 0 +45 *3670:68 *3984:137 0 +46 *3676:8 *3984:68 0 +47 *3677:17 *3984:90 0 +48 *3677:17 *3984:125 0 +49 *3692:9 *3984:46 0 +50 *3692:9 *3984:125 0 +51 *3698:48 *3984:46 0 +52 *3698:48 *3984:90 0 +53 *3733:85 *3984:137 0 +54 *3733:115 *3984:137 0 +55 *3736:46 *3984:68 0 +56 *3741:47 *3984:68 0 +57 *3742:19 *3984:90 0 +58 *3742:40 *3984:125 0 +59 *3763:36 *3984:125 0 +60 *3769:51 *3984:125 0 +61 *3778:24 *3984:90 0 +62 *3781:45 *3984:90 0 +63 *3781:45 *3984:125 0 +64 *3824:21 *3984:19 0 +*RES +1 *41011:X *3984:19 49.2286 +2 *3984:19 *3984:46 48.3207 +3 *3984:46 *3984:68 46.6604 +4 *3984:68 *3984:90 47.5319 +5 *3984:90 *3984:125 47.896 +6 *3984:125 *3984:137 26.3475 +7 *3984:137 *8666:DIODE 34.8179 +8 *3984:137 *41010:A 10.2464 +*END + +*D_NET *3985 0.0227084 +*CONN +*I *8667:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41011:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *41012:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8667:DIODE 0.000824398 +2 *41011:A 7.80351e-05 +3 *41012:X 0.000930129 +4 *3985:23 0.0104241 +5 *3985:14 0.0104518 +6 *8667:DIODE *8767:DIODE 0 +7 *8667:DIODE *3998:45 0 +8 *8667:DIODE *4001:28 0 +9 *8667:DIODE *4009:38 0 +10 *8667:DIODE *4079:57 0 +11 *8667:DIODE *4105:45 0 +12 *8667:DIODE *4107:23 0 +13 *8667:DIODE *4120:64 0 +14 *8667:DIODE *4291:37 0 +15 *41011:A *4001:23 0 +16 *3985:14 *4287:35 0 +17 *3985:14 *4290:20 0 +18 *3985:23 *3998:19 0 +19 *3985:23 *3998:45 0 +20 *3985:23 *4009:38 0 +21 *3985:23 *4108:43 0 +22 *3985:23 *4110:28 0 +23 *3985:23 *4231:43 0 +24 *3985:23 *4234:11 0 +25 *8772:DIODE *41011:A 0 +26 *39998:A *3985:14 0 +27 *41287:A *3985:14 0 +28 *1961:32 *3985:14 0 +29 *1971:35 *3985:14 0 +30 *1979:24 *3985:23 0 +31 *1999:29 *3985:23 0 +32 *2020:24 *3985:14 0 +33 *2023:15 *3985:14 0 +34 *2026:7 *3985:14 0 +35 *2029:16 *3985:14 0 +36 *2044:18 *3985:23 0 +37 *2059:39 *3985:23 0 +38 *2101:27 *3985:23 0 +39 *2850:39 *3985:23 0 +40 *3977:14 *41011:A 0 +*RES +1 *41012:X *3985:14 38.0582 +2 *3985:14 *3985:23 34.5356 +3 *3985:23 *41011:A 18.8618 +4 *3985:23 *8667:DIODE 45.4003 +*END + +*D_NET *3986 0.0187507 +*CONN +*I *38366:B I *D sky130_fd_sc_hd__and2_1 +*I *6515:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41013:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *38366:B 0.000116793 +2 *6515:DIODE 9.91025e-05 +3 *41013:X 0.00112044 +4 *3986:13 0.00687202 +5 *3986:11 0.00803903 +6 *3986:7 0.00250335 +7 *3986:7 *4073:45 0 +8 *3986:7 *4073:79 0 +9 *3986:7 *4216:65 0 +10 *3986:11 *4073:79 0 +11 *3986:11 *4216:75 0 +12 *3986:11 *4216:99 0 +13 *3986:13 *6054:DIODE 0 +14 *3986:13 *6505:DIODE 0 +15 *3986:13 *4216:99 0 +16 *3986:13 *4216:108 0 +17 *6055:DIODE *3986:13 0 +18 *6504:DIODE *3986:13 0 +19 *7863:DIODE *3986:7 0 +20 *8024:DIODE *3986:13 0 +21 *37712:A *3986:13 0 +22 *37712:B *3986:13 0 +23 *37713:A *3986:13 0 +24 *38356:A *3986:13 0 +25 *1023:101 *6515:DIODE 0 +26 *1255:7 *3986:13 0 +27 *1466:38 *6515:DIODE 0 +28 *1570:26 *3986:13 0 +29 *1582:13 *6515:DIODE 0 +30 *2414:22 *3986:13 0 +31 *2825:43 *3986:7 0 +32 *3006:23 *38366:B 0 +33 *3006:23 *3986:13 0 +34 *3006:29 *38366:B 0 +35 *3039:15 *3986:13 0 +36 *3391:86 *38366:B 0 +37 *3391:86 *3986:13 0 +38 *3475:59 *3986:13 0 +39 *3476:23 *3986:13 0 +40 *3944:21 *3986:13 0 +41 *3950:75 *3986:11 0 +*RES +1 *41013:X *3986:7 32.7464 +2 *3986:7 *3986:11 28.8929 +3 *3986:11 *3986:13 138.946 +4 *3986:13 *6515:DIODE 20.55 +5 *3986:13 *38366:B 11.8 +*END + +*D_NET *3987 0.0216908 +*CONN +*I *8668:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41013:A I *D sky130_fd_sc_hd__buf_6 +*I *41014:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *8668:DIODE 0.000261822 +2 *41013:A 3.21887e-05 +3 *41014:X 0.000966957 +4 *3987:48 0.000752005 +5 *3987:43 0.00958441 +6 *3987:19 0.0100934 +7 *3987:19 *8875:DIODE 0 +8 *3987:19 *4070:95 0 +9 *3987:19 *4078:92 0 +10 *3987:19 *4084:31 0 +11 *3987:19 *4256:13 0 +12 *3987:19 *4355:27 0 +13 *3987:43 *3995:36 0 +14 *3987:43 *3997:79 0 +15 *3987:43 *4081:39 0 +16 *3987:43 *4094:60 0 +17 *5716:DIODE *3987:19 0 +18 *8028:DIODE *3987:43 0 +19 *1027:74 *3987:43 0 +20 *1208:19 *3987:19 0 +21 *1448:64 *3987:43 0 +22 *1448:71 *3987:48 0 +23 *1561:31 *3987:43 0 +24 *1564:16 *3987:43 0 +25 *2370:19 *3987:43 0 +26 *2370:19 *3987:48 0 +27 *2806:22 *3987:43 0 +28 *2806:50 *8668:DIODE 0 +29 *2825:43 *8668:DIODE 0 +30 *2987:124 *3987:43 0 +31 *3010:20 *3987:19 0 +32 *3025:46 *3987:43 0 +33 *3163:40 *3987:43 0 +34 *3292:48 *3987:43 0 +35 *3301:68 *3987:43 0 +36 *3308:39 *3987:43 0 +37 *3308:56 *3987:43 0 +38 *3481:22 *3987:43 0 +39 *3487:45 *3987:43 0 +40 *3503:37 *3987:43 0 +41 *3542:20 *3987:43 0 +42 *3667:76 *3987:19 0 +43 *3734:21 *3987:43 0 +44 *3743:32 *3987:43 0 +45 *3745:27 *3987:43 0 +46 *3745:27 *3987:48 0 +47 *3756:25 *3987:19 0 +48 *3941:19 *3987:19 0 +49 *3963:56 *3987:43 0 +*RES +1 *41014:X *3987:19 48.741 +2 *3987:19 *3987:43 49.3969 +3 *3987:43 *3987:48 8.88462 +4 *3987:48 *41013:A 14.5321 +5 *3987:48 *8668:DIODE 19.2643 +*END + +*D_NET *3988 0.0220383 +*CONN +*I *8669:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41014:A I *D sky130_fd_sc_hd__buf_6 +*I *41015:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8669:DIODE 0.000656224 +2 *41014:A 0.000131457 +3 *41015:X 0.00745996 +4 *3988:25 0.00355918 +5 *3988:11 0.0102315 +6 *8669:DIODE *4266:18 0 +7 *8669:DIODE *4351:33 0 +8 *8669:DIODE *4355:27 0 +9 *3988:11 *3991:68 0 +10 *3988:11 *3997:46 0 +11 *3988:11 *4034:48 0 +12 *3988:11 *4058:38 0 +13 *3988:11 *4067:39 0 +14 *3988:11 *4081:24 0 +15 *3988:11 *4081:39 0 +16 *3988:11 *4088:27 0 +17 *3988:11 *4220:20 0 +18 *3988:11 *4225:35 0 +19 *3988:11 *4225:50 0 +20 *3988:11 *4289:36 0 +21 *3988:25 *3997:60 0 +22 *3988:25 *4344:17 0 +23 *3988:25 *4351:33 0 +24 *5717:DIODE *8669:DIODE 0 +25 *8636:DIODE *3988:11 0 +26 *37854:B *8669:DIODE 0 +27 *40148:A *8669:DIODE 0 +28 *1207:17 *41014:A 0 +29 *1229:51 *3988:11 0 +30 *1331:13 *8669:DIODE 0 +31 *1437:59 *3988:11 0 +32 *2671:44 *3988:11 0 +33 *2671:57 *3988:11 0 +34 *2832:38 *3988:11 0 +35 *2919:62 *3988:25 0 +36 *3279:107 *41014:A 0 +37 *3685:40 *3988:25 0 +38 *3701:17 *3988:11 0 +39 *3740:64 *41014:A 0 +40 *3765:22 *8669:DIODE 0 +41 *3765:22 *3988:25 0 +42 *3784:59 *3988:11 0 +43 *3822:111 *3988:25 0 +44 *3937:33 *3988:11 0 +45 *3947:27 *3988:11 0 +*RES +1 *41015:X *3988:11 49.6614 +2 *3988:11 *3988:25 33.6397 +3 *3988:25 *41014:A 20.1475 +4 *3988:25 *8669:DIODE 32.8925 +*END + +*D_NET *3989 0.0224144 +*CONN +*I *41015:A I *D sky130_fd_sc_hd__buf_4 +*I *8670:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41016:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41015:A 0 +2 *8670:DIODE 0.000427149 +3 *41016:X 0.000712544 +4 *3989:68 0.000449938 +5 *3989:67 0.00370894 +6 *3989:41 0.00475164 +7 *3989:21 0.00490896 +8 *3989:20 0.00529307 +9 *3989:14 0.00216214 +10 *8670:DIODE *38078:A_N 0 +11 *8670:DIODE *4107:62 0 +12 *3989:20 *4105:11 0 +13 *3989:20 *4120:15 0 +14 *3989:21 *4053:38 0 +15 *3989:21 *4075:21 0 +16 *3989:21 *4108:43 0 +17 *3989:21 *4283:20 0 +18 *3989:21 *4308:15 0 +19 *3989:21 *4409:9 0 +20 *3989:41 *8854:DIODE 0 +21 *3989:41 *38320:A 0 +22 *3989:41 *4079:57 0 +23 *3989:41 *4134:32 0 +24 *3989:41 *4222:22 0 +25 *3989:41 *4308:40 0 +26 *3989:67 *8854:DIODE 0 +27 *3989:67 *38322:A 0 +28 *3989:67 *3992:38 0 +29 *3989:67 *4006:31 0 +30 *3989:67 *4020:39 0 +31 *3989:67 *4020:64 0 +32 *3989:67 *4022:26 0 +33 *3989:67 *4042:37 0 +34 *3989:67 *4075:126 0 +35 *3989:67 *4083:112 0 +36 *3989:67 *4086:57 0 +37 *3989:67 *4110:57 0 +38 *3989:67 *4298:55 0 +39 *3989:68 *38078:A_N 0 +40 *5645:DIODE *3989:14 0 +41 *38322:B *3989:67 0 +42 *41045:A *3989:41 0 +43 *1560:14 *3989:67 0 +44 *1964:20 *3989:14 0 +45 *1997:8 *3989:21 0 +46 *2010:19 *3989:14 0 +47 *2025:17 *3989:14 0 +48 *2034:18 *3989:14 0 +49 *2050:30 *3989:14 0 +50 *2112:30 *3989:21 0 +51 *2119:11 *3989:14 0 +52 *3269:19 *3989:14 0 +53 *3323:30 *3989:67 0 +54 *3942:28 *8670:DIODE 0 +55 *3947:27 *3989:67 0 +56 *3977:47 *8670:DIODE 0 +*RES +1 *41016:X *3989:14 34.4964 +2 *3989:14 *3989:20 39.4107 +3 *3989:20 *3989:21 80.2143 +4 *3989:21 *3989:41 43.6597 +5 *3989:41 *3989:67 49.5857 +6 *3989:67 *3989:68 0.580357 +7 *3989:68 *8670:DIODE 23.5679 +8 *3989:68 *41015:A 13.8 +*END + +*D_NET *3990 0.0224843 +*CONN +*I *6513:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38364:B I *D sky130_fd_sc_hd__and2_1 +*I *41017:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *6513:DIODE 9.41179e-05 +2 *38364:B 1.90635e-05 +3 *41017:X 0.000805346 +4 *3990:52 0.00127954 +5 *3990:45 0.00324888 +6 *3990:21 0.00875983 +7 *3990:19 0.00707474 +8 *3990:16 0.00120278 +9 *3990:16 *6424:DIODE 0 +10 *3990:16 *3997:87 0 +11 *3990:16 *4037:95 0 +12 *3990:19 *8709:DIODE 0 +13 *3990:21 *4051:47 0 +14 *3990:45 *4069:41 0 +15 *3990:45 *4069:68 0 +16 *3990:52 *4003:42 0 +17 *7609:DIODE *3990:16 0 +18 *8186:DIODE *3990:19 0 +19 *8529:DIODE *3990:16 0 +20 *37864:B *3990:19 0 +21 *37864:B *3990:21 0 +22 *281:51 *3990:52 0 +23 *1027:74 *3990:16 0 +24 *1214:31 *3990:52 0 +25 *1333:24 *3990:21 0 +26 *2839:20 *3990:16 0 +27 *2970:45 *3990:45 0 +28 *3042:70 *3990:52 0 +29 *3046:26 *3990:45 0 +30 *3286:72 *3990:52 0 +31 *3473:22 *3990:45 0 +32 *3473:36 *3990:45 0 +33 *3474:18 *3990:45 0 +34 *3490:20 *3990:45 0 +35 *3582:13 *3990:45 0 +36 *3684:53 *3990:16 0 +37 *3684:75 *3990:21 0 +38 *3802:20 *3990:16 0 +39 *3945:25 *3990:21 0 +*RES +1 *41017:X *3990:16 44.3 +2 *3990:16 *3990:19 8.35714 +3 *3990:19 *3990:21 139.357 +4 *3990:21 *3990:45 47.5896 +5 *3990:45 *3990:52 13.0436 +6 *3990:52 *38364:B 14.3357 +7 *3990:52 *6513:DIODE 16.1036 +*END + +*D_NET *3991 0.024045 +*CONN +*I *41017:A I *D sky130_fd_sc_hd__buf_2 +*I *8672:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41018:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41017:A 2.26741e-05 +2 *8672:DIODE 8.57119e-05 +3 *41018:X 0.00126019 +4 *3991:133 0.00112921 +5 *3991:108 0.0036889 +6 *3991:87 0.00476009 +7 *3991:84 0.00322524 +8 *3991:77 0.00250972 +9 *3991:68 0.00373977 +10 *3991:13 0.00362347 +11 *3991:13 *6384:DIODE 0 +12 *3991:13 *8677:DIODE 0 +13 *3991:13 *38266:B 0 +14 *3991:13 *41105:A 0 +15 *3991:13 *3998:45 0 +16 *3991:13 *4006:45 0 +17 *3991:13 *4075:129 0 +18 *3991:13 *4083:131 0 +19 *3991:13 *4085:22 0 +20 *3991:68 *4034:24 0 +21 *3991:68 *4034:48 0 +22 *3991:68 *4037:24 0 +23 *3991:68 *4067:39 0 +24 *3991:68 *4092:38 0 +25 *3991:68 *4112:134 0 +26 *3991:68 *4117:66 0 +27 *3991:68 *4248:45 0 +28 *3991:68 *4289:21 0 +29 *3991:68 *4520:107 0 +30 *3991:77 *8683:DIODE 0 +31 *3991:77 *4022:130 0 +32 *3991:77 *4085:58 0 +33 *3991:77 *4358:135 0 +34 *3991:84 *4005:23 0 +35 *3991:84 *4037:42 0 +36 *3991:84 *4078:58 0 +37 *3991:87 *4000:22 0 +38 *3991:87 *4028:29 0 +39 *3991:87 *4054:11 0 +40 *3991:87 *4092:69 0 +41 *3991:87 *4225:59 0 +42 *3991:87 *4357:21 0 +43 *3991:108 *4095:93 0 +44 *3991:108 *4225:66 0 +45 *3991:108 *4309:40 0 +46 *3991:108 *4344:17 0 +47 *3991:108 *4344:25 0 +48 *3991:108 *4355:27 0 +49 *3991:133 *4077:19 0 +50 *3991:133 *4099:99 0 +51 *3991:133 *4225:69 0 +52 *7871:DIODE *3991:133 0 +53 *7882:DIODE *3991:108 0 +54 *8415:DIODE *8672:DIODE 0 +55 *37624:A *3991:77 0 +56 *38280:A *3991:77 0 +57 *40797:A *3991:77 0 +58 *40977:A *3991:13 0 +59 *1207:17 *3991:108 0 +60 *1208:41 *3991:133 0 +61 *1287:25 *3991:68 0 +62 *1331:13 *3991:133 0 +63 *1445:53 *3991:133 0 +64 *2429:34 *3991:68 0 +65 *2818:17 *3991:133 0 +66 *2822:113 *3991:133 0 +67 *2835:31 *3991:108 0 +68 *2977:31 *3991:133 0 +69 *3010:20 *3991:108 0 +70 *3084:34 *3991:68 0 +71 *3278:10 *3991:108 0 +72 *3279:107 *3991:108 0 +73 *3317:81 *3991:13 0 +74 *3317:119 *3991:13 0 +75 *3317:119 *3991:68 0 +76 *3318:39 *3991:77 0 +77 *3388:55 *3991:108 0 +78 *3388:60 *3991:108 0 +79 *3650:75 *3991:108 0 +80 *3667:93 *8672:DIODE 0 +81 *3669:69 *3991:133 0 +82 *3684:53 *41017:A 0 +83 *3684:53 *3991:133 0 +84 *3726:68 *3991:84 0 +85 *3740:64 *3991:108 0 +86 *3746:5 *3991:77 0 +87 *3747:97 *3991:77 0 +88 *3780:26 *3991:108 0 +89 *3780:26 *3991:133 0 +90 *3810:9 *3991:108 0 +91 *3946:31 *3991:13 0 +92 *3955:41 *3991:84 0 +93 *3988:11 *3991:68 0 +*RES +1 *41018:X *3991:13 49.4071 +2 *3991:13 *3991:68 49.5792 +3 *3991:68 *3991:77 33.3214 +4 *3991:77 *3991:84 32.8393 +5 *3991:84 *3991:87 48.1607 +6 *3991:87 *3991:108 48.4844 +7 *3991:108 *3991:133 47.802 +8 *3991:133 *8672:DIODE 20.2464 +9 *3991:133 *41017:A 9.83571 +*END + +*D_NET *3992 0.023176 +*CONN +*I *8673:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41018:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *41019:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8673:DIODE 8.85714e-05 +2 *41018:A 2.55228e-05 +3 *41019:X 0.00251667 +4 *3992:43 0.00131088 +5 *3992:38 0.00895726 +6 *3992:14 0.0102771 +7 *8673:DIODE *37668:B 0 +8 *8673:DIODE *4092:19 0 +9 *3992:14 *4046:12 0 +10 *3992:14 *4089:17 0 +11 *3992:14 *4243:32 0 +12 *3992:14 *4296:50 0 +13 *3992:38 *4009:38 0 +14 *3992:38 *4042:28 0 +15 *3992:38 *4042:37 0 +16 *3992:38 *4083:112 0 +17 *3992:38 *4086:38 0 +18 *3992:38 *4086:57 0 +19 *3992:38 *4096:44 0 +20 *3992:38 *4105:45 0 +21 *3992:38 *4110:57 0 +22 *3992:38 *4231:43 0 +23 *3992:38 *4299:33 0 +24 *3992:43 *4075:126 0 +25 *3992:43 *4225:35 0 +26 *3992:43 *4521:41 0 +27 *38078:C *3992:38 0 +28 *41247:A *3992:14 0 +29 *1290:25 *3992:38 0 +30 *1436:22 *8673:DIODE 0 +31 *1996:41 *3992:14 0 +32 *1999:29 *3992:14 0 +33 *2050:62 *3992:14 0 +34 *2052:21 *3992:38 0 +35 *2671:44 *3992:43 0 +36 *2671:57 *3992:38 0 +37 *2832:38 *3992:43 0 +38 *3143:25 *3992:14 0 +39 *3247:22 *3992:14 0 +40 *3270:118 *3992:14 0 +41 *3320:169 *3992:38 0 +42 *3322:131 *3992:38 0 +43 *3518:90 *3992:38 0 +44 *3789:102 *8673:DIODE 0 +45 *3943:17 *3992:38 0 +46 *3947:27 *3992:38 0 +47 *3989:67 *3992:38 0 +*RES +1 *41019:X *3992:14 48.7207 +2 *3992:14 *3992:38 48.8135 +3 *3992:38 *3992:43 11.155 +4 *3992:43 *41018:A 14.3804 +5 *3992:43 *8673:DIODE 15.8268 +*END + +*D_NET *3993 0.0159165 +*CONN +*I *37486:B I *D sky130_fd_sc_hd__and2_1 +*I *5296:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38578:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *37486:B 0.000201642 +2 *5296:DIODE 0 +3 *38578:X 0.000722774 +4 *3993:31 0.00195901 +5 *3993:25 0.00375098 +6 *3993:22 0.0039708 +7 *3993:17 0.00328288 +8 *3993:14 0.00202846 +9 *3993:14 *39135:A 0 +10 *3993:14 *4439:24 0 +11 *3993:14 *4504:43 0 +12 *3993:14 *4511:18 0 +13 *3993:14 *4571:19 0 +14 *3993:14 *4789:23 0 +15 *3993:25 *5162:19 0 +16 *5290:DIODE *3993:22 0 +17 *5294:DIODE *3993:31 0 +18 *6585:DIODE *3993:14 0 +19 *39509:B *37486:B 0 +20 *343:58 *3993:17 0 +21 *343:58 *3993:22 0 +22 *1680:9 *3993:17 0 +23 *2694:18 *3993:14 0 +24 *3149:12 *3993:31 0 +25 *3175:16 *3993:22 0 +26 *3380:38 *3993:22 0 +27 *3431:25 *37486:B 0 +28 *3431:25 *3993:31 0 +29 *3467:9 *3993:25 0 +30 *3871:28 *3993:31 0 +*RES +1 *38578:X *3993:14 33.9964 +2 *3993:14 *3993:17 27.25 +3 *3993:17 *3993:22 45.9107 +4 *3993:22 *3993:25 46.1071 +5 *3993:25 *3993:31 45.7857 +6 *3993:31 *5296:DIODE 9.3 +7 *3993:31 *37486:B 22.6304 +*END + +*D_NET *3994 0.0221926 +*CONN +*I *6511:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38362:B I *D sky130_fd_sc_hd__and2_1 +*I *41020:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *6511:DIODE 2.56688e-05 +2 *38362:B 0.000420529 +3 *41020:X 0.00711666 +4 *3994:28 0.00162485 +5 *3994:27 0.00353344 +6 *3994:17 0.00947145 +7 *6511:DIODE *4013:33 0 +8 *38362:B *4013:67 0 +9 *3994:17 *8850:DIODE 0 +10 *3994:17 *4036:11 0 +11 *3994:17 *4040:31 0 +12 *3994:17 *4224:27 0 +13 *3994:17 *4314:28 0 +14 *3994:17 *4351:33 0 +15 *3994:27 *4036:11 0 +16 *3994:27 *4077:33 0 +17 *5728:DIODE *3994:17 0 +18 *8415:DIODE *3994:17 0 +19 *39996:A *3994:27 0 +20 *40100:A *3994:27 0 +21 *1321:25 *3994:17 0 +22 *1332:39 *3994:27 0 +23 *1445:53 *3994:17 0 +24 *2375:27 *3994:28 0 +25 *2414:55 *38362:B 0 +26 *2800:48 *3994:28 0 +27 *2834:18 *3994:17 0 +28 *2987:78 *3994:17 0 +29 *3008:54 *3994:17 0 +30 *3036:76 *3994:28 0 +31 *3088:14 *3994:28 0 +32 *3410:141 *38362:B 0 +33 *3653:56 *3994:17 0 +34 *3661:25 *3994:17 0 +35 *3661:25 *3994:27 0 +36 *3669:69 *3994:17 0 +37 *3731:12 *3994:27 0 +38 *3765:34 *3994:17 0 +*RES +1 *41020:X *3994:17 48.7974 +2 *3994:17 *3994:27 38.5976 +3 *3994:27 *3994:28 26.8393 +4 *3994:28 *38362:B 32.7643 +5 *3994:28 *6511:DIODE 14.3357 +*END + +*D_NET *3995 0.0227049 +*CONN +*I *8674:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41020:A I *D sky130_fd_sc_hd__buf_2 +*I *41021:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8674:DIODE 0.000114858 +2 *41020:A 6.50276e-05 +3 *41021:X 0.00504988 +4 *3995:36 0.00630255 +5 *3995:25 0.0111725 +6 *3995:25 *8866:DIODE 0 +7 *3995:25 *4255:13 0 +8 *3995:25 *4255:20 0 +9 *3995:25 *4261:51 0 +10 *3995:25 *4261:62 0 +11 *3995:25 *4268:24 0 +12 *3995:25 *4272:38 0 +13 *3995:25 *4276:20 0 +14 *3995:25 *5153:16 0 +15 *3995:36 *3997:60 0 +16 *3995:36 *4000:22 0 +17 *3995:36 *4034:48 0 +18 *3995:36 *4034:62 0 +19 *3995:36 *4052:27 0 +20 *3995:36 *4081:39 0 +21 *3995:36 *4225:50 0 +22 *7884:DIODE *3995:36 0 +23 *39632:A *3995:36 0 +24 *40989:A *3995:25 0 +25 *1445:37 *3995:36 0 +26 *2374:52 *3995:36 0 +27 *3084:34 *3995:25 0 +28 *3310:20 *3995:36 0 +29 *3439:38 *3995:25 0 +30 *3499:27 *3995:36 0 +31 *3503:37 *3995:36 0 +32 *3675:53 *3995:25 0 +33 *3959:23 *3995:25 0 +34 *3961:49 *3995:25 0 +35 *3977:91 *3995:25 0 +36 *3987:43 *3995:36 0 +*RES +1 *41021:X *3995:25 47.3187 +2 *3995:25 *3995:36 44.6833 +3 *3995:36 *41020:A 15.1571 +4 *3995:36 *8674:DIODE 16.3893 +*END + +*D_NET *3996 0.0220774 +*CONN +*I *6509:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38360:B I *D sky130_fd_sc_hd__and2_1 +*I *41022:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *6509:DIODE 0 +2 *38360:B 0.00020642 +3 *41022:X 0.000267685 +4 *3996:22 0.00020642 +5 *3996:20 0.0105646 +6 *3996:10 0.0108323 +7 *38360:B *4018:95 0 +8 *3996:20 *4033:77 0 +9 *3996:20 *4036:18 0 +10 *3996:20 *4048:74 0 +11 *3996:20 *4091:26 0 +12 *3996:20 *4091:55 0 +13 *3996:20 *4224:51 0 +14 *3996:20 *4266:18 0 +15 *3996:20 *4266:38 0 +16 *1027:74 *3996:10 0 +17 *1332:19 *3996:20 0 +18 *1579:26 *38360:B 0 +19 *2370:81 *3996:20 0 +20 *2440:31 *3996:20 0 +21 *2440:49 *3996:20 0 +22 *2442:31 *3996:20 0 +23 *2801:26 *3996:20 0 +24 *2839:40 *3996:20 0 +25 *2970:45 *3996:20 0 +26 *2988:18 *3996:20 0 +27 *2992:82 *3996:20 0 +28 *3048:13 *3996:20 0 +29 *3048:20 *3996:20 0 +30 *3394:47 *38360:B 0 +31 *3402:157 *3996:20 0 +32 *3429:46 *3996:20 0 +33 *3473:36 *3996:20 0 +34 *3540:33 *3996:20 0 +35 *3684:53 *3996:10 0 +*RES +1 *41022:X *3996:10 22.8439 +2 *3996:10 *3996:20 49.31 +3 *3996:20 *3996:22 4.5 +4 *3996:22 *38360:B 13.8714 +5 *3996:22 *6509:DIODE 9.3 +*END + +*D_NET *3997 0.022258 +*CONN +*I *8676:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41022:A I *D sky130_fd_sc_hd__buf_2 +*I *41023:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8676:DIODE 0.00026118 +2 *41022:A 0 +3 *41023:X 0.00126184 +4 *3997:87 0.000558383 +5 *3997:79 0.00319058 +6 *3997:60 0.00576155 +7 *3997:49 0.00438883 +8 *3997:46 0.00212373 +9 *3997:34 0.00202656 +10 *3997:18 0.00268534 +11 *8676:DIODE *8936:DIODE 0 +12 *3997:18 *4006:45 0 +13 *3997:18 *4006:69 0 +14 *3997:18 *4059:24 0 +15 *3997:18 *4119:89 0 +16 *3997:34 *4092:38 0 +17 *3997:34 *4095:82 0 +18 *3997:46 *4005:17 0 +19 *3997:46 *4095:82 0 +20 *3997:46 *4225:35 0 +21 *3997:46 *4263:15 0 +22 *3997:49 *4078:72 0 +23 *3997:49 *4095:85 0 +24 *3997:60 *4034:62 0 +25 *3997:60 *4078:72 0 +26 *3997:60 *4095:89 0 +27 *3997:79 *6424:DIODE 0 +28 *3997:79 *4077:19 0 +29 *3997:79 *4081:39 0 +30 *3997:79 *4088:27 0 +31 *3997:79 *4224:27 0 +32 *3997:87 *4037:95 0 +33 *6065:DIODE *3997:87 0 +34 *6067:DIODE *3997:79 0 +35 *37624:A *3997:46 0 +36 *37624:B *3997:46 0 +37 *1437:59 *3997:34 0 +38 *1445:37 *3997:60 0 +39 *2839:20 *3997:87 0 +40 *3025:46 *3997:79 0 +41 *3163:55 *3997:60 0 +42 *3433:30 *3997:60 0 +43 *3684:53 *8676:DIODE 0 +44 *3726:51 *3997:34 0 +45 *3726:61 *3997:46 0 +46 *3726:61 *3997:49 0 +47 *3726:68 *3997:49 0 +48 *3976:40 *3997:60 0 +49 *3987:43 *3997:79 0 +50 *3988:11 *3997:46 0 +51 *3988:25 *3997:60 0 +52 *3990:16 *3997:87 0 +53 *3995:36 *3997:60 0 +*RES +1 *41023:X *3997:18 49.3893 +2 *3997:18 *3997:34 43.3929 +3 *3997:34 *3997:46 26.9767 +4 *3997:46 *3997:49 31.7679 +5 *3997:49 *3997:60 47.367 +6 *3997:60 *3997:79 47.9094 +7 *3997:79 *3997:87 15.4107 +8 *3997:87 *41022:A 9.3 +9 *3997:87 *8676:DIODE 14.7821 +*END + +*D_NET *3998 0.0223506 +*CONN +*I *8677:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41023:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *41024:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8677:DIODE 0.000418157 +2 *41023:A 0 +3 *41024:X 0.00486796 +4 *3998:45 0.00630734 +5 *3998:19 0.0107571 +6 *8677:DIODE *4006:45 0 +7 *3998:19 *4110:28 0 +8 *3998:19 *4234:11 0 +9 *3998:19 *4234:43 0 +10 *3998:19 *4243:32 0 +11 *3998:19 *4303:30 0 +12 *3998:45 *4006:45 0 +13 *3998:45 *4008:18 0 +14 *3998:45 *4009:38 0 +15 *3998:45 *4017:97 0 +16 *3998:45 *4020:64 0 +17 *3998:45 *4039:61 0 +18 *3998:45 *4079:57 0 +19 *3998:45 *4083:112 0 +20 *3998:45 *4108:43 0 +21 *3998:45 *4114:28 0 +22 *3998:45 *4120:64 0 +23 *3998:45 *4227:57 0 +24 *3998:45 *4234:43 0 +25 *3998:45 *4248:24 0 +26 *3998:45 *4261:27 0 +27 *3998:45 *4261:51 0 +28 *3998:45 *4267:25 0 +29 *3998:45 *4289:21 0 +30 *8667:DIODE *3998:45 0 +31 *1224:71 *8677:DIODE 0 +32 *1226:53 *3998:45 0 +33 *1285:15 *3998:19 0 +34 *1298:17 *3998:45 0 +35 *2040:11 *3998:19 0 +36 *2420:76 *3998:19 0 +37 *2840:27 *3998:45 0 +38 *3143:25 *3998:19 0 +39 *3934:77 *3998:19 0 +40 *3946:31 *3998:45 0 +41 *3985:23 *3998:19 0 +42 *3985:23 *3998:45 0 +43 *3991:13 *8677:DIODE 0 +44 *3991:13 *3998:45 0 +*RES +1 *41024:X *3998:19 45.4627 +2 *3998:19 *3998:45 44.8154 +3 *3998:45 *41023:A 9.3 +4 *3998:45 *8677:DIODE 27.4429 +*END + +*D_NET *3999 0.0202933 +*CONN +*I *38358:B I *D sky130_fd_sc_hd__and2_1 +*I *6507:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41025:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *38358:B 0.000203483 +2 *6507:DIODE 0 +3 *41025:X 0.00342538 +4 *3999:56 0.00246522 +5 *3999:52 0.0065178 +6 *3999:26 0.00768144 +7 *3999:26 *4228:22 0 +8 *3999:26 *4244:11 0 +9 *3999:26 *4251:92 0 +10 *3999:26 *4322:93 0 +11 *3999:52 *4026:124 0 +12 *3999:52 *4044:49 0 +13 *3999:52 *4219:76 0 +14 *3999:52 *4244:29 0 +15 *3999:52 *4566:17 0 +16 *3999:52 *4572:44 0 +17 *3999:52 *5176:9 0 +18 *3999:56 *4013:98 0 +19 *38326:A *3999:26 0 +20 *287:29 *3999:56 0 +21 *383:17 *3999:56 0 +22 *1252:12 *3999:56 0 +23 *1463:18 *3999:52 0 +24 *1840:20 *3999:52 0 +25 *1863:48 *3999:56 0 +26 *2999:37 *3999:56 0 +27 *3101:55 *3999:26 0 +28 *3288:24 *3999:52 0 +29 *3395:106 *38358:B 0 +30 *3402:157 *3999:26 0 +31 *3413:132 *3999:26 0 +32 *3421:65 *3999:52 0 +33 *3421:65 *3999:56 0 +34 *3477:53 *3999:52 0 +35 *3477:53 *3999:56 0 +36 *3501:34 *3999:26 0 +37 *3682:46 *3999:26 0 +38 *3904:86 *3999:26 0 +39 *3936:79 *3999:52 0 +40 *3948:35 *3999:56 0 +41 *3954:34 *3999:26 0 +42 *3966:14 *38358:B 0 +43 *3975:106 *3999:52 0 +*RES +1 *41025:X *3999:26 48.6074 +2 *3999:26 *3999:52 45.092 +3 *3999:52 *3999:56 15.4067 +4 *3999:56 *6507:DIODE 13.8 +5 *3999:56 *38358:B 22.2875 +*END + +*D_NET *4000 0.0231399 +*CONN +*I *41025:A I *D sky130_fd_sc_hd__buf_6 +*I *8678:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41026:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *41025:A 0.00016043 +2 *8678:DIODE 0 +3 *41026:X 0.00127658 +4 *4000:35 0.00369358 +5 *4000:23 0.00822284 +6 *4000:22 0.00659979 +7 *4000:10 0.00318668 +8 *41025:A *4026:45 0 +9 *4000:10 *4019:36 0 +10 *4000:10 *4028:28 0 +11 *4000:10 *4235:14 0 +12 *4000:10 *4248:45 0 +13 *4000:10 *4268:14 0 +14 *4000:10 *4272:38 0 +15 *4000:10 *4278:23 0 +16 *4000:10 *4278:26 0 +17 *4000:22 *4028:29 0 +18 *4000:22 *4037:42 0 +19 *4000:22 *4054:11 0 +20 *4000:22 *4085:58 0 +21 *4000:22 *4085:66 0 +22 *4000:22 *4092:58 0 +23 *4000:22 *4106:21 0 +24 *4000:22 *4225:50 0 +25 *4000:23 *4005:32 0 +26 *4000:23 *4220:23 0 +27 *4000:35 *8735:DIODE 0 +28 *4000:35 *4026:45 0 +29 *4000:35 *4028:41 0 +30 *4000:35 *4031:50 0 +31 *4000:35 *4245:51 0 +32 *4000:35 *5151:17 0 +33 *2822:19 *4000:10 0 +34 *2981:92 *4000:35 0 +35 *3025:68 *4000:35 0 +36 *3070:50 *4000:23 0 +37 *3159:40 *4000:10 0 +38 *3163:55 *4000:23 0 +39 *3274:74 *4000:10 0 +40 *3409:26 *4000:35 0 +41 *3433:30 *4000:22 0 +42 *3501:34 *41025:A 0 +43 *3501:34 *4000:35 0 +44 *3682:46 *4000:35 0 +45 *3715:19 *4000:10 0 +46 *3904:49 *4000:35 0 +47 *3951:39 *4000:23 0 +48 *3955:43 *4000:23 0 +49 *3972:34 *4000:35 0 +50 *3976:41 *4000:23 0 +51 *3991:87 *4000:22 0 +52 *3995:36 *4000:22 0 +*RES +1 *41026:X *4000:10 46.1571 +2 *4000:10 *4000:22 41.2152 +3 *4000:22 *4000:23 97.875 +4 *4000:23 *4000:35 39.0514 +5 *4000:35 *8678:DIODE 9.3 +6 *4000:35 *41025:A 12.7107 +*END + +*D_NET *4001 0.0224546 +*CONN +*I *8679:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41026:A I *D sky130_fd_sc_hd__buf_6 +*I *41027:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8679:DIODE 0.000219468 +2 *41026:A 0.000299469 +3 *41027:X 0.000922257 +4 *4001:105 0.00191059 +5 *4001:81 0.00217498 +6 *4001:74 0.00398786 +7 *4001:45 0.00539279 +8 *4001:28 0.00375399 +9 *4001:23 0.00243782 +10 *4001:20 0.00135542 +11 *8679:DIODE *4017:97 0 +12 *41026:A *41267:A 0 +13 *41026:A *4268:14 0 +14 *4001:20 *8984:DIODE 0 +15 *4001:20 *4017:29 0 +16 *4001:20 *4120:40 0 +17 *4001:20 *4283:36 0 +18 *4001:23 *4039:61 0 +19 *4001:23 *4308:40 0 +20 *4001:28 *8767:DIODE 0 +21 *4001:28 *37654:B 0 +22 *4001:28 *4107:23 0 +23 *4001:28 *4107:43 0 +24 *4001:28 *4120:64 0 +25 *4001:28 *4120:74 0 +26 *4001:28 *4408:39 0 +27 *4001:28 *4408:56 0 +28 *4001:45 *4008:18 0 +29 *4001:45 *4020:86 0 +30 *4001:45 *4107:43 0 +31 *4001:45 *4107:62 0 +32 *4001:45 *4252:25 0 +33 *4001:74 *4112:119 0 +34 *4001:74 *4221:48 0 +35 *4001:74 *4242:44 0 +36 *4001:74 *4518:73 0 +37 *4001:81 *4017:97 0 +38 *4001:105 *38090:A_N 0 +39 *4001:105 *41267:A 0 +40 *4001:105 *4012:105 0 +41 *4001:105 *4017:117 0 +42 *4001:105 *4236:79 0 +43 *4001:105 *4236:95 0 +44 *4001:105 *4269:88 0 +45 *4001:105 *4286:61 0 +46 *4001:105 *4881:22 0 +47 *6398:DIODE *4001:45 0 +48 *8667:DIODE *4001:28 0 +49 *8718:DIODE *4001:23 0 +50 *8718:DIODE *4001:28 0 +51 *39629:A *4001:105 0 +52 *40306:A *4001:45 0 +53 *41011:A *4001:23 0 +54 *41266:A *4001:28 0 +55 *41268:A *4001:20 0 +56 *1432:52 *4001:81 0 +57 *1433:15 *4001:45 0 +58 *2069:29 *4001:20 0 +59 *2124:66 *4001:74 0 +60 *2822:19 *41026:A 0 +61 *2835:21 *4001:45 0 +62 *3037:15 *4001:74 0 +63 *3053:62 *4001:74 0 +64 *3167:59 *41026:A 0 +65 *3178:43 *4001:105 0 +66 *3220:21 *4001:74 0 +67 *3229:18 *4001:74 0 +68 *3263:52 *4001:74 0 +69 *3265:86 *4001:74 0 +70 *3312:96 *4001:105 0 +71 *3319:76 *4001:74 0 +72 *3319:76 *4001:105 0 +73 *3430:21 *4001:105 0 +74 *3439:24 *41026:A 0 +75 *3439:24 *4001:105 0 +76 *3441:41 *4001:105 0 +77 *3532:24 *4001:74 0 +78 *3532:24 *4001:105 0 +79 *3933:49 *4001:74 0 +80 *3956:80 *4001:74 0 +81 *3977:14 *4001:23 0 +82 *3977:91 *4001:74 0 +83 *3980:68 *4001:23 0 +84 *3984:19 *4001:23 0 +*RES +1 *41027:X *4001:20 46.9786 +2 *4001:20 *4001:23 9.07143 +3 *4001:23 *4001:28 46.4286 +4 *4001:28 *4001:45 45.6964 +5 *4001:45 *4001:74 47.5827 +6 *4001:74 *4001:81 11.75 +7 *4001:81 *4001:105 49.6609 +8 *4001:105 *41026:A 15.8893 +9 *4001:81 *8679:DIODE 13.9429 +*END + +*D_NET *4002 0.0231613 +*CONN +*I *41027:A I *D sky130_fd_sc_hd__buf_4 +*I *8680:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41028:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41027:A 0.000509226 +2 *8680:DIODE 6.50276e-05 +3 *41028:X 0.00287905 +4 *4002:30 0.00209044 +5 *4002:23 0.00812736 +6 *4002:13 0.00949022 +7 *41027:A *8722:DIODE 0 +8 *41027:A *4308:40 0 +9 *4002:13 *5137:11 0 +10 *4002:23 *4118:42 0 +11 *4002:23 *4500:13 0 +12 *1405:30 *4002:13 0 +13 *1816:22 *4002:23 0 +14 *1817:20 *4002:13 0 +15 *1967:16 *4002:23 0 +16 *2010:19 *4002:23 0 +17 *2010:25 *4002:13 0 +18 *2073:20 *4002:13 0 +19 *2073:20 *4002:23 0 +20 *2091:31 *4002:13 0 +21 *2098:41 *4002:13 0 +22 *2103:24 *4002:13 0 +23 *2103:48 *4002:23 0 +24 *2116:19 *4002:23 0 +25 *2116:45 *4002:23 0 +26 *2118:25 *4002:23 0 +27 *2118:65 *4002:30 0 +28 *2394:52 *4002:23 0 +29 *2415:50 *4002:23 0 +30 *2424:69 *4002:23 0 +31 *2427:26 *4002:30 0 +32 *2431:112 *4002:23 0 +33 *2844:41 *4002:30 0 +34 *3153:39 *8680:DIODE 0 +35 *3207:95 *4002:30 0 +36 *3307:21 *4002:23 0 +37 *3330:12 *4002:13 0 +38 *3330:12 *4002:23 0 +39 *3355:18 *4002:23 0 +40 *3359:20 *4002:13 0 +41 *3359:20 *4002:23 0 +42 *3438:25 *4002:23 0 +43 *3934:88 *4002:23 0 +*RES +1 *41028:X *4002:13 37.3509 +2 *4002:13 *4002:23 45.2686 +3 *4002:23 *4002:30 28.8517 +4 *4002:30 *8680:DIODE 15.1571 +5 *4002:30 *41027:A 25.4429 +*END + +*D_NET *4003 0.0196451 +*CONN +*I *38356:B I *D sky130_fd_sc_hd__and2_1 +*I *6505:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41029:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *38356:B 4.28658e-05 +2 *6505:DIODE 0.000143745 +3 *41029:X 0.00356427 +4 *4003:42 0.00625831 +5 *4003:26 0.00963596 +6 *4003:26 *8329:DIODE 0 +7 *4003:26 *8852:DIODE 0 +8 *4003:26 *4018:57 0 +9 *4003:26 *4044:49 0 +10 *4003:26 *4073:22 0 +11 *4003:26 *4229:69 0 +12 *4003:26 *4256:77 0 +13 *4003:26 *5151:31 0 +14 *4003:42 *4216:65 0 +15 *4003:42 *4228:22 0 +16 *4003:42 *4322:93 0 +17 *4003:42 *4360:117 0 +18 *7983:DIODE *4003:42 0 +19 *1002:53 *4003:26 0 +20 *1009:155 *4003:26 0 +21 *1009:155 *4003:42 0 +22 *1013:77 *38356:B 0 +23 *1454:24 *4003:26 0 +24 *1568:22 *4003:42 0 +25 *2806:50 *4003:42 0 +26 *2985:14 *4003:42 0 +27 *2988:24 *4003:42 0 +28 *3286:72 *4003:42 0 +29 *3297:75 *4003:26 0 +30 *3402:157 *4003:42 0 +31 *3434:56 *4003:26 0 +32 *3434:56 *4003:42 0 +33 *3480:11 *38356:B 0 +34 *3682:46 *4003:42 0 +35 *3724:53 *4003:42 0 +36 *3734:40 *4003:42 0 +37 *3904:80 *4003:26 0 +38 *3970:19 *4003:26 0 +39 *3970:19 *4003:42 0 +40 *3970:34 *4003:42 0 +41 *3986:13 *6505:DIODE 0 +42 *3990:52 *4003:42 0 +*RES +1 *41029:X *4003:26 48.1892 +2 *4003:26 *4003:42 37.5255 +3 *4003:42 *6505:DIODE 16.8 +4 *4003:42 *38356:B 14.8357 +*END + +*D_NET *4004 0.00544308 +*CONN +*I *37650:B I *D sky130_fd_sc_hd__and2_1 +*I *38579:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *37650:B 0.00120907 +2 *38579:X 0.00151247 +3 *4004:18 0.00272154 +4 *37650:B *4083:112 0 +5 *4004:18 *4281:26 0 +6 *4004:18 *4506:29 0 +7 *6574:DIODE *4004:18 0 +8 *1558:28 *37650:B 0 +9 *1982:31 *4004:18 0 +10 *2435:52 *4004:18 0 +11 *3314:28 *4004:18 0 +12 *3442:66 *4004:18 0 +13 *3806:74 *37650:B 0 +*RES +1 *38579:X *4004:18 45.3169 +2 *4004:18 *37650:B 41.1482 +*END + +*D_NET *4005 0.0212911 +*CONN +*I *41029:A I *D sky130_fd_sc_hd__buf_6 +*I *8682:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41030:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *41029:A 0.000208265 +2 *8682:DIODE 0.000550996 +3 *41030:X 0.000881047 +4 *4005:39 0.00219356 +5 *4005:32 0.00337183 +6 *4005:23 0.00747194 +7 *4005:22 0.00563343 +8 *4005:17 0.000980071 +9 *8682:DIODE *4094:60 0 +10 *8682:DIODE *4220:73 0 +11 *8682:DIODE *4319:41 0 +12 *41029:A *5151:31 0 +13 *4005:17 *4066:30 0 +14 *4005:17 *4095:85 0 +15 *4005:17 *4263:15 0 +16 *4005:17 *4263:17 0 +17 *4005:23 *4078:58 0 +18 *4005:23 *4092:69 0 +19 *4005:32 *4054:22 0 +20 *4005:32 *4263:28 0 +21 *4005:39 *4011:62 0 +22 *4005:39 *4073:10 0 +23 *4005:39 *4074:65 0 +24 *4005:39 *4215:82 0 +25 *4005:39 *4245:51 0 +26 *4005:39 *4256:77 0 +27 *4005:39 *4407:30 0 +28 *4005:39 *5151:17 0 +29 *8649:DIODE *4005:39 0 +30 *39584:A *8682:DIODE 0 +31 *40756:A *4005:17 0 +32 *2380:60 *4005:32 0 +33 *2987:124 *4005:32 0 +34 *2987:130 *4005:39 0 +35 *3025:68 *4005:32 0 +36 *3702:131 *4005:17 0 +37 *3726:61 *4005:17 0 +38 *3746:5 *4005:23 0 +39 *3746:5 *4005:32 0 +40 *3746:14 *4005:32 0 +41 *3932:11 *4005:17 0 +42 *3951:39 *4005:32 0 +43 *3955:41 *4005:23 0 +44 *3955:43 *4005:23 0 +45 *3955:55 *4005:32 0 +46 *3970:19 *41029:A 0 +47 *3972:39 *4005:39 0 +48 *3991:84 *4005:23 0 +49 *3997:46 *4005:17 0 +50 *4000:23 *4005:32 0 +*RES +1 *41030:X *4005:17 45.9429 +2 *4005:17 *4005:22 11.25 +3 *4005:22 *4005:23 115.536 +4 *4005:23 *4005:32 49.9464 +5 *4005:32 *4005:39 22.1732 +6 *4005:39 *8682:DIODE 29.3439 +7 *4005:39 *41029:A 20.1971 +*END + +*D_NET *4006 0.0225631 +*CONN +*I *41030:A I *D sky130_fd_sc_hd__buf_4 +*I *8683:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41031:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41030:A 2.26741e-05 +2 *8683:DIODE 0.000343369 +3 *41031:X 0.00108182 +4 *4006:85 0.00127471 +5 *4006:69 0.00246196 +6 *4006:45 0.00418114 +7 *4006:44 0.00292189 +8 *4006:36 0.00226087 +9 *4006:31 0.00251827 +10 *4006:23 0.00248301 +11 *4006:12 0.00301337 +12 *41030:A *4066:30 0 +13 *4006:12 *4020:20 0 +14 *4006:12 *4061:53 0 +15 *4006:12 *4125:33 0 +16 *4006:12 *4284:62 0 +17 *4006:12 *4292:22 0 +18 *4006:12 *4303:96 0 +19 *4006:23 *8761:DIODE 0 +20 *4006:23 *38322:A 0 +21 *4006:23 *4022:26 0 +22 *4006:23 *4113:37 0 +23 *4006:23 *4120:40 0 +24 *4006:23 *4230:25 0 +25 *4006:23 *4292:29 0 +26 *4006:31 *4020:64 0 +27 *4006:31 *4022:31 0 +28 *4006:31 *4050:13 0 +29 *4006:31 *4120:64 0 +30 *4006:31 *4124:16 0 +31 *4006:36 *41111:A 0 +32 *4006:36 *41124:A 0 +33 *4006:36 *4020:64 0 +34 *4006:36 *4022:31 0 +35 *4006:36 *4092:10 0 +36 *4006:36 *4095:18 0 +37 *4006:36 *4105:53 0 +38 *4006:44 *4095:18 0 +39 *4006:45 *8736:DIODE 0 +40 *4006:45 *38078:A_N 0 +41 *4006:45 *38266:B 0 +42 *4006:45 *4022:43 0 +43 *4006:45 *4070:20 0 +44 *4006:45 *4075:129 0 +45 *4006:45 *4083:131 0 +46 *4006:45 *4095:18 0 +47 *4006:45 *4095:24 0 +48 *4006:45 *4119:70 0 +49 *4006:45 *4119:89 0 +50 *4006:45 *4886:21 0 +51 *4006:69 *8726:DIODE 0 +52 *4006:69 *41079:A 0 +53 *4006:69 *4020:129 0 +54 *4006:69 *4059:24 0 +55 *4006:69 *4059:42 0 +56 *4006:69 *4061:159 0 +57 *4006:69 *4107:83 0 +58 *4006:69 *4119:89 0 +59 *4006:85 *8730:DIODE 0 +60 *4006:85 *4066:30 0 +61 *4006:85 *4119:109 0 +62 *8516:DIODE *4006:45 0 +63 *8657:DIODE *8683:DIODE 0 +64 *8677:DIODE *4006:45 0 +65 *8750:DIODE *4006:36 0 +66 *8778:DIODE *4006:23 0 +67 *37403:A *4006:45 0 +68 *38234:A *8683:DIODE 0 +69 *38322:B *4006:23 0 +70 *40146:A *4006:69 0 +71 *40475:A *4006:36 0 +72 *40825:A *4006:45 0 +73 *40831:A *4006:36 0 +74 *40977:A *4006:45 0 +75 *41138:A *4006:31 0 +76 *1439:17 *4006:69 0 +77 *1532:17 *4006:45 0 +78 *1537:22 *4006:44 0 +79 *2053:60 *4006:23 0 +80 *2077:68 *4006:23 0 +81 *2089:34 *4006:36 0 +82 *2254:87 *4006:23 0 +83 *3196:10 *4006:23 0 +84 *3263:52 *4006:69 0 +85 *3317:81 *4006:45 0 +86 *3365:30 *4006:23 0 +87 *3386:28 *4006:36 0 +88 *3438:57 *4006:23 0 +89 *3446:89 *4006:69 0 +90 *3446:104 *4006:69 0 +91 *3518:122 *4006:45 0 +92 *3702:31 *4006:36 0 +93 *3702:64 *4006:36 0 +94 *3726:61 *8683:DIODE 0 +95 *3747:97 *8683:DIODE 0 +96 *3806:74 *4006:36 0 +97 *3932:11 *41030:A 0 +98 *3932:11 *4006:85 0 +99 *3937:17 *4006:69 0 +100 *3946:31 *4006:45 0 +101 *3947:27 *4006:12 0 +102 *3977:47 *4006:44 0 +103 *3977:47 *4006:45 0 +104 *3989:67 *4006:31 0 +105 *3991:13 *4006:45 0 +106 *3991:77 *8683:DIODE 0 +107 *3997:18 *4006:45 0 +108 *3997:18 *4006:69 0 +109 *3998:45 *4006:45 0 +*RES +1 *41031:X *4006:12 42.7821 +2 *4006:12 *4006:23 49.7321 +3 *4006:23 *4006:31 11.5714 +4 *4006:31 *4006:36 45.6071 +5 *4006:36 *4006:44 19.6964 +6 *4006:44 *4006:45 54.75 +7 *4006:45 *4006:69 46.625 +8 *4006:69 *4006:85 24.4107 +9 *4006:85 *8683:DIODE 25.8714 +10 *4006:85 *41030:A 9.83571 +*END + +*D_NET *4007 0.0286198 +*CONN +*I *38354:B I *D sky130_fd_sc_hd__and2_1 +*I *6503:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41032:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *38354:B 2.56688e-05 +2 *6503:DIODE 9.90435e-05 +3 *41032:X 0.000164148 +4 *4007:20 0.00291048 +5 *4007:19 0.00470836 +6 *4007:13 0.00923948 +7 *4007:12 0.0093127 +8 *4007:8 0.00215996 +9 *38354:B *4018:86 0 +10 *4007:12 *39656:A 0 +11 *4007:13 *4217:19 0 +12 *4007:13 *4217:25 0 +13 *4007:13 *5024:13 0 +14 *4007:20 *4902:6 0 +15 *5429:DIODE *4007:8 0 +16 *5429:DIODE *4007:12 0 +17 *38355:A *38354:B 0 +18 *38355:A *4007:20 0 +19 *39971:A *4007:12 0 +20 *368:9 *4007:12 0 +21 *1207:17 *4007:8 0 +22 *1214:14 *38354:B 0 +23 *1273:21 *4007:20 0 +24 *1424:15 *4007:20 0 +25 *1446:52 *4007:12 0 +26 *1451:39 *4007:20 0 +27 *1565:41 *4007:13 0 +28 *1565:41 *4007:19 0 +29 *1565:47 *4007:19 0 +30 *1566:12 *4007:20 0 +31 *2440:49 *4007:12 0 +32 *2446:17 *4007:13 0 +33 *2474:10 *4007:12 0 +34 *2474:11 *4007:13 0 +35 *2818:73 *4007:20 0 +36 *3047:24 *4007:12 0 +37 *3424:39 *4007:13 0 +38 *3424:39 *4007:19 0 +39 *3425:16 *4007:13 0 +40 *3482:32 *4007:20 0 +41 *3625:16 *4007:12 0 +42 *3686:11 *4007:13 0 +43 *3701:31 *4007:13 0 +44 *3704:10 *4007:12 0 +45 *3706:16 *4007:12 0 +46 *3768:31 *4007:19 0 +47 *3942:151 *4007:12 0 +48 *3968:35 *4007:12 0 +*RES +1 *41032:X *4007:8 17.4964 +2 *4007:8 *4007:12 49.8571 +3 *4007:12 *4007:13 152.705 +4 *4007:13 *4007:19 44.6875 +5 *4007:19 *4007:20 63.2679 +6 *4007:20 *6503:DIODE 16.05 +7 *4007:20 *38354:B 14.3357 +*END + +*D_NET *4008 0.0250154 +*CONN +*I *8685:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41032:A I *D sky130_fd_sc_hd__buf_6 +*I *41033:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8685:DIODE 0.000603268 +2 *41032:A 0 +3 *41033:X 0.00202304 +4 *4008:120 0.00178148 +5 *4008:103 0.0038606 +6 *4008:102 0.00341594 +7 *4008:99 0.00296531 +8 *4008:72 0.00346303 +9 *4008:48 0.00305547 +10 *4008:18 0.00384723 +11 *8685:DIODE *8711:DIODE 0 +12 *8685:DIODE *4723:14 0 +13 *4008:18 *41229:A 0 +14 *4008:18 *4052:27 0 +15 *4008:18 *4079:57 0 +16 *4008:18 *4234:43 0 +17 *4008:48 *4020:113 0 +18 *4008:48 *4039:131 0 +19 *4008:48 *4052:27 0 +20 *4008:48 *4061:119 0 +21 *4008:48 *4075:126 0 +22 *4008:48 *4117:51 0 +23 *4008:48 *4289:21 0 +24 *4008:48 *4291:58 0 +25 *4008:72 *5624:DIODE 0 +26 *4008:72 *4078:26 0 +27 *4008:72 *4166:63 0 +28 *4008:72 *4230:90 0 +29 *4008:99 *38090:C 0 +30 *4008:99 *4019:28 0 +31 *4008:99 *4020:129 0 +32 *4008:99 *4059:42 0 +33 *4008:99 *4066:30 0 +34 *4008:99 *4261:51 0 +35 *4008:99 *4272:38 0 +36 *4008:99 *4278:23 0 +37 *4008:99 *4297:108 0 +38 *4008:102 *4011:24 0 +39 *4008:102 *4041:54 0 +40 *4008:102 *4229:28 0 +41 *4008:102 *5151:10 0 +42 *4008:103 *4052:37 0 +43 *4008:120 *4078:89 0 +44 *8628:DIODE *4008:99 0 +45 *38092:C *4008:99 0 +46 *39992:A *4008:99 0 +47 *40298:A *4008:72 0 +48 *40302:A *4008:99 0 +49 *1226:80 *4008:48 0 +50 *1233:18 *4008:72 0 +51 *1433:15 *4008:18 0 +52 *1444:32 *4008:99 0 +53 *2384:63 *8685:DIODE 0 +54 *2412:16 *4008:99 0 +55 *2837:16 *4008:99 0 +56 *2919:43 *4008:120 0 +57 *2919:66 *4008:103 0 +58 *2992:70 *8685:DIODE 0 +59 *3008:20 *4008:48 0 +60 *3008:20 *4008:72 0 +61 *3029:24 *4008:99 0 +62 *3070:84 *4008:103 0 +63 *3084:34 *4008:99 0 +64 *3098:52 *4008:103 0 +65 *3110:25 *4008:103 0 +66 *3177:26 *4008:72 0 +67 *3274:79 *4008:120 0 +68 *3279:81 *4008:99 0 +69 *3279:94 *4008:102 0 +70 *3318:28 *4008:99 0 +71 *3327:105 *4008:48 0 +72 *3436:64 *4008:99 0 +73 *3546:128 *4008:103 0 +74 *3685:61 *8685:DIODE 0 +75 *3811:45 *4008:103 0 +76 *3937:24 *4008:99 0 +77 *3939:141 *4008:99 0 +78 *3951:7 *4008:48 0 +79 *3955:38 *4008:102 0 +80 *3959:23 *4008:99 0 +81 *3959:26 *4008:102 0 +82 *3964:15 *4008:72 0 +83 *3998:45 *4008:18 0 +84 *4001:45 *4008:18 0 +*RES +1 *41033:X *4008:18 47.6855 +2 *4008:18 *4008:48 49.8848 +3 *4008:48 *4008:72 49.2054 +4 *4008:72 *4008:99 44.7423 +5 *4008:99 *4008:102 21.1696 +6 *4008:102 *4008:103 55.9821 +7 *4008:103 *4008:120 39.3214 +8 *4008:120 *41032:A 13.8 +9 *4008:120 *8685:DIODE 27.3536 +*END + +*D_NET *4009 0.0214442 +*CONN +*I *41033:A I *D sky130_fd_sc_hd__buf_4 +*I *8686:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41034:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41033:A 2.26741e-05 +2 *8686:DIODE 0.000174767 +3 *41034:X 0.00102877 +4 *4009:39 0.000400224 +5 *4009:38 0.00949589 +6 *4009:14 0.0103219 +7 *41033:A *4039:83 0 +8 *4009:14 *4105:11 0 +9 *4009:14 *4120:14 0 +10 *4009:38 *4055:24 0 +11 *4009:38 *4079:19 0 +12 *4009:38 *4079:57 0 +13 *4009:38 *4096:44 0 +14 *4009:38 *4108:43 0 +15 *4009:38 *4222:22 0 +16 *4009:38 *4234:43 0 +17 *4009:38 *4248:24 0 +18 *4009:38 *4273:50 0 +19 *4009:38 *4299:33 0 +20 *4009:38 *4512:44 0 +21 *4009:39 *4039:83 0 +22 *8667:DIODE *4009:38 0 +23 *1999:29 *4009:38 0 +24 *2125:14 *4009:14 0 +25 *2155:14 *4009:14 0 +26 *3977:21 *41033:A 0 +27 *3977:21 *4009:39 0 +28 *3985:23 *4009:38 0 +29 *3992:38 *4009:38 0 +30 *3998:45 *4009:38 0 +*RES +1 *41034:X *4009:14 49.1654 +2 *4009:14 *4009:38 49.9232 +3 *4009:38 *4009:39 4.23214 +4 *4009:39 *8686:DIODE 22.3 +5 *4009:39 *41033:A 9.83571 +*END + +*D_NET *4010 0.0226443 +*CONN +*I *38352:B I *D sky130_fd_sc_hd__and2_1 +*I *6501:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41035:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *38352:B 0 +2 *6501:DIODE 0.00016428 +3 *41035:X 0.0009384 +4 *4010:142 0.000457065 +5 *4010:139 0.0032763 +6 *4010:120 0.00411143 +7 *4010:96 0.00303003 +8 *4010:89 0.00240947 +9 *4010:69 0.00222201 +10 *4010:48 0.00340577 +11 *4010:27 0.00262951 +12 *4010:27 *4054:66 0 +13 *4010:27 *4343:23 0 +14 *4010:27 *4360:42 0 +15 *4010:48 *4319:41 0 +16 *4010:48 *4319:47 0 +17 *4010:69 *41312:A 0 +18 *4010:69 *4319:47 0 +19 *4010:89 *4318:38 0 +20 *4010:96 *4318:45 0 +21 *4010:96 *4343:65 0 +22 *4010:139 *4406:28 0 +23 *6024:DIODE *4010:48 0 +24 *6040:DIODE *4010:139 0 +25 *8656:DIODE *4010:27 0 +26 *38030:A_N *4010:27 0 +27 *38313:A *4010:139 0 +28 *38352:A *4010:142 0 +29 *40147:A *4010:96 0 +30 *1456:36 *4010:120 0 +31 *1550:17 *4010:139 0 +32 *1561:45 *4010:69 0 +33 *1564:35 *4010:69 0 +34 *1831:29 *6501:DIODE 0 +35 *1863:32 *4010:89 0 +36 *2370:19 *4010:139 0 +37 *2378:10 *4010:139 0 +38 *2414:126 *4010:27 0 +39 *2802:21 *4010:139 0 +40 *2803:42 *4010:139 0 +41 *2811:35 *4010:120 0 +42 *2811:59 *4010:120 0 +43 *2830:33 *4010:120 0 +44 *3009:22 *4010:96 0 +45 *3009:22 *4010:120 0 +46 *3013:19 *4010:27 0 +47 *3013:72 *4010:139 0 +48 *3045:21 *4010:69 0 +49 *3045:47 *4010:139 0 +50 *3280:64 *4010:48 0 +51 *3292:48 *4010:69 0 +52 *3292:84 *4010:89 0 +53 *3297:75 *4010:89 0 +54 *3297:81 *4010:89 0 +55 *3297:81 *4010:96 0 +56 *3409:120 *4010:48 0 +57 *3411:121 *4010:69 0 +58 *3417:119 *4010:69 0 +59 *3479:30 *4010:48 0 +60 *3480:11 *4010:142 0 +61 *3509:52 *4010:48 0 +62 *3512:50 *4010:120 0 +63 *3700:65 *4010:69 0 +64 *3700:82 *4010:139 0 +65 *3746:19 *4010:27 0 +66 *3764:62 *4010:139 0 +67 *3962:7 *6501:DIODE 0 +68 *3978:67 *4010:69 0 +69 *3978:77 *4010:89 0 +70 *3978:77 *4010:96 0 +71 *3978:99 *4010:96 0 +72 *3978:99 *4010:139 0 +*RES +1 *41035:X *4010:27 47.7464 +2 *4010:27 *4010:48 49.4821 +3 *4010:48 *4010:69 49.875 +4 *4010:69 *4010:89 29.2857 +5 *4010:89 *4010:96 49.0357 +6 *4010:96 *4010:120 46.3929 +7 *4010:120 *4010:139 46.9684 +8 *4010:139 *4010:142 11.1518 +9 *4010:142 *6501:DIODE 12.7286 +10 *4010:142 *38352:B 9.3 +*END + +*D_NET *4011 0.0212346 +*CONN +*I *8688:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41035:A I *D sky130_fd_sc_hd__buf_6 +*I *41036:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8688:DIODE 0.000300539 +2 *41035:A 0.000190098 +3 *41036:X 0.00116649 +4 *4011:63 0.00140038 +5 *4011:62 0.00160736 +6 *4011:37 0.00302428 +7 *4011:35 0.00353095 +8 *4011:31 0.00333352 +9 *4011:27 0.00382187 +10 *4011:24 0.00285913 +11 *41035:A *4054:66 0 +12 *4011:24 *4235:14 0 +13 *4011:24 *4278:23 0 +14 *4011:27 *4095:89 0 +15 *4011:27 *4263:17 0 +16 *4011:31 *4095:89 0 +17 *4011:31 *4220:23 0 +18 *4011:31 *4263:17 0 +19 *4011:35 *4220:23 0 +20 *4011:37 *4111:17 0 +21 *4011:37 *4220:23 0 +22 *4011:62 *4073:10 0 +23 *4011:62 *4094:40 0 +24 *4011:63 *6414:DIODE 0 +25 *7602:DIODE *8688:DIODE 0 +26 *1445:22 *4011:24 0 +27 *2442:54 *4011:35 0 +28 *2442:54 *4011:37 0 +29 *2987:130 *4011:62 0 +30 *3279:94 *4011:24 0 +31 *3443:75 *4011:24 0 +32 *3715:25 *4011:24 0 +33 *3746:19 *41035:A 0 +34 *3931:7 *8688:DIODE 0 +35 *3931:7 *4011:63 0 +36 *3937:33 *4011:27 0 +37 *3937:37 *4011:31 0 +38 *3937:37 *4011:35 0 +39 *3937:37 *4011:37 0 +40 *3937:61 *4011:62 0 +41 *3955:38 *4011:24 0 +42 *3972:39 *4011:62 0 +43 *3976:40 *4011:31 0 +44 *3978:20 *4011:63 0 +45 *4005:39 *4011:62 0 +46 *4008:102 *4011:24 0 +*RES +1 *41036:X *4011:24 43.7107 +2 *4011:24 *4011:27 35.3571 +3 *4011:27 *4011:31 44.5 +4 *4011:31 *4011:35 25.1964 +5 *4011:35 *4011:37 48.5893 +6 *4011:37 *4011:62 42.875 +7 *4011:62 *4011:63 19.0179 +8 *4011:63 *41035:A 22.425 +9 *4011:63 *8688:DIODE 15.6036 +*END + +*D_NET *4012 0.0196121 +*CONN +*I *41036:A I *D sky130_fd_sc_hd__buf_4 +*I *8689:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41037:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41036:A 0.000580419 +2 *8689:DIODE 0 +3 *41037:X 0.00149706 +4 *4012:117 0.0012622 +5 *4012:105 0.00223956 +6 *4012:82 0.0027166 +7 *4012:68 0.00328295 +8 *4012:44 0.00336816 +9 *4012:30 0.00220605 +10 *4012:18 0.00245909 +11 *41036:A *4019:28 0 +12 *4012:18 *8722:DIODE 0 +13 *4012:18 *8894:DIODE 0 +14 *4012:18 *4279:21 0 +15 *4012:18 *4279:23 0 +16 *4012:18 *4294:17 0 +17 *4012:18 *4294:47 0 +18 *4012:18 *4305:19 0 +19 *4012:30 *6072:DIODE 0 +20 *4012:30 *4279:23 0 +21 *4012:30 *4294:47 0 +22 *4012:30 *4294:49 0 +23 *4012:44 *4075:126 0 +24 *4012:44 *4294:49 0 +25 *4012:44 *4295:53 0 +26 *4012:44 *4303:167 0 +27 *4012:44 *4514:14 0 +28 *4012:68 *4269:45 0 +29 *4012:68 *4269:53 0 +30 *4012:68 *4294:49 0 +31 *4012:68 *4294:67 0 +32 *4012:82 *4269:61 0 +33 *4012:82 *4294:67 0 +34 *4012:105 *38090:A_N 0 +35 *4012:105 *38092:B 0 +36 *4012:105 *4276:20 0 +37 *4012:105 *4279:39 0 +38 *4012:105 *4294:67 0 +39 *4012:105 *4294:77 0 +40 *4012:105 *4297:122 0 +41 *4012:105 *4520:107 0 +42 *4012:117 *4264:54 0 +43 *8647:DIODE *4012:105 0 +44 *8693:DIODE *4012:18 0 +45 *8890:DIODE *4012:18 0 +46 *37672:A *4012:18 0 +47 *37672:B *4012:18 0 +48 *40122:A *4012:105 0 +49 *40280:A *4012:18 0 +50 *40523:A *4012:68 0 +51 *41037:A *4012:18 0 +52 *1235:15 *4012:30 0 +53 *1235:19 *4012:44 0 +54 *1235:19 *4012:68 0 +55 *1235:55 *4012:105 0 +56 *1440:19 *4012:117 0 +57 *2365:76 *4012:117 0 +58 *3037:20 *4012:117 0 +59 *3084:34 *4012:105 0 +60 *3157:5 *4012:18 0 +61 *3263:52 *4012:105 0 +62 *3306:20 *4012:105 0 +63 *3319:76 *4012:105 0 +64 *3440:67 *4012:117 0 +65 *3442:99 *4012:68 0 +66 *3443:53 *41036:A 0 +67 *3493:11 *4012:117 0 +68 *3959:23 *4012:105 0 +69 *3969:72 *4012:18 0 +70 *4001:105 *4012:105 0 +*RES +1 *41037:X *4012:18 49.7286 +2 *4012:18 *4012:30 38.1964 +3 *4012:30 *4012:44 35.9464 +4 *4012:44 *4012:68 49.8036 +5 *4012:68 *4012:82 46.8036 +6 *4012:82 *4012:105 46.9046 +7 *4012:105 *4012:117 24.1923 +8 *4012:117 *8689:DIODE 9.3 +9 *4012:117 *41036:A 31.3536 +*END + +*D_NET *4013 0.0209172 +*CONN +*I *6499:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38350:B I *D sky130_fd_sc_hd__and2_1 +*I *41038:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6499:DIODE 6.95094e-05 +2 *38350:B 4.53482e-05 +3 *41038:X 0.00150633 +4 *4013:98 0.00271089 +5 *4013:80 0.00369075 +6 *4013:67 0.00237088 +7 *4013:33 0.00364624 +8 *4013:24 0.00387051 +9 *4013:11 0.00300675 +10 *4013:11 *8691:DIODE 0 +11 *4013:11 *4220:88 0 +12 *4013:24 *4219:42 0 +13 *4013:24 *4244:11 0 +14 *4013:24 *5182:46 0 +15 *4013:33 *4018:71 0 +16 *4013:67 *4018:95 0 +17 *4013:67 *4216:75 0 +18 *4013:67 *4223:35 0 +19 *4013:98 *4223:62 0 +20 *6511:DIODE *4013:33 0 +21 *7867:DIODE *4013:33 0 +22 *7879:DIODE *4013:24 0 +23 *8507:DIODE *4013:24 0 +24 *8665:DIODE *4013:24 0 +25 *38124:C *4013:98 0 +26 *38350:A *4013:98 0 +27 *38362:A *4013:33 0 +28 *38362:B *4013:67 0 +29 *39568:A *4013:98 0 +30 *39965:A *4013:33 0 +31 *39968:A *4013:33 0 +32 *40227:A *4013:11 0 +33 *40227:A *4013:24 0 +34 *40995:A *4013:33 0 +35 *1015:125 *6499:DIODE 0 +36 *1015:125 *4013:98 0 +37 *1214:31 *4013:67 0 +38 *1450:80 *4013:33 0 +39 *1452:17 *4013:11 0 +40 *1453:16 *4013:24 0 +41 *1458:37 *4013:67 0 +42 *1462:63 *4013:33 0 +43 *1574:17 *6499:DIODE 0 +44 *1574:17 *4013:98 0 +45 *1580:16 *4013:67 0 +46 *1580:45 *4013:98 0 +47 *1754:8 *4013:98 0 +48 *1863:28 *4013:24 0 +49 *2388:62 *4013:33 0 +50 *2414:55 *4013:24 0 +51 *2414:55 *4013:33 0 +52 *2414:55 *4013:67 0 +53 *2414:89 *4013:24 0 +54 *2414:109 *4013:11 0 +55 *2803:17 *4013:33 0 +56 *2999:37 *4013:98 0 +57 *3099:25 *4013:24 0 +58 *3100:17 *4013:11 0 +59 *3101:55 *4013:11 0 +60 *3117:12 *4013:80 0 +61 *3286:79 *4013:67 0 +62 *3394:47 *4013:67 0 +63 *3397:17 *4013:80 0 +64 *3397:31 *4013:98 0 +65 *3410:85 *4013:11 0 +66 *3410:85 *4013:24 0 +67 *3410:112 *4013:33 0 +68 *3410:141 *4013:33 0 +69 *3419:28 *4013:98 0 +70 *3477:53 *4013:98 0 +71 *3478:75 *4013:67 0 +72 *3478:75 *4013:80 0 +73 *3478:98 *4013:80 0 +74 *3501:34 *4013:11 0 +75 *3745:49 *4013:98 0 +76 *3767:26 *4013:33 0 +77 *3935:21 *38350:B 0 +78 *3953:48 *4013:98 0 +79 *3954:86 *4013:67 0 +80 *3975:106 *4013:98 0 +81 *3975:118 *4013:98 0 +82 *3981:21 *4013:24 0 +83 *3981:39 *4013:33 0 +84 *3981:59 *4013:33 0 +85 *3981:59 *4013:67 0 +86 *3981:67 *4013:80 0 +87 *3981:99 *38350:B 0 +88 *3999:56 *4013:98 0 +*RES +1 *41038:X *4013:11 40.8 +2 *4013:11 *4013:24 40.7321 +3 *4013:24 *4013:33 49.6964 +4 *4013:33 *4013:67 45.9286 +5 *4013:67 *4013:80 41.1429 +6 *4013:80 *4013:98 48.7761 +7 *4013:98 *38350:B 14.7464 +8 *4013:98 *6499:DIODE 15.4429 +*END + +*D_NET *4014 0.0229347 +*CONN +*I *8691:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41038:A I *D sky130_fd_sc_hd__buf_4 +*I *41039:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8691:DIODE 0.000281501 +2 *41038:A 0 +3 *41039:X 0.000655358 +4 *4014:66 0.0023211 +5 *4014:40 0.00430733 +6 *4014:15 0.00849092 +7 *4014:13 0.00687854 +8 *8691:DIODE *4220:88 0 +9 *8691:DIODE *4322:40 0 +10 *4014:13 *8853:DIODE 0 +11 *4014:13 *4241:14 0 +12 *4014:13 *4407:12 0 +13 *4014:40 *4268:24 0 +14 *4014:66 *4232:40 0 +15 *4014:66 *4238:98 0 +16 *4014:66 *4270:45 0 +17 *4014:66 *4404:44 0 +18 *4014:66 *5182:66 0 +19 *8061:DIODE *4014:66 0 +20 *8197:DIODE *4014:66 0 +21 *39567:A *4014:66 0 +22 *40756:A *4014:13 0 +23 *2365:24 *4014:66 0 +24 *2419:88 *4014:13 0 +25 *2419:88 *4014:15 0 +26 *2972:84 *4014:40 0 +27 *3119:22 *4014:40 0 +28 *3124:17 *4014:13 0 +29 *3155:59 *4014:66 0 +30 *3274:74 *4014:15 0 +31 *3288:10 *4014:66 0 +32 *3297:22 *4014:40 0 +33 *3301:42 *4014:40 0 +34 *3313:46 *4014:40 0 +35 *3411:61 *4014:66 0 +36 *3417:47 *4014:66 0 +37 *3443:75 *4014:13 0 +38 *3487:20 *4014:40 0 +39 *3501:34 *8691:DIODE 0 +40 *3502:54 *4014:40 0 +41 *3510:33 *4014:40 0 +42 *3696:62 *4014:40 0 +43 *3793:80 *8691:DIODE 0 +44 *3932:11 *4014:13 0 +45 *3932:11 *4014:15 0 +46 *3958:19 *4014:66 0 +47 *4013:11 *8691:DIODE 0 +*RES +1 *41039:X *4014:13 32.9071 +2 *4014:13 *4014:15 129.911 +3 *4014:15 *4014:40 47.2574 +4 *4014:40 *4014:66 47.1935 +5 *4014:66 *41038:A 9.3 +6 *4014:66 *8691:DIODE 15.175 +*END + +*D_NET *4015 0.010904 +*CONN +*I *6170:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38120:C I *D sky130_fd_sc_hd__and3b_1 +*I *38580:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *6170:DIODE 0.000460327 +2 *38120:C 0 +3 *38580:X 0.00182355 +4 *4015:55 0.00362845 +5 *4015:26 0.00499167 +6 *6170:DIODE *6169:DIODE 0 +7 *6170:DIODE *4026:62 0 +8 *6170:DIODE *4360:77 0 +9 *4015:26 *4590:93 0 +10 *4015:26 *5172:65 0 +11 *4015:26 *5172:73 0 +12 *4015:55 *4044:25 0 +13 *4015:55 *4232:40 0 +14 *4015:55 *4360:77 0 +15 *4015:55 *4596:48 0 +16 *4015:55 *5186:65 0 +17 *6705:DIODE *4015:26 0 +18 *39635:A *6170:DIODE 0 +19 *39640:A *4015:55 0 +20 *40366:A *4015:26 0 +21 *412:43 *4015:26 0 +22 *412:43 *4015:55 0 +23 *537:22 *4015:26 0 +24 *537:43 *4015:26 0 +25 *1003:36 *4015:55 0 +26 *1006:38 *4015:55 0 +27 *1459:21 *6170:DIODE 0 +28 *1828:47 *4015:55 0 +29 *2440:16 *6170:DIODE 0 +30 *2960:20 *4015:26 0 +31 *2993:44 *4015:55 0 +32 *3023:58 *4015:55 0 +33 *3101:55 *4015:55 0 +34 *3159:21 *4015:55 0 +35 *3203:60 *4015:26 0 +36 *3208:24 *4015:26 0 +37 *3211:42 *4015:26 0 +38 *3221:36 *4015:26 0 +39 *3221:36 *4015:55 0 +40 *3251:67 *4015:26 0 +41 *3254:33 *4015:26 0 +42 *3264:93 *4015:55 0 +43 *3400:21 *4015:26 0 +44 *3958:25 *4015:55 0 +*RES +1 *38580:X *4015:26 46.9859 +2 *4015:26 *4015:55 43.9723 +3 *4015:55 *38120:C 9.3 +4 *4015:55 *6170:DIODE 19.0321 +*END + +*D_NET *4016 0.00469377 +*CONN +*I *37652:B I *D sky130_fd_sc_hd__and2_1 +*I *38581:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *37652:B 0.00141842 +2 *38581:X 0.000928466 +3 *4016:14 0.00234688 +4 *37652:B *8762:DIODE 0 +5 *4016:14 *4501:34 0 +6 *4016:14 *4508:15 0 +7 *37678:B *4016:14 0 +8 *1220:14 *37652:B 0 +9 *1238:21 *37652:B 0 +10 *1238:21 *4016:14 0 +11 *2594:21 *4016:14 0 +12 *3440:38 *4016:14 0 +13 *3530:89 *37652:B 0 +14 *3785:34 *4016:14 0 +15 *3786:82 *37652:B 0 +16 *3786:90 *37652:B 0 +17 *3786:90 *4016:14 0 +*RES +1 *38581:X *4016:14 34.4607 +2 *4016:14 *37652:B 45.9071 +*END + +*D_NET *4017 0.0201988 +*CONN +*I *41039:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8692:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41040:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41039:A 2.277e-05 +2 *8692:DIODE 0.000730158 +3 *41040:X 0.00130127 +4 *4017:117 0.00223479 +5 *4017:97 0.00384998 +6 *4017:58 0.00454323 +7 *4017:53 0.00419524 +8 *4017:29 0.0033214 +9 *8692:DIODE *8853:DIODE 0 +10 *8692:DIODE *8858:DIODE 0 +11 *4017:29 *8761:DIODE 0 +12 *4017:29 *8847:DIODE 0 +13 *4017:29 *38322:A 0 +14 *4017:29 *41260:A 0 +15 *4017:29 *4221:21 0 +16 *4017:29 *4236:30 0 +17 *4017:29 *4236:33 0 +18 *4017:29 *4283:36 0 +19 *4017:29 *4283:61 0 +20 *4017:29 *4308:52 0 +21 *4017:53 *38068:A_N 0 +22 *4017:53 *41270:A 0 +23 *4017:53 *4020:80 0 +24 *4017:53 *4236:33 0 +25 *4017:53 *4255:13 0 +26 *4017:53 *4283:61 0 +27 *4017:53 *4308:52 0 +28 *4017:53 *4514:57 0 +29 *4017:58 *38064:A_N 0 +30 *4017:58 *4053:92 0 +31 *4017:58 *4107:63 0 +32 *4017:58 *4236:33 0 +33 *4017:58 *4236:65 0 +34 *4017:58 *4252:25 0 +35 *4017:97 *38076:A_N 0 +36 *4017:97 *4166:60 0 +37 *4017:97 *4236:79 0 +38 *4017:97 *4255:13 0 +39 *4017:97 *4261:51 0 +40 *4017:97 *4272:28 0 +41 *4017:97 *4276:20 0 +42 *4017:97 *4881:22 0 +43 *4017:117 *6114:DIODE 0 +44 *4017:117 *4261:51 0 +45 *4017:117 *4276:20 0 +46 *4017:117 *4881:22 0 +47 *8212:DIODE *4017:97 0 +48 *8679:DIODE *4017:97 0 +49 *8693:DIODE *4017:29 0 +50 *38064:C *4017:58 0 +51 *38068:C *4017:58 0 +52 *38092:C *4017:117 0 +53 *38212:A *4017:53 0 +54 *39629:A *4017:97 0 +55 *39987:A *4017:58 0 +56 *39994:A *4017:58 0 +57 *40320:A *4017:29 0 +58 *40600:A *8692:DIODE 0 +59 *40997:A *4017:58 0 +60 *1218:36 *4017:97 0 +61 *1218:48 *4017:97 0 +62 *1432:52 *4017:97 0 +63 *1434:26 *4017:58 0 +64 *1505:19 *4017:53 0 +65 *2386:42 *8692:DIODE 0 +66 *2421:73 *4017:97 0 +67 *2832:38 *4017:58 0 +68 *3053:62 *4017:117 0 +69 *3084:62 *4017:97 0 +70 *3124:17 *8692:DIODE 0 +71 *3261:53 *8692:DIODE 0 +72 *3307:85 *4017:97 0 +73 *3439:24 *4017:117 0 +74 *3443:11 *4017:58 0 +75 *3502:9 *8692:DIODE 0 +76 *3528:20 *8692:DIODE 0 +77 *3532:24 *4017:117 0 +78 *3744:36 *4017:58 0 +79 *3939:93 *4017:53 0 +80 *3939:117 *4017:58 0 +81 *3959:23 *4017:117 0 +82 *3972:24 *4017:117 0 +83 *3998:45 *4017:97 0 +84 *4001:20 *4017:29 0 +85 *4001:81 *4017:97 0 +86 *4001:105 *4017:117 0 +*RES +1 *41040:X *4017:29 46.05 +2 *4017:29 *4017:53 42.75 +3 *4017:53 *4017:58 49.9732 +4 *4017:58 *4017:97 45.115 +5 *4017:97 *4017:117 30.3986 +6 *4017:117 *8692:DIODE 30.3625 +7 *4017:117 *41039:A 14.3804 +*END + +*D_NET *4018 0.0221567 +*CONN +*I *38348:B I *D sky130_fd_sc_hd__and2_1 +*I *6496:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41041:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38348:B 0.000193392 +2 *6496:DIODE 0 +3 *41041:X 0.00178655 +4 *4018:117 0.000425992 +5 *4018:109 0.00203068 +6 *4018:95 0.00409458 +7 *4018:86 0.00326607 +8 *4018:71 0.00232714 +9 *4018:57 0.00291864 +10 *4018:38 0.00244407 +11 *4018:23 0.00266955 +12 *38348:B *4228:49 0 +13 *4018:23 *4026:45 0 +14 *4018:23 *4030:18 0 +15 *4018:23 *4220:88 0 +16 *4018:23 *4256:77 0 +17 *4018:23 *4322:68 0 +18 *4018:57 *4023:57 0 +19 *4018:57 *4216:24 0 +20 *4018:57 *4360:66 0 +21 *4018:71 *38344:B 0 +22 *4018:71 *4023:57 0 +23 *4018:71 *4216:45 0 +24 *4018:86 *38334:B 0 +25 *4018:95 *38330:B 0 +26 *4018:95 *41227:A 0 +27 *4018:95 *4026:90 0 +28 *4018:95 *4223:35 0 +29 *4018:109 *38310:B 0 +30 *4018:109 *4073:79 0 +31 *4018:117 *4228:49 0 +32 *6156:DIODE *4018:86 0 +33 *8637:DIODE *4018:109 0 +34 *38344:A *4018:71 0 +35 *38354:B *4018:86 0 +36 *38355:A *4018:86 0 +37 *38360:B *4018:95 0 +38 *39960:A *4018:109 0 +39 *39966:A *4018:95 0 +40 *40218:A *4018:95 0 +41 *1020:15 *4018:109 0 +42 *1214:14 *4018:86 0 +43 *1214:31 *4018:95 0 +44 *1459:28 *4018:71 0 +45 *1459:28 *4018:95 0 +46 *1459:40 *4018:95 0 +47 *1462:33 *4018:57 0 +48 *1462:54 *4018:57 0 +49 *1572:16 *4018:38 0 +50 *1579:26 *4018:95 0 +51 *2378:10 *4018:109 0 +52 *2380:13 *4018:109 0 +53 *2800:17 *4018:95 0 +54 *2806:92 *4018:109 0 +55 *2999:37 *4018:109 0 +56 *3088:14 *4018:95 0 +57 *3286:72 *4018:95 0 +58 *3310:87 *4018:38 0 +59 *3394:47 *4018:95 0 +60 *3406:70 *4018:86 0 +61 *3411:121 *4018:57 0 +62 *3411:164 *4018:95 0 +63 *3434:56 *4018:57 0 +64 *3481:43 *4018:57 0 +65 *3481:48 *4018:86 0 +66 *3481:57 *4018:86 0 +67 *3481:68 *4018:109 0 +68 *3482:21 *4018:86 0 +69 *3490:25 *4018:86 0 +70 *3498:43 *4018:86 0 +71 *3501:64 *4018:57 0 +72 *3501:74 *4018:57 0 +73 *3501:74 *4018:71 0 +74 *3501:87 *4018:86 0 +75 *3542:41 *4018:57 0 +76 *3696:136 *4018:95 0 +77 *3904:49 *4018:23 0 +78 *3936:14 *4018:23 0 +79 *3936:50 *4018:71 0 +80 *3948:28 *4018:109 0 +81 *3950:51 *4018:95 0 +82 *3950:75 *4018:95 0 +83 *3963:19 *4018:38 0 +84 *3967:40 *4018:86 0 +85 *4003:26 *4018:57 0 +86 *4013:33 *4018:71 0 +87 *4013:67 *4018:95 0 +*RES +1 *41041:X *4018:23 46.7841 +2 *4018:23 *4018:38 35.7046 +3 *4018:38 *4018:57 45.7292 +4 *4018:57 *4018:71 46.875 +5 *4018:71 *4018:86 39.0179 +6 *4018:86 *4018:95 48.0536 +7 *4018:95 *4018:109 46.7857 +8 *4018:109 *4018:117 13.9821 +9 *4018:117 *6496:DIODE 9.3 +10 *4018:117 *38348:B 22.5321 +*END + +*D_NET *4019 0.0216468 +*CONN +*I *8694:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41041:A I *D sky130_fd_sc_hd__buf_2 +*I *41042:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8694:DIODE 0.000101392 +2 *41041:A 0.000711059 +3 *41042:X 0.00181535 +4 *4019:45 0.00314382 +5 *4019:37 0.00776737 +6 *4019:36 0.00586424 +7 *4019:28 0.00224358 +8 *8694:DIODE *5084:23 0 +9 *41041:A *4028:45 0 +10 *41041:A *4268:48 0 +11 *4019:28 *4261:62 0 +12 *4019:28 *4272:38 0 +13 *4019:36 *4268:14 0 +14 *4019:36 *4272:38 0 +15 *4019:37 *4274:11 0 +16 *4019:37 *5084:23 0 +17 *4019:45 *4270:32 0 +18 *4019:45 *5084:23 0 +19 *8307:DIODE *8694:DIODE 0 +20 *8307:DIODE *4019:45 0 +21 *8309:DIODE *4019:45 0 +22 *38092:C *4019:28 0 +23 *38092:C *4019:36 0 +24 *39569:A *4019:45 0 +25 *40163:A *4019:45 0 +26 *40207:A *4019:37 0 +27 *40242:A *41041:A 0 +28 *41036:A *4019:28 0 +29 *1445:22 *4019:28 0 +30 *2367:7 *4019:45 0 +31 *3077:120 *4019:37 0 +32 *3084:11 *4019:37 0 +33 *3129:15 *4019:37 0 +34 *3129:21 *4019:37 0 +35 *3155:30 *41041:A 0 +36 *3159:40 *4019:36 0 +37 *3167:22 *4019:45 0 +38 *3194:135 *4019:37 0 +39 *3194:135 *4019:45 0 +40 *3203:68 *4019:45 0 +41 *3263:11 *4019:36 0 +42 *3279:81 *4019:28 0 +43 *3427:34 *4019:28 0 +44 *3436:64 *4019:28 0 +45 *3443:53 *4019:28 0 +46 *3443:75 *4019:28 0 +47 *3510:18 *4019:45 0 +48 *3513:71 *4019:45 0 +49 *3682:15 *4019:37 0 +50 *3936:14 *41041:A 0 +51 *4000:10 *4019:36 0 +52 *4008:99 *4019:28 0 +*RES +1 *41042:X *4019:28 45.8884 +2 *4019:28 *4019:36 22.8214 +3 *4019:36 *4019:37 113.482 +4 *4019:37 *4019:45 48.75 +5 *4019:45 *41041:A 34.3536 +6 *4019:45 *8694:DIODE 11.4786 +*END + +*D_NET *4020 0.0227245 +*CONN +*I *41042:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8695:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41043:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41042:A 0 +2 *8695:DIODE 0.000203391 +3 *41043:X 0.000734296 +4 *4020:129 0.00129179 +5 *4020:113 0.00289377 +6 *4020:86 0.00369347 +7 *4020:80 0.00303545 +8 *4020:64 0.00301784 +9 *4020:39 0.0037146 +10 *4020:20 0.00262486 +11 *4020:17 0.00151504 +12 *8695:DIODE *38090:C 0 +13 *4020:17 *4032:60 0 +14 *4020:17 *4061:51 0 +15 *4020:17 *4083:39 0 +16 *4020:17 *4083:52 0 +17 *4020:17 *4303:96 0 +18 *4020:39 *8854:DIODE 0 +19 *4020:39 *41393:A 0 +20 *4020:39 *4022:26 0 +21 *4020:39 *4075:38 0 +22 *4020:39 *4105:45 0 +23 *4020:39 *4269:22 0 +24 *4020:39 *4292:29 0 +25 *4020:39 *4408:15 0 +26 *4020:64 *4083:112 0 +27 *4020:64 *4086:57 0 +28 *4020:64 *4124:16 0 +29 *4020:64 *4267:25 0 +30 *4020:64 *4289:21 0 +31 *4020:64 *4408:39 0 +32 *4020:80 *4039:112 0 +33 *4020:80 *4053:92 0 +34 *4020:80 *4234:43 0 +35 *4020:80 *4248:24 0 +36 *4020:86 *37668:B 0 +37 *4020:86 *4049:43 0 +38 *4020:86 *4107:43 0 +39 *4020:86 *4107:62 0 +40 *4020:86 *4291:37 0 +41 *4020:86 *4291:58 0 +42 *4020:113 *8856:DIODE 0 +43 *4020:113 *37666:B 0 +44 *4020:113 *38076:B 0 +45 *4020:113 *41246:A 0 +46 *4020:113 *4034:24 0 +47 *4020:113 *4049:43 0 +48 *4020:113 *4230:74 0 +49 *4020:113 *4233:26 0 +50 *4020:113 *4234:45 0 +51 *4020:113 *4291:58 0 +52 *4020:113 *4408:78 0 +53 *4020:129 *38090:C 0 +54 *4020:129 *4059:42 0 +55 *4020:129 *4107:83 0 +56 *4020:129 *4297:108 0 +57 *5449:DIODE *4020:17 0 +58 *8467:DIODE *4020:129 0 +59 *37632:B *4020:17 0 +60 *37782:B *4020:17 0 +61 *40271:A *4020:20 0 +62 *40306:A *4020:86 0 +63 *40831:A *4020:64 0 +64 *40981:A *4020:113 0 +65 *41268:A *4020:39 0 +66 *1215:10 *4020:17 0 +67 *1226:21 *4020:86 0 +68 *1226:80 *4020:113 0 +69 *1228:19 *4020:113 0 +70 *1228:49 *4020:113 0 +71 *1228:49 *4020:129 0 +72 *1559:10 *4020:39 0 +73 *2053:54 *4020:20 0 +74 *2232:74 *4020:17 0 +75 *2259:75 *4020:64 0 +76 *2835:21 *4020:86 0 +77 *3206:25 *4020:17 0 +78 *3252:69 *4020:17 0 +79 *3317:81 *4020:113 0 +80 *3318:28 *8695:DIODE 0 +81 *3330:37 *4020:20 0 +82 *3441:41 *8695:DIODE 0 +83 *3443:53 *4020:129 0 +84 *3526:40 *4020:39 0 +85 *3722:13 *4020:129 0 +86 *3723:93 *4020:113 0 +87 *3806:74 *4020:64 0 +88 *3947:27 *4020:20 0 +89 *3951:7 *4020:113 0 +90 *3955:8 *4020:129 0 +91 *3980:41 *4020:39 0 +92 *3980:89 *4020:113 0 +93 *3980:100 *4020:113 0 +94 *3989:67 *4020:39 0 +95 *3989:67 *4020:64 0 +96 *3998:45 *4020:64 0 +97 *4001:45 *4020:86 0 +98 *4006:12 *4020:20 0 +99 *4006:31 *4020:64 0 +100 *4006:36 *4020:64 0 +101 *4006:69 *4020:129 0 +102 *4008:48 *4020:113 0 +103 *4008:99 *4020:129 0 +104 *4017:53 *4020:80 0 +*RES +1 *41043:X *4020:17 38.2821 +2 *4020:17 *4020:20 22.2321 +3 *4020:20 *4020:39 48.0714 +4 *4020:39 *4020:64 47.2548 +5 *4020:64 *4020:80 32.5051 +6 *4020:80 *4020:86 48.4643 +7 *4020:86 *4020:113 38.2679 +8 *4020:113 *4020:129 32.125 +9 *4020:129 *8695:DIODE 22.7286 +10 *4020:129 *41042:A 9.3 +*END + +*D_NET *4021 0.0298727 +*CONN +*I *38346:B I *D sky130_fd_sc_hd__and2_1 +*I *6494:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41044:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38346:B 0.000160644 +2 *6494:DIODE 2.41714e-05 +3 *41044:X 2.56688e-05 +4 *4021:30 0.00026917 +5 *4021:26 0.00227043 +6 *4021:22 0.00363514 +7 *4021:11 0.010055 +8 *4021:10 0.00860589 +9 *4021:8 0.00240046 +10 *4021:7 0.00242613 +11 *6494:DIODE *4073:22 0 +12 *38346:B *4073:25 0 +13 *38346:B *4322:68 0 +14 *4021:8 *4124:40 0 +15 *5430:DIODE *4021:26 0 +16 *5579:DIODE *4021:8 0 +17 *37838:A *4021:8 0 +18 *38346:A *38346:B 0 +19 *357:38 *4021:22 0 +20 *366:8 *4021:11 0 +21 *371:8 *4021:11 0 +22 *375:20 *4021:22 0 +23 *378:13 *4021:22 0 +24 *1002:26 *4021:22 0 +25 *1008:100 *4021:22 0 +26 *1271:25 *4021:8 0 +27 *1428:23 *4021:22 0 +28 *1572:21 *4021:26 0 +29 *2400:39 *4021:22 0 +30 *2402:8 *4021:22 0 +31 *2402:8 *4021:26 0 +32 *2414:109 *4021:26 0 +33 *2444:38 *4021:26 0 +34 *2469:25 *4021:22 0 +35 *2817:44 *4021:26 0 +36 *2991:46 *4021:22 0 +37 *3078:14 *4021:8 0 +38 *3079:54 *4021:8 0 +39 *3108:36 *4021:22 0 +40 *3117:45 *4021:26 0 +41 *3277:48 *4021:22 0 +42 *3295:33 *4021:22 0 +43 *3387:24 *4021:8 0 +44 *3418:26 *4021:26 0 +45 *3420:60 *4021:26 0 +46 *3479:61 *4021:26 0 +47 *3650:43 *4021:8 0 +48 *3654:25 *4021:22 0 +49 *3690:28 *4021:8 0 +50 *3719:52 *4021:26 0 +51 *3936:23 *38346:B 0 +52 *3946:35 *4021:11 0 +*RES +1 *41044:X *4021:7 14.3357 +2 *4021:7 *4021:8 54.4643 +3 *4021:8 *4021:10 4.5 +4 *4021:10 *4021:11 179.607 +5 *4021:11 *4021:22 45.5625 +6 *4021:22 *4021:26 49.7054 +7 *4021:26 *4021:30 6.44643 +8 *4021:30 *6494:DIODE 9.83571 +9 *4021:30 *38346:B 12.7464 +*END + +*D_NET *4022 0.02544 +*CONN +*I *41044:A I *D sky130_fd_sc_hd__buf_2 +*I *8696:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41045:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41044:A 0 +2 *8696:DIODE 0.000535468 +3 *41045:X 0.000765101 +4 *4022:140 0.00174246 +5 *4022:130 0.00327284 +6 *4022:110 0.00321501 +7 *4022:94 0.0024435 +8 *4022:68 0.00252683 +9 *4022:43 0.00339078 +10 *4022:31 0.00447062 +11 *4022:26 0.00307743 +12 *4022:26 *8854:DIODE 0 +13 *4022:26 *38322:A 0 +14 *4022:26 *4110:57 0 +15 *4022:26 *4230:25 0 +16 *4022:31 *4041:29 0 +17 *4022:31 *4042:28 0 +18 *4022:31 *4095:18 0 +19 *4022:31 *4109:15 0 +20 *4022:31 *4120:64 0 +21 *4022:31 *4218:24 0 +22 *4022:31 *4230:52 0 +23 *4022:43 *4095:24 0 +24 *4022:43 *4324:100 0 +25 *4022:43 *4379:66 0 +26 *4022:68 *4135:96 0 +27 *4022:68 *4225:35 0 +28 *4022:68 *4352:19 0 +29 *4022:94 *38230:B 0 +30 *4022:94 *4365:82 0 +31 *4022:94 *4377:105 0 +32 *4022:110 *4085:40 0 +33 *4022:110 *4095:58 0 +34 *4022:110 *4113:99 0 +35 *4022:110 *4245:16 0 +36 *4022:130 *4041:54 0 +37 *4022:130 *4357:20 0 +38 *4022:140 *4059:51 0 +39 *4022:140 *4059:53 0 +40 *5680:DIODE *4022:94 0 +41 *6462:DIODE *4022:26 0 +42 *8005:DIODE *4022:130 0 +43 *8778:DIODE *4022:26 0 +44 *37403:A *4022:68 0 +45 *37832:B *4022:94 0 +46 *38322:B *4022:26 0 +47 *1224:71 *4022:130 0 +48 *1514:21 *4022:68 0 +49 *1516:20 *4022:130 0 +50 *2273:63 *4022:43 0 +51 *2300:38 *4022:68 0 +52 *2367:24 *4022:94 0 +53 *2417:100 *4022:130 0 +54 *2824:23 *8696:DIODE 0 +55 *2832:38 *4022:68 0 +56 *2832:50 *4022:130 0 +57 *2928:76 *4022:68 0 +58 *3307:85 *4022:110 0 +59 *3316:115 *4022:94 0 +60 *3318:66 *4022:130 0 +61 *3546:56 *4022:68 0 +62 *3653:36 *4022:68 0 +63 *3688:7 *4022:94 0 +64 *3702:31 *4022:31 0 +65 *3726:28 *4022:43 0 +66 *3730:44 *4022:68 0 +67 *3747:97 *4022:130 0 +68 *3781:59 *8696:DIODE 0 +69 *3784:29 *4022:31 0 +70 *3811:45 *4022:140 0 +71 *3815:106 *4022:94 0 +72 *3819:49 *4022:94 0 +73 *3820:11 *4022:94 0 +74 *3820:34 *4022:94 0 +75 *3824:23 *4022:68 0 +76 *3942:28 *4022:43 0 +77 *3943:50 *4022:43 0 +78 *3989:67 *4022:26 0 +79 *3991:77 *4022:130 0 +80 *4006:23 *4022:26 0 +81 *4006:31 *4022:31 0 +82 *4006:36 *4022:31 0 +83 *4006:45 *4022:43 0 +84 *4020:39 *4022:26 0 +*RES +1 *41045:X *4022:26 43.8714 +2 *4022:26 *4022:31 48.3214 +3 *4022:31 *4022:43 49.853 +4 *4022:43 *4022:68 49.5864 +5 *4022:68 *4022:94 45.9643 +6 *4022:94 *4022:110 42.9464 +7 *4022:110 *4022:130 47.7157 +8 *4022:130 *4022:140 34.8571 +9 *4022:140 *8696:DIODE 25.9964 +10 *4022:140 *41044:A 13.8 +*END + +*D_NET *4023 0.0295498 +*CONN +*I *38344:B I *D sky130_fd_sc_hd__and2_1 +*I *6491:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41046:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38344:B 0.00016043 +2 *6491:DIODE 0 +3 *41046:X 0.000242081 +4 *4023:57 0.000284496 +5 *4023:54 0.00217889 +6 *4023:44 0.0030439 +7 *4023:41 0.00916049 +8 *4023:18 0.0112044 +9 *4023:10 0.00327509 +10 *38344:B *4073:25 0 +11 *4023:10 *41046:A 0 +12 *4023:18 *4024:44 0 +13 *4023:18 *4102:20 0 +14 *4023:54 *4318:21 0 +15 *6460:DIODE *4023:41 0 +16 *7870:DIODE *4023:54 0 +17 *38344:A *38344:B 0 +18 *1002:8 *4023:41 0 +19 *1002:26 *4023:41 0 +20 *1005:88 *4023:41 0 +21 *1007:181 *4023:18 0 +22 *1007:181 *4023:41 0 +23 *1014:94 *4023:18 0 +24 *1014:94 *4023:41 0 +25 *1014:106 *4023:41 0 +26 *1551:19 *4023:54 0 +27 *2384:63 *4023:10 0 +28 *2417:78 *4023:18 0 +29 *2443:36 *4023:18 0 +30 *2475:25 *4023:44 0 +31 *2817:54 *4023:54 0 +32 *2926:13 *4023:54 0 +33 *2942:8 *4023:18 0 +34 *2956:10 *4023:18 0 +35 *3050:10 *4023:10 0 +36 *3108:30 *4023:44 0 +37 *3108:30 *4023:54 0 +38 *3292:48 *4023:54 0 +39 *3411:121 *38344:B 0 +40 *3411:121 *4023:57 0 +41 *3424:42 *4023:44 0 +42 *3424:42 *4023:54 0 +43 *3424:50 *4023:54 0 +44 *3427:78 *4023:41 0 +45 *3428:40 *4023:54 0 +46 *3768:58 *38344:B 0 +47 *4018:57 *4023:57 0 +48 *4018:71 *38344:B 0 +49 *4018:71 *4023:57 0 +*RES +1 *41046:X *4023:10 19.1125 +2 *4023:10 *4023:18 49.6604 +3 *4023:18 *4023:41 44.9646 +4 *4023:41 *4023:44 22.5 +5 *4023:44 *4023:54 46.7589 +6 *4023:54 *4023:57 7.08929 +7 *4023:57 *6491:DIODE 9.3 +8 *4023:57 *38344:B 12.7107 +*END + +*D_NET *4024 0.0278885 +*CONN +*I *8698:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41046:A I *D sky130_fd_sc_hd__buf_2 +*I *41047:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8698:DIODE 4.62038e-05 +2 *41046:A 0.000150759 +3 *41047:X 0.00135415 +4 *4024:44 0.00168352 +5 *4024:41 0.0101712 +6 *4024:40 0.00996263 +7 *4024:37 0.00222197 +8 *4024:30 0.0022981 +9 *8698:DIODE *4048:25 0 +10 *41046:A *4241:45 0 +11 *4024:30 *4107:43 0 +12 *4024:30 *4120:74 0 +13 *4024:30 *4291:37 0 +14 *4024:30 *4329:51 0 +15 *4024:30 *4698:8 0 +16 *4024:37 *4698:8 0 +17 *4024:37 *5127:18 0 +18 *4024:40 *4710:8 0 +19 *40247:A *4024:30 0 +20 *1532:43 *4024:37 0 +21 *2277:37 *4024:40 0 +22 *2384:63 *41046:A 0 +23 *2719:6 *4024:40 0 +24 *2928:91 *4024:30 0 +25 *2936:15 *4024:37 0 +26 *2956:10 *4024:44 0 +27 *2962:38 *4024:40 0 +28 *3050:10 *41046:A 0 +29 *3050:10 *4024:44 0 +30 *3181:46 *4024:30 0 +31 *3651:23 *4024:41 0 +32 *3670:24 *4024:30 0 +33 *3686:11 *4024:41 0 +34 *3753:21 *4024:41 0 +35 *4023:10 *41046:A 0 +36 *4023:18 *4024:44 0 +*RES +1 *41047:X *4024:30 45.3179 +2 *4024:30 *4024:37 29.625 +3 *4024:37 *4024:40 33.4643 +4 *4024:40 *4024:41 181.25 +5 *4024:41 *4024:44 38.3214 +6 *4024:44 *41046:A 17.1036 +7 *4024:44 *8698:DIODE 14.7643 +*END + +*D_NET *4025 0.0209853 +*CONN +*I *8699:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41047:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *41048:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8699:DIODE 4.23535e-05 +2 *41047:A 0.000125766 +3 *41048:X 0.0020738 +4 *4025:67 0.000404541 +5 *4025:61 0.00244223 +6 *4025:44 0.00398927 +7 *4025:29 0.00485794 +8 *4025:28 0.00402501 +9 *4025:20 0.00302434 +10 *8699:DIODE *4236:33 0 +11 *4025:20 *4090:49 0 +12 *4025:20 *4280:20 0 +13 *4025:20 *4307:11 0 +14 *4025:28 *4096:11 0 +15 *4025:29 *4039:32 0 +16 *4025:29 *4062:11 0 +17 *4025:29 *4108:9 0 +18 *4025:29 *4253:11 0 +19 *4025:44 *38020:B 0 +20 *4025:44 *4062:38 0 +21 *4025:44 *4108:20 0 +22 *4025:44 *4253:11 0 +23 *4025:61 *8761:DIODE 0 +24 *4025:61 *8847:DIODE 0 +25 *4025:61 *8859:DIODE 0 +26 *4025:61 *38020:C 0 +27 *4025:61 *41277:A 0 +28 *4025:61 *4053:57 0 +29 *4025:61 *4253:39 0 +30 *4025:61 *4253:56 0 +31 *4025:61 *4279:21 0 +32 *4025:61 *4305:50 0 +33 *4025:61 *4305:55 0 +34 *4025:61 *4512:23 0 +35 *4025:67 *4236:33 0 +36 *5651:DIODE *4025:61 0 +37 *8885:DIODE *4025:61 0 +38 *8890:DIODE *4025:61 0 +39 *41073:A *4025:20 0 +40 *41154:A *4025:20 0 +41 *41184:A *4025:20 0 +42 *41268:A *4025:61 0 +43 *1818:31 *4025:20 0 +44 *1956:54 *4025:20 0 +45 *1961:32 *4025:20 0 +46 *1979:77 *4025:61 0 +47 *2089:7 *4025:20 0 +48 *2092:21 *4025:28 0 +49 *2097:31 *4025:29 0 +50 *2097:31 *4025:44 0 +51 *2117:64 *4025:44 0 +52 *2117:64 *4025:61 0 +53 *2119:11 *4025:20 0 +54 *2132:42 *4025:20 0 +55 *2141:64 *4025:61 0 +56 *2583:35 *4025:61 0 +57 *3255:49 *4025:20 0 +58 *3365:13 *4025:29 0 +*RES +1 *41048:X *4025:20 48.719 +2 *4025:20 *4025:28 33.4464 +3 *4025:28 *4025:29 64.1964 +4 *4025:29 *4025:44 46.5 +5 *4025:44 *4025:61 46.2857 +6 *4025:61 *4025:67 14.1429 +7 *4025:67 *41047:A 21.1571 +8 *4025:67 *8699:DIODE 10.2464 +*END + +*D_NET *4026 0.0215446 +*CONN +*I *38342:B I *D sky130_fd_sc_hd__and2_1 +*I *6489:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41049:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *38342:B 0.000380171 +2 *6489:DIODE 0 +3 *41049:X 0.000339976 +4 *4026:124 0.00356141 +5 *4026:90 0.00528778 +6 *4026:68 0.00393633 +7 *4026:62 0.00286056 +8 *4026:45 0.00293458 +9 *4026:30 0.00224379 +10 *38342:B *4216:99 0 +11 *4026:30 *38110:B 0 +12 *4026:30 *4407:30 0 +13 *4026:45 *8262:DIODE 0 +14 *4026:45 *41241:A 0 +15 *4026:45 *4322:68 0 +16 *4026:62 *4360:77 0 +17 *4026:90 *41227:A 0 +18 *4026:90 *4219:76 0 +19 *4026:90 *4219:85 0 +20 *4026:90 *4601:37 0 +21 *4026:124 *4566:17 0 +22 *4026:124 *4566:34 0 +23 *4026:124 *5176:9 0 +24 *6170:DIODE *4026:62 0 +25 *6488:DIODE *38342:B 0 +26 *8287:DIODE *38342:B 0 +27 *8646:DIODE *4026:30 0 +28 *38326:A *4026:45 0 +29 *38364:A *4026:90 0 +30 *39594:A *4026:45 0 +31 *39966:A *4026:90 0 +32 *40218:A *4026:90 0 +33 *41025:A *4026:45 0 +34 *287:29 *4026:124 0 +35 *372:39 *4026:124 0 +36 *1016:232 *4026:124 0 +37 *1458:37 *4026:90 0 +38 *1459:21 *4026:62 0 +39 *1463:18 *4026:124 0 +40 *1562:23 *4026:45 0 +41 *1581:29 *4026:90 0 +42 *2395:24 *4026:45 0 +43 *2440:16 *4026:62 0 +44 *2800:17 *4026:90 0 +45 *2821:23 *4026:30 0 +46 *3107:73 *4026:45 0 +47 *3107:73 *4026:62 0 +48 *3348:75 *4026:30 0 +49 *3348:75 *4026:45 0 +50 *3411:91 *4026:45 0 +51 *3411:164 *4026:90 0 +52 *3411:172 *4026:90 0 +53 *3412:136 *4026:124 0 +54 *3413:94 *4026:45 0 +55 *3413:132 *4026:45 0 +56 *3421:65 *4026:124 0 +57 *3425:24 *38342:B 0 +58 *3477:53 *4026:124 0 +59 *3501:34 *4026:45 0 +60 *3696:136 *4026:90 0 +61 *3745:49 *4026:124 0 +62 *3936:14 *4026:30 0 +63 *3936:23 *4026:45 0 +64 *3936:40 *4026:62 0 +65 *3936:79 *4026:124 0 +66 *3944:21 *38342:B 0 +67 *3948:28 *4026:124 0 +68 *3950:18 *4026:30 0 +69 *3950:41 *4026:30 0 +70 *3950:41 *4026:45 0 +71 *3950:41 *4026:62 0 +72 *3950:49 *4026:62 0 +73 *3950:51 *4026:62 0 +74 *3950:51 *4026:68 0 +75 *3999:52 *4026:124 0 +76 *4000:35 *4026:45 0 +77 *4018:23 *4026:45 0 +78 *4018:95 *4026:90 0 +*RES +1 *41049:X *4026:30 35.1214 +2 *4026:30 *4026:45 49.6786 +3 *4026:45 *4026:62 30.6964 +4 *4026:62 *4026:68 47.3214 +5 *4026:68 *4026:90 49.5357 +6 *4026:90 *4026:124 40.1474 +7 *4026:124 *6489:DIODE 13.8 +8 *4026:124 *38342:B 22.3179 +*END + +*D_NET *4027 0.00606916 +*CONN +*I *37654:B I *D sky130_fd_sc_hd__and2_1 +*I *38582:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *37654:B 0.00122231 +2 *38582:X 0.00181227 +3 *4027:32 0.00303458 +4 *37654:B *38060:A_N 0 +5 *37654:B *4039:83 0 +6 *37654:B *4107:43 0 +7 *37654:B *4248:24 0 +8 *37654:B *4408:39 0 +9 *37654:B *4510:30 0 +10 *4027:32 *4514:14 0 +11 *5474:DIODE *37654:B 0 +12 *1227:42 *4027:32 0 +13 *1430:18 *37654:B 0 +14 *2258:56 *37654:B 0 +15 *3128:19 *4027:32 0 +16 *3154:76 *4027:32 0 +17 *3217:69 *4027:32 0 +18 *3511:66 *4027:32 0 +19 *3933:49 *4027:32 0 +20 *3961:49 *37654:B 0 +21 *3980:68 *37654:B 0 +22 *4001:28 *37654:B 0 +*RES +1 *38582:X *4027:32 49.2406 +2 *4027:32 *37654:B 43.837 +*END + +*D_NET *4028 0.0217444 +*CONN +*I *8700:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41049:A I *D sky130_fd_sc_hd__buf_6 +*I *41050:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8700:DIODE 0.000643007 +2 *41049:A 0 +3 *41050:X 0.00134563 +4 *4028:45 0.00151125 +5 *4028:41 0.00193243 +6 *4028:29 0.00746598 +7 *4028:28 0.00695112 +8 *4028:19 0.00189496 +9 *8700:DIODE *4596:71 0 +10 *4028:19 *4059:51 0 +11 *4028:19 *4107:83 0 +12 *4028:19 *4230:99 0 +13 *4028:19 *4235:14 0 +14 *4028:19 *4241:14 0 +15 *4028:28 *4235:14 0 +16 *4028:29 *4085:66 0 +17 *4028:29 *4092:58 0 +18 *4028:29 *4319:37 0 +19 *4028:29 *4357:21 0 +20 *4028:29 *4357:45 0 +21 *4028:29 *5151:11 0 +22 *4028:41 *41245:A 0 +23 *4028:41 *5151:17 0 +24 *4028:45 *41245:A 0 +25 *4028:45 *4031:81 0 +26 *4028:45 *4322:22 0 +27 *7984:DIODE *4028:45 0 +28 *8301:DIODE *8700:DIODE 0 +29 *8646:DIODE *8700:DIODE 0 +30 *38086:A_N *4028:19 0 +31 *41041:A *4028:45 0 +32 *1001:65 *8700:DIODE 0 +33 *1442:10 *4028:19 0 +34 *3025:80 *4028:41 0 +35 *3183:61 *4028:19 0 +36 *3274:74 *4028:19 0 +37 *3274:74 *4028:28 0 +38 *3348:75 *8700:DIODE 0 +39 *3406:45 *8700:DIODE 0 +40 *3409:26 *4028:41 0 +41 *3409:37 *4028:41 0 +42 *3409:37 *4028:45 0 +43 *3410:33 *4028:41 0 +44 *3412:53 *8700:DIODE 0 +45 *3417:47 *8700:DIODE 0 +46 *3433:30 *4028:41 0 +47 *3433:37 *4028:41 0 +48 *3936:14 *8700:DIODE 0 +49 *3936:14 *4028:45 0 +50 *3937:24 *4028:19 0 +51 *3937:67 *4028:45 0 +52 *3950:18 *8700:DIODE 0 +53 *3950:18 *4028:45 0 +54 *3951:39 *4028:41 0 +55 *3955:49 *4028:29 0 +56 *3959:27 *4028:29 0 +57 *3991:87 *4028:29 0 +58 *4000:10 *4028:28 0 +59 *4000:22 *4028:29 0 +60 *4000:35 *4028:41 0 +*RES +1 *41050:X *4028:19 46.5679 +2 *4028:19 *4028:28 21.5357 +3 *4028:28 *4028:29 133.607 +4 *4028:29 *4028:41 32.3571 +5 *4028:41 *4028:45 18.2143 +6 *4028:45 *41049:A 9.3 +7 *4028:45 *8700:DIODE 41.425 +*END + +*D_NET *4029 0.0213592 +*CONN +*I *41050:A I *D sky130_fd_sc_hd__buf_4 +*I *8701:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41051:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41050:A 0.000480976 +2 *8701:DIODE 4.5599e-05 +3 *41051:X 0.000830651 +4 *4029:91 0.000526575 +5 *4029:89 0.00182319 +6 *4029:81 0.00268904 +7 *4029:65 0.00309155 +8 *4029:58 0.00314313 +9 *4029:49 0.00309353 +10 *4029:29 0.00349021 +11 *4029:16 0.00214477 +12 *8701:DIODE *41262:A 0 +13 *41050:A *4078:41 0 +14 *41050:A *4107:83 0 +15 *41050:A *4236:95 0 +16 *41050:A *4297:122 0 +17 *4029:16 *8897:DIODE 0 +18 *4029:16 *4297:24 0 +19 *4029:16 *4300:15 0 +20 *4029:29 *8222:DIODE 0 +21 *4029:29 *4083:63 0 +22 *4029:29 *4250:42 0 +23 *4029:29 *4286:20 0 +24 *4029:49 *4083:83 0 +25 *4029:49 *4166:35 0 +26 *4029:49 *4277:33 0 +27 *4029:49 *4281:26 0 +28 *4029:49 *4301:56 0 +29 *4029:58 *4046:79 0 +30 *4029:65 *4046:91 0 +31 *4029:81 *4045:14 0 +32 *4029:81 *4046:91 0 +33 *4029:81 *4246:45 0 +34 *4029:81 *4301:79 0 +35 *4029:81 *4520:79 0 +36 *4029:89 *4045:43 0 +37 *4029:89 *4246:50 0 +38 *4029:89 *4297:122 0 +39 *5263:DIODE *4029:89 0 +40 *5449:DIODE *4029:16 0 +41 *7608:DIODE *4029:81 0 +42 *8093:DIODE *4029:49 0 +43 *8702:DIODE *4029:16 0 +44 *38082:A_N *4029:89 0 +45 *39627:A *4029:81 0 +46 *40368:A *4029:49 0 +47 *40420:A *4029:89 0 +48 *1435:19 *4029:81 0 +49 *1440:19 *41050:A 0 +50 *1440:19 *4029:89 0 +51 *2069:19 *4029:29 0 +52 *2365:76 *4029:89 0 +53 *2431:15 *4029:65 0 +54 *2432:57 *4029:81 0 +55 *3173:51 *4029:29 0 +56 *3187:21 *4029:81 0 +57 *3202:36 *4029:89 0 +58 *3206:25 *4029:16 0 +59 *3219:12 *4029:49 0 +60 *3256:39 *4029:49 0 +61 *3259:25 *4029:29 0 +62 *3270:62 *4029:29 0 +63 *3270:72 *4029:29 0 +64 *3270:93 *4029:16 0 +65 *3279:81 *41050:A 0 +66 *3306:27 *4029:89 0 +67 *3313:15 *4029:89 0 +68 *3314:62 *4029:89 0 +69 *3317:29 *4029:49 0 +70 *3317:56 *4029:65 0 +71 *3319:22 *4029:49 0 +72 *3319:33 *4029:65 0 +73 *3319:49 *4029:65 0 +74 *3430:21 *4029:89 0 +75 *3436:64 *4029:89 0 +76 *3446:89 *4029:81 0 +77 *3530:79 *4029:49 0 +78 *3534:5 *4029:89 0 +79 *3534:58 *4029:81 0 +80 *3534:72 *4029:58 0 +81 *3534:72 *4029:65 0 +82 *3771:23 *4029:16 0 +83 *3937:24 *41050:A 0 +84 *3972:24 *41050:A 0 +*RES +1 *41051:X *4029:16 44.9964 +2 *4029:16 *4029:29 46.0357 +3 *4029:29 *4029:49 48.9168 +4 *4029:49 *4029:58 32.8393 +5 *4029:58 *4029:65 46.6964 +6 *4029:65 *4029:81 27.5179 +7 *4029:81 *4029:89 47.2321 +8 *4029:89 *4029:91 4.5 +9 *4029:91 *8701:DIODE 14.8357 +10 *4029:91 *41050:A 24.6571 +*END + +*D_NET *4030 0.0174938 +*CONN +*I *38340:B I *D sky130_fd_sc_hd__and2_1 +*I *6486:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41052:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *38340:B 0.000206778 +2 *6486:DIODE 1.21519e-05 +3 *41052:X 0.00696302 +4 *4030:23 0.00178389 +5 *4030:18 0.00852798 +6 *4030:18 *8735:DIODE 0 +7 *4030:18 *4031:81 0 +8 *4030:18 *4232:43 0 +9 *4030:18 *4240:21 0 +10 *4030:18 *4240:28 0 +11 *4030:18 *4251:92 0 +12 *4030:18 *4254:54 0 +13 *4030:18 *4278:37 0 +14 *4030:18 *4322:93 0 +15 *39579:A *38340:B 0 +16 *2682:61 *4030:18 0 +17 *2808:17 *4030:23 0 +18 *2822:176 *4030:18 0 +19 *2981:92 *4030:18 0 +20 *3411:91 *4030:18 0 +21 *3417:119 *4030:18 0 +22 *3477:53 *4030:23 0 +23 *3487:45 *4030:18 0 +24 *3506:24 *4030:18 0 +25 *3507:60 *4030:18 0 +26 *3509:25 *4030:18 0 +27 *3767:26 *4030:18 0 +28 *3904:49 *4030:18 0 +29 *3958:25 *4030:18 0 +30 *3959:54 *4030:18 0 +31 *4018:23 *4030:18 0 +*RES +1 *41052:X *4030:18 49.5379 +2 *4030:18 *4030:23 12.4882 +3 *4030:23 *6486:DIODE 14.0768 +4 *4030:23 *38340:B 18.5589 +*END + +*D_NET *4031 0.0338583 +*CONN +*I *41052:A I *D sky130_fd_sc_hd__buf_6 +*I *8703:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41053:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *41052:A 2.56688e-05 +2 *8703:DIODE 0.000151435 +3 *41053:X 0.00155499 +4 *4031:81 0.00144435 +5 *4031:50 0.00422828 +6 *4031:37 0.0043984 +7 *4031:21 0.0099795 +8 *4031:20 0.00950574 +9 *4031:17 0.00256993 +10 *4031:17 *8710:DIODE 0 +11 *4031:17 *41092:A 0 +12 *4031:17 *4050:44 0 +13 *4031:37 *39653:A 0 +14 *4031:37 *4102:34 0 +15 *4031:37 *4613:21 0 +16 *4031:81 *4278:37 0 +17 *4031:81 *4322:22 0 +18 *4031:81 *5189:34 0 +19 *8627:DIODE *4031:81 0 +20 *8646:DIODE *8703:DIODE 0 +21 *39567:A *4031:81 0 +22 *39884:A *4031:21 0 +23 *1028:55 *4031:50 0 +24 *1437:30 *4031:17 0 +25 *1542:17 *4031:50 0 +26 *1545:49 *4031:37 0 +27 *1864:36 *4031:50 0 +28 *2318:37 *4031:20 0 +29 *2369:53 *4031:17 0 +30 *2384:28 *4031:81 0 +31 *2432:54 *4031:17 0 +32 *2459:10 *4031:37 0 +33 *2719:15 *4031:21 0 +34 *2837:49 *4031:50 0 +35 *2981:92 *4031:50 0 +36 *3001:38 *4031:50 0 +37 *3031:43 *4031:50 0 +38 *3047:42 *4031:37 0 +39 *3079:49 *4031:37 0 +40 *3079:49 *4031:50 0 +41 *3081:38 *4031:37 0 +42 *3081:63 *4031:21 0 +43 *3097:44 *4031:50 0 +44 *3114:47 *4031:50 0 +45 *3159:31 *4031:21 0 +46 *3278:19 *4031:21 0 +47 *3410:55 *4031:81 0 +48 *3506:24 *4031:81 0 +49 *3514:20 *4031:81 0 +50 *3688:8 *4031:20 0 +51 *3689:28 *4031:17 0 +52 *3720:53 *4031:21 0 +53 *3751:28 *4031:17 0 +54 *3766:48 *4031:17 0 +55 *3936:14 *4031:81 0 +56 *3950:18 *4031:81 0 +57 *3951:8 *4031:17 0 +58 *3951:11 *4031:21 0 +59 *3951:23 *4031:21 0 +60 *3959:54 *4031:81 0 +61 *4000:35 *4031:50 0 +62 *4028:45 *4031:81 0 +63 *4030:18 *4031:81 0 +*RES +1 *41053:X *4031:17 37.9413 +2 *4031:17 *4031:20 27.5446 +3 *4031:20 *4031:21 177.143 +4 *4031:21 *4031:37 45.3036 +5 *4031:37 *4031:50 35.3298 +6 *4031:50 *4031:81 46.8683 +7 *4031:81 *8703:DIODE 21.6929 +8 *4031:50 *41052:A 14.3357 +*END + +*D_NET *4032 0.0210664 +*CONN +*I *41053:A I *D sky130_fd_sc_hd__buf_4 +*I *8704:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41054:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41053:A 0.000348656 +2 *8704:DIODE 0 +3 *41054:X 0.0012812 +4 *4032:88 0.00198156 +5 *4032:81 0.00400909 +6 *4032:71 0.00454295 +7 *4032:60 0.00368576 +8 *4032:37 0.0027275 +9 *4032:13 0.0024897 +10 *41053:A *38072:B 0 +11 *4032:13 *4046:12 0 +12 *4032:13 *4083:11 0 +13 *4032:13 *4303:81 0 +14 *4032:37 *4061:51 0 +15 *4032:37 *4061:53 0 +16 *4032:37 *4112:19 0 +17 *4032:37 *4135:21 0 +18 *4032:37 *4284:51 0 +19 *4032:37 *4288:30 0 +20 *4032:37 *4300:15 0 +21 *4032:37 *4303:81 0 +22 *4032:60 *8863:DIODE 0 +23 *4032:60 *40427:A 0 +24 *4032:60 *4083:52 0 +25 *4032:60 *4303:112 0 +26 *4032:71 *40425:A 0 +27 *4032:71 *4121:27 0 +28 *4032:71 *4277:33 0 +29 *4032:81 *4288:52 0 +30 *4032:81 *4300:56 0 +31 *4032:81 *4509:65 0 +32 *4032:81 *4509:69 0 +33 *4032:88 *4509:69 0 +34 *8664:DIODE *4032:37 0 +35 *37782:A *4032:37 0 +36 *40172:A *4032:81 0 +37 *40324:A *4032:60 0 +38 *40438:A *4032:37 0 +39 *1215:43 *4032:81 0 +40 *1220:14 *4032:81 0 +41 *2638:22 *4032:88 0 +42 *3133:12 *4032:81 0 +43 *3133:21 *4032:71 0 +44 *3133:40 *4032:60 0 +45 *3173:30 *4032:81 0 +46 *3173:70 *4032:13 0 +47 *3206:25 *4032:60 0 +48 *3210:48 *4032:88 0 +49 *3210:70 *4032:81 0 +50 *3223:19 *4032:13 0 +51 *3224:21 *4032:88 0 +52 *3224:36 *4032:81 0 +53 *3224:46 *4032:71 0 +54 *3224:46 *4032:81 0 +55 *3243:27 *4032:60 0 +56 *3270:93 *4032:13 0 +57 *3270:93 *4032:37 0 +58 *3312:45 *4032:88 0 +59 *3317:16 *4032:60 0 +60 *3335:27 *4032:13 0 +61 *3531:10 *4032:60 0 +62 *3933:16 *4032:37 0 +63 *3952:26 *4032:37 0 +64 *3977:91 *4032:88 0 +65 *4020:17 *4032:60 0 +*RES +1 *41054:X *4032:13 49.9964 +2 *4032:13 *4032:37 48.5179 +3 *4032:37 *4032:60 48.9546 +4 *4032:60 *4032:71 43.1273 +5 *4032:71 *4032:81 49.8125 +6 *4032:81 *4032:88 39.2589 +7 *4032:88 *8704:DIODE 13.8 +8 *4032:88 *41053:A 21.8 +*END + +*D_NET *4033 0.0241419 +*CONN +*I *6484:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38338:B I *D sky130_fd_sc_hd__and2_1 +*I *41055:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *6484:DIODE 0 +2 *38338:B 0.000322356 +3 *41055:X 0.00117269 +4 *4033:83 0.000470458 +5 *4033:77 0.00310306 +6 *4033:61 0.00709062 +7 *4033:59 0.0041562 +8 *4033:57 0.00293758 +9 *4033:56 0.00331665 +10 *4033:44 0.0015723 +11 *4033:44 *4051:46 0 +12 *4033:44 *4084:35 0 +13 *4033:56 *4051:47 0 +14 *4033:57 *4048:52 0 +15 *4033:61 *5018:19 0 +16 *4033:77 *39663:A 0 +17 *4033:77 *5018:11 0 +18 *4033:77 *5018:18 0 +19 *4033:77 *5018:19 0 +20 *7988:DIODE *4033:56 0 +21 *37864:B *4033:44 0 +22 *38338:A *38338:B 0 +23 *39571:A *4033:44 0 +24 *39630:A *4033:44 0 +25 *360:23 *4033:77 0 +26 *1211:113 *4033:57 0 +27 *1458:37 *38338:B 0 +28 *1569:12 *4033:83 0 +29 *2366:40 *4033:77 0 +30 *2366:43 *4033:61 0 +31 *2368:8 *4033:83 0 +32 *2378:11 *4033:77 0 +33 *2380:14 *4033:83 0 +34 *2384:46 *4033:56 0 +35 *2396:39 *4033:61 0 +36 *2414:41 *38338:B 0 +37 *2422:89 *4033:44 0 +38 *2806:71 *4033:77 0 +39 *2822:123 *4033:56 0 +40 *2839:40 *4033:61 0 +41 *2926:21 *4033:57 0 +42 *2987:101 *4033:44 0 +43 *3008:54 *4033:44 0 +44 *3024:61 *4033:77 0 +45 *3095:37 *4033:77 0 +46 *3288:36 *4033:83 0 +47 *3298:59 *4033:44 0 +48 *3402:157 *4033:77 0 +49 *3428:27 *4033:57 0 +50 *3428:29 *4033:57 0 +51 *3428:29 *4033:61 0 +52 *3428:43 *4033:61 0 +53 *3429:46 *4033:77 0 +54 *3496:34 *38338:B 0 +55 *3582:30 *4033:77 0 +56 *3684:75 *4033:56 0 +57 *3996:20 *4033:77 0 +*RES +1 *41055:X *4033:44 45.549 +2 *4033:44 *4033:56 22.4464 +3 *4033:56 *4033:57 60.9107 +4 *4033:57 *4033:59 0.428571 +5 *4033:59 *4033:61 86.375 +6 *4033:61 *4033:77 47.3368 +7 *4033:77 *4033:83 11.2582 +8 *4033:83 *38338:B 25.4071 +9 *4033:83 *6484:DIODE 9.3 +*END + +*D_NET *4034 0.0236239 +*CONN +*I *41055:A I *D sky130_fd_sc_hd__buf_6 +*I *8706:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41056:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *41055:A 0 +2 *8706:DIODE 0.000824385 +3 *41056:X 0.000976523 +4 *4034:95 0.0014875 +5 *4034:88 0.00183396 +6 *4034:62 0.00265538 +7 *4034:48 0.0081771 +8 *4034:24 0.0076691 +9 *8706:DIODE *4074:61 0 +10 *8706:DIODE *4084:35 0 +11 *8706:DIODE *4351:33 0 +12 *4034:24 *4085:22 0 +13 *4034:24 *4092:19 0 +14 *4034:24 *4095:58 0 +15 *4034:48 *4041:54 0 +16 *4034:48 *4052:27 0 +17 *4034:48 *4081:24 0 +18 *4034:48 *4081:39 0 +19 *4034:48 *4374:68 0 +20 *4034:62 *4247:23 0 +21 *4034:88 *8946:DIODE 0 +22 *4034:88 *4309:63 0 +23 *4034:88 *4354:27 0 +24 *4034:95 *8915:DIODE 0 +25 *4034:95 *41331:A 0 +26 *4034:95 *4084:31 0 +27 *4034:95 *4309:63 0 +28 *6065:DIODE *4034:95 0 +29 *6067:DIODE *4034:95 0 +30 *38058:C *4034:95 0 +31 *1207:43 *4034:88 0 +32 *1207:74 *8706:DIODE 0 +33 *1222:49 *4034:48 0 +34 *1233:18 *4034:24 0 +35 *1428:19 *4034:95 0 +36 *1437:30 *4034:24 0 +37 *1437:59 *4034:48 0 +38 *1445:53 *8706:DIODE 0 +39 *1446:20 *4034:24 0 +40 *2374:52 *4034:62 0 +41 *2375:48 *4034:88 0 +42 *2422:89 *4034:95 0 +43 *2929:51 *4034:88 0 +44 *2987:101 *4034:95 0 +45 *3098:43 *4034:88 0 +46 *3275:32 *4034:88 0 +47 *3317:119 *4034:48 0 +48 *3433:30 *4034:62 0 +49 *3493:29 *4034:95 0 +50 *3702:125 *4034:48 0 +51 *3726:28 *4034:24 0 +52 *3758:53 *4034:88 0 +53 *3774:25 *4034:62 0 +54 *3790:64 *4034:48 0 +55 *3941:28 *4034:88 0 +56 *3951:29 *8706:DIODE 0 +57 *3955:38 *4034:48 0 +58 *3988:11 *4034:48 0 +59 *3991:68 *4034:24 0 +60 *3991:68 *4034:48 0 +61 *3995:36 *4034:48 0 +62 *3995:36 *4034:62 0 +63 *3997:60 *4034:62 0 +64 *4020:113 *4034:24 0 +*RES +1 *41056:X *4034:24 48.8488 +2 *4034:24 *4034:48 48.9235 +3 *4034:48 *4034:62 47.117 +4 *4034:62 *4034:88 47.6393 +5 *4034:88 *4034:95 13.8393 +6 *4034:95 *8706:DIODE 41.7742 +7 *4034:95 *41055:A 9.3 +*END + +*D_NET *4035 0.0217229 +*CONN +*I *41056:A I *D sky130_fd_sc_hd__buf_4 +*I *8707:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41057:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41056:A 0.00027674 +2 *8707:DIODE 0.000197534 +3 *41057:X 0.000690941 +4 *4035:49 0.00641081 +5 *4035:34 0.00969625 +6 *4035:14 0.00445066 +7 *8707:DIODE *4233:26 0 +8 *8707:DIODE *4279:39 0 +9 *8707:DIODE *4294:67 0 +10 *41056:A *4233:26 0 +11 *4035:14 *4116:15 0 +12 *4035:14 *4227:23 0 +13 *4035:34 *38020:B 0 +14 *4035:34 *4056:17 0 +15 *4035:34 *4222:22 0 +16 *4035:34 *4239:17 0 +17 *4035:34 *4253:39 0 +18 *4035:34 *4258:34 0 +19 *4035:34 *4262:38 0 +20 *4035:34 *4273:31 0 +21 *4035:49 *4116:43 0 +22 *4035:49 *4227:23 0 +23 *4035:49 *4227:57 0 +24 *4035:49 *4261:27 0 +25 *4035:49 *4262:38 0 +26 *4035:49 *4272:28 0 +27 *4035:49 *4276:20 0 +28 *4035:49 *4295:53 0 +29 *4035:49 *4303:167 0 +30 *4035:49 *4305:50 0 +31 *4035:49 *4516:58 0 +32 *5478:DIODE *41056:A 0 +33 *1218:36 *4035:49 0 +34 *2070:56 *4035:14 0 +35 *2421:73 *4035:49 0 +36 *3129:45 *8707:DIODE 0 +37 *3187:47 *4035:49 0 +38 *3320:96 *4035:34 0 +39 *3956:80 *4035:49 0 +40 *3965:43 *4035:49 0 +41 *3969:72 *4035:49 0 +*RES +1 *41057:X *4035:14 37.5292 +2 *4035:14 *4035:34 49.7247 +3 *4035:34 *4035:49 33.5132 +4 *4035:49 *8707:DIODE 18.4339 +5 *4035:49 *41056:A 20.1482 +*END + +*D_NET *4036 0.0218086 +*CONN +*I *38336:B I *D sky130_fd_sc_hd__and2_1 +*I *6481:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41058:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38336:B 2.56688e-05 +2 *6481:DIODE 0.000252967 +3 *41058:X 0.00775706 +4 *4036:18 0.00314722 +5 *4036:11 0.0106256 +6 *4036:11 *4077:19 0 +7 *4036:11 *4091:55 0 +8 *4036:11 *4224:27 0 +9 *4036:11 *4266:38 0 +10 *4036:18 *4224:51 0 +11 *5728:DIODE *4036:11 0 +12 *38336:A *4036:18 0 +13 *1332:19 *4036:18 0 +14 *1336:38 *4036:11 0 +15 *2370:66 *4036:11 0 +16 *2801:26 *4036:18 0 +17 *2825:47 *38336:B 0 +18 *2871:54 *4036:11 0 +19 *2957:10 *4036:18 0 +20 *3046:13 *4036:11 0 +21 *3048:20 *4036:18 0 +22 *3297:108 *4036:18 0 +23 *3306:96 *4036:11 0 +24 *3308:118 *4036:11 0 +25 *3394:47 *6481:DIODE 0 +26 *3394:61 *6481:DIODE 0 +27 *3400:93 *4036:18 0 +28 *3428:58 *4036:18 0 +29 *3731:12 *4036:11 0 +30 *3944:19 *38336:B 0 +31 *3994:17 *4036:11 0 +32 *3994:27 *4036:11 0 +33 *3996:20 *4036:18 0 +*RES +1 *41058:X *4036:11 49.9758 +2 *4036:11 *4036:18 41.0348 +3 *4036:18 *6481:DIODE 19.3 +4 *4036:18 *38336:B 14.3357 +*END + +*D_NET *4037 0.0224876 +*CONN +*I *8709:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41058:A I *D sky130_fd_sc_hd__buf_2 +*I *41059:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8709:DIODE 0.000120887 +2 *41058:A 3.88741e-05 +3 *41059:X 0.00358374 +4 *4037:95 0.00124571 +5 *4037:74 0.00546306 +6 *4037:42 0.00641434 +7 *4037:24 0.00562097 +8 *4037:24 *41262:A 0 +9 *4037:24 *4058:18 0 +10 *4037:24 *4058:38 0 +11 *4037:24 *4117:66 0 +12 *4037:24 *4121:50 0 +13 *4037:24 *4289:21 0 +14 *4037:24 *4520:107 0 +15 *4037:24 *5151:10 0 +16 *4037:42 *4078:58 0 +17 *4037:42 *4092:58 0 +18 *4037:42 *4106:21 0 +19 *4037:42 *4107:101 0 +20 *4037:42 *4225:50 0 +21 *4037:42 *4289:36 0 +22 *4037:42 *4357:20 0 +23 *4037:74 *8943:DIODE 0 +24 *4037:74 *4091:26 0 +25 *4037:74 *4266:18 0 +26 *4037:95 *8939:DIODE 0 +27 *4037:95 *4091:26 0 +28 *4037:95 *4266:18 0 +29 *8479:DIODE *4037:74 0 +30 *8502:DIODE *4037:74 0 +31 *37860:B *4037:74 0 +32 *40828:A *4037:74 0 +33 *1210:89 *4037:74 0 +34 *1233:18 *4037:24 0 +35 *1319:16 *4037:95 0 +36 *1326:16 *4037:74 0 +37 *1330:22 *4037:74 0 +38 *1443:27 *4037:74 0 +39 *2822:32 *4037:24 0 +40 *2822:123 *4037:95 0 +41 *2832:50 *4037:42 0 +42 *2839:20 *4037:95 0 +43 *3084:62 *4037:24 0 +44 *3159:40 *4037:24 0 +45 *3274:74 *4037:24 0 +46 *3312:147 *4037:74 0 +47 *3317:119 *4037:24 0 +48 *3522:35 *4037:74 0 +49 *3675:53 *4037:24 0 +50 *3684:34 *4037:74 0 +51 *3684:53 *4037:95 0 +52 *3729:14 *4037:74 0 +53 *3740:46 *4037:74 0 +54 *3769:51 *4037:74 0 +55 *3769:53 *4037:74 0 +56 *3990:16 *4037:95 0 +57 *3990:19 *8709:DIODE 0 +58 *3991:68 *4037:24 0 +59 *3991:84 *4037:42 0 +60 *3997:87 *4037:95 0 +61 *4000:22 *4037:42 0 +*RES +1 *41059:X *4037:24 48.0239 +2 *4037:24 *4037:42 48.86 +3 *4037:42 *4037:74 49.8411 +4 *4037:74 *4037:95 25.1074 +5 *4037:95 *41058:A 14.6839 +6 *4037:95 *8709:DIODE 16.4518 +*END + +*D_NET *4038 0.0066822 +*CONN +*I *37656:B I *D sky130_fd_sc_hd__and2_1 +*I *38583:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *37656:B 0 +2 *38583:X 0.000819356 +3 *4038:49 0.00132261 +4 *4038:36 0.00252175 +5 *4038:18 0.00201849 +6 *4038:18 *4506:49 0 +7 *4038:49 *4506:29 0 +8 *4038:49 *4508:15 0 +9 *4038:49 *4878:51 0 +10 *6571:DIODE *4038:49 0 +11 *38723:A *4038:18 0 +12 *507:17 *4038:18 0 +13 *507:17 *4038:36 0 +14 *1213:7 *4038:18 0 +15 *1236:19 *4038:36 0 +16 *2418:28 *4038:36 0 +17 *2431:49 *4038:36 0 +18 *2431:71 *4038:49 0 +19 *2749:56 *4038:18 0 +20 *2749:56 *4038:36 0 +21 *3316:17 *4038:49 0 +22 *3444:27 *4038:18 0 +23 *3529:13 *4038:49 0 +24 *3807:41 *4038:49 0 +25 *3882:37 *4038:49 0 +*RES +1 *38583:X *4038:18 49.6571 +2 *4038:18 *4038:36 39.6964 +3 *4038:36 *4038:49 46.4107 +4 *4038:49 *37656:B 9.3 +*END + +*D_NET *4039 0.0224885 +*CONN +*I *41059:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8710:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41060:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41059:A 0 +2 *8710:DIODE 0.000311724 +3 *41060:X 0.0014647 +4 *4039:131 0.00219717 +5 *4039:112 0.00333727 +6 *4039:83 0.00322639 +7 *4039:61 0.00367558 +8 *4039:41 0.0030875 +9 *4039:32 0.00245496 +10 *4039:18 0.00273318 +11 *8710:DIODE *4092:19 0 +12 *4039:18 *4061:24 0 +13 *4039:18 *4062:16 0 +14 *4039:18 *4112:19 0 +15 *4039:18 *4284:21 0 +16 *4039:18 *4303:43 0 +17 *4039:32 *4108:9 0 +18 *4039:41 *4056:17 0 +19 *4039:41 *4105:15 0 +20 *4039:41 *4120:15 0 +21 *4039:41 *4120:40 0 +22 *4039:41 *4308:15 0 +23 *4039:41 *4308:40 0 +24 *4039:61 *4107:23 0 +25 *4039:61 *4114:28 0 +26 *4039:61 *4120:40 0 +27 *4039:61 *4120:64 0 +28 *4039:61 *4234:43 0 +29 *4039:61 *4283:61 0 +30 *4039:61 *4289:21 0 +31 *4039:61 *4512:44 0 +32 *4039:83 *8759:DIODE 0 +33 *4039:83 *38060:A_N 0 +34 *4039:83 *4120:75 0 +35 *4039:83 *4298:40 0 +36 *4039:112 *4236:33 0 +37 *4039:112 *4248:24 0 +38 *4039:112 *4261:27 0 +39 *4039:112 *4516:58 0 +40 *4039:131 *38076:A_N 0 +41 *4039:131 *4052:27 0 +42 *4039:131 *4078:7 0 +43 *4039:131 *4135:96 0 +44 *4039:131 *4248:45 0 +45 *4039:131 *4289:21 0 +46 *4039:131 *4291:58 0 +47 *4039:131 *4408:78 0 +48 *5474:DIODE *4039:83 0 +49 *8651:DIODE *4039:18 0 +50 *37654:B *4039:83 0 +51 *40752:A *4039:131 0 +52 *40809:A *4039:83 0 +53 *40982:A *4039:18 0 +54 *41033:A *4039:83 0 +55 *41080:A *4039:18 0 +56 *41127:A *4039:18 0 +57 *41175:A *4039:18 0 +58 *1226:10 *4039:83 0 +59 *1228:19 *4039:131 0 +60 *1233:18 *4039:131 0 +61 *1972:32 *4039:18 0 +62 *2008:59 *4039:112 0 +63 *2047:10 *4039:41 0 +64 *2073:60 *4039:32 0 +65 *2117:52 *4039:18 0 +66 *2128:28 *4039:18 0 +67 *2560:21 *4039:83 0 +68 *3084:62 *8710:DIODE 0 +69 *3084:62 *4039:131 0 +70 *3121:68 *4039:83 0 +71 *3305:62 *4039:112 0 +72 *3327:18 *4039:18 0 +73 *3327:92 *4039:112 0 +74 *3530:79 *4039:83 0 +75 *3675:53 *8710:DIODE 0 +76 *3798:77 *4039:83 0 +77 *3951:8 *8710:DIODE 0 +78 *3952:26 *4039:18 0 +79 *3961:49 *4039:112 0 +80 *3977:21 *4039:83 0 +81 *3977:74 *4039:131 0 +82 *3980:41 *4039:61 0 +83 *3980:68 *4039:83 0 +84 *3980:73 *4039:83 0 +85 *3980:80 *4039:83 0 +86 *3980:89 *4039:131 0 +87 *3998:45 *4039:61 0 +88 *4001:23 *4039:61 0 +89 *4008:48 *4039:131 0 +90 *4009:39 *4039:83 0 +91 *4020:80 *4039:112 0 +92 *4025:29 *4039:32 0 +93 *4031:17 *8710:DIODE 0 +*RES +1 *41060:X *4039:18 49.9786 +2 *4039:18 *4039:32 37.3929 +3 *4039:32 *4039:41 33.8214 +4 *4039:41 *4039:61 47.9879 +5 *4039:61 *4039:83 45.6332 +6 *4039:83 *4039:112 45.6317 +7 *4039:112 *4039:131 46.6234 +8 *4039:131 *8710:DIODE 25.2107 +9 *4039:131 *41059:A 9.3 +*END + +*D_NET *4040 0.0209796 +*CONN +*I *38334:B I *D sky130_fd_sc_hd__and2_1 +*I *6478:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41061:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38334:B 2.56688e-05 +2 *6478:DIODE 0.00013106 +3 *41061:X 0.00149456 +4 *4040:38 0.00121088 +5 *4040:31 0.00300506 +6 *4040:17 0.00553706 +7 *4040:15 0.00583344 +8 *4040:11 0.00374187 +9 *6478:DIODE *4073:25 0 +10 *4040:11 *41095:A 0 +11 *4040:11 *4099:99 0 +12 *4040:11 *4723:13 0 +13 *4040:15 *4051:34 0 +14 *4040:15 *4099:99 0 +15 *4040:38 *4334:58 0 +16 *4040:38 *4343:53 0 +17 *7986:DIODE *4040:11 0 +18 *8189:DIODE *4040:11 0 +19 *37876:A *4040:15 0 +20 *37876:B *4040:15 0 +21 *1004:23 *4040:38 0 +22 *1337:12 *4040:15 0 +23 *2378:48 *4040:17 0 +24 *2430:11 *4040:31 0 +25 *2919:24 *4040:15 0 +26 *2986:22 *4040:17 0 +27 *3036:33 *4040:15 0 +28 *3411:138 *6478:DIODE 0 +29 *3432:75 *4040:31 0 +30 *3470:40 *4040:38 0 +31 *3481:48 *38334:B 0 +32 *3485:62 *4040:38 0 +33 *3582:13 *4040:17 0 +34 *3650:59 *4040:11 0 +35 *3650:93 *4040:15 0 +36 *3661:25 *4040:31 0 +37 *3802:21 *4040:15 0 +38 *3802:21 *4040:17 0 +39 *3803:94 *4040:11 0 +40 *3803:94 *4040:15 0 +41 *3810:35 *4040:38 0 +42 *3945:18 *4040:15 0 +43 *3994:17 *4040:31 0 +44 *4018:86 *38334:B 0 +*RES +1 *41061:X *4040:11 40.8 +2 *4040:11 *4040:15 46.9643 +3 *4040:15 *4040:17 74.875 +4 *4040:17 *4040:31 40.8511 +5 *4040:31 *4040:38 32.6964 +6 *4040:38 *6478:DIODE 16.6929 +7 *4040:38 *38334:B 14.3357 +*END + +*D_NET *4041 0.0223012 +*CONN +*I *41061:A I *D sky130_fd_sc_hd__buf_2 +*I *8711:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41062:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41061:A 0 +2 *8711:DIODE 0.00023648 +3 *41062:X 0.00204945 +4 *4041:95 0.00103136 +5 *4041:86 0.00285354 +6 *4041:54 0.00806979 +7 *4041:29 0.00806057 +8 *4041:29 *4082:24 0 +9 *4041:29 *4324:100 0 +10 *4041:54 *4052:27 0 +11 *4041:54 *4061:159 0 +12 *4041:54 *4081:24 0 +13 *4041:54 *4225:50 0 +14 *4041:54 *4357:20 0 +15 *4041:54 *4374:68 0 +16 *4041:54 *4408:108 0 +17 *4041:86 *8926:DIODE 0 +18 *4041:86 *4344:17 0 +19 *4041:86 *4351:33 0 +20 *4041:95 *6005:DIODE 0 +21 *8685:DIODE *8711:DIODE 0 +22 *40476:A *4041:86 0 +23 *1210:89 *4041:86 0 +24 *1222:49 *4041:54 0 +25 *1224:66 *4041:54 0 +26 *1229:65 *4041:54 0 +27 *1338:14 *4041:95 0 +28 *1437:59 *4041:54 0 +29 *2436:44 *4041:54 0 +30 *2822:55 *8711:DIODE 0 +31 *2832:50 *4041:54 0 +32 *2919:62 *4041:86 0 +33 *2935:13 *8711:DIODE 0 +34 *2992:70 *8711:DIODE 0 +35 *3055:104 *4041:29 0 +36 *3279:94 *4041:54 0 +37 *3318:66 *4041:54 0 +38 *3323:30 *4041:29 0 +39 *3438:116 *4041:29 0 +40 *3518:131 *4041:29 0 +41 *3546:128 *4041:54 0 +42 *3650:59 *4041:95 0 +43 *3685:40 *4041:86 0 +44 *3702:100 *4041:54 0 +45 *3702:125 *4041:54 0 +46 *3726:28 *4041:29 0 +47 *3726:28 *4041:54 0 +48 *3726:114 *4041:86 0 +49 *3733:115 *4041:86 0 +50 *3766:25 *4041:54 0 +51 *3766:95 *4041:86 0 +52 *3774:24 *4041:86 0 +53 *3803:66 *4041:95 0 +54 *3803:82 *8711:DIODE 0 +55 *3811:58 *4041:86 0 +56 *3820:101 *4041:86 0 +57 *3942:151 *4041:86 0 +58 *3947:27 *4041:29 0 +59 *3947:27 *4041:54 0 +60 *4008:102 *4041:54 0 +61 *4022:31 *4041:29 0 +62 *4022:130 *4041:54 0 +63 *4034:48 *4041:54 0 +*RES +1 *41062:X *4041:29 44.9937 +2 *4041:29 *4041:54 48.3417 +3 *4041:54 *4041:86 45.962 +4 *4041:86 *4041:95 21.2143 +5 *4041:95 *8711:DIODE 23.4429 +6 *4041:95 *41061:A 9.3 +*END + +*D_NET *4042 0.0214299 +*CONN +*I *41062:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8712:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41063:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41062:A 0.000142237 +2 *8712:DIODE 0 +3 *41063:X 0.00105773 +4 *4042:37 0.00185597 +5 *4042:28 0.00951498 +6 *4042:14 0.00885898 +7 *41062:A *4095:18 0 +8 *4042:14 *4047:58 0 +9 *4042:14 *4086:20 0 +10 *4042:14 *4250:23 0 +11 *4042:28 *8901:DIODE 0 +12 *4042:28 *4086:38 0 +13 *4042:28 *4086:57 0 +14 *4042:28 *4096:44 0 +15 *4042:28 *4098:42 0 +16 *4042:28 *4110:57 0 +17 *4042:28 *4231:43 0 +18 *4042:28 *4292:22 0 +19 *4042:28 *4299:23 0 +20 *4042:28 *4376:19 0 +21 *4042:28 *4376:49 0 +22 *4042:37 *4083:112 0 +23 *4042:37 *4086:57 0 +24 *4042:37 *4095:18 0 +25 *4042:37 *4298:40 0 +26 *6380:DIODE *4042:28 0 +27 *1966:31 *4042:14 0 +28 *2240:25 *4042:14 0 +29 *3330:37 *4042:28 0 +30 *3372:80 *4042:37 0 +31 *3702:64 *41062:A 0 +32 *3702:64 *4042:37 0 +33 *3989:67 *4042:37 0 +34 *3992:38 *4042:28 0 +35 *3992:38 *4042:37 0 +36 *4022:31 *4042:28 0 +*RES +1 *41063:X *4042:14 29.5584 +2 *4042:14 *4042:28 47.8777 +3 *4042:28 *4042:37 19.6535 +4 *4042:37 *8712:DIODE 9.3 +5 *4042:37 *41062:A 12.3 +*END + +*D_NET *4043 0.0186721 +*CONN +*I *37738:A I *D sky130_fd_sc_hd__and2_1 +*I *5573:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41064:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *37738:A 0.000121071 +2 *5573:DIODE 0 +3 *41064:X 0.000177422 +4 *4043:27 0.00108151 +5 *4043:25 0.00306859 +6 *4043:19 0.0064527 +7 *4043:17 0.00596896 +8 *4043:10 0.00180183 +9 *37738:A *4770:10 0 +10 *4043:17 *41402:A 0 +11 *4043:19 *40478:A 0 +12 *4043:19 *4426:15 0 +13 *4043:19 *4779:17 0 +14 *4043:27 *4770:10 0 +15 *7352:DIODE *4043:25 0 +16 *7378:DIODE *4043:25 0 +17 *37692:B *4043:19 0 +18 *39395:A *4043:25 0 +19 *39395:B *4043:25 0 +20 *2804:29 *4043:19 0 +21 *2804:31 *4043:19 0 +22 *2804:31 *4043:25 0 +23 *2979:11 *4043:25 0 +24 *2979:15 *37738:A 0 +25 *2979:15 *4043:25 0 +26 *2979:15 *4043:27 0 +27 *3024:78 *4043:17 0 +28 *3389:5 *4043:19 0 +29 *3389:17 *4043:17 0 +30 *3397:17 *4043:17 0 +31 *3397:31 *4043:17 0 +32 *3397:31 *4043:19 0 +33 *3403:56 *4043:19 0 +34 *3484:37 *4043:17 0 +35 *3484:37 *4043:19 0 +36 *3491:13 *4043:17 0 +*RES +1 *41064:X *4043:10 22.2107 +2 *4043:10 *4043:17 33.9643 +3 *4043:17 *4043:19 90.6875 +4 *4043:19 *4043:25 44.1071 +5 *4043:25 *4043:27 20.0446 +6 *4043:27 *5573:DIODE 9.3 +7 *4043:27 *37738:A 11.8893 +*END + +*D_NET *4044 0.0210156 +*CONN +*I *41064:A I *D sky130_fd_sc_hd__buf_6 +*I *8713:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41065:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *41064:A 0.000161285 +2 *8713:DIODE 0 +3 *41065:X 0.000771682 +4 *4044:49 0.0056001 +5 *4044:25 0.00957481 +6 *4044:10 0.00490767 +7 *41064:A *4219:85 0 +8 *41064:A *4404:129 0 +9 *4044:25 *4232:40 0 +10 *4044:25 *4268:24 0 +11 *4044:25 *4278:27 0 +12 *4044:25 *4404:25 0 +13 *4044:25 *5189:34 0 +14 *4044:49 *4219:85 0 +15 *4044:49 *4232:40 0 +16 *4044:49 *4240:21 0 +17 *4044:49 *4404:129 0 +18 *4044:49 *5189:34 0 +19 *8015:DIODE *4044:25 0 +20 *40163:A *4044:25 0 +21 *40242:A *4044:25 0 +22 *40405:A *4044:25 0 +23 *40512:A *4044:10 0 +24 *1011:273 *4044:10 0 +25 *1840:20 *4044:49 0 +26 *1840:52 *4044:49 0 +27 *2365:24 *4044:25 0 +28 *2403:52 *4044:49 0 +29 *2980:22 *4044:25 0 +30 *3024:18 *4044:25 0 +31 *3075:45 *4044:49 0 +32 *3091:90 *4044:49 0 +33 *3100:17 *4044:25 0 +34 *3107:53 *4044:49 0 +35 *3111:75 *4044:25 0 +36 *3113:70 *4044:25 0 +37 *3119:22 *4044:25 0 +38 *3155:59 *4044:25 0 +39 *3218:24 *4044:49 0 +40 *3286:34 *4044:49 0 +41 *3298:63 *4044:25 0 +42 *3406:32 *4044:25 0 +43 *3417:32 *4044:10 0 +44 *3423:11 *4044:25 0 +45 *3423:21 *4044:25 0 +46 *3477:53 *4044:49 0 +47 *3478:48 *4044:49 0 +48 *3481:22 *4044:25 0 +49 *3502:54 *4044:25 0 +50 *3507:42 *4044:25 0 +51 *3510:18 *4044:10 0 +52 *3510:33 *4044:25 0 +53 *3767:39 *41064:A 0 +54 *3767:39 *4044:49 0 +55 *3936:79 *4044:49 0 +56 *3958:25 *4044:49 0 +57 *3999:52 *4044:49 0 +58 *4003:26 *4044:49 0 +59 *4015:55 *4044:25 0 +*RES +1 *41065:X *4044:10 35.7643 +2 *4044:10 *4044:25 47.428 +3 *4044:25 *4044:49 46.0419 +4 *4044:49 *8713:DIODE 9.3 +5 *4044:49 *41064:A 12.7286 +*END + +*D_NET *4045 0.0244425 +*CONN +*I *8714:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41065:A I *D sky130_fd_sc_hd__buf_6 +*I *41066:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8714:DIODE 0.00171797 +2 *41065:A 1.21519e-05 +3 *41066:X 0.00116071 +4 *4045:53 0.00695226 +5 *4045:43 0.00933041 +6 *4045:14 0.00526897 +7 *8714:DIODE *6130:DIODE 0 +8 *4045:14 *4520:79 0 +9 *4045:43 *38082:C 0 +10 *4045:43 *4182:20 0 +11 *4045:43 *4242:71 0 +12 *4045:43 *4286:61 0 +13 *4045:43 *4297:108 0 +14 *4045:43 *5092:21 0 +15 *4045:53 *6130:DIODE 0 +16 *4045:53 *4252:50 0 +17 *8195:DIODE *8714:DIODE 0 +18 *8303:DIODE *4045:53 0 +19 *8515:DIODE *4045:53 0 +20 *39627:A *4045:14 0 +21 *1011:273 *8714:DIODE 0 +22 *2395:64 *4045:53 0 +23 *2428:17 *4045:14 0 +24 *2432:57 *4045:14 0 +25 *3036:20 *4045:53 0 +26 *3043:75 *8714:DIODE 0 +27 *3113:81 *8714:DIODE 0 +28 *3121:42 *4045:43 0 +29 *3158:16 *4045:43 0 +30 *3187:21 *4045:14 0 +31 *3192:54 *4045:43 0 +32 *3208:27 *8714:DIODE 0 +33 *3229:18 *4045:43 0 +34 *3235:80 *4045:53 0 +35 *3264:128 *8714:DIODE 0 +36 *3264:128 *4045:53 0 +37 *3275:32 *4045:53 0 +38 *3287:91 *4045:53 0 +39 *3287:103 *4045:53 0 +40 *3290:21 *4045:53 0 +41 *3291:52 *4045:53 0 +42 *3299:16 *4045:53 0 +43 *3318:13 *4045:43 0 +44 *3433:20 *4045:43 0 +45 *3435:76 *4045:53 0 +46 *3460:22 *4045:53 0 +47 *3520:45 *4045:43 0 +48 *3520:45 *4045:53 0 +49 *3721:24 *8714:DIODE 0 +50 *3722:69 *8714:DIODE 0 +51 *3759:57 *4045:53 0 +52 *3777:65 *4045:43 0 +53 *3777:65 *4045:53 0 +54 *3784:82 *4045:43 0 +55 *3976:25 *4045:53 0 +56 *4029:81 *4045:14 0 +57 *4029:89 *4045:43 0 +*RES +1 *41066:X *4045:14 47.6214 +2 *4045:14 *4045:43 45.9233 +3 *4045:43 *4045:53 19.0616 +4 *4045:53 *41065:A 17.4868 +5 *4045:53 *8714:DIODE 27.2988 +*END + +*D_NET *4046 0.023552 +*CONN +*I *8715:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41066:A I *D sky130_fd_sc_hd__buf_4 +*I *41067:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8715:DIODE 2.26741e-05 +2 *41066:A 0.000348912 +3 *41067:X 0.00108201 +4 *4046:91 0.00171319 +5 *4046:79 0.0034965 +6 *4046:76 0.00308168 +7 *4046:70 0.00282795 +8 *4046:52 0.00315906 +9 *4046:36 0.00293213 +10 *4046:24 0.00274005 +11 *4046:12 0.00214782 +12 *41066:A *8856:DIODE 0 +13 *41066:A *4233:26 0 +14 *4046:12 *4075:14 0 +15 *4046:12 *4083:11 0 +16 *4046:12 *4089:17 0 +17 *4046:24 *4128:13 0 +18 *4046:24 *4301:24 0 +19 *4046:36 *4072:51 0 +20 *4046:36 *4298:20 0 +21 *4046:52 *4083:63 0 +22 *4046:52 *4250:46 0 +23 *4046:70 *8031:DIODE 0 +24 *4046:70 *8879:DIODE 0 +25 *4046:70 *4253:72 0 +26 *4046:70 *4295:26 0 +27 *4046:70 *4496:52 0 +28 *4046:70 *5192:15 0 +29 *4046:76 *4083:83 0 +30 *4046:91 *4516:58 0 +31 *7608:DIODE *4046:91 0 +32 *8235:DIODE *4046:52 0 +33 *8279:DIODE *4046:76 0 +34 *8690:DIODE *4046:52 0 +35 *37642:A *4046:76 0 +36 *37642:A *4046:79 0 +37 *37772:B *4046:12 0 +38 *39627:A *8715:DIODE 0 +39 *39627:A *4046:91 0 +40 *40267:A *4046:52 0 +41 *40271:A *4046:36 0 +42 *40276:A *4046:70 0 +43 *40522:A *4046:70 0 +44 *41037:A *4046:52 0 +45 *41080:A *4046:12 0 +46 *1285:15 *4046:12 0 +47 *1969:12 *4046:36 0 +48 *1979:42 *4046:12 0 +49 *1979:57 *4046:24 0 +50 *2080:33 *4046:52 0 +51 *2102:16 *4046:12 0 +52 *2113:14 *4046:24 0 +53 *2133:72 *4046:12 0 +54 *2139:67 *4046:24 0 +55 *2431:15 *4046:91 0 +56 *3133:65 *4046:24 0 +57 *3140:60 *4046:70 0 +58 *3158:29 *4046:70 0 +59 *3202:75 *4046:76 0 +60 *3216:15 *4046:70 0 +61 *3219:12 *4046:70 0 +62 *3219:45 *4046:70 0 +63 *3219:70 *4046:24 0 +64 *3223:19 *4046:12 0 +65 *3236:53 *4046:52 0 +66 *3236:86 *4046:24 0 +67 *3236:98 *4046:12 0 +68 *3243:51 *4046:24 0 +69 *3244:32 *4046:70 0 +70 *3255:13 *4046:52 0 +71 *3317:56 *4046:79 0 +72 *3317:56 *4046:91 0 +73 *3320:82 *4046:36 0 +74 *3327:31 *4046:24 0 +75 *3327:61 *4046:76 0 +76 *3355:42 *4046:12 0 +77 *3440:9 *4046:70 0 +78 *3530:62 *4046:70 0 +79 *3534:58 *4046:91 0 +80 *3534:72 *4046:79 0 +81 *3782:69 *4046:70 0 +82 *3806:75 *4046:76 0 +83 *3939:140 *41066:A 0 +84 *3952:72 *4046:70 0 +85 *3992:14 *4046:12 0 +86 *4029:58 *4046:79 0 +87 *4029:65 *4046:91 0 +88 *4029:81 *4046:91 0 +89 *4032:13 *4046:12 0 +*RES +1 *41067:X *4046:12 45.9607 +2 *4046:12 *4046:24 36.0536 +3 *4046:24 *4046:36 45.8759 +4 *4046:36 *4046:52 40.0446 +5 *4046:52 *4046:70 46.425 +6 *4046:70 *4046:76 28.3393 +7 *4046:76 *4046:79 44.9732 +8 *4046:79 *4046:91 37.2589 +9 *4046:91 *41066:A 26.175 +10 *4046:91 *8715:DIODE 9.83571 +*END + +*D_NET *4047 0.0205441 +*CONN +*I *41067:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8716:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41068:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41067:A 0 +2 *8716:DIODE 0.000139137 +3 *41068:X 0.00251212 +4 *4047:58 0.00495511 +5 *4047:33 0.0076208 +6 *4047:14 0.00531695 +7 *4047:14 *4090:21 0 +8 *4047:33 *4265:19 0 +9 *4047:33 *4287:35 0 +10 *4047:33 *4302:26 0 +11 *4047:58 *4076:32 0 +12 *4047:58 *4076:63 0 +13 *4047:58 *4243:21 0 +14 *4047:58 *4243:32 0 +15 *4047:58 *4250:23 0 +16 *4047:58 *4302:51 0 +17 *40360:A *4047:33 0 +18 *41073:A *4047:58 0 +19 *2011:19 *4047:33 0 +20 *2034:35 *4047:58 0 +21 *2037:22 *4047:14 0 +22 *2050:55 *4047:58 0 +23 *2070:23 *4047:33 0 +24 *2104:34 *4047:33 0 +25 *2228:22 *4047:58 0 +26 *2236:25 *4047:58 0 +27 *2240:25 *4047:58 0 +28 *3153:72 *4047:58 0 +29 *3156:47 *4047:14 0 +30 *3214:13 *4047:33 0 +31 *3232:24 *4047:33 0 +32 *3247:33 *4047:33 0 +33 *3269:39 *4047:14 0 +34 *3919:19 *4047:14 0 +35 *4042:14 *4047:58 0 +*RES +1 *41068:X *4047:14 37.4917 +2 *4047:14 *4047:33 47.7576 +3 *4047:33 *4047:58 36.0219 +4 *4047:58 *8716:DIODE 16.9607 +5 *4047:58 *41067:A 13.8 +*END + +*D_NET *4048 0.0229714 +*CONN +*I *38332:B I *D sky130_fd_sc_hd__and2_1 +*I *6475:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41069:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38332:B 0.000226197 +2 *6475:DIODE 0 +3 *41069:X 0.00136285 +4 *4048:79 0.000728284 +5 *4048:74 0.00313644 +6 *4048:62 0.00439026 +7 *4048:52 0.00353282 +8 *4048:43 0.00331576 +9 *4048:40 0.00322737 +10 *4048:25 0.00305138 +11 *38332:B *4360:77 0 +12 *4048:25 *6408:DIODE 0 +13 *4048:25 *4241:45 0 +14 *4048:40 *8266:DIODE 0 +15 *4048:40 *8926:DIODE 0 +16 *4048:43 *4097:38 0 +17 *4048:52 *8939:DIODE 0 +18 *4048:52 *4097:54 0 +19 *4048:79 *4247:60 0 +20 *5576:DIODE *4048:25 0 +21 *5725:DIODE *4048:25 0 +22 *7609:DIODE *4048:43 0 +23 *8698:DIODE *4048:25 0 +24 *1208:53 *4048:62 0 +25 *1272:39 *4048:43 0 +26 *1320:28 *4048:40 0 +27 *1449:42 *4048:52 0 +28 *1541:23 *4048:25 0 +29 *1544:8 *4048:74 0 +30 *2380:55 *4048:52 0 +31 *2384:77 *4048:25 0 +32 *2417:63 *4048:52 0 +33 *2417:71 *4048:43 0 +34 *2417:71 *4048:52 0 +35 *2417:75 *4048:25 0 +36 *2417:75 *4048:40 0 +37 *2417:75 *4048:43 0 +38 *2440:31 *4048:74 0 +39 *2442:31 *4048:74 0 +40 *2722:16 *4048:25 0 +41 *2822:123 *4048:62 0 +42 *2924:20 *4048:40 0 +43 *2926:21 *4048:43 0 +44 *2926:21 *4048:52 0 +45 *2926:40 *4048:43 0 +46 *2987:35 *4048:25 0 +47 *2987:35 *4048:43 0 +48 *2987:78 *4048:43 0 +49 *2995:42 *4048:74 0 +50 *3079:25 *4048:74 0 +51 *3098:12 *4048:79 0 +52 *3275:39 *4048:52 0 +53 *3280:57 *4048:74 0 +54 *3414:6 *4048:62 0 +55 *3418:20 *4048:62 0 +56 *3428:27 *4048:52 0 +57 *3542:20 *4048:79 0 +58 *3647:34 *4048:25 0 +59 *3666:22 *4048:52 0 +60 *3666:28 *4048:62 0 +61 *3666:45 *4048:74 0 +62 *3732:49 *4048:74 0 +63 *3752:40 *4048:74 0 +64 *3765:34 *4048:74 0 +65 *3963:34 *38332:B 0 +66 *3963:34 *4048:79 0 +67 *3996:20 *4048:74 0 +68 *4033:57 *4048:52 0 +*RES +1 *41069:X *4048:25 47.3446 +2 *4048:25 *4048:40 44.6339 +3 *4048:40 *4048:43 32.1786 +4 *4048:43 *4048:52 46.4821 +5 *4048:52 *4048:62 46.3571 +6 *4048:62 *4048:74 46.7548 +7 *4048:74 *4048:79 15.6696 +8 *4048:79 *6475:DIODE 9.3 +9 *4048:79 *38332:B 23.3402 +*END + +*D_NET *4049 0.00621154 +*CONN +*I *37658:B I *D sky130_fd_sc_hd__and2_1 +*I *38584:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *37658:B 8.84451e-05 +2 *38584:X 0.00160359 +3 *4049:43 0.00150218 +4 *4049:18 0.00301733 +5 *4049:18 *4508:23 0 +6 *4049:43 *38074:B 0 +7 *4049:43 *4234:43 0 +8 *4049:43 *4242:44 0 +9 *4049:43 *4291:58 0 +10 *5490:DIODE *4049:43 0 +11 *38076:C *4049:43 0 +12 *38266:A *4049:43 0 +13 *38443:A *4049:18 0 +14 *1226:80 *4049:43 0 +15 *2424:19 *4049:18 0 +16 *2549:19 *4049:18 0 +17 *2638:11 *4049:18 0 +18 *2649:11 *4049:18 0 +19 *2649:11 *4049:43 0 +20 *3316:63 *4049:43 0 +21 *3952:108 *4049:43 0 +22 *4020:86 *4049:43 0 +23 *4020:113 *4049:43 0 +*RES +1 *38584:X *4049:18 49.4875 +2 *4049:18 *4049:43 49.2411 +3 *4049:43 *37658:B 15.7464 +*END + +*D_NET *4050 0.0222719 +*CONN +*I *41069:A I *D sky130_fd_sc_hd__buf_2 +*I *8717:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41070:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41069:A 0 +2 *8717:DIODE 0.000182462 +3 *41070:X 0.000174973 +4 *4050:45 0.000206634 +5 *4050:44 0.0076145 +6 *4050:29 0.0107544 +7 *4050:13 0.003339 +8 *4050:13 *4120:64 0 +9 *4050:13 *4408:15 0 +10 *4050:29 *4124:18 0 +11 *4050:29 *4324:20 0 +12 *4050:29 *4324:43 0 +13 *4050:44 *4362:50 0 +14 *1407:44 *4050:29 0 +15 *1541:23 *8717:DIODE 0 +16 *1693:20 *4050:44 0 +17 *1820:39 *4050:29 0 +18 *2314:25 *4050:29 0 +19 *2374:76 *4050:44 0 +20 *2374:94 *4050:44 0 +21 *2384:90 *8717:DIODE 0 +22 *2981:79 *4050:44 0 +23 *3076:52 *4050:44 0 +24 *3186:32 *4050:44 0 +25 *3191:28 *4050:44 0 +26 *3317:176 *4050:44 0 +27 *3322:69 *4050:13 0 +28 *3647:34 *4050:44 0 +29 *3665:21 *4050:29 0 +30 *3689:15 *4050:29 0 +31 *3689:28 *4050:44 0 +32 *3741:65 *4050:44 0 +33 *3757:11 *4050:29 0 +34 *3757:22 *4050:29 0 +35 *3757:22 *4050:44 0 +36 *3775:48 *4050:44 0 +37 *3786:59 *4050:29 0 +38 *3790:64 *4050:44 0 +39 *3825:25 *4050:29 0 +40 *4006:31 *4050:13 0 +41 *4031:17 *4050:44 0 +*RES +1 *41070:X *4050:13 26.6393 +2 *4050:13 *4050:29 49.6243 +3 *4050:29 *4050:44 49.907 +4 *4050:44 *4050:45 0.535714 +5 *4050:45 *8717:DIODE 13.1393 +6 *4050:45 *41069:A 9.3 +*END + +*D_NET *4051 0.0232234 +*CONN +*I *38330:B I *D sky130_fd_sc_hd__and2_1 +*I *6473:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41071:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38330:B 8.4707e-05 +2 *6473:DIODE 0.000216236 +3 *41071:X 0.00126602 +4 *4051:70 0.0006164 +5 *4051:68 0.00225576 +6 *4051:58 0.00250577 +7 *4051:47 0.00555034 +8 *4051:46 0.00525204 +9 *4051:34 0.00223862 +10 *4051:24 0.00323748 +11 *4051:24 *4091:26 0 +12 *4051:34 *6424:DIODE 0 +13 *4051:34 *4091:26 0 +14 *4051:34 *4099:99 0 +15 *4051:34 *4099:101 0 +16 *4051:34 *4245:51 0 +17 *4051:34 *4266:18 0 +18 *4051:46 *4091:26 0 +19 *4051:58 *5025:12 0 +20 *4051:68 *4069:23 0 +21 *4051:70 *8259:DIODE 0 +22 *5713:DIODE *4051:24 0 +23 *6472:DIODE *6473:DIODE 0 +24 *7617:DIODE *4051:24 0 +25 *8189:DIODE *4051:24 0 +26 *8529:DIODE *4051:34 0 +27 *8631:DIODE *4051:24 0 +28 *37864:B *4051:46 0 +29 *38330:A *6473:DIODE 0 +30 *39625:A *4051:34 0 +31 *40384:A *4051:34 0 +32 *40594:A *4051:34 0 +33 *40971:A *4051:68 0 +34 *375:23 *4051:68 0 +35 *1332:39 *4051:68 0 +36 *1333:24 *4051:58 0 +37 *1424:33 *4051:68 0 +38 *1458:18 *6473:DIODE 0 +39 *1459:28 *6473:DIODE 0 +40 *1564:16 *4051:70 0 +41 *2384:46 *4051:46 0 +42 *2384:53 *4051:46 0 +43 *2422:26 *4051:68 0 +44 *2429:14 *4051:34 0 +45 *2800:17 *38330:B 0 +46 *2818:17 *4051:24 0 +47 *2822:97 *4051:24 0 +48 *2926:57 *4051:24 0 +49 *2981:79 *4051:24 0 +50 *2992:70 *4051:24 0 +51 *3076:26 *4051:24 0 +52 *3292:84 *4051:58 0 +53 *3402:157 *4051:70 0 +54 *3411:17 *4051:24 0 +55 *3469:30 *4051:58 0 +56 *3470:40 *4051:68 0 +57 *3470:59 *4051:68 0 +58 *3582:13 *4051:58 0 +59 *3650:93 *4051:34 0 +60 *3666:13 *4051:47 0 +61 *3684:34 *4051:24 0 +62 *3684:75 *4051:47 0 +63 *3696:121 *4051:68 0 +64 *3724:53 *4051:68 0 +65 *3802:20 *4051:34 0 +66 *3941:63 *4051:68 0 +67 *3945:23 *4051:47 0 +68 *3945:25 *4051:47 0 +69 *3966:8 *6473:DIODE 0 +70 *3990:21 *4051:47 0 +71 *4018:95 *38330:B 0 +72 *4033:44 *4051:46 0 +73 *4033:56 *4051:47 0 +74 *4040:15 *4051:34 0 +*RES +1 *41071:X *4051:24 38.894 +2 *4051:24 *4051:34 48.1432 +3 *4051:34 *4051:46 19.4107 +4 *4051:46 *4051:47 104.036 +5 *4051:47 *4051:58 30.2143 +6 *4051:58 *4051:68 46.6161 +7 *4051:68 *4051:70 7.25893 +8 *4051:70 *6473:DIODE 18.7107 +9 *4051:70 *38330:B 15.5679 +*END + +*D_NET *4052 0.0225007 +*CONN +*I *8719:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41071:A I *D sky130_fd_sc_hd__buf_2 +*I *41072:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8719:DIODE 0.000376602 +2 *41071:A 7.89871e-05 +3 *41072:X 0.0065076 +4 *4052:53 0.00282928 +5 *4052:37 0.00428719 +6 *4052:27 0.00842109 +7 *8719:DIODE *4266:18 0 +8 *4052:27 *38076:A_N 0 +9 *4052:27 *4107:62 0 +10 *4052:27 *4107:101 0 +11 *4052:27 *4117:51 0 +12 *4052:27 *4135:96 0 +13 *4052:27 *4166:60 0 +14 *4052:27 *4234:43 0 +15 *4052:27 *4248:24 0 +16 *4052:27 *4248:45 0 +17 *4052:27 *4267:62 0 +18 *4052:27 *4272:38 0 +19 *4052:27 *4357:20 0 +20 *4052:27 *4408:108 0 +21 *4052:27 *4520:107 0 +22 *4052:37 *4059:53 0 +23 *4052:53 *4085:70 0 +24 *4052:53 *4266:18 0 +25 *4052:53 *4351:33 0 +26 *8508:DIODE *4052:53 0 +27 *39992:A *4052:27 0 +28 *1226:53 *4052:27 0 +29 *1331:13 *8719:DIODE 0 +30 *1331:13 *4052:53 0 +31 *1331:31 *4052:53 0 +32 *1445:37 *4052:53 0 +33 *2822:97 *8719:DIODE 0 +34 *2832:38 *4052:27 0 +35 *2992:57 *4052:53 0 +36 *3070:84 *4052:37 0 +37 *3098:52 *4052:37 0 +38 *3279:51 *4052:27 0 +39 *3740:64 *4052:53 0 +40 *3750:70 *4052:37 0 +41 *3765:22 *4052:53 0 +42 *3803:82 *8719:DIODE 0 +43 *3811:45 *4052:37 0 +44 *3955:38 *4052:27 0 +45 *3995:36 *4052:27 0 +46 *4008:18 *4052:27 0 +47 *4008:48 *4052:27 0 +48 *4008:103 *4052:37 0 +49 *4034:48 *4052:27 0 +50 *4039:131 *4052:27 0 +51 *4041:54 *4052:27 0 +*RES +1 *41072:X *4052:27 48.1546 +2 *4052:27 *4052:37 48.1511 +3 *4052:37 *4052:53 34.1425 +4 *4052:53 *41071:A 19.0046 +5 *4052:53 *8719:DIODE 22.1645 +*END + +*D_NET *4053 0.0219104 +*CONN +*I *8720:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41072:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *41073:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8720:DIODE 2.26741e-05 +2 *41072:A 5.89503e-05 +3 *41073:X 0.00114658 +4 *4053:93 0.00022537 +5 *4053:92 0.00131075 +6 *4053:66 0.00325198 +7 *4053:57 0.00333519 +8 *4053:41 0.00491357 +9 *4053:40 0.00366336 +10 *4053:38 0.00141771 +11 *4053:24 0.00256429 +12 *4053:38 *4096:11 0 +13 *4053:38 *4273:29 0 +14 *4053:38 *4409:9 0 +15 *4053:41 *4096:25 0 +16 *4053:41 *4108:9 0 +17 *4053:41 *4273:31 0 +18 *4053:57 *8847:DIODE 0 +19 *4053:57 *8859:DIODE 0 +20 *4053:57 *38020:B 0 +21 *4053:57 *41277:A 0 +22 *4053:57 *4283:36 0 +23 *4053:66 *8847:DIODE 0 +24 *4053:66 *8895:DIODE 0 +25 *4053:66 *4075:106 0 +26 *4053:66 *4269:36 0 +27 *4053:66 *4305:50 0 +28 *4053:66 *4305:55 0 +29 *4053:66 *4512:23 0 +30 *4053:66 *4512:44 0 +31 *4053:92 *8871:DIODE 0 +32 *4053:92 *38068:A_N 0 +33 *4053:92 *4075:106 0 +34 *4053:92 *4253:93 0 +35 *4053:92 *4303:167 0 +36 *4053:92 *4514:27 0 +37 *6398:DIODE *4053:92 0 +38 *8522:DIODE *4053:66 0 +39 *38068:C *4053:92 0 +40 *40320:A *4053:66 0 +41 *40997:A *4053:92 0 +42 *41255:A *4053:66 0 +43 *1430:18 *4053:66 0 +44 *1433:15 *4053:92 0 +45 *1960:34 *4053:92 0 +46 *1972:20 *4053:38 0 +47 *1995:21 *4053:24 0 +48 *1997:8 *4053:38 0 +49 *2073:60 *4053:41 0 +50 *2080:12 *4053:24 0 +51 *2092:21 *4053:38 0 +52 *2093:43 *4053:24 0 +53 *2097:31 *4053:41 0 +54 *2112:30 *4053:38 0 +55 *2115:24 *4053:24 0 +56 *2117:64 *4053:41 0 +57 *2117:64 *4053:57 0 +58 *2124:23 *4053:24 0 +59 *2124:23 *4053:38 0 +60 *2125:33 *4053:24 0 +61 *2137:27 *4053:24 0 +62 *2141:64 *4053:66 0 +63 *2583:35 *4053:66 0 +64 *3202:75 *4053:66 0 +65 *3210:87 *4053:66 0 +66 *3247:29 *4053:24 0 +67 *3255:38 *4053:24 0 +68 *3320:96 *4053:41 0 +69 *3744:36 *8720:DIODE 0 +70 *3744:36 *4053:92 0 +71 *3744:36 *4053:93 0 +72 *3939:99 *4053:92 0 +73 *3947:9 *4053:41 0 +74 *3965:43 *4053:66 0 +75 *3965:66 *4053:92 0 +76 *3968:16 *4053:92 0 +77 *3989:21 *4053:38 0 +78 *4017:58 *4053:92 0 +79 *4020:80 *4053:92 0 +80 *4025:61 *4053:57 0 +*RES +1 *41073:X *4053:24 48.1036 +2 *4053:24 *4053:38 48.2857 +3 *4053:38 *4053:40 4.5 +4 *4053:40 *4053:41 76.5179 +5 *4053:41 *4053:57 35.2768 +6 *4053:57 *4053:66 48.5179 +7 *4053:66 *4053:92 48.0446 +8 *4053:92 *4053:93 3 +9 *4053:93 *41072:A 19.6393 +10 *4053:93 *8720:DIODE 9.83571 +*END + +*D_NET *4054 0.0227054 +*CONN +*I *6471:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38328:B I *D sky130_fd_sc_hd__and2_1 +*I *41074:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *6471:DIODE 0.000261822 +2 *38328:B 0 +3 *41074:X 0.000415818 +4 *4054:66 0.00159177 +5 *4054:48 0.00278059 +6 *4054:22 0.00347618 +7 *4054:11 0.00789449 +8 *4054:10 0.00628477 +9 *6471:DIODE *4106:47 0 +10 *6471:DIODE *4360:66 0 +11 *4054:10 *41392:A 0 +12 *4054:11 *4085:58 0 +13 *4054:11 *4092:58 0 +14 *4054:11 *4094:9 0 +15 *4054:11 *4225:59 0 +16 *4054:11 *4229:28 0 +17 *4054:22 *4094:9 0 +18 *4054:22 *4323:93 0 +19 *4054:48 *4215:82 0 +20 *4054:48 *4319:37 0 +21 *4054:66 *4106:47 0 +22 *4054:66 *4357:45 0 +23 *8449:DIODE *6471:DIODE 0 +24 *8656:DIODE *4054:66 0 +25 *38329:A *6471:DIODE 0 +26 *39611:A *4054:48 0 +27 *40756:A *4054:10 0 +28 *41006:A *4054:48 0 +29 *41035:A *4054:66 0 +30 *2374:52 *4054:11 0 +31 *2384:28 *4054:66 0 +32 *2419:88 *4054:10 0 +33 *2442:38 *4054:22 0 +34 *2987:124 *4054:22 0 +35 *3025:68 *4054:22 0 +36 *3166:17 *4054:11 0 +37 *3310:87 *6471:DIODE 0 +38 *3313:47 *4054:22 0 +39 *3313:59 *4054:48 0 +40 *3348:75 *4054:48 0 +41 *3432:28 *4054:48 0 +42 *3434:45 *4054:48 0 +43 *3509:52 *4054:66 0 +44 *3745:27 *4054:66 0 +45 *3746:5 *4054:11 0 +46 *3746:14 *4054:11 0 +47 *3746:14 *4054:22 0 +48 *3746:19 *4054:66 0 +49 *3932:11 *4054:10 0 +50 *3959:43 *4054:22 0 +51 *3963:19 *6471:DIODE 0 +52 *3972:39 *4054:48 0 +53 *3991:87 *4054:11 0 +54 *4000:22 *4054:11 0 +55 *4005:32 *4054:22 0 +56 *4010:27 *4054:66 0 +*RES +1 *41074:X *4054:10 27.4071 +2 *4054:10 *4054:11 122.518 +3 *4054:11 *4054:22 47 +4 *4054:22 *4054:48 49.9421 +5 *4054:48 *4054:66 34.4104 +6 *4054:66 *38328:B 9.3 +7 *4054:66 *6471:DIODE 14.7643 +*END + +*D_NET *4055 0.0268792 +*CONN +*I *41074:A I *D sky130_fd_sc_hd__buf_6 +*I *8721:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41075:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *41074:A 2.56688e-05 +2 *8721:DIODE 0 +3 *41075:X 0.0019899 +4 *4055:82 0.000909379 +5 *4055:58 0.00178458 +6 *4055:52 0.00233516 +7 *4055:39 0.00279845 +8 *4055:31 0.0075375 +9 *4055:30 0.00686667 +10 *4055:24 0.00263189 +11 *4055:24 *8722:DIODE 0 +12 *4055:24 *4105:45 0 +13 *4055:24 *4120:40 0 +14 *4055:24 *4377:32 0 +15 *4055:30 *4362:23 0 +16 *4055:31 *4098:59 0 +17 *4055:31 *4362:30 0 +18 *4055:31 *4377:79 0 +19 *4055:39 *4070:63 0 +20 *4055:82 *38084:C 0 +21 *4055:82 *38086:C 0 +22 *8450:DIODE *4055:52 0 +23 *8450:DIODE *4055:58 0 +24 *40093:A *4055:30 0 +25 *40723:A *4055:58 0 +26 *1232:29 *4055:52 0 +27 *1267:18 *4055:24 0 +28 *1445:22 *4055:82 0 +29 *2434:37 *4055:39 0 +30 *2715:8 *4055:52 0 +31 *2717:8 *4055:39 0 +32 *2923:69 *4055:52 0 +33 *2945:10 *4055:31 0 +34 *2981:53 *4055:39 0 +35 *2987:35 *4055:52 0 +36 *3081:68 *4055:39 0 +37 *3083:45 *4055:30 0 +38 *3083:45 *4055:31 0 +39 *3114:50 *4055:52 0 +40 *3279:94 *4055:82 0 +41 *3283:37 *4055:39 0 +42 *3354:30 *4055:52 0 +43 *3441:41 *4055:82 0 +44 *3441:55 *4055:82 0 +45 *3531:17 *4055:24 0 +46 *3659:17 *4055:31 0 +47 *3659:33 *4055:31 0 +48 *3665:21 *4055:24 0 +49 *3674:86 *4055:52 0 +50 *3681:14 *4055:24 0 +51 *3681:23 *4055:31 0 +52 *3742:40 *4055:58 0 +53 *3751:23 *4055:24 0 +54 *3757:11 *4055:24 0 +55 *3786:74 *4055:31 0 +56 *3790:42 *4055:31 0 +57 *3820:61 *4055:52 0 +58 *3955:26 *4055:82 0 +59 *3961:30 *4055:24 0 +60 *4009:38 *4055:24 0 +*RES +1 *41075:X *4055:24 44.0909 +2 *4055:24 *4055:30 22.4821 +3 *4055:30 *4055:31 129.911 +4 *4055:31 *4055:39 41.8214 +5 *4055:39 *4055:52 42.0268 +6 *4055:52 *4055:58 19.4554 +7 *4055:58 *4055:82 43 +8 *4055:82 *8721:DIODE 9.3 +9 *4055:58 *41074:A 14.3357 +*END + +*D_NET *4056 0.0223976 +*CONN +*I *8722:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41075:A I *D sky130_fd_sc_hd__buf_4 +*I *41076:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8722:DIODE 0.000761657 +2 *41075:A 0 +3 *41076:X 0.000625809 +4 *4056:22 0.000807275 +5 *4056:17 0.00981133 +6 *4056:10 0.0103915 +7 *8722:DIODE *8859:DIODE 0 +8 *8722:DIODE *4120:40 0 +9 *8722:DIODE *4308:40 0 +10 *4056:17 *4075:21 0 +11 *4056:17 *4120:15 0 +12 *4056:17 *4120:40 0 +13 *4056:17 *4222:22 0 +14 *4056:17 *4280:20 0 +15 *4056:17 *4307:11 0 +16 *37736:B *8722:DIODE 0 +17 *37800:A *4056:10 0 +18 *41027:A *8722:DIODE 0 +19 *41175:A *4056:17 0 +20 *1267:18 *8722:DIODE 0 +21 *1517:14 *4056:17 0 +22 *1815:42 *4056:10 0 +23 *1815:42 *4056:17 0 +24 *1818:31 *4056:17 0 +25 *1966:14 *4056:17 0 +26 *1981:12 *4056:17 0 +27 *2039:14 *4056:17 0 +28 *2070:23 *4056:10 0 +29 *2850:39 *4056:17 0 +30 *3969:72 *8722:DIODE 0 +31 *3980:41 *4056:17 0 +32 *4012:18 *8722:DIODE 0 +33 *4035:34 *4056:17 0 +34 *4039:41 *4056:17 0 +35 *4055:24 *8722:DIODE 0 +*RES +1 *41076:X *4056:10 31.3796 +2 *4056:10 *4056:17 49.8207 +3 *4056:17 *4056:22 10.0357 +4 *4056:22 *41075:A 9.3 +5 *4056:22 *8722:DIODE 34.8357 +*END + +*D_NET *4057 0.0281472 +*CONN +*I *38326:B I *D sky130_fd_sc_hd__and2_1 +*I *6468:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41077:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38326:B 6.09559e-06 +2 *6468:DIODE 0.000190079 +3 *41077:X 0.000498855 +4 *4057:32 0.000460192 +5 *4057:24 0.0030489 +6 *4057:23 0.00350018 +7 *4057:17 0.00906236 +8 *4057:16 0.00961439 +9 *4057:12 0.00176619 +10 *6468:DIODE *4244:11 0 +11 *6468:DIODE *4322:68 0 +12 *4057:17 *4217:19 0 +13 *4057:17 *4217:25 0 +14 *4057:23 *4217:25 0 +15 *4057:24 *4406:22 0 +16 *4057:32 *4360:66 0 +17 *8463:DIODE *4057:24 0 +18 *38290:A *4057:32 0 +19 *1002:26 *4057:24 0 +20 *1339:46 *4057:16 0 +21 *1544:8 *4057:24 0 +22 *1572:16 *4057:24 0 +23 *1572:21 *4057:24 0 +24 *2718:6 *4057:16 0 +25 *2807:26 *4057:24 0 +26 *3031:66 *4057:24 0 +27 *3098:12 *6468:DIODE 0 +28 *3098:12 *4057:32 0 +29 *3101:55 *6468:DIODE 0 +30 *3218:24 *4057:24 0 +31 *3301:68 *4057:24 0 +32 *3308:39 *4057:24 0 +33 *3424:39 *4057:23 0 +34 *3545:30 *4057:16 0 +35 *3654:14 *4057:16 0 +36 *3686:11 *4057:17 0 +37 *3700:36 *4057:24 0 +38 *3725:80 *4057:24 0 +39 *3768:19 *4057:17 0 +40 *3768:31 *4057:17 0 +41 *3768:31 *4057:23 0 +*RES +1 *41077:X *4057:12 25.2911 +2 *4057:12 *4057:16 33.3125 +3 *4057:16 *4057:17 174.268 +4 *4057:17 *4057:23 19.4286 +5 *4057:23 *4057:24 63.2679 +6 *4057:24 *4057:32 6.48214 +7 *4057:32 *6468:DIODE 17.925 +8 *4057:32 *38326:B 13.9384 +*END + +*D_NET *4058 0.0224852 +*CONN +*I *8724:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41077:A I *D sky130_fd_sc_hd__buf_2 +*I *41078:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8724:DIODE 5.61974e-05 +2 *41077:A 5.79164e-05 +3 *41078:X 0.00606339 +4 *4058:45 0.000436349 +5 *4058:38 0.00506508 +6 *4058:18 0.0108062 +7 *4058:18 *6093:DIODE 0 +8 *4058:18 *4074:24 0 +9 *4058:18 *4088:27 0 +10 *4058:18 *4234:43 0 +11 *4058:18 *4267:25 0 +12 *4058:18 *4267:62 0 +13 *4058:18 *4289:21 0 +14 *4058:18 *4290:26 0 +15 *4058:18 *4299:33 0 +16 *4058:38 *4088:27 0 +17 *4058:38 *4225:50 0 +18 *4058:38 *4267:62 0 +19 *4058:38 *4289:21 0 +20 *4058:38 *4289:36 0 +21 *4058:38 *5151:10 0 +22 *1206:41 *4058:38 0 +23 *1331:31 *4058:45 0 +24 *2436:53 *4058:18 0 +25 *2822:46 *4058:45 0 +26 *2841:61 *41077:A 0 +27 *3124:17 *4058:38 0 +28 *3317:119 *4058:18 0 +29 *3698:48 *4058:18 0 +30 *3790:64 *4058:18 0 +31 *3988:11 *4058:38 0 +32 *4037:24 *4058:18 0 +33 *4037:24 *4058:38 0 +*RES +1 *41078:X *4058:18 48.6636 +2 *4058:18 *4058:38 45.4426 +3 *4058:38 *4058:45 16.1607 +4 *4058:45 *41077:A 15.0679 +5 *4058:45 *8724:DIODE 15.1393 +*END + +*D_NET *4059 0.0226768 +*CONN +*I *6465:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38324:B I *D sky130_fd_sc_hd__and2_1 +*I *41079:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6465:DIODE 0.000459471 +2 *38324:B 2.0535e-05 +3 *41079:X 0.000858104 +4 *4059:53 0.00745101 +5 *4059:51 0.00880627 +6 *4059:42 0.00302929 +7 *4059:24 0.00205213 +8 *6465:DIODE *4235:41 0 +9 *6465:DIODE *4364:19 0 +10 *4059:24 *4111:10 0 +11 *4059:24 *4119:89 0 +12 *4059:42 *4078:41 0 +13 *4059:42 *4107:83 0 +14 *4059:51 *4078:41 0 +15 *4059:51 *5151:10 0 +16 *4059:51 *5151:11 0 +17 *4059:53 *4235:15 0 +18 *4059:53 *4256:47 0 +19 *4059:53 *4327:9 0 +20 *4059:53 *4364:19 0 +21 *4059:53 *5151:11 0 +22 *39992:A *4059:42 0 +23 *40151:A *6465:DIODE 0 +24 *1228:49 *4059:42 0 +25 *1442:10 *4059:51 0 +26 *1561:31 *6465:DIODE 0 +27 *2412:16 *4059:42 0 +28 *2413:101 *4059:42 0 +29 *3013:11 *6465:DIODE 0 +30 *3070:84 *4059:53 0 +31 *3107:91 *6465:DIODE 0 +32 *3107:91 *4059:53 0 +33 *3183:61 *4059:42 0 +34 *3252:14 *4059:24 0 +35 *3263:52 *4059:24 0 +36 *3274:74 *4059:51 0 +37 *3279:81 *4059:42 0 +38 *3279:94 *4059:51 0 +39 *3283:81 *4059:53 0 +40 *3750:70 *4059:53 0 +41 *3811:45 *4059:53 0 +42 *3937:17 *4059:42 0 +43 *3937:24 *4059:42 0 +44 *3955:38 *4059:51 0 +45 *3959:26 *4059:51 0 +46 *3980:100 *4059:42 0 +47 *3997:18 *4059:24 0 +48 *4006:69 *4059:24 0 +49 *4006:69 *4059:42 0 +50 *4008:99 *4059:42 0 +51 *4020:129 *4059:42 0 +52 *4022:140 *4059:51 0 +53 *4022:140 *4059:53 0 +54 *4028:19 *4059:51 0 +55 *4052:37 *4059:53 0 +*RES +1 *41079:X *4059:24 45.8714 +2 *4059:24 *4059:42 43.2679 +3 *4059:42 *4059:51 48.1607 +4 *4059:51 *4059:53 145.518 +5 *4059:53 *38324:B 9.72857 +6 *4059:53 *6465:DIODE 19.0143 +*END + +*D_NET *4060 0.0076027 +*CONN +*I *37660:B I *D sky130_fd_sc_hd__and2_1 +*I *5481:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38585:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *37660:B 0.000253539 +2 *5481:DIODE 2.56688e-05 +3 *38585:X 0.00112772 +4 *4060:32 0.00145898 +5 *4060:19 0.00239443 +6 *4060:7 0.00234237 +7 *37660:B *4128:57 0 +8 *4060:7 *4513:101 0 +9 *4060:7 *4878:51 0 +10 *4060:19 *4284:94 0 +11 *4060:19 *4286:39 0 +12 *4060:19 *4297:55 0 +13 *4060:19 *4297:66 0 +14 *4060:19 *4301:59 0 +15 *4060:19 *4516:8 0 +16 *4060:32 *4075:106 0 +17 *4060:32 *4272:28 0 +18 *4060:32 *4303:167 0 +19 *37640:A *4060:19 0 +20 *40169:A *4060:7 0 +21 *40177:A *4060:7 0 +22 *1224:20 *37660:B 0 +23 *1429:21 *4060:32 0 +24 *1432:30 *4060:32 0 +25 *2616:21 *4060:19 0 +26 *3034:11 *4060:7 0 +27 *3055:89 *4060:19 0 +28 *3086:56 *4060:32 0 +29 *3236:22 *4060:19 0 +30 *3518:122 *4060:32 0 +31 *3747:53 *5481:DIODE 0 +32 *3812:77 *37660:B 0 +33 *3939:99 *4060:32 0 +*RES +1 *38585:X *4060:7 37.3357 +2 *4060:7 *4060:19 45.0893 +3 *4060:19 *4060:32 35.5179 +4 *4060:32 *5481:DIODE 14.3357 +5 *4060:32 *37660:B 19.4295 +*END + +*D_NET *4061 0.0239584 +*CONN +*I *8726:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41079:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *41080:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8726:DIODE 0.000305886 +2 *41079:A 2.0535e-05 +3 *41080:X 0.00112475 +4 *4061:159 0.000762631 +5 *4061:146 0.00149447 +6 *4061:119 0.00304097 +7 *4061:75 0.00318689 +8 *4061:59 0.00299386 +9 *4061:53 0.00504427 +10 *4061:51 0.00405698 +11 *4061:24 0.00192714 +12 *4061:24 *4112:19 0 +13 *4061:24 *4284:21 0 +14 *4061:24 *4303:43 0 +15 *4061:24 *4303:81 0 +16 *4061:51 *4072:20 0 +17 *4061:51 *4284:51 0 +18 *4061:51 *4288:30 0 +19 *4061:51 *4303:81 0 +20 *4061:51 *4303:96 0 +21 *4061:53 *40419:A 0 +22 *4061:53 *41263:A 0 +23 *4061:53 *4264:7 0 +24 *4061:53 *4264:9 0 +25 *4061:53 *4284:62 0 +26 *4061:53 *4284:74 0 +27 *4061:53 *4297:38 0 +28 *4061:53 *4303:96 0 +29 *4061:53 *5170:52 0 +30 *4061:59 *4264:9 0 +31 *4061:59 *4281:42 0 +32 *4061:59 *4284:82 0 +33 *4061:75 *4135:62 0 +34 *4061:119 *4119:70 0 +35 *4061:119 *4267:25 0 +36 *4061:146 *8741:DIODE 0 +37 *4061:146 *38076:A_N 0 +38 *4061:146 *4085:22 0 +39 *4061:146 *4092:19 0 +40 *4061:146 *4095:40 0 +41 *4061:146 *4225:35 0 +42 *4061:159 *4081:24 0 +43 *5621:DIODE *4061:119 0 +44 *8702:DIODE *4061:53 0 +45 *37638:B *4061:75 0 +46 *37782:B *4061:51 0 +47 *40344:A *4061:59 0 +48 *40357:A *4061:53 0 +49 *40438:A *4061:51 0 +50 *40599:A *4061:53 0 +51 *41043:A *4061:51 0 +52 *41285:A *4061:24 0 +53 *1224:71 *4061:146 0 +54 *1226:53 *4061:119 0 +55 *1432:30 *4061:75 0 +56 *1436:22 *4061:119 0 +57 *1437:30 *4061:146 0 +58 *1972:32 *4061:24 0 +59 *2008:67 *4061:119 0 +60 *2113:28 *4061:53 0 +61 *2131:78 *4061:51 0 +62 *2436:44 *4061:146 0 +63 *2671:44 *4061:119 0 +64 *2671:57 *4061:119 0 +65 *2832:38 *4061:119 0 +66 *2840:27 *4061:146 0 +67 *3173:70 *4061:24 0 +68 *3202:48 *4061:75 0 +69 *3236:22 *4061:75 0 +70 *3270:42 *4061:59 0 +71 *3312:23 *4061:53 0 +72 *3312:27 *4061:53 0 +73 *3312:27 *4061:59 0 +74 *3312:35 *4061:59 0 +75 *3317:16 *4061:53 0 +76 *3446:16 *4061:53 0 +77 *3653:36 *4061:119 0 +78 *3726:28 *4061:146 0 +79 *3766:25 *4061:119 0 +80 *3784:59 *4061:119 0 +81 *3796:38 *4061:146 0 +82 *3939:117 *4061:75 0 +83 *3951:8 *4061:146 0 +84 *3952:26 *4061:51 0 +85 *4006:12 *4061:53 0 +86 *4006:69 *8726:DIODE 0 +87 *4006:69 *41079:A 0 +88 *4006:69 *4061:159 0 +89 *4008:48 *4061:119 0 +90 *4020:17 *4061:51 0 +91 *4032:37 *4061:51 0 +92 *4032:37 *4061:53 0 +93 *4039:18 *4061:24 0 +94 *4041:54 *4061:159 0 +*RES +1 *41080:X *4061:24 46.5857 +2 *4061:24 *4061:51 31.6786 +3 *4061:51 *4061:53 67.8929 +4 *4061:53 *4061:59 46.4107 +5 *4061:59 *4061:75 48.7143 +6 *4061:75 *4061:119 46.8696 +7 *4061:119 *4061:146 46.9485 +8 *4061:146 *4061:159 19.0398 +9 *4061:159 *41079:A 9.72857 +10 *4061:159 *8726:DIODE 15.7464 +*END + +*D_NET *4062 0.0223983 +*CONN +*I *38320:B I *D sky130_fd_sc_hd__and2_1 +*I *6459:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41081:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38320:B 0.000578848 +2 *6459:DIODE 0.000163425 +3 *41081:X 0.000505251 +4 *4062:38 0.0019963 +5 *4062:19 0.00342475 +6 *4062:16 0.00225644 +7 *4062:11 0.00652686 +8 *4062:10 0.0069464 +9 *6459:DIODE *4253:56 0 +10 *38320:B *8984:DIODE 0 +11 *38320:B *38320:A 0 +12 *38320:B *4120:40 0 +13 *38320:B *4134:32 0 +14 *38320:B *4294:17 0 +15 *38320:B *4408:15 0 +16 *4062:11 *4108:9 0 +17 *4062:19 *4253:11 0 +18 *4062:38 *4253:39 0 +19 *4062:38 *4262:38 0 +20 *37736:B *4062:38 0 +21 *41045:A *38320:B 0 +22 *1963:16 *4062:10 0 +23 *1978:15 *4062:10 0 +24 *1979:77 *6459:DIODE 0 +25 *1979:77 *38320:B 0 +26 *1989:23 *4062:11 0 +27 *2005:8 *4062:11 0 +28 *2053:54 *4062:19 0 +29 *2069:19 *4062:38 0 +30 *2076:8 *4062:11 0 +31 *2097:12 *4062:19 0 +32 *2115:24 *4062:11 0 +33 *2119:11 *4062:10 0 +34 *3219:45 *4062:38 0 +35 *3243:41 *4062:19 0 +36 *3255:13 *4062:38 0 +37 *3320:96 *4062:38 0 +38 *3322:56 *38320:B 0 +39 *3327:53 *4062:38 0 +40 *3330:33 *4062:19 0 +41 *3365:13 *4062:11 0 +42 *3365:21 *4062:19 0 +43 *3969:35 *4062:19 0 +44 *3980:32 *4062:19 0 +45 *4025:29 *4062:11 0 +46 *4025:44 *4062:38 0 +47 *4039:18 *4062:16 0 +*RES +1 *41081:X *4062:10 29.6929 +2 *4062:10 *4062:11 134.429 +3 *4062:11 *4062:16 10.9464 +4 *4062:16 *4062:19 49.8036 +5 *4062:19 *4062:38 45.5357 +6 *4062:38 *6459:DIODE 17.2107 +7 *4062:38 *38320:B 35.925 +*END + +*D_NET *4063 0.0212231 +*CONN +*I *6456:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38318:B I *D sky130_fd_sc_hd__and2_1 +*I *41082:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6456:DIODE 0.000261822 +2 *38318:B 0 +3 *41082:X 0.00155882 +4 *4063:22 0.00905273 +5 *4063:16 0.0103497 +6 *6456:DIODE *38318:A 0 +7 *6456:DIODE *4128:39 0 +8 *4063:16 *4064:24 0 +9 *4063:16 *4116:15 0 +10 *4063:16 *4143:8 0 +11 *4063:16 *4222:22 0 +12 *4063:22 *4113:37 0 +13 *4063:22 *4129:24 0 +14 *4063:22 *4373:20 0 +15 *4063:22 *4376:19 0 +16 *6393:DIODE *6456:DIODE 0 +17 *1289:20 *4063:16 0 +18 *1558:28 *6456:DIODE 0 +19 *1999:29 *4063:16 0 +20 *1999:29 *4063:22 0 +21 *1999:52 *4063:22 0 +22 *2029:16 *4063:16 0 +23 *2032:13 *4063:16 0 +24 *2035:15 *4063:16 0 +25 *2044:18 *4063:16 0 +26 *2052:21 *4063:22 0 +27 *2079:27 *4063:16 0 +28 *2228:22 *4063:22 0 +29 *2439:14 *4063:22 0 +*RES +1 *41082:X *4063:16 42.0956 +2 *4063:16 *4063:22 40.7457 +3 *4063:22 *38318:B 9.3 +4 *4063:22 *6456:DIODE 14.7643 +*END + +*D_NET *4064 0.0224933 +*CONN +*I *38316:B I *D sky130_fd_sc_hd__and2_1 +*I *6453:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41083:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38316:B 2.56688e-05 +2 *6453:DIODE 0.00032708 +3 *41083:X 0.00499832 +4 *4064:40 0.00624832 +5 *4064:24 0.0108939 +6 *6453:DIODE *4105:53 0 +7 *6453:DIODE *4119:21 0 +8 *4064:24 *4086:38 0 +9 *4064:24 *4231:26 0 +10 *4064:24 *4231:43 0 +11 *4064:24 *4287:35 0 +12 *4064:24 *4302:26 0 +13 *4064:24 *4376:19 0 +14 *4064:24 *5150:21 0 +15 *4064:40 *4086:38 0 +16 *4064:40 *5150:21 0 +17 *1289:20 *4064:24 0 +18 *1996:13 *4064:24 0 +19 *1999:52 *4064:40 0 +20 *2059:39 *4064:24 0 +21 *2073:80 *4064:40 0 +22 *2233:17 *4064:24 0 +23 *3054:24 *4064:40 0 +24 *3323:30 *4064:40 0 +25 *3358:23 *4064:24 0 +26 *3438:57 *4064:40 0 +27 *3747:30 *4064:40 0 +28 *3947:27 *4064:40 0 +29 *4063:16 *4064:24 0 +*RES +1 *41083:X *4064:24 49.9898 +2 *4064:24 *4064:40 37.9621 +3 *4064:40 *6453:DIODE 20.7107 +4 *4064:40 *38316:B 14.3357 +*END + +*D_NET *4065 0.0200392 +*CONN +*I *38314:B I *D sky130_fd_sc_hd__and2_1 +*I *6452:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41084:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *38314:B 3.04817e-05 +2 *6452:DIODE 0.000467791 +3 *41084:X 0.00183553 +4 *4065:57 0.00245616 +5 *4065:45 0.0029422 +6 *4065:19 0.00402093 +7 *4065:18 0.00474361 +8 *4065:7 0.00354252 +9 *4065:7 *8729:DIODE 0 +10 *4065:18 *38296:B 0 +11 *4065:19 *38308:B 0 +12 *4065:45 *4318:63 0 +13 *4065:57 *38302:B 0 +14 *4065:57 *4087:93 0 +15 *6042:DIODE *4065:45 0 +16 *7573:DIODE *4065:45 0 +17 *38302:A *4065:57 0 +18 *38315:A *4065:57 0 +19 *38357:A *4065:57 0 +20 *38371:A *4065:57 0 +21 *39985:A *4065:19 0 +22 *40178:A *4065:57 0 +23 *40613:A *4065:7 0 +24 *40786:A *4065:18 0 +25 *1448:38 *4065:18 0 +26 *1448:38 *4065:19 0 +27 *1548:8 *4065:19 0 +28 *1553:13 *4065:19 0 +29 *1575:15 *4065:57 0 +30 *2366:112 *4065:7 0 +31 *2370:19 *4065:45 0 +32 *2800:53 *4065:57 0 +33 *2806:92 *6452:DIODE 0 +34 *2815:14 *4065:19 0 +35 *2815:24 *4065:19 0 +36 *3044:16 *4065:57 0 +37 *3284:37 *4065:57 0 +38 *3286:114 *6452:DIODE 0 +39 *3297:123 *4065:57 0 +40 *3301:81 *4065:19 0 +41 *3301:89 *4065:19 0 +42 *3303:59 *4065:18 0 +43 *3400:93 *4065:57 0 +44 *3406:92 *4065:19 0 +45 *3406:112 *4065:45 0 +46 *3406:130 *4065:57 0 +47 *3495:19 *6452:DIODE 0 +48 *3498:43 *4065:45 0 +49 *3509:68 *4065:18 0 +50 *3509:123 *4065:57 0 +51 *3700:36 *4065:18 0 +52 *3725:80 *4065:18 0 +53 *3931:7 *4065:7 0 +54 *3931:9 *4065:7 0 +55 *3931:9 *4065:19 0 +56 *3931:34 *4065:45 0 +57 *3963:84 *4065:19 0 +58 *3963:84 *4065:45 0 +59 *3978:33 *4065:7 0 +60 *3978:33 *4065:18 0 +61 *3978:50 *4065:18 0 +62 *3978:99 *4065:45 0 +63 *3978:99 *4065:57 0 +*RES +1 *41084:X *4065:7 47.6393 +2 *4065:7 *4065:18 44.8036 +3 *4065:18 *4065:19 63.375 +4 *4065:19 *4065:45 48.1964 +5 *4065:45 *4065:57 41.0804 +6 *4065:57 *6452:DIODE 30.9693 +7 *4065:57 *38314:B 9.96964 +*END + +*D_NET *4066 0.0199807 +*CONN +*I *8729:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41084:A I *D sky130_fd_sc_hd__buf_6 +*I *41085:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8729:DIODE 0.000517012 +2 *41084:A 0 +3 *41085:X 0.000795514 +4 *4066:45 0.00242063 +5 *4066:31 0.00867782 +6 *4066:30 0.00756972 +7 *4066:30 *8730:DIODE 0 +8 *4066:31 *4092:44 0 +9 *4066:31 *4119:109 0 +10 *4066:31 *4407:13 0 +11 *4066:45 *4094:40 0 +12 *8623:DIODE *8729:DIODE 0 +13 *8623:DIODE *4066:45 0 +14 *41030:A *4066:30 0 +15 *2366:112 *8729:DIODE 0 +16 *2836:21 *4066:45 0 +17 *3025:68 *4066:45 0 +18 *3702:131 *4066:30 0 +19 *3746:17 *4066:45 0 +20 *3746:19 *4066:45 0 +21 *3931:7 *8729:DIODE 0 +22 *3931:7 *4066:45 0 +23 *3932:11 *4066:30 0 +24 *3932:11 *4066:31 0 +25 *3932:11 *4066:45 0 +26 *3964:27 *4066:31 0 +27 *3964:27 *4066:45 0 +28 *4005:17 *4066:30 0 +29 *4006:85 *4066:30 0 +30 *4008:99 *4066:30 0 +31 *4065:7 *8729:DIODE 0 +*RES +1 *41085:X *4066:30 44.4607 +2 *4066:30 *4066:31 141.411 +3 *4066:31 *4066:45 49.0357 +4 *4066:45 *41084:A 9.3 +5 *4066:45 *8729:DIODE 20.1214 +*END + +*D_NET *4067 0.028179 +*CONN +*I *8730:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41085:A I *D sky130_fd_sc_hd__buf_4 +*I *41086:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8730:DIODE 0.000209414 +2 *41085:A 0 +3 *41086:X 0.0066056 +4 *4067:39 0.00748391 +5 *4067:17 0.0138801 +6 *4067:17 *4121:27 0 +7 *4067:17 *4277:33 0 +8 *4067:39 *4081:24 0 +9 *4067:39 *4119:109 0 +10 *4067:39 *4374:68 0 +11 *2059:53 *4067:17 0 +12 *2097:31 *4067:17 0 +13 *2254:68 *4067:17 0 +14 *2258:31 *4067:17 0 +15 *2265:56 *4067:17 0 +16 *2265:56 *4067:39 0 +17 *2270:55 *4067:17 0 +18 *2412:44 *4067:17 0 +19 *2429:34 *4067:39 0 +20 *2920:19 *4067:39 0 +21 *2932:12 *4067:17 0 +22 *3014:19 *4067:39 0 +23 *3074:45 *4067:39 0 +24 *3298:40 *4067:39 0 +25 *3307:21 *4067:17 0 +26 *3334:19 *4067:17 0 +27 *3334:39 *4067:17 0 +28 *3334:39 *4067:39 0 +29 *3358:72 *4067:17 0 +30 *3358:72 *4067:39 0 +31 *3387:44 *4067:17 0 +32 *3674:68 *4067:39 0 +33 *3679:22 *4067:17 0 +34 *3690:16 *4067:17 0 +35 *3733:72 *4067:39 0 +36 *3932:9 *4067:39 0 +37 *3932:11 *8730:DIODE 0 +38 *3932:11 *4067:39 0 +39 *3979:18 *4067:39 0 +40 *3988:11 *4067:39 0 +41 *3991:68 *4067:39 0 +42 *4006:85 *8730:DIODE 0 +43 *4066:30 *8730:DIODE 0 +*RES +1 *41086:X *4067:17 49.4471 +2 *4067:17 *4067:39 48.1704 +3 *4067:39 *41085:A 9.3 +4 *4067:39 *8730:DIODE 14.05 +*END + +*D_NET *4068 0.0192884 +*CONN +*I *5640:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37790:A I *D sky130_fd_sc_hd__and2_1 +*I *41087:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5640:DIODE 0 +2 *37790:A 0.000104386 +3 *41087:X 0.000232498 +4 *4068:45 0.00411898 +5 *4068:43 0.00453344 +6 *4068:37 0.00288585 +7 *4068:9 0.00477389 +8 *4068:8 0.00263937 +9 *37790:A *4391:41 0 +10 *4068:9 *41395:A 0 +11 *4068:9 *4173:12 0 +12 *4068:9 *4173:17 0 +13 *4068:9 *4410:5 0 +14 *4068:9 *4448:37 0 +15 *4068:37 *4137:44 0 +16 *4068:37 *4173:17 0 +17 *4068:37 *4173:19 0 +18 *4068:37 *4395:53 0 +19 *4068:37 *4915:35 0 +20 *4068:37 *5048:75 0 +21 *4068:37 *5152:51 0 +22 *4068:43 *4173:19 0 +23 *4068:45 *37954:A_N 0 +24 *4068:45 *4132:58 0 +25 *4068:45 *4132:74 0 +26 *4068:45 *4173:19 0 +27 *4068:45 *4173:34 0 +28 *4068:45 *4391:27 0 +29 *4068:45 *4391:38 0 +30 *4068:45 *4391:41 0 +31 *4068:45 *4748:23 0 +32 *6377:DIODE *4068:9 0 +33 *37790:B *37790:A 0 +34 *37950:B *4068:45 0 +35 *40953:A *4068:45 0 +36 *1376:23 *4068:37 0 +37 *1379:25 *4068:37 0 +38 *1895:46 *4068:37 0 +39 *1895:52 *4068:43 0 +40 *1895:54 *4068:43 0 +41 *1895:54 *4068:45 0 +42 *1899:38 *4068:9 0 +43 *1899:41 *4068:9 0 +44 *1899:56 *4068:9 0 +45 *1899:56 *4068:37 0 +46 *2907:11 *4068:45 0 +47 *3058:28 *4068:43 0 +48 *3136:32 *4068:45 0 +49 *3136:35 *37790:A 0 +50 *3136:35 *4068:45 0 +51 *3213:18 *4068:37 0 +52 *3384:27 *4068:9 0 +53 *3890:50 *4068:37 0 +54 *3921:41 *4068:37 0 +*RES +1 *41087:X *4068:8 23.5857 +2 *4068:8 *4068:9 50.2321 +3 *4068:9 *4068:37 49.6533 +4 *4068:37 *4068:43 15.4732 +5 *4068:43 *4068:45 83.9107 +6 *4068:45 *37790:A 11.4786 +7 *4068:45 *5640:DIODE 9.3 +*END + +*D_NET *4069 0.0215834 +*CONN +*I *6450:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38312:B I *D sky130_fd_sc_hd__and2_1 +*I *41088:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *6450:DIODE 4.23535e-05 +2 *38312:B 0.00012706 +3 *41088:X 0.00187853 +4 *4069:68 0.00175669 +5 *4069:41 0.00308534 +6 *4069:23 0.00374601 +7 *4069:21 0.00445974 +8 *4069:13 0.00341047 +9 *4069:5 0.00307721 +10 *4069:13 *4097:94 0 +11 *4069:68 *4334:86 0 +12 *6117:DIODE *4069:5 0 +13 *8635:DIODE *4069:5 0 +14 *37746:A *4069:21 0 +15 *39490:B *4069:21 0 +16 *39490:B *4069:23 0 +17 *39626:A *4069:68 0 +18 *40072:A *4069:23 0 +19 *40074:A *4069:23 0 +20 *1214:31 *4069:68 0 +21 *1250:85 *4069:68 0 +22 *1321:25 *4069:21 0 +23 *1332:39 *4069:23 0 +24 *1332:41 *4069:23 0 +25 *1416:47 *4069:13 0 +26 *1445:53 *4069:5 0 +27 *1552:16 *4069:68 0 +28 *1822:10 *4069:21 0 +29 *2370:19 *4069:68 0 +30 *2384:46 *4069:5 0 +31 *2384:46 *4069:13 0 +32 *2430:11 *4069:21 0 +33 *2430:11 *4069:41 0 +34 *2430:23 *4069:13 0 +35 *2434:15 *4069:5 0 +36 *2809:11 *6450:DIODE 0 +37 *2809:11 *38312:B 0 +38 *2815:70 *6450:DIODE 0 +39 *2815:70 *38312:B 0 +40 *2929:17 *4069:21 0 +41 *2929:21 *4069:13 0 +42 *2929:21 *4069:21 0 +43 *2977:76 *4069:41 0 +44 *3284:11 *4069:41 0 +45 *3286:90 *38312:B 0 +46 *3408:18 *4069:68 0 +47 *3432:75 *4069:41 0 +48 *3470:59 *4069:68 0 +49 *3481:57 *38312:B 0 +50 *3512:50 *4069:68 0 +51 *3540:13 *4069:41 0 +52 *3540:13 *4069:68 0 +53 *3582:13 *4069:41 0 +54 *3650:93 *4069:5 0 +55 *3661:32 *4069:68 0 +56 *3684:95 *4069:21 0 +57 *3719:52 *4069:21 0 +58 *3731:12 *4069:68 0 +59 *3764:34 *4069:68 0 +60 *3780:61 *4069:5 0 +61 *3780:65 *4069:13 0 +62 *3780:65 *4069:21 0 +63 *3780:83 *4069:21 0 +64 *3810:9 *4069:5 0 +65 *3810:9 *4069:13 0 +66 *3945:18 *4069:5 0 +67 *3990:45 *4069:41 0 +68 *3990:45 *4069:68 0 +69 *4051:68 *4069:23 0 +*RES +1 *41088:X *4069:5 48.4429 +2 *4069:5 *4069:13 34.1071 +3 *4069:13 *4069:21 46.2857 +4 *4069:21 *4069:23 46.9464 +5 *4069:23 *4069:41 49.625 +6 *4069:41 *4069:68 40.8208 +7 *4069:68 *38312:B 12.2018 +8 *4069:68 *6450:DIODE 10.2464 +*END + +*D_NET *4070 0.0260364 +*CONN +*I *8732:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41088:A I *D sky130_fd_sc_hd__buf_6 +*I *41089:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8732:DIODE 0.000534611 +2 *41088:A 0 +3 *41089:X 0.00074752 +4 *4070:95 0.00880142 +5 *4070:63 0.0106671 +6 *4070:43 0.00346925 +7 *4070:20 0.00181645 +8 *8732:DIODE *8939:DIODE 0 +9 *4070:20 *4092:19 0 +10 *4070:20 *4119:89 0 +11 *4070:43 *4095:40 0 +12 *4070:43 *4109:48 0 +13 *4070:43 *4332:76 0 +14 *4070:95 *8875:DIODE 0 +15 *4070:95 *4351:33 0 +16 *8529:DIODE *8732:DIODE 0 +17 *40148:A *4070:95 0 +18 *1208:19 *4070:95 0 +19 *1287:25 *4070:63 0 +20 *1310:22 *4070:63 0 +21 *1331:13 *4070:95 0 +22 *1331:31 *4070:95 0 +23 *1408:29 *4070:95 0 +24 *1413:15 *4070:43 0 +25 *1439:46 *4070:43 0 +26 *1445:53 *4070:95 0 +27 *1446:20 *4070:20 0 +28 *2318:37 *4070:63 0 +29 *2413:69 *4070:95 0 +30 *2420:26 *4070:20 0 +31 *2717:8 *4070:63 0 +32 *2840:34 *4070:43 0 +33 *2923:71 *4070:63 0 +34 *2959:32 *4070:63 0 +35 *3036:33 *8732:DIODE 0 +36 *3197:30 *4070:95 0 +37 *3531:36 *4070:63 0 +38 *3650:93 *8732:DIODE 0 +39 *3650:93 *4070:95 0 +40 *3667:76 *4070:95 0 +41 *3669:69 *8732:DIODE 0 +42 *3669:69 *4070:95 0 +43 *3670:68 *4070:95 0 +44 *3674:86 *4070:63 0 +45 *3677:17 *4070:95 0 +46 *3680:92 *4070:95 0 +47 *3688:8 *4070:63 0 +48 *3689:28 *4070:43 0 +49 *3692:9 *4070:95 0 +50 *3692:38 *4070:95 0 +51 *3730:67 *4070:43 0 +52 *3735:22 *4070:95 0 +53 *3736:91 *4070:95 0 +54 *3742:40 *4070:95 0 +55 *3769:51 *4070:43 0 +56 *3769:51 *4070:95 0 +57 *3772:91 *4070:95 0 +58 *3781:45 *4070:95 0 +59 *3810:9 *8732:DIODE 0 +60 *3820:61 *4070:63 0 +61 *3942:151 *4070:95 0 +62 *3951:8 *4070:63 0 +63 *3987:19 *4070:95 0 +64 *4006:45 *4070:20 0 +65 *4055:39 *4070:63 0 +*RES +1 *41089:X *4070:20 48.1393 +2 *4070:20 *4070:43 37.897 +3 *4070:43 *4070:63 47.9222 +4 *4070:63 *4070:95 47.8355 +5 *4070:95 *41088:A 9.3 +6 *4070:95 *8732:DIODE 30.3179 +*END + +*D_NET *4071 0.000700194 +*CONN +*I *37662:B I *D sky130_fd_sc_hd__and2_1 +*I *38586:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *37662:B 0.000350097 +2 *38586:X 0.000350097 +3 *37662:B *4506:62 0 +4 *3105:81 *37662:B 0 +5 *3296:9 *37662:B 0 +6 *3977:108 *37662:B 0 +*RES +1 *38586:X *37662:B 35.1536 +*END + +*D_NET *4072 0.021885 +*CONN +*I *8733:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41089:A I *D sky130_fd_sc_hd__buf_4 +*I *41090:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8733:DIODE 0.000527317 +2 *41089:A 0.000515928 +3 *41090:X 0.00240349 +4 *4072:55 0.00127375 +5 *4072:51 0.00749579 +6 *4072:20 0.00966877 +7 *8733:DIODE *4516:83 0 +8 *41089:A *4078:7 0 +9 *41089:A *4408:78 0 +10 *4072:20 *4075:14 0 +11 *4072:20 *4166:35 0 +12 *4072:20 *4277:33 0 +13 *4072:51 *4117:51 0 +14 *4072:51 *4135:96 0 +15 *4072:51 *4166:35 0 +16 *4072:51 *4166:60 0 +17 *4072:51 *4221:21 0 +18 *4072:51 *4221:48 0 +19 *4072:51 *4242:44 0 +20 *4072:51 *4288:52 0 +21 *4072:51 *4295:58 0 +22 *4072:51 *4298:20 0 +23 *4072:51 *4298:40 0 +24 *4072:51 *4521:41 0 +25 *4072:51 *4886:21 0 +26 *37782:B *4072:20 0 +27 *39617:A *8733:DIODE 0 +28 *39631:A *8733:DIODE 0 +29 *40271:A *4072:51 0 +30 *1290:25 *4072:20 0 +31 *1432:41 *8733:DIODE 0 +32 *1432:41 *4072:55 0 +33 *1960:22 *4072:51 0 +34 *1969:37 *4072:51 0 +35 *1979:77 *4072:51 0 +36 *2070:56 *4072:20 0 +37 *2117:26 *4072:20 0 +38 *2420:26 *41089:A 0 +39 *3054:24 *4072:51 0 +40 *3143:25 *4072:20 0 +41 *3143:25 *4072:51 0 +42 *3158:29 *4072:51 0 +43 *3192:66 *4072:51 0 +44 *3210:70 *4072:51 0 +45 *3220:21 *4072:51 0 +46 *3236:86 *4072:20 0 +47 *3258:27 *4072:20 0 +48 *3441:21 *8733:DIODE 0 +49 *3442:92 *4072:51 0 +50 *3792:37 *4072:20 0 +51 *3965:20 *4072:20 0 +52 *3965:20 *4072:51 0 +53 *4046:36 *4072:51 0 +54 *4061:51 *4072:20 0 +*RES +1 *41090:X *4072:20 43.166 +2 *4072:20 *4072:51 49.8313 +3 *4072:51 *4072:55 9.58036 +4 *4072:55 *41089:A 30.1214 +5 *4072:55 *8733:DIODE 29.9786 +*END + +*D_NET *4073 0.0191275 +*CONN +*I *38310:B I *D sky130_fd_sc_hd__and2_1 +*I *6447:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41091:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *38310:B 0.000339462 +2 *6447:DIODE 0.000312881 +3 *41091:X 0.000681349 +4 *4073:79 0.00206748 +5 *4073:45 0.00291922 +6 *4073:25 0.00475568 +7 *4073:24 0.00325159 +8 *4073:22 0.00205923 +9 *4073:10 0.00274057 +10 *4073:22 *8329:DIODE 0 +11 *4073:22 *8852:DIODE 0 +12 *4073:22 *4229:69 0 +13 *4073:22 *5151:31 0 +14 *4073:22 *5182:66 0 +15 *4073:25 *4216:45 0 +16 *4073:25 *4360:117 0 +17 *4073:45 *8849:DIODE 0 +18 *4073:45 *4216:45 0 +19 *4073:45 *4216:65 0 +20 *4073:79 *4223:35 0 +21 *6478:DIODE *4073:25 0 +22 *6494:DIODE *4073:22 0 +23 *7863:DIODE *4073:79 0 +24 *7994:DIODE *4073:10 0 +25 *38344:B *4073:25 0 +26 *38346:B *4073:25 0 +27 *39960:A *4073:79 0 +28 *371:15 *38310:B 0 +29 *1214:31 *4073:79 0 +30 *1456:22 *4073:45 0 +31 *1846:32 *6447:DIODE 0 +32 *2414:109 *4073:22 0 +33 *2802:21 *6447:DIODE 0 +34 *2825:43 *4073:45 0 +35 *2825:47 *4073:79 0 +36 *2986:13 *4073:10 0 +37 *3001:67 *4073:10 0 +38 *3288:36 *38310:B 0 +39 *3310:87 *4073:25 0 +40 *3348:75 *4073:10 0 +41 *3348:75 *4073:22 0 +42 *3394:47 *4073:79 0 +43 *3394:61 *4073:79 0 +44 *3402:157 *6447:DIODE 0 +45 *3411:121 *4073:25 0 +46 *3411:138 *4073:25 0 +47 *3432:28 *4073:10 0 +48 *3481:68 *4073:79 0 +49 *3498:25 *4073:25 0 +50 *3501:64 *4073:25 0 +51 *3768:58 *4073:25 0 +52 *3936:23 *4073:22 0 +53 *3950:75 *4073:79 0 +54 *3950:83 *6447:DIODE 0 +55 *3950:83 *38310:B 0 +56 *3950:83 *4073:79 0 +57 *3970:19 *4073:22 0 +58 *3972:39 *4073:10 0 +59 *3972:39 *4073:22 0 +60 *3986:7 *4073:45 0 +61 *3986:7 *4073:79 0 +62 *3986:11 *4073:79 0 +63 *4003:26 *4073:22 0 +64 *4005:39 *4073:10 0 +65 *4011:62 *4073:10 0 +66 *4018:109 *38310:B 0 +67 *4018:109 *4073:79 0 +*RES +1 *41091:X *4073:10 32.6036 +2 *4073:10 *4073:22 47.5357 +3 *4073:22 *4073:24 4.5 +4 *4073:24 *4073:25 67.8929 +5 *4073:25 *4073:45 49.5714 +6 *4073:45 *4073:79 48.3571 +7 *4073:79 *6447:DIODE 25.4071 +8 *4073:79 *38310:B 25.7286 +*END + +*D_NET *4074 0.0318766 +*CONN +*I *41091:A I *D sky130_fd_sc_hd__buf_6 +*I *8735:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41092:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *41091:A 2.26741e-05 +2 *8735:DIODE 0.00100042 +3 *41092:X 0.00277203 +4 *4074:65 0.001467 +5 *4074:61 0.00183308 +6 *4074:47 0.00370603 +7 *4074:33 0.00594236 +8 *4074:31 0.0037577 +9 *4074:25 0.00436776 +10 *4074:24 0.00700759 +11 *8735:DIODE *8865:DIODE 0 +12 *4074:24 *4267:25 0 +13 *4074:24 *4719:8 0 +14 *4074:61 *4087:26 0 +15 *4074:61 *4319:37 0 +16 *4074:65 *4245:51 0 +17 *8706:DIODE *4074:61 0 +18 *39571:A *4074:61 0 +19 *40725:A *4074:61 0 +20 *1012:10 *4074:24 0 +21 *1018:60 *4074:47 0 +22 *1022:16 *4074:24 0 +23 *1337:12 *4074:61 0 +24 *1527:8 *4074:24 0 +25 *1546:14 *4074:47 0 +26 *2367:8 *4074:65 0 +27 *2369:53 *4074:24 0 +28 *2822:123 *4074:47 0 +29 *2871:39 *4074:47 0 +30 *2933:19 *4074:25 0 +31 *2981:92 *8735:DIODE 0 +32 *2989:18 *4074:47 0 +33 *2998:27 *4074:25 0 +34 *2998:27 *4074:31 0 +35 *2998:27 *4074:33 0 +36 *2998:29 *4074:33 0 +37 *3008:20 *4074:24 0 +38 *3011:43 *4074:25 0 +39 *3273:8 *4074:47 0 +40 *3313:47 *4074:61 0 +41 *3387:25 *4074:25 0 +42 *3409:37 *8735:DIODE 0 +43 *3684:75 *4074:47 0 +44 *3688:37 *4074:61 0 +45 *3715:35 *4074:25 0 +46 *3715:35 *4074:31 0 +47 *3715:35 *4074:33 0 +48 *3818:21 *4074:25 0 +49 *3904:49 *8735:DIODE 0 +50 *3955:55 *4074:65 0 +51 *4000:35 *8735:DIODE 0 +52 *4005:39 *4074:65 0 +53 *4030:18 *8735:DIODE 0 +54 *4058:18 *4074:24 0 +*RES +1 *41092:X *4074:24 49.2154 +2 *4074:24 *4074:25 88.4286 +3 *4074:25 *4074:31 3.03571 +4 *4074:31 *4074:33 75.6964 +5 *4074:33 *4074:47 48.7918 +6 *4074:47 *4074:61 44.2321 +7 *4074:61 *4074:65 14.3929 +8 *4074:65 *8735:DIODE 42.7435 +9 *4074:65 *41091:A 9.83571 +*END + +*D_NET *4075 0.023569 +*CONN +*I *8736:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41092:A I *D sky130_fd_sc_hd__buf_4 +*I *41093:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8736:DIODE 0.000291271 +2 *41092:A 0.000176727 +3 *41093:X 0.00134179 +4 *4075:129 0.00102351 +5 *4075:126 0.00331448 +6 *4075:106 0.00425783 +7 *4075:76 0.00327225 +8 *4075:38 0.00322646 +9 *4075:21 0.00338797 +10 *4075:14 0.00327669 +11 *8736:DIODE *4358:94 0 +12 *41092:A *4078:7 0 +13 *4075:14 *4166:35 0 +14 *4075:14 *4298:20 0 +15 *4075:21 *4409:9 0 +16 *4075:38 *41393:A 0 +17 *4075:38 *4408:15 0 +18 *4075:76 *8879:DIODE 0 +19 *4075:76 *41260:A 0 +20 *4075:76 *4116:43 0 +21 *4075:76 *4227:57 0 +22 *4075:76 *4236:33 0 +23 *4075:76 *4283:61 0 +24 *4075:106 *41252:A 0 +25 *4075:106 *4107:43 0 +26 *4075:106 *4248:24 0 +27 *4075:106 *4261:27 0 +28 *4075:106 *4305:55 0 +29 *4075:126 *37668:B 0 +30 *4075:126 *4225:35 0 +31 *4075:126 *4236:33 0 +32 *4075:126 *4252:25 0 +33 *4075:126 *4267:25 0 +34 *4075:126 *4298:55 0 +35 *4075:126 *4521:41 0 +36 *1226:80 *8736:DIODE 0 +37 *1233:18 *41092:A 0 +38 *1436:22 *4075:126 0 +39 *1437:30 *4075:126 0 +40 *1559:10 *4075:38 0 +41 *2089:25 *4075:76 0 +42 *2133:72 *4075:14 0 +43 *2134:21 *4075:14 0 +44 *2253:65 *4075:38 0 +45 *2367:24 *8736:DIODE 0 +46 *2671:57 *4075:126 0 +47 *2840:27 *4075:126 0 +48 *3084:62 *41092:A 0 +49 *3202:75 *4075:76 0 +50 *3210:87 *4075:76 0 +51 *3317:119 *8736:DIODE 0 +52 *3322:146 *4075:126 0 +53 *3354:12 *4075:38 0 +54 *3355:42 *4075:14 0 +55 *3526:18 *4075:38 0 +56 *3806:74 *4075:76 0 +57 *3951:8 *8736:DIODE 0 +58 *3951:8 *41092:A 0 +59 *3961:49 *4075:76 0 +60 *3965:43 *4075:76 0 +61 *3968:16 *4075:126 0 +62 *3969:72 *4075:76 0 +63 *3980:41 *4075:21 0 +64 *3989:21 *4075:21 0 +65 *3989:67 *4075:126 0 +66 *3991:13 *4075:129 0 +67 *3992:43 *4075:126 0 +68 *4006:45 *8736:DIODE 0 +69 *4006:45 *4075:129 0 +70 *4008:48 *4075:126 0 +71 *4012:44 *4075:126 0 +72 *4020:39 *4075:38 0 +73 *4031:17 *41092:A 0 +74 *4046:12 *4075:14 0 +75 *4053:66 *4075:106 0 +76 *4053:92 *4075:106 0 +77 *4056:17 *4075:21 0 +78 *4060:32 *4075:106 0 +79 *4072:20 *4075:14 0 +*RES +1 *41093:X *4075:14 41.6721 +2 *4075:14 *4075:21 49.5893 +3 *4075:21 *4075:38 48.0796 +4 *4075:38 *4075:76 49.2707 +5 *4075:76 *4075:106 49.8542 +6 *4075:106 *4075:126 46.8686 +7 *4075:126 *4075:129 16.125 +8 *4075:129 *41092:A 22.1214 +9 *4075:129 *8736:DIODE 24.7643 +*END + +*D_NET *4076 0.0211824 +*CONN +*I *41093:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8737:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41094:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41093:A 7.522e-05 +2 *8737:DIODE 0.000383164 +3 *41094:X 0.00694149 +4 *4076:63 0.00364971 +5 *4076:32 0.0101328 +6 *41093:A *4083:11 0 +7 *4076:32 *4165:11 0 +8 *4076:32 *4250:23 0 +9 *4076:32 *4265:19 0 +10 *4076:32 *4287:35 0 +11 *4076:32 *4302:26 0 +12 *4076:63 *4243:32 0 +13 *4076:63 *4250:23 0 +14 *4076:63 *4284:21 0 +15 *4076:63 *4302:51 0 +16 *40990:A *41093:A 0 +17 *41247:A *4076:63 0 +18 *41281:A *4076:63 0 +19 *1960:13 *4076:32 0 +20 *1998:51 *4076:32 0 +21 *2014:35 *4076:32 0 +22 *2050:62 *4076:63 0 +23 *2081:50 *8737:DIODE 0 +24 *2099:39 *4076:32 0 +25 *2121:30 *8737:DIODE 0 +26 *2126:13 *4076:32 0 +27 *3270:118 *4076:63 0 +28 *3270:135 *4076:63 0 +29 *3345:30 *4076:32 0 +30 *3926:13 *4076:32 0 +31 *3934:77 *4076:63 0 +32 *3934:88 *4076:63 0 +33 *4047:58 *4076:32 0 +34 *4047:58 *4076:63 0 +*RES +1 *41094:X *4076:32 48.5135 +2 *4076:32 *4076:63 47.7439 +3 *4076:63 *8737:DIODE 22.2375 +4 *4076:63 *41093:A 15.5232 +*END + +*D_NET *4077 0.0207046 +*CONN +*I *38308:B I *D sky130_fd_sc_hd__and2_1 +*I *6444:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41095:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38308:B 0.000198667 +2 *6444:DIODE 0 +3 *41095:X 0.00854965 +4 *4077:37 0.000963901 +5 *4077:33 0.00160401 +6 *4077:19 0.00938842 +7 *4077:19 *4091:55 0 +8 *4077:19 *4224:27 0 +9 *4077:19 *4224:51 0 +10 *4077:19 *4266:18 0 +11 *4077:19 *4266:38 0 +12 *38294:A *4077:19 0 +13 *1332:39 *4077:33 0 +14 *1336:16 *4077:19 0 +15 *1449:59 *4077:33 0 +16 *1553:23 *4077:37 0 +17 *2387:10 *4077:33 0 +18 *2818:17 *4077:19 0 +19 *2871:54 *4077:19 0 +20 *2977:31 *4077:19 0 +21 *2987:78 *4077:19 0 +22 *3046:13 *4077:19 0 +23 *3218:24 *4077:19 0 +24 *3284:11 *4077:33 0 +25 *3306:96 *4077:19 0 +26 *3308:118 *4077:19 0 +27 *3406:92 *38308:B 0 +28 *3406:92 *4077:37 0 +29 *3474:18 *4077:37 0 +30 *3482:32 *4077:19 0 +31 *3582:13 *4077:33 0 +32 *3810:27 *4077:19 0 +33 *3991:133 *4077:19 0 +34 *3994:27 *4077:33 0 +35 *3997:79 *4077:19 0 +36 *4036:11 *4077:19 0 +37 *4065:19 *38308:B 0 +*RES +1 *41095:X *4077:19 49.9879 +2 *4077:19 *4077:33 39.1689 +3 *4077:33 *4077:37 21.7679 +4 *4077:37 *6444:DIODE 9.3 +5 *4077:37 *38308:B 22.5679 +*END + +*D_NET *4078 0.02262 +*CONN +*I *8738:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41095:A I *D sky130_fd_sc_hd__buf_2 +*I *41096:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8738:DIODE 0.000461657 +2 *41095:A 0.000242998 +3 *41096:X 0.00156793 +4 *4078:92 0.00113996 +5 *4078:89 0.0031279 +6 *4078:72 0.00426504 +7 *4078:58 0.00293585 +8 *4078:41 0.00268176 +9 *4078:26 0.00297365 +10 *4078:7 0.00322324 +11 *41095:A *4099:99 0 +12 *4078:7 *8739:DIODE 0 +13 *4078:7 *37668:B 0 +14 *4078:7 *4230:53 0 +15 *4078:7 *4408:78 0 +16 *4078:26 *5624:DIODE 0 +17 *4078:26 *37776:A 0 +18 *4078:26 *4166:63 0 +19 *4078:26 *4230:53 0 +20 *4078:26 *4230:90 0 +21 *4078:26 *4291:75 0 +22 *4078:41 *41262:A 0 +23 *4078:41 *4107:83 0 +24 *4078:41 *4230:99 0 +25 *4078:58 *4289:36 0 +26 *4078:89 *4319:24 0 +27 *4078:89 *4328:30 0 +28 *4078:89 *4340:40 0 +29 *4078:89 *4344:25 0 +30 *5716:DIODE *4078:92 0 +31 *6036:DIODE *8738:DIODE 0 +32 *8663:DIODE *4078:26 0 +33 *37668:A *4078:7 0 +34 *37776:B *4078:26 0 +35 *40302:A *4078:26 0 +36 *40752:A *4078:7 0 +37 *40993:A *4078:26 0 +38 *41050:A *4078:41 0 +39 *41089:A *4078:7 0 +40 *41092:A *4078:7 0 +41 *1228:19 *4078:7 0 +42 *1233:18 *4078:7 0 +43 *1233:18 *4078:26 0 +44 *1442:10 *4078:58 0 +45 *1442:53 *4078:92 0 +46 *1444:32 *4078:26 0 +47 *2413:98 *4078:26 0 +48 *2419:97 *4078:41 0 +49 *2835:21 *4078:7 0 +50 *2837:16 *4078:26 0 +51 *3008:20 *4078:7 0 +52 *3008:20 *4078:26 0 +53 *3029:24 *4078:26 0 +54 *3084:62 *4078:7 0 +55 *3163:55 *4078:72 0 +56 *3274:79 *4078:89 0 +57 *3279:94 *4078:41 0 +58 *3279:94 *4078:58 0 +59 *3279:103 *4078:89 0 +60 *3388:60 *4078:89 0 +61 *3436:80 *4078:41 0 +62 *3667:45 *4078:89 0 +63 *3667:76 *4078:92 0 +64 *3684:34 *8738:DIODE 0 +65 *3725:22 *4078:89 0 +66 *3758:53 *4078:89 0 +67 *3937:17 *4078:26 0 +68 *3937:24 *4078:26 0 +69 *3937:24 *4078:41 0 +70 *3955:41 *4078:58 0 +71 *3955:41 *4078:72 0 +72 *3955:43 *4078:72 0 +73 *3972:24 *4078:41 0 +74 *3987:19 *4078:92 0 +75 *3991:84 *4078:58 0 +76 *3997:49 *4078:72 0 +77 *3997:60 *4078:72 0 +78 *4005:23 *4078:58 0 +79 *4008:72 *4078:26 0 +80 *4008:120 *4078:89 0 +81 *4037:42 *4078:58 0 +82 *4039:131 *4078:7 0 +83 *4040:11 *41095:A 0 +84 *4059:42 *4078:41 0 +85 *4059:51 *4078:41 0 +*RES +1 *41096:X *4078:7 42.0857 +2 *4078:7 *4078:26 48.5536 +3 *4078:26 *4078:41 45.6964 +4 *4078:41 *4078:58 43.1071 +5 *4078:58 *4078:72 42.6071 +6 *4078:72 *4078:89 48.1486 +7 *4078:89 *4078:92 9.91964 +8 *4078:92 *41095:A 18.8714 +9 *4078:92 *8738:DIODE 23.7107 +*END + +*D_NET *4079 0.0216938 +*CONN +*I *8739:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41096:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *41097:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8739:DIODE 0.00014075 +2 *41096:A 0 +3 *41097:X 0.00533279 +4 *4079:57 0.00551413 +5 *4079:19 0.0107062 +6 *8739:DIODE *4083:124 0 +7 *8739:DIODE *4408:78 0 +8 *4079:19 *4222:22 0 +9 *4079:57 *4105:45 0 +10 *4079:57 *4117:18 0 +11 *4079:57 *4222:22 0 +12 *4079:57 *4234:43 0 +13 *4079:57 *4248:24 0 +14 *4079:57 *4267:25 0 +15 *4079:57 *4273:50 0 +16 *4079:57 *4289:21 0 +17 *8667:DIODE *4079:57 0 +18 *1293:20 *4079:19 0 +19 *2072:48 *4079:57 0 +20 *2106:23 *4079:57 0 +21 *3139:10 *4079:19 0 +22 *3153:72 *4079:19 0 +23 *3961:49 *4079:57 0 +24 *3977:74 *4079:57 0 +25 *3989:41 *4079:57 0 +26 *3998:45 *4079:57 0 +27 *4008:18 *4079:57 0 +28 *4009:38 *4079:19 0 +29 *4009:38 *4079:57 0 +30 *4078:7 *8739:DIODE 0 +*RES +1 *41097:X *4079:19 48.7323 +2 *4079:19 *4079:57 45.7516 +3 *4079:57 *41096:A 9.3 +4 *4079:57 *8739:DIODE 12.3 +*END + +*D_NET *4080 0.0194643 +*CONN +*I *6441:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38306:B I *D sky130_fd_sc_hd__and2_2 +*I *41098:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *6441:DIODE 0.000245069 +2 *38306:B 0.000395211 +3 *41098:X 7.23016e-05 +4 *4080:29 0.00155494 +5 *4080:17 0.00508819 +6 *4080:15 0.00589548 +7 *4080:11 0.00393139 +8 *4080:8 0.00228174 +9 *6441:DIODE *4224:69 0 +10 *6441:DIODE *4330:62 0 +11 *38306:B *4739:21 0 +12 *4080:11 *4247:23 0 +13 *4080:15 *4235:41 0 +14 *4080:15 *4235:61 0 +15 *4080:17 *4087:47 0 +16 *4080:17 *4087:49 0 +17 *4080:17 *4895:19 0 +18 *4080:29 *8914:DIODE 0 +19 *4080:29 *41307:A 0 +20 *4080:29 *4224:69 0 +21 *4080:29 *4330:62 0 +22 *6027:DIODE *4080:29 0 +23 *8360:DIODE *4080:15 0 +24 *37620:A *4080:15 0 +25 *37858:B *4080:15 0 +26 *40649:A *4080:15 0 +27 *40790:A *4080:11 0 +28 *40821:A *4080:15 0 +29 *1209:10 *4080:15 0 +30 *1328:28 *4080:15 0 +31 *1581:44 *4080:29 0 +32 *1824:69 *4080:11 0 +33 *2370:38 *4080:15 0 +34 *3013:64 *6441:DIODE 0 +35 *3295:90 *4080:29 0 +36 *3409:66 *4080:15 0 +37 *3479:82 *4080:17 0 +38 *3479:82 *4080:29 0 +39 *3479:98 *4080:29 0 +40 *3666:45 *4080:15 0 +41 *3666:45 *4080:17 0 +42 *3739:20 *4080:11 0 +43 *3761:55 *38306:B 0 +44 *3773:14 *4080:15 0 +45 *3773:55 *38306:B 0 +46 *3773:55 *4080:29 0 +47 *3774:25 *4080:11 0 +48 *3774:33 *4080:11 0 +49 *3818:27 *4080:15 0 +*RES +1 *41098:X *4080:8 19.9429 +2 *4080:8 *4080:11 46.1429 +3 *4080:11 *4080:15 35.875 +4 *4080:15 *4080:17 87.1964 +5 *4080:17 *4080:29 19.2143 +6 *4080:29 *38306:B 26.6571 +7 *4080:29 *6441:DIODE 23.5857 +*END + +*D_NET *4081 0.0230791 +*CONN +*I *41098:A I *D sky130_fd_sc_hd__buf_6 +*I *8740:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41099:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *41098:A 0 +2 *8740:DIODE 0.000340539 +3 *41099:X 0.00253363 +4 *4081:62 0.00148882 +5 *4081:39 0.00866538 +6 *4081:24 0.0100507 +7 *4081:24 *4267:62 0 +8 *4081:24 *4369:67 0 +9 *4081:24 *4408:108 0 +10 *4081:39 *4088:27 0 +11 *4081:39 *4215:74 0 +12 *4081:62 *8915:DIODE 0 +13 *8636:DIODE *4081:24 0 +14 *1229:65 *4081:24 0 +15 *1339:16 *4081:62 0 +16 *2384:53 *8740:DIODE 0 +17 *2436:44 *4081:24 0 +18 *3025:46 *4081:39 0 +19 *3070:68 *4081:39 0 +20 *3110:24 *4081:39 0 +21 *3702:100 *4081:24 0 +22 *3702:125 *4081:24 0 +23 *3726:51 *4081:24 0 +24 *3750:98 *4081:62 0 +25 *3756:25 *4081:39 0 +26 *3941:28 *4081:62 0 +27 *3987:43 *4081:39 0 +28 *3988:11 *4081:24 0 +29 *3988:11 *4081:39 0 +30 *3995:36 *4081:39 0 +31 *3997:79 *4081:39 0 +32 *4034:48 *4081:24 0 +33 *4034:48 *4081:39 0 +34 *4041:54 *4081:24 0 +35 *4061:159 *4081:24 0 +36 *4067:39 *4081:24 0 +*RES +1 *41099:X *4081:24 34.1122 +2 *4081:24 *4081:39 46.2798 +3 *4081:39 *4081:62 44.0714 +4 *4081:62 *8740:DIODE 16.4071 +5 *4081:39 *41098:A 9.3 +*END + +*D_NET *4082 0.00830035 +*CONN +*I *5486:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37664:B I *D sky130_fd_sc_hd__and2_1 +*I *38587:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5486:DIODE 0 +2 *37664:B 0.000163425 +3 *38587:X 0.0018815 +4 *4082:27 0.000264816 +5 *4082:24 0.00210525 +6 *4082:23 0.00388536 +7 *4082:23 *4517:53 0 +8 *4082:23 *4521:41 0 +9 *4082:24 *4513:109 0 +10 *1231:17 *37664:B 0 +11 *1231:17 *4082:27 0 +12 *1286:12 *4082:24 0 +13 *3011:16 *4082:24 0 +14 *3234:27 *4082:23 0 +15 *3296:8 *4082:24 0 +16 *3305:62 *4082:24 0 +17 *3312:78 *4082:23 0 +18 *3314:28 *4082:23 0 +19 *3314:62 *4082:23 0 +20 *3444:37 *4082:24 0 +21 *3525:29 *4082:23 0 +22 *3720:35 *37664:B 0 +23 *3720:35 *4082:27 0 +24 *3817:83 *37664:B 0 +25 *3819:19 *37664:B 0 +26 *4041:29 *4082:24 0 +*RES +1 *38587:X *4082:23 43.3727 +2 *4082:23 *4082:24 45.5089 +3 *4082:24 *4082:27 6.67857 +4 *4082:27 *37664:B 12.7107 +5 *4082:27 *5486:DIODE 9.3 +*END + +*D_NET *4083 0.0223877 +*CONN +*I *41099:A I *D sky130_fd_sc_hd__buf_4 +*I *8741:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41100:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41099:A 0 +2 *8741:DIODE 0.000533605 +3 *41100:X 0.00188623 +4 *4083:131 0.00138679 +5 *4083:124 0.00184497 +6 *4083:112 0.00347523 +7 *4083:83 0.00329989 +8 *4083:63 0.00256126 +9 *4083:52 0.00280583 +10 *4083:39 0.00188435 +11 *4083:11 0.00270956 +12 *8741:DIODE *6384:DIODE 0 +13 *8741:DIODE *4085:22 0 +14 *8741:DIODE *4092:19 0 +15 *4083:11 *41135:A 0 +16 *4083:11 *4128:10 0 +17 *4083:52 *8221:DIODE 0 +18 *4083:52 *8863:DIODE 0 +19 *4083:52 *4303:112 0 +20 *4083:63 *8031:DIODE 0 +21 *4083:63 *8221:DIODE 0 +22 *4083:63 *8223:DIODE 0 +23 *4083:63 *4250:46 0 +24 *4083:63 *4295:26 0 +25 *4083:63 *4301:56 0 +26 *4083:63 *4303:112 0 +27 *4083:63 *5192:15 0 +28 *4083:112 *4298:55 0 +29 *4083:112 *4324:70 0 +30 *4083:124 *4230:53 0 +31 *4083:124 *4408:59 0 +32 *4083:124 *4408:78 0 +33 *4083:131 *4085:22 0 +34 *4083:131 *4119:70 0 +35 *5618:DIODE *4083:11 0 +36 *8081:DIODE *4083:63 0 +37 *8632:DIODE *4083:124 0 +38 *8644:DIODE *4083:11 0 +39 *8731:DIODE *4083:39 0 +40 *8739:DIODE *4083:124 0 +41 *37403:A *4083:131 0 +42 *37632:B *4083:39 0 +43 *37650:B *4083:112 0 +44 *37782:B *4083:39 0 +45 *38078:C *4083:112 0 +46 *40311:A *4083:124 0 +47 *40324:A *4083:52 0 +48 *40361:A *4083:39 0 +49 *40990:A *4083:11 0 +50 *41008:A *4083:11 0 +51 *41080:A *4083:11 0 +52 *41093:A *4083:11 0 +53 *41147:A *4083:11 0 +54 *1532:17 *4083:131 0 +55 *1990:16 *4083:11 0 +56 *2102:16 *4083:11 0 +57 *2128:28 *4083:11 0 +58 *2135:56 *4083:11 0 +59 *2232:74 *4083:39 0 +60 *2420:26 *8741:DIODE 0 +61 *2671:57 *4083:131 0 +62 *3133:21 *4083:63 0 +63 *3133:40 *4083:52 0 +64 *3153:24 *4083:63 0 +65 *3173:31 *4083:63 0 +66 *3173:51 *4083:39 0 +67 *3173:51 *4083:52 0 +68 *3173:51 *4083:63 0 +69 *3173:70 *4083:11 0 +70 *3173:70 *4083:39 0 +71 *3202:75 *4083:83 0 +72 *3206:25 *4083:39 0 +73 *3219:12 *4083:63 0 +74 *3219:12 *4083:83 0 +75 *3223:18 *4083:11 0 +76 *3236:38 *4083:63 0 +77 *3236:86 *4083:39 0 +78 *3243:27 *4083:52 0 +79 *3247:17 *4083:11 0 +80 *3255:11 *4083:63 0 +81 *3317:16 *4083:52 0 +82 *3317:29 *4083:63 0 +83 *3320:156 *4083:112 0 +84 *3322:146 *4083:112 0 +85 *3438:44 *4083:39 0 +86 *3446:31 *4083:63 0 +87 *3530:79 *4083:83 0 +88 *3702:100 *8741:DIODE 0 +89 *3702:100 *4083:131 0 +90 *3943:51 *4083:124 0 +91 *3977:47 *4083:124 0 +92 *3977:74 *4083:124 0 +93 *3980:26 *4083:11 0 +94 *3980:26 *4083:39 0 +95 *3980:80 *4083:124 0 +96 *3989:67 *4083:112 0 +97 *3991:13 *4083:131 0 +98 *3992:38 *4083:112 0 +99 *3998:45 *4083:112 0 +100 *4006:45 *4083:131 0 +101 *4020:17 *4083:39 0 +102 *4020:17 *4083:52 0 +103 *4020:64 *4083:112 0 +104 *4029:29 *4083:63 0 +105 *4029:49 *4083:83 0 +106 *4032:13 *4083:11 0 +107 *4032:60 *4083:52 0 +108 *4042:37 *4083:112 0 +109 *4046:12 *4083:11 0 +110 *4046:52 *4083:63 0 +111 *4046:76 *4083:83 0 +112 *4061:146 *8741:DIODE 0 +*RES +1 *41100:X *4083:11 48.6036 +2 *4083:11 *4083:39 35.9107 +3 *4083:39 *4083:52 31.4821 +4 *4083:52 *4083:63 45.7321 +5 *4083:63 *4083:83 49.3571 +6 *4083:83 *4083:112 40.5115 +7 *4083:112 *4083:124 38.8036 +8 *4083:124 *4083:131 27.0893 +9 *4083:131 *8741:DIODE 39.1214 +10 *4083:131 *41099:A 9.3 +*END + +*D_NET *4084 0.019181 +*CONN +*I *6438:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38304:B I *D sky130_fd_sc_hd__and2_1 +*I *41101:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *6438:DIODE 0 +2 *38304:B 0.00037458 +3 *41101:X 0.00193778 +4 *4084:65 0.000892234 +5 *4084:62 0.00238509 +6 *4084:43 0.00380372 +7 *4084:35 0.00319812 +8 *4084:31 0.00295676 +9 *4084:11 0.0036327 +10 *38304:B *4087:49 0 +11 *4084:31 *8875:DIODE 0 +12 *4084:35 *8929:DIODE 0 +13 *4084:35 *41323:A 0 +14 *4084:43 *8929:DIODE 0 +15 *4084:62 *6030:DIODE 0 +16 *4084:62 *4313:24 0 +17 *4084:62 *4354:27 0 +18 *4084:62 *4895:19 0 +19 *4084:65 *4087:49 0 +20 *5428:DIODE *4084:11 0 +21 *5692:DIODE *4084:35 0 +22 *6065:DIODE *4084:31 0 +23 *8030:DIODE *4084:11 0 +24 *8392:DIODE *4084:11 0 +25 *8422:DIODE *4084:35 0 +26 *8463:DIODE *4084:62 0 +27 *8491:DIODE *4084:35 0 +28 *8496:DIODE *4084:35 0 +29 *8496:DIODE *4084:43 0 +30 *8631:DIODE *4084:11 0 +31 *8706:DIODE *4084:35 0 +32 *37842:A *4084:43 0 +33 *37852:A *4084:43 0 +34 *38058:A_N *4084:31 0 +35 *39571:A *4084:35 0 +36 *39632:A *4084:31 0 +37 *40148:A *4084:11 0 +38 *40725:A *4084:35 0 +39 *1207:17 *4084:11 0 +40 *1207:43 *4084:31 0 +41 *1207:43 *4084:35 0 +42 *1207:74 *4084:35 0 +43 *1208:19 *4084:11 0 +44 *1210:89 *4084:11 0 +45 *1327:19 *4084:11 0 +46 *1696:16 *4084:65 0 +47 *1824:69 *4084:35 0 +48 *2370:66 *4084:43 0 +49 *2436:10 *4084:31 0 +50 *2929:44 *4084:43 0 +51 *2987:101 *4084:31 0 +52 *2987:101 *4084:35 0 +53 *3007:12 *4084:35 0 +54 *3008:54 *4084:31 0 +55 *3008:54 *4084:35 0 +56 *3109:48 *4084:43 0 +57 *3274:91 *4084:31 0 +58 *3479:61 *4084:65 0 +59 *3479:73 *38304:B 0 +60 *3479:73 *4084:65 0 +61 *3487:73 *38304:B 0 +62 *3667:76 *4084:11 0 +63 *3725:55 *4084:62 0 +64 *3750:101 *4084:35 0 +65 *3755:11 *4084:43 0 +66 *3755:13 *4084:62 0 +67 *3756:43 *4084:35 0 +68 *3776:50 *38304:B 0 +69 *3780:49 *4084:31 0 +70 *3820:101 *4084:11 0 +71 *3822:111 *4084:11 0 +72 *3941:19 *4084:11 0 +73 *3941:19 *4084:31 0 +74 *3942:151 *4084:11 0 +75 *3987:19 *4084:31 0 +76 *4033:44 *4084:35 0 +77 *4034:95 *4084:31 0 +*RES +1 *41101:X *4084:11 49.8357 +2 *4084:11 *4084:31 44.8036 +3 *4084:31 *4084:35 26.4286 +4 *4084:35 *4084:43 40.5357 +5 *4084:43 *4084:62 48.7458 +6 *4084:62 *4084:65 15.3036 +7 *4084:65 *38304:B 35.4964 +8 *4084:65 *6438:DIODE 9.3 +*END + +*D_NET *4085 0.0213772 +*CONN +*I *8743:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41101:A I *D sky130_fd_sc_hd__buf_6 +*I *41102:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8743:DIODE 0.000682144 +2 *41101:A 0 +3 *41102:X 0.00083338 +4 *4085:70 0.00245172 +5 *4085:66 0.00257757 +6 *4085:58 0.00261524 +7 *4085:40 0.00343608 +8 *4085:22 0.00350674 +9 *4085:11 0.00315942 +10 *4085:7 0.00211489 +11 *4085:7 *4134:61 0 +12 *4085:22 *4092:19 0 +13 *4085:22 *4095:40 0 +14 *4085:22 *4095:58 0 +15 *4085:22 *4119:78 0 +16 *4085:22 *4135:108 0 +17 *4085:22 *4324:100 0 +18 *4085:40 *8764:DIODE 0 +19 *4085:40 *4092:38 0 +20 *4085:40 *4095:58 0 +21 *4085:40 *4095:82 0 +22 *4085:40 *4134:97 0 +23 *4085:40 *4245:15 0 +24 *4085:40 *4358:120 0 +25 *4085:58 *4092:58 0 +26 *4085:58 *4229:28 0 +27 *4085:58 *4358:135 0 +28 *4085:66 *4106:21 0 +29 *4085:70 *4335:13 0 +30 *8010:DIODE *4085:40 0 +31 *8045:DIODE *4085:22 0 +32 *8741:DIODE *4085:22 0 +33 *37774:B *4085:11 0 +34 *37774:B *4085:22 0 +35 *38234:A *4085:58 0 +36 *40127:A *4085:22 0 +37 *40797:A *4085:58 0 +38 *40817:A *8743:DIODE 0 +39 *1419:23 *4085:22 0 +40 *2432:32 *8743:DIODE 0 +41 *2987:16 *4085:40 0 +42 *3080:30 *4085:40 0 +43 *3110:25 *4085:70 0 +44 *3166:17 *4085:58 0 +45 *3305:83 *4085:22 0 +46 *3319:103 *4085:58 0 +47 *3354:33 *4085:58 0 +48 *3530:89 *4085:7 0 +49 *3530:89 *4085:11 0 +50 *3530:97 *4085:11 0 +51 *3702:76 *4085:11 0 +52 *3702:100 *4085:22 0 +53 *3726:51 *4085:40 0 +54 *3726:114 *8743:DIODE 0 +55 *3747:97 *4085:58 0 +56 *3796:38 *4085:22 0 +57 *3991:13 *4085:22 0 +58 *3991:77 *4085:58 0 +59 *4000:22 *4085:58 0 +60 *4000:22 *4085:66 0 +61 *4022:110 *4085:40 0 +62 *4028:29 *4085:66 0 +63 *4034:24 *4085:22 0 +64 *4052:53 *4085:70 0 +65 *4054:11 *4085:58 0 +66 *4061:146 *4085:22 0 +67 *4083:131 *4085:22 0 +*RES +1 *41102:X *4085:7 26.6929 +2 *4085:7 *4085:11 26.8393 +3 *4085:11 *4085:22 48.5 +4 *4085:22 *4085:40 47.9107 +5 *4085:40 *4085:58 46.8393 +6 *4085:58 *4085:66 30.6429 +7 *4085:66 *4085:70 42.1071 +8 *4085:70 *41101:A 13.8 +9 *4085:70 *8743:DIODE 29.4429 +*END + +*D_NET *4086 0.0220033 +*CONN +*I *41102:A I *D sky130_fd_sc_hd__buf_4 +*I *8744:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41103:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41102:A 7.62342e-05 +2 *8744:DIODE 1.21519e-05 +3 *41103:X 0.00147334 +4 *4086:57 0.00251756 +5 *4086:38 0.00943992 +6 *4086:20 0.00848408 +7 *4086:20 *4222:22 0 +8 *4086:20 *4273:13 0 +9 *4086:38 *4231:43 0 +10 *4086:38 *4292:22 0 +11 *4086:38 *4376:49 0 +12 *4086:57 *4096:44 0 +13 *4086:57 *4105:53 0 +14 *1966:31 *4086:20 0 +15 *1999:29 *4086:20 0 +16 *2050:30 *4086:20 0 +17 *2056:17 *4086:20 0 +18 *2072:10 *4086:20 0 +19 *2113:13 *4086:20 0 +20 *2145:24 *4086:20 0 +21 *2229:18 *4086:38 0 +22 *2240:25 *4086:20 0 +23 *3358:23 *4086:38 0 +24 *3438:57 *4086:38 0 +25 *3727:23 *4086:20 0 +26 *3989:67 *4086:57 0 +27 *3992:38 *4086:38 0 +28 *3992:38 *4086:57 0 +29 *4020:64 *4086:57 0 +30 *4042:14 *4086:20 0 +31 *4042:28 *4086:38 0 +32 *4042:28 *4086:57 0 +33 *4042:37 *4086:57 0 +34 *4064:24 *4086:38 0 +35 *4064:40 *4086:38 0 +*RES +1 *41103:X *4086:20 48.6012 +2 *4086:20 *4086:38 47.2749 +3 *4086:38 *4086:57 36.2136 +4 *4086:57 *8744:DIODE 14.0768 +5 *4086:57 *41102:A 15.5946 +*END + +*D_NET *4087 0.0210386 +*CONN +*I *38302:B I *D sky130_fd_sc_hd__and2_1 +*I *6435:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41104:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *38302:B 0.000180109 +2 *6435:DIODE 0 +3 *41104:X 0.00102063 +4 *4087:93 0.000980626 +5 *4087:78 0.00286802 +6 *4087:49 0.00466967 +7 *4087:47 0.00414636 +8 *4087:40 0.00384835 +9 *4087:26 0.0033248 +10 *4087:26 *41323:A 0 +11 *4087:26 *4313:14 0 +12 *4087:26 *4330:11 0 +13 *4087:40 *4313:24 0 +14 *4087:40 *4330:11 0 +15 *4087:40 *4354:27 0 +16 *4087:47 *4895:19 0 +17 *4087:78 *38042:B 0 +18 *4087:78 *4339:78 0 +19 *4087:78 *4611:6 0 +20 *4087:93 *4330:62 0 +21 *6487:DIODE *4087:47 0 +22 *38304:B *4087:49 0 +23 *39630:A *4087:26 0 +24 *40180:A *4087:49 0 +25 *1319:16 *4087:26 0 +26 *1334:14 *4087:78 0 +27 *1414:16 *4087:40 0 +28 *1551:19 *4087:49 0 +29 *1696:16 *4087:47 0 +30 *1696:16 *4087:49 0 +31 *2382:56 *4087:40 0 +32 *2417:43 *4087:40 0 +33 *2444:48 *4087:47 0 +34 *2813:46 *4087:78 0 +35 *2989:36 *4087:78 0 +36 *3073:29 *4087:26 0 +37 *3073:37 *4087:26 0 +38 *3285:112 *4087:26 0 +39 *3297:106 *4087:93 0 +40 *3406:130 *38302:B 0 +41 *3479:73 *4087:49 0 +42 *3479:82 *4087:49 0 +43 *3544:14 *4087:78 0 +44 *3661:32 *4087:78 0 +45 *3666:45 *4087:47 0 +46 *3666:60 *4087:47 0 +47 *3696:121 *4087:78 0 +48 *3739:57 *4087:78 0 +49 *3755:13 *4087:78 0 +50 *3756:37 *4087:26 0 +51 *3758:53 *4087:26 0 +52 *3761:69 *4087:93 0 +53 *3776:50 *4087:49 0 +54 *3978:99 *38302:B 0 +55 *3978:99 *4087:93 0 +56 *3978:120 *38302:B 0 +57 *3979:52 *4087:40 0 +58 *4065:57 *38302:B 0 +59 *4065:57 *4087:93 0 +60 *4074:61 *4087:26 0 +61 *4080:17 *4087:47 0 +62 *4080:17 *4087:49 0 +63 *4084:65 *4087:49 0 +*RES +1 *41104:X *4087:26 48.0841 +2 *4087:26 *4087:40 40.5258 +3 *4087:40 *4087:47 32.3214 +4 *4087:47 *4087:49 54.3393 +5 *4087:49 *4087:78 49.6403 +6 *4087:78 *4087:93 31.4732 +7 *4087:93 *6435:DIODE 9.3 +8 *4087:93 *38302:B 13.1214 +*END + +*D_NET *4088 0.0229534 +*CONN +*I *41104:A I *D sky130_fd_sc_hd__buf_6 +*I *8746:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41105:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *41104:A 0.000248587 +2 *8746:DIODE 0 +3 *41105:X 0.000241124 +4 *4088:36 0.00134704 +5 *4088:28 0.00194201 +6 *4088:27 0.00963995 +7 *4088:18 0.00953469 +8 *4088:18 *4267:25 0 +9 *4088:27 *4111:16 0 +10 *4088:27 *4215:74 0 +11 *4088:27 *4220:20 0 +12 *4088:27 *4225:35 0 +13 *4088:27 *4225:50 0 +14 *4088:27 *4254:19 0 +15 *4088:27 *4267:25 0 +16 *4088:27 *4289:36 0 +17 *4088:36 *8932:DIODE 0 +18 *4088:36 *4335:13 0 +19 *1319:16 *41104:A 0 +20 *1437:30 *4088:18 0 +21 *2369:53 *4088:18 0 +22 *2374:76 *4088:27 0 +23 *2429:34 *4088:27 0 +24 *2929:51 *4088:36 0 +25 *3025:46 *4088:27 0 +26 *3073:37 *41104:A 0 +27 *3084:62 *4088:18 0 +28 *3098:43 *4088:36 0 +29 *3163:40 *4088:27 0 +30 *3313:46 *4088:28 0 +31 *3446:89 *4088:27 0 +32 *3487:20 *4088:28 0 +33 *3675:53 *4088:18 0 +34 *3726:28 *4088:27 0 +35 *3937:33 *4088:27 0 +36 *3988:11 *4088:27 0 +37 *3997:79 *4088:27 0 +38 *4058:18 *4088:27 0 +39 *4058:38 *4088:27 0 +40 *4081:39 *4088:27 0 +*RES +1 *41105:X *4088:18 25.7834 +2 *4088:18 *4088:27 45.5292 +3 *4088:27 *4088:28 7.86607 +4 *4088:28 *4088:36 42.0179 +5 *4088:36 *8746:DIODE 9.3 +6 *4088:28 *41104:A 19.425 +*END + +*D_NET *4089 0.0291198 +*CONN +*I *8747:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41105:A I *D sky130_fd_sc_hd__buf_4 +*I *41106:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8747:DIODE 0 +2 *41105:A 0.000281656 +3 *41106:X 0.00581458 +4 *4089:44 0.000606669 +5 *4089:41 0.00221115 +6 *4089:37 0.00813864 +7 *4089:17 0.0120671 +8 *4089:17 *4125:15 0 +9 *1000:14 *4089:17 0 +10 *1000:14 *4089:37 0 +11 *1006:10 *4089:17 0 +12 *1006:10 *4089:37 0 +13 *1019:8 *4089:17 0 +14 *1019:20 *4089:37 0 +15 *1231:37 *4089:41 0 +16 *1286:21 *4089:37 0 +17 *1446:29 *4089:41 0 +18 *1536:23 *4089:41 0 +19 *2112:31 *4089:17 0 +20 *2133:56 *4089:17 0 +21 *2270:55 *4089:17 0 +22 *2420:76 *4089:17 0 +23 *2421:63 *4089:41 0 +24 *2840:34 *4089:41 0 +25 *2853:38 *4089:17 0 +26 *2931:8 *4089:41 0 +27 *3084:62 *41105:A 0 +28 *3345:78 *4089:17 0 +29 *3445:44 *4089:37 0 +30 *3693:36 *4089:41 0 +31 *3795:60 *4089:37 0 +32 *3822:38 *4089:41 0 +33 *3991:13 *41105:A 0 +34 *3992:14 *4089:17 0 +35 *4046:12 *4089:17 0 +*RES +1 *41106:X *4089:17 49.731 +2 *4089:17 *4089:37 44.7361 +3 *4089:37 *4089:41 47.0446 +4 *4089:41 *4089:44 11.9107 +5 *4089:44 *41105:A 20.2286 +6 *4089:44 *8747:DIODE 13.8 +*END + +*D_NET *4090 0.022125 +*CONN +*I *41106:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8748:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41107:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41106:A 0.000191519 +2 *8748:DIODE 0.000598254 +3 *41107:X 0.00561122 +4 *4090:49 0.00545131 +5 *4090:21 0.0102728 +6 *8748:DIODE *4485:41 0 +7 *41106:A *4298:20 0 +8 *4090:21 *4290:20 0 +9 *4090:49 *4277:33 0 +10 *4090:49 *4298:20 0 +11 *41080:A *8748:DIODE 0 +12 *1289:20 *4090:21 0 +13 *1296:15 *4090:49 0 +14 *1956:27 *4090:21 0 +15 *2006:25 *4090:21 0 +16 *2091:19 *4090:21 0 +17 *2093:22 *4090:49 0 +18 *2099:39 *4090:21 0 +19 *2112:31 *8748:DIODE 0 +20 *2117:26 *41106:A 0 +21 *2117:26 *4090:49 0 +22 *2119:11 *4090:21 0 +23 *2119:11 *4090:49 0 +24 *2123:24 *4090:49 0 +25 *2125:33 *4090:49 0 +26 *2132:42 *4090:49 0 +27 *2136:45 *4090:49 0 +28 *3143:25 *4090:49 0 +29 *3156:47 *4090:21 0 +30 *3255:27 *4090:49 0 +31 *3258:27 *8748:DIODE 0 +32 *3258:27 *4090:49 0 +33 *3269:19 *4090:21 0 +34 *3269:19 *4090:49 0 +35 *3269:39 *4090:21 0 +36 *3727:23 *4090:49 0 +37 *3907:21 *4090:21 0 +38 *3907:21 *4090:49 0 +39 *3907:36 *4090:21 0 +40 *3919:19 *4090:21 0 +41 *4025:20 *4090:49 0 +42 *4047:14 *4090:21 0 +*RES +1 *41107:X *4090:21 46.2674 +2 *4090:21 *4090:49 25.6097 +3 *4090:49 *8748:DIODE 30.6832 +4 *4090:49 *41106:A 19.1805 +*END + +*D_NET *4091 0.0214136 +*CONN +*I *38300:B I *D sky130_fd_sc_hd__and2_1 +*I *6432:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41108:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38300:B 0.000141606 +2 *6432:DIODE 7.23409e-05 +3 *41108:X 0.0049554 +4 *4091:71 0.00108469 +5 *4091:64 0.00232679 +6 *4091:55 0.0046667 +7 *4091:26 0.00816606 +8 *4091:26 *4266:18 0 +9 *4091:55 *4266:18 0 +10 *37864:B *4091:26 0 +11 *40786:A *4091:71 0 +12 *358:31 *4091:55 0 +13 *1319:16 *4091:26 0 +14 *1326:16 *4091:26 0 +15 *1336:38 *4091:55 0 +16 *1448:38 *38300:B 0 +17 *1448:38 *4091:71 0 +18 *2370:66 *4091:55 0 +19 *2385:41 *4091:55 0 +20 *2391:76 *4091:26 0 +21 *2402:8 *4091:64 0 +22 *2444:48 *4091:64 0 +23 *2822:55 *4091:26 0 +24 *2822:145 *4091:55 0 +25 *2824:63 *4091:55 0 +26 *2981:79 *4091:26 0 +27 *2992:82 *4091:26 0 +28 *3050:10 *4091:26 0 +29 *3283:110 *4091:55 0 +30 *3306:96 *4091:55 0 +31 *3420:55 *4091:64 0 +32 *3522:35 *4091:26 0 +33 *3931:9 *38300:B 0 +34 *3931:9 *4091:64 0 +35 *3931:9 *4091:71 0 +36 *3978:50 *4091:64 0 +37 *3996:20 *4091:26 0 +38 *3996:20 *4091:55 0 +39 *4036:11 *4091:55 0 +40 *4037:74 *4091:26 0 +41 *4037:95 *4091:26 0 +42 *4051:24 *4091:26 0 +43 *4051:34 *4091:26 0 +44 *4051:46 *4091:26 0 +45 *4077:19 *4091:55 0 +*RES +1 *41108:X *4091:26 48.9733 +2 *4091:26 *4091:55 38.8398 +3 *4091:55 *4091:64 45.4732 +4 *4091:64 *4091:71 27.2321 +5 *4091:71 *6432:DIODE 19.9429 +6 *4091:71 *38300:B 12.3179 +*END + +*D_NET *4092 0.0218922 +*CONN +*I *8749:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41108:A I *D sky130_fd_sc_hd__buf_2 +*I *41109:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8749:DIODE 0.000173374 +2 *41108:A 0 +3 *41109:X 0.000669466 +4 *4092:83 0.00156584 +5 *4092:69 0.00234268 +6 *4092:58 0.00264443 +7 *4092:44 0.00268705 +8 *4092:38 0.00242075 +9 *4092:19 0.00394988 +10 *4092:17 0.00364561 +11 *4092:10 0.00179312 +12 *8749:DIODE *4097:17 0 +13 *4092:10 *38060:A_N 0 +14 *4092:10 *41124:A 0 +15 *4092:17 *4119:46 0 +16 *4092:19 *6384:DIODE 0 +17 *4092:19 *38040:B 0 +18 *4092:19 *4119:46 0 +19 *4092:19 *4119:70 0 +20 *4092:38 *4095:58 0 +21 *4092:44 *4230:90 0 +22 *4092:58 *4107:101 0 +23 *4092:58 *4225:50 0 +24 *4092:58 *4248:45 0 +25 *4092:58 *4289:36 0 +26 *4092:69 *4357:21 0 +27 *4092:83 *8919:DIODE 0 +28 *4092:83 *4320:28 0 +29 *5622:DIODE *4092:19 0 +30 *5722:DIODE *4092:83 0 +31 *6006:DIODE *4092:83 0 +32 *8000:DIODE *4092:19 0 +33 *8479:DIODE *4092:83 0 +34 *8518:DIODE *4092:83 0 +35 *8673:DIODE *4092:19 0 +36 *8710:DIODE *4092:19 0 +37 *8741:DIODE *4092:19 0 +38 *8750:DIODE *4092:10 0 +39 *37774:A *4092:19 0 +40 *37774:B *4092:19 0 +41 *37776:B *4092:38 0 +42 *38024:A_N *8749:DIODE 0 +43 *40284:A *4092:83 0 +44 *1225:10 *4092:17 0 +45 *1228:49 *4092:38 0 +46 *1286:11 *4092:19 0 +47 *1442:46 *4092:83 0 +48 *2384:77 *8749:DIODE 0 +49 *2384:77 *4092:83 0 +50 *2822:55 *8749:DIODE 0 +51 *2822:55 *4092:83 0 +52 *3050:10 *8749:DIODE 0 +53 *3083:21 *4092:19 0 +54 *3166:17 *4092:58 0 +55 *3263:55 *4092:38 0 +56 *3305:71 *4092:19 0 +57 *3322:146 *4092:19 0 +58 *3436:80 *4092:44 0 +59 *3650:59 *4092:83 0 +60 *3672:63 *4092:83 0 +61 *3702:31 *4092:10 0 +62 *3702:64 *4092:17 0 +63 *3702:76 *4092:17 0 +64 *3702:76 *4092:19 0 +65 *3702:100 *4092:19 0 +66 *3715:25 *4092:58 0 +67 *3726:51 *4092:38 0 +68 *3729:14 *4092:83 0 +69 *3811:58 *4092:83 0 +70 *3932:11 *4092:44 0 +71 *3955:41 *4092:69 0 +72 *3959:27 *4092:58 0 +73 *3991:68 *4092:38 0 +74 *3991:87 *4092:69 0 +75 *3997:34 *4092:38 0 +76 *4000:22 *4092:58 0 +77 *4005:23 *4092:69 0 +78 *4006:36 *4092:10 0 +79 *4028:29 *4092:58 0 +80 *4034:24 *4092:19 0 +81 *4037:42 *4092:58 0 +82 *4054:11 *4092:58 0 +83 *4061:146 *4092:19 0 +84 *4066:31 *4092:44 0 +85 *4070:20 *4092:19 0 +86 *4085:22 *4092:19 0 +87 *4085:40 *4092:38 0 +88 *4085:58 *4092:58 0 +*RES +1 *41109:X *4092:10 32.4786 +2 *4092:10 *4092:17 23.6964 +3 *4092:17 *4092:19 52.6964 +4 *4092:19 *4092:38 48 +5 *4092:38 *4092:44 30.125 +6 *4092:44 *4092:58 49.4286 +7 *4092:58 *4092:69 37.9643 +8 *4092:69 *4092:83 44.1607 +9 *4092:83 *41108:A 9.3 +10 *4092:83 *8749:DIODE 22.1393 +*END + +*D_NET *4093 0.00358403 +*CONN +*I *37666:B I *D sky130_fd_sc_hd__and2_1 +*I *38588:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *37666:B 0.00149083 +2 *38588:X 0.00030118 +3 *4093:7 0.00179201 +4 *37666:B *4230:74 0 +5 *37666:B *4408:108 0 +6 *4093:7 *4517:53 0 +7 *2428:17 *37666:B 0 +8 *2429:34 *37666:B 0 +9 *2549:45 *37666:B 0 +10 *3174:40 *37666:B 0 +11 *3321:90 *37666:B 0 +12 *3440:59 *37666:B 0 +13 *3517:65 *37666:B 0 +14 *3520:20 *37666:B 0 +15 *3973:49 *37666:B 0 +16 *4020:113 *37666:B 0 +*RES +1 *38588:X *4093:7 20.0857 +2 *4093:7 *37666:B 47.55 +*END + +*D_NET *4094 0.0207253 +*CONN +*I *6429:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38298:B I *D sky130_fd_sc_hd__and2_1 +*I *41110:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *6429:DIODE 2.56688e-05 +2 *38298:B 8.56332e-05 +3 *41110:X 0.000406517 +4 *4094:60 0.00523753 +5 *4094:40 0.00667043 +6 *4094:9 0.00471858 +7 *4094:8 0.00358089 +8 *4094:9 *4357:21 0 +9 *4094:9 *4357:45 0 +10 *4094:40 *6414:DIODE 0 +11 *4094:40 *4254:19 0 +12 *4094:40 *4357:45 0 +13 *4094:60 *4357:45 0 +14 *8682:DIODE *4094:60 0 +15 *38286:A *4094:40 0 +16 *1564:16 *4094:60 0 +17 *1571:37 *4094:60 0 +18 *2374:52 *4094:9 0 +19 *2442:38 *4094:9 0 +20 *2987:130 *4094:40 0 +21 *3025:68 *4094:40 0 +22 *3163:47 *4094:9 0 +23 *3292:48 *4094:60 0 +24 *3301:68 *4094:60 0 +25 *3481:22 *4094:60 0 +26 *3503:37 *4094:60 0 +27 *3700:65 *4094:60 0 +28 *3743:32 *4094:60 0 +29 *3746:14 *4094:40 0 +30 *3746:19 *4094:40 0 +31 *3959:43 *4094:9 0 +32 *3976:60 *4094:40 0 +33 *3976:60 *4094:60 0 +34 *3978:33 *4094:60 0 +35 *3978:67 *4094:60 0 +36 *3987:43 *4094:60 0 +37 *4011:62 *4094:40 0 +38 *4054:11 *4094:9 0 +39 *4054:22 *4094:9 0 +40 *4066:45 *4094:40 0 +*RES +1 *41110:X *4094:8 27.5321 +2 *4094:8 *4094:9 66.25 +3 *4094:9 *4094:40 49.7974 +4 *4094:40 *4094:60 44.6656 +5 *4094:60 *38298:B 15.7464 +6 *4094:60 *6429:DIODE 14.3357 +*END + +*D_NET *4095 0.0226328 +*CONN +*I *41110:A I *D sky130_fd_sc_hd__buf_6 +*I *8751:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41111:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *41110:A 0 +2 *8751:DIODE 0.000620138 +3 *41111:X 0.000934595 +4 *4095:93 0.00125136 +5 *4095:89 0.00245606 +6 *4095:85 0.00345373 +7 *4095:82 0.0028956 +8 *4095:58 0.00284633 +9 *4095:40 0.00283536 +10 *4095:24 0.00283039 +11 *4095:18 0.00250925 +12 *4095:58 *8764:DIODE 0 +13 *4095:82 *38234:B 0 +14 *4095:82 *4134:97 0 +15 *4095:82 *4263:15 0 +16 *4095:85 *4263:17 0 +17 *4095:89 *4220:23 0 +18 *4095:93 *4225:59 0 +19 *5750:DIODE *8751:DIODE 0 +20 *8470:DIODE *8751:DIODE 0 +21 *38234:A *4095:82 0 +22 *40127:A *4095:58 0 +23 *40795:A *4095:18 0 +24 *41062:A *4095:18 0 +25 *1228:49 *4095:58 0 +26 *1229:65 *4095:40 0 +27 *1436:34 *8751:DIODE 0 +28 *1437:59 *4095:82 0 +29 *1438:30 *4095:18 0 +30 *1532:17 *4095:24 0 +31 *2374:52 *4095:93 0 +32 *2826:11 *8751:DIODE 0 +33 *3083:21 *4095:40 0 +34 *3090:44 *4095:93 0 +35 *3163:55 *4095:89 0 +36 *3218:60 *8751:DIODE 0 +37 *3317:119 *4095:40 0 +38 *3518:122 *4095:18 0 +39 *3546:67 *4095:40 0 +40 *3685:61 *4095:93 0 +41 *3702:64 *4095:18 0 +42 *3726:51 *4095:82 0 +43 *3726:61 *4095:82 0 +44 *3756:25 *4095:93 0 +45 *3937:33 *4095:85 0 +46 *3937:33 *4095:89 0 +47 *3977:47 *4095:18 0 +48 *3977:47 *4095:24 0 +49 *3991:108 *4095:93 0 +50 *3997:34 *4095:82 0 +51 *3997:46 *4095:82 0 +52 *3997:49 *4095:85 0 +53 *3997:60 *4095:89 0 +54 *4005:17 *4095:85 0 +55 *4006:36 *4095:18 0 +56 *4006:44 *4095:18 0 +57 *4006:45 *4095:18 0 +58 *4006:45 *4095:24 0 +59 *4011:27 *4095:89 0 +60 *4011:31 *4095:89 0 +61 *4022:31 *4095:18 0 +62 *4022:43 *4095:24 0 +63 *4022:110 *4095:58 0 +64 *4034:24 *4095:58 0 +65 *4042:37 *4095:18 0 +66 *4061:146 *4095:40 0 +67 *4070:43 *4095:40 0 +68 *4085:22 *4095:40 0 +69 *4085:22 *4095:58 0 +70 *4085:40 *4095:58 0 +71 *4085:40 *4095:82 0 +72 *4092:38 *4095:58 0 +*RES +1 *41111:X *4095:18 38.2286 +2 *4095:18 *4095:24 42.0714 +3 *4095:24 *4095:40 45.1071 +4 *4095:40 *4095:58 42.2143 +5 *4095:58 *4095:82 44.9821 +6 *4095:82 *4095:85 34.0268 +7 *4095:85 *4095:89 42.6161 +8 *4095:89 *4095:93 18.6429 +9 *4095:93 *8751:DIODE 32.3893 +10 *4095:93 *41110:A 9.3 +*END + +*D_NET *4096 0.0222626 +*CONN +*I *41111:A I *D sky130_fd_sc_hd__buf_4 +*I *8752:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41112:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41111:A 0.000144601 +2 *8752:DIODE 0 +3 *41112:X 0.000635248 +4 *4096:44 0.00452498 +5 *4096:25 0.00631495 +6 *4096:22 0.00340678 +7 *4096:11 0.00403652 +8 *4096:10 0.00319956 +9 *4096:11 *4108:9 0 +10 *4096:22 *4262:15 0 +11 *4096:25 *4273:31 0 +12 *4096:44 *4110:57 0 +13 *4096:44 *4128:22 0 +14 *4096:44 *4291:36 0 +15 *4096:44 *4299:33 0 +16 *6380:DIODE *4096:44 0 +17 *41063:A *4096:10 0 +18 *1965:8 *4096:11 0 +19 *1972:20 *4096:11 0 +20 *1999:52 *4096:44 0 +21 *2073:80 *4096:44 0 +22 *2076:17 *4096:11 0 +23 *2076:23 *4096:11 0 +24 *2077:68 *4096:44 0 +25 *2106:32 *4096:44 0 +26 *2123:24 *4096:10 0 +27 *2129:13 *4096:10 0 +28 *2439:14 *4096:44 0 +29 *3157:5 *4096:22 0 +30 *3236:98 *4096:22 0 +31 *3247:29 *4096:10 0 +32 *3365:30 *4096:44 0 +33 *3702:64 *41111:A 0 +34 *3947:9 *4096:11 0 +35 *3947:9 *4096:25 0 +36 *3947:27 *4096:44 0 +37 *3992:38 *4096:44 0 +38 *4006:36 *41111:A 0 +39 *4009:38 *4096:44 0 +40 *4025:28 *4096:11 0 +41 *4042:28 *4096:44 0 +42 *4053:38 *4096:11 0 +43 *4053:41 *4096:25 0 +44 *4086:57 *4096:44 0 +*RES +1 *41112:X *4096:10 32.6214 +2 *4096:10 *4096:11 53.5179 +3 *4096:11 *4096:22 49.2857 +4 *4096:22 *4096:25 44.875 +5 *4096:25 *4096:44 45.9282 +6 *4096:44 *8752:DIODE 9.3 +7 *4096:44 *41111:A 12.3179 +*END + +*D_NET *4097 0.0228248 +*CONN +*I *38296:B I *D sky130_fd_sc_hd__and2_1 +*I *6426:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41113:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38296:B 0.000237195 +2 *6426:DIODE 0 +3 *41113:X 0.00142456 +4 *4097:106 0.00112792 +5 *4097:94 0.00319357 +6 *4097:60 0.00400574 +7 *4097:54 0.00294892 +8 *4097:44 0.00259086 +9 *4097:38 0.00187439 +10 *4097:29 0.00226334 +11 *4097:17 0.00315835 +12 *4097:17 *8843:DIODE 0 +13 *4097:17 *8882:DIODE 0 +14 *4097:17 *4102:16 0 +15 *4097:17 *4241:49 0 +16 *4097:17 *4267:87 0 +17 *4097:94 *4313:24 0 +18 *4097:94 *4351:33 0 +19 *4097:94 *4354:27 0 +20 *4097:106 *4339:35 0 +21 *8505:DIODE *4097:29 0 +22 *8749:DIODE *4097:17 0 +23 *37878:A *4097:29 0 +24 *40069:A *4097:29 0 +25 *1336:38 *4097:94 0 +26 *1418:25 *4097:44 0 +27 *1446:45 *4097:17 0 +28 *1540:10 *4097:17 0 +29 *1824:42 *4097:94 0 +30 *2368:40 *4097:60 0 +31 *2378:48 *4097:60 0 +32 *2384:46 *4097:54 0 +33 *2384:63 *4097:29 0 +34 *2384:77 *4097:17 0 +35 *2417:63 *4097:54 0 +36 *2430:23 *4097:94 0 +37 *2822:55 *4097:17 0 +38 *2839:23 *4097:60 0 +39 *2839:25 *4097:60 0 +40 *2926:21 *4097:54 0 +41 *2926:57 *4097:29 0 +42 *2929:21 *4097:94 0 +43 *2959:19 *4097:29 0 +44 *2981:79 *4097:17 0 +45 *3076:52 *4097:17 0 +46 *3283:110 *4097:60 0 +47 *3318:75 *4097:17 0 +48 *3647:40 *4097:29 0 +49 *3648:61 *4097:29 0 +50 *3663:16 *4097:94 0 +51 *3669:51 *4097:44 0 +52 *3725:80 *4097:94 0 +53 *3758:85 *4097:94 0 +54 *3810:9 *4097:94 0 +55 *4048:43 *4097:38 0 +56 *4048:52 *4097:54 0 +57 *4065:18 *38296:B 0 +58 *4069:13 *4097:94 0 +*RES +1 *41113:X *4097:17 46.6466 +2 *4097:17 *4097:29 49.8929 +3 *4097:29 *4097:38 24.9821 +4 *4097:38 *4097:44 37.25 +5 *4097:44 *4097:54 35.4286 +6 *4097:54 *4097:60 45.0893 +7 *4097:60 *4097:94 45.8357 +8 *4097:94 *4097:106 32.875 +9 *4097:106 *6426:DIODE 9.3 +10 *4097:106 *38296:B 23.5321 +*END + +*D_NET *4098 0.0214155 +*CONN +*I *41113:A I *D sky130_fd_sc_hd__buf_2 +*I *8754:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41114:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41113:A 2.26741e-05 +2 *8754:DIODE 8.56922e-05 +3 *41114:X 0.00182931 +4 *4098:59 0.00715958 +5 *4098:58 0.00877007 +6 *4098:42 0.00354817 +7 *4098:42 *4105:53 0 +8 *4098:42 *4113:37 0 +9 *4098:42 *4120:64 0 +10 *4098:42 *4129:41 0 +11 *4098:42 *4320:23 0 +12 *4098:42 *4358:51 0 +13 *4098:42 *4373:20 0 +14 *4098:42 *4374:21 0 +15 *4098:58 *4703:19 0 +16 *4098:58 *4703:26 0 +17 *4098:59 *4362:54 0 +18 *4098:59 *4377:90 0 +19 *40709:A *4098:58 0 +20 *41114:A *4098:42 0 +21 *1413:49 *4098:59 0 +22 *1557:30 *4098:42 0 +23 *1558:28 *4098:42 0 +24 *2259:75 *4098:42 0 +25 *2374:94 *4098:59 0 +26 *2948:16 *4098:58 0 +27 *2981:39 *4098:59 0 +28 *2987:33 *4098:59 0 +29 *2987:35 *4098:59 0 +30 *3083:44 *4098:59 0 +31 *3191:28 *4098:58 0 +32 *3317:183 *4098:59 0 +33 *3320:156 *4098:42 0 +34 *3526:67 *4098:42 0 +35 *3526:67 *4098:58 0 +36 *3648:11 *4098:58 0 +37 *3650:43 *4098:59 0 +38 *3669:14 *4098:59 0 +39 *3681:51 *4098:59 0 +40 *3687:55 *4098:59 0 +41 *3709:27 *4098:59 0 +42 *3714:42 *4098:59 0 +43 *3730:95 *4098:59 0 +44 *3740:17 *4098:59 0 +45 *3740:19 *4098:59 0 +46 *3741:65 *4098:59 0 +47 *3763:21 *4098:58 0 +48 *3790:49 *4098:59 0 +49 *3797:90 *4098:42 0 +50 *3956:50 *4098:58 0 +51 *4042:28 *4098:42 0 +52 *4055:31 *4098:59 0 +*RES +1 *41114:X *4098:42 49.1633 +2 *4098:42 *4098:58 44.1984 +3 *4098:58 *4098:59 147.161 +4 *4098:59 *8754:DIODE 20.2464 +5 *4098:59 *41113:A 9.83571 +*END + +*D_NET *4099 0.0214352 +*CONN +*I *6424:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38294:B I *D sky130_fd_sc_hd__and2_1 +*I *41115:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *6424:DIODE 0.00023906 +2 *38294:B 2.0535e-05 +3 *41115:X 0.00223194 +4 *4099:101 0.000736392 +5 *4099:99 0.00270207 +6 *4099:76 0.00299795 +7 *4099:60 0.00242936 +8 *4099:49 0.00319444 +9 *4099:38 0.00309465 +10 *4099:29 0.00378881 +11 *6424:DIODE *8936:DIODE 0 +12 *4099:29 *4109:48 0 +13 *4099:29 *4109:65 0 +14 *4099:29 *4218:43 0 +15 *4099:29 *4374:38 0 +16 *4099:49 *6390:DIODE 0 +17 *4099:60 *4171:80 0 +18 *4099:60 *4248:109 0 +19 *4099:60 *4267:87 0 +20 *4099:99 *4723:13 0 +21 *7609:DIODE *6424:DIODE 0 +22 *8479:DIODE *4099:60 0 +23 *8505:DIODE *4099:76 0 +24 *8529:DIODE *6424:DIODE 0 +25 *37742:B *4099:60 0 +26 *38024:A_N *4099:60 0 +27 *38270:A *4099:49 0 +28 *40129:A *4099:99 0 +29 *40476:A *4099:60 0 +30 *40594:A *4099:101 0 +31 *41010:A *4099:60 0 +32 *41095:A *4099:99 0 +33 *1210:89 *4099:76 0 +34 *1270:10 *4099:60 0 +35 *1271:36 *4099:38 0 +36 *1413:35 *4099:38 0 +37 *1439:46 *4099:29 0 +38 *1443:27 *4099:60 0 +39 *1534:20 *4099:49 0 +40 *2318:37 *4099:29 0 +41 *2367:24 *4099:29 0 +42 *2841:61 *4099:38 0 +43 *2929:64 *4099:60 0 +44 *2989:12 *4099:99 0 +45 *3078:14 *4099:49 0 +46 *3112:59 *4099:38 0 +47 *3316:98 *4099:29 0 +48 *3323:59 *4099:38 0 +49 *3526:106 *4099:29 0 +50 *3650:59 *4099:99 0 +51 *3650:75 *4099:99 0 +52 *3670:50 *4099:38 0 +53 *3670:68 *4099:49 0 +54 *3723:79 *4099:29 0 +55 *3726:114 *4099:60 0 +56 *3726:114 *4099:76 0 +57 *3733:78 *4099:38 0 +58 *3736:91 *4099:49 0 +59 *3752:16 *4099:38 0 +60 *3756:25 *4099:60 0 +61 *3762:16 *4099:60 0 +62 *3769:51 *4099:29 0 +63 *3772:102 *4099:60 0 +64 *3775:61 *4099:38 0 +65 *3820:89 *4099:60 0 +66 *3942:151 *4099:76 0 +67 *3984:137 *4099:60 0 +68 *3990:16 *6424:DIODE 0 +69 *3991:133 *4099:99 0 +70 *3997:79 *6424:DIODE 0 +71 *4040:11 *4099:99 0 +72 *4040:15 *4099:99 0 +73 *4051:34 *6424:DIODE 0 +74 *4051:34 *4099:99 0 +75 *4051:34 *4099:101 0 +*RES +1 *41115:X *4099:29 49.3924 +2 *4099:29 *4099:38 46.4911 +3 *4099:38 *4099:49 32.4643 +4 *4099:49 *4099:60 48.6071 +5 *4099:60 *4099:76 39.1607 +6 *4099:76 *4099:99 47.4286 +7 *4099:99 *4099:101 9.98214 +8 *4099:101 *38294:B 9.72857 +9 *4099:101 *6424:DIODE 23.4607 +*END + +*D_NET *4100 0.0209558 +*CONN +*I *8756:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41115:A I *D sky130_fd_sc_hd__buf_2 +*I *41116:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8756:DIODE 0.000163425 +2 *41115:A 0 +3 *41116:X 0 +4 *4100:54 0.00130301 +5 *4100:31 0.00342028 +6 *4100:13 0.00685231 +7 *4100:11 0.00689421 +8 *4100:4 0.0023226 +9 *4100:11 *4362:18 0 +10 *4100:11 *4369:16 0 +11 *4100:13 *4362:18 0 +12 *4100:13 *5127:30 0 +13 *4100:54 *4714:13 0 +14 *5688:DIODE *4100:11 0 +15 *8113:DIODE *4100:11 0 +16 *8539:DIODE *4100:11 0 +17 *8545:DIODE *4100:11 0 +18 *40077:A *4100:31 0 +19 *40654:A *4100:11 0 +20 *40837:A *4100:11 0 +21 *1231:37 *8756:DIODE 0 +22 *1231:37 *4100:54 0 +23 *1310:22 *4100:31 0 +24 *1408:58 *4100:54 0 +25 *1692:21 *4100:54 0 +26 *2217:80 *4100:11 0 +27 *2217:84 *4100:11 0 +28 *2221:100 *4100:11 0 +29 *2222:89 *4100:13 0 +30 *2226:36 *4100:11 0 +31 *2272:69 *4100:11 0 +32 *2304:51 *4100:31 0 +33 *2335:16 *4100:11 0 +34 *2374:94 *4100:54 0 +35 *2851:48 *4100:31 0 +36 *2925:37 *4100:31 0 +37 *2939:39 *4100:31 0 +38 *2950:11 *4100:13 0 +39 *2962:87 *4100:11 0 +40 *3054:47 *4100:13 0 +41 *3083:60 *4100:13 0 +42 *3085:46 *4100:13 0 +43 *3112:82 *4100:54 0 +44 *3124:31 *4100:13 0 +45 *3181:28 *4100:54 0 +46 *3307:66 *4100:31 0 +47 *3526:106 *8756:DIODE 0 +48 *3588:13 *4100:11 0 +49 *3681:22 *4100:13 0 +50 *3687:19 *4100:13 0 +51 *3687:21 *4100:13 0 +52 *3730:67 *8756:DIODE 0 +53 *3789:34 *4100:11 0 +54 *3796:29 *4100:54 0 +55 *3819:49 *4100:54 0 +56 *3824:23 *4100:54 0 +*RES +1 *41116:X *4100:4 9.3 +2 *4100:4 *4100:11 48.75 +3 *4100:11 *4100:13 95.4107 +4 *4100:13 *4100:31 48.9742 +5 *4100:31 *4100:54 48.2679 +6 *4100:54 *41115:A 9.3 +7 *4100:54 *8756:DIODE 12.7107 +*END + +*D_NET *4101 0.0230976 +*CONN +*I *8757:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41116:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *41117:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8757:DIODE 0.000121245 +2 *41116:A 6.50276e-05 +3 *41117:X 0.00152369 +4 *4101:36 0.00186008 +5 *4101:25 0.00847753 +6 *4101:23 0.00816502 +7 *4101:20 0.00288499 +8 *41116:A *4367:26 0 +9 *4101:25 *4359:9 0 +10 *4101:25 *4375:9 0 +11 *4101:36 *4345:42 0 +12 *4101:36 *4359:9 0 +13 *4101:36 *4363:53 0 +14 *1203:54 *4101:36 0 +15 *1203:55 *8757:DIODE 0 +16 *1810:21 *4101:20 0 +17 *1956:27 *4101:20 0 +18 *2006:25 *4101:20 0 +19 *2122:13 *4101:20 0 +20 *2159:23 *4101:20 0 +21 *2160:14 *4101:20 0 +22 *2172:10 *4101:23 0 +23 *2173:16 *4101:23 0 +24 *2176:13 *4101:23 0 +25 *2198:10 *4101:23 0 +26 *2198:10 *4101:25 0 +27 *2222:58 *41116:A 0 +28 *2246:23 *4101:25 0 +29 *2246:23 *4101:36 0 +30 *2258:13 *4101:25 0 +31 *2261:13 *4101:25 0 +32 *2299:19 *4101:36 0 +33 *2310:16 *4101:36 0 +34 *2342:17 *4101:25 0 +35 *3123:67 *4101:25 0 +36 *3358:48 *8757:DIODE 0 +37 *3363:15 *4101:23 0 +38 *3363:15 *4101:25 0 +39 *3368:21 *4101:20 0 +40 *3624:71 *4101:25 0 +41 *3630:14 *4101:20 0 +42 *3643:9 *4101:23 0 +43 *3643:9 *4101:25 0 +44 *3786:44 *8757:DIODE 0 +45 *3786:44 *4101:36 0 +46 *3861:20 *4101:23 0 +*RES +1 *41117:X *4101:20 40.3369 +2 *4101:20 *4101:23 28.4732 +3 *4101:23 *4101:25 142.027 +4 *4101:25 *4101:36 40.9286 +5 *4101:36 *41116:A 15.1571 +6 *4101:36 *8757:DIODE 16.4964 +*END + +*D_NET *4102 0.0267522 +*CONN +*I *6421:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38292:B I *D sky130_fd_sc_hd__and2_2 +*I *41118:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *6421:DIODE 0 +2 *38292:B 0.000195653 +3 *41118:X 0.000677902 +4 *4102:72 0.00210965 +5 *4102:71 0.00338296 +6 *4102:62 0.00296591 +7 *4102:53 0.0030308 +8 *4102:45 0.00325983 +9 *4102:34 0.00334619 +10 *4102:24 0.00357657 +11 *4102:20 0.00274262 +12 *4102:16 0.00146415 +13 *38292:B *4334:27 0 +14 *38292:B *4343:32 0 +15 *4102:34 *4613:21 0 +16 *4102:53 *5013:13 0 +17 *4102:62 *5028:6 0 +18 *4102:71 *4895:20 0 +19 *38293:A *4102:34 0 +20 *1009:120 *4102:53 0 +21 *1009:120 *4102:71 0 +22 *1544:8 *4102:71 0 +23 *1545:8 *4102:72 0 +24 *1545:32 *4102:62 0 +25 *1545:39 *4102:45 0 +26 *1545:39 *4102:53 0 +27 *1545:49 *4102:45 0 +28 *1545:53 *4102:34 0 +29 *1551:33 *4102:71 0 +30 *1553:46 *4102:72 0 +31 *2392:34 *4102:72 0 +32 *2400:39 *4102:62 0 +33 *2417:78 *4102:20 0 +34 *2430:40 *4102:53 0 +35 *2441:37 *4102:72 0 +36 *2715:9 *4102:24 0 +37 *2715:18 *4102:24 0 +38 *2924:19 *4102:24 0 +39 *3010:29 *4102:71 0 +40 *3014:33 *4102:24 0 +41 *3047:24 *4102:34 0 +42 *3047:42 *4102:34 0 +43 *3047:63 *4102:72 0 +44 *3050:10 *4102:16 0 +45 *3050:19 *4102:45 0 +46 *3050:42 *4102:62 0 +47 *3079:51 *4102:34 0 +48 *3159:30 *4102:53 0 +49 *3278:10 *4102:24 0 +50 *3278:19 *4102:34 0 +51 *3278:31 *4102:71 0 +52 *3280:15 *4102:24 0 +53 *3280:43 *4102:62 0 +54 *3299:20 *4102:72 0 +55 *3432:74 *4102:72 0 +56 *3512:29 *4102:62 0 +57 *4023:18 *4102:20 0 +58 *4031:37 *4102:34 0 +59 *4097:17 *4102:16 0 +*RES +1 *41118:X *4102:16 34.5165 +2 *4102:16 *4102:20 22.3839 +3 *4102:20 *4102:24 45.6607 +4 *4102:24 *4102:34 47.5357 +5 *4102:34 *4102:45 45.9107 +6 *4102:45 *4102:53 45.5714 +7 *4102:53 *4102:62 45.8214 +8 *4102:62 *4102:71 44.3393 +9 *4102:71 *4102:72 43.5357 +10 *4102:72 *38292:B 17.9429 +11 *4102:72 *6421:DIODE 13.8 +*END + +*D_NET *4103 0.0227896 +*CONN +*I *8758:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41118:A I *D sky130_fd_sc_hd__buf_2 +*I *41119:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8758:DIODE 0.000300763 +2 *41118:A 9.77144e-05 +3 *41119:X 0.000824659 +4 *4103:47 0.00811995 +5 *4103:44 0.0101717 +6 *4103:25 0.00327487 +7 *4103:25 *41360:A 0 +8 *4103:47 *4109:65 0 +9 *4103:47 *4218:43 0 +10 *4103:47 *4708:19 0 +11 *5576:DIODE *4103:47 0 +12 *1329:14 *4103:47 0 +13 *1408:58 *4103:47 0 +14 *1438:55 *4103:25 0 +15 *1693:20 *4103:47 0 +16 *2258:51 *4103:44 0 +17 *2266:90 *4103:25 0 +18 *2325:78 *4103:44 0 +19 *2384:77 *41118:A 0 +20 *2412:31 *4103:44 0 +21 *2412:31 *4103:47 0 +22 *2822:32 *4103:47 0 +23 *2824:23 *4103:47 0 +24 *2928:83 *4103:47 0 +25 *2981:79 *4103:47 0 +26 *3076:70 *4103:47 0 +27 *3177:46 *4103:47 0 +28 *3307:46 *4103:44 0 +29 *3312:147 *4103:47 0 +30 *3438:71 *4103:25 0 +31 *3584:21 *4103:47 0 +32 *3647:34 *8758:DIODE 0 +33 *3647:34 *4103:47 0 +34 *3648:58 *41118:A 0 +35 *3689:15 *4103:47 0 +36 *3691:37 *4103:44 0 +37 *3691:37 *4103:47 0 +38 *3698:48 *4103:47 0 +39 *3720:23 *4103:25 0 +40 *3723:79 *4103:47 0 +41 *3740:46 *8758:DIODE 0 +42 *3740:46 *4103:47 0 +43 *3757:22 *4103:47 0 +44 *3769:18 *4103:47 0 +45 *3781:59 *4103:47 0 +46 *3798:54 *4103:44 0 +47 *3819:19 *4103:25 0 +*RES +1 *41119:X *4103:25 45.8 +2 *4103:25 *4103:44 39.3925 +3 *4103:44 *4103:47 31.3828 +4 *4103:47 *41118:A 15.8625 +5 *4103:47 *8758:DIODE 20.5054 +*END + +*D_NET *4104 0.00746929 +*CONN +*I *37668:B I *D sky130_fd_sc_hd__and2_1 +*I *38589:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *37668:B 0.00103255 +2 *38589:X 0.000818658 +3 *4104:27 0.00291598 +4 *4104:16 0.0027021 +5 *37668:B *38076:A_N 0 +6 *37668:B *38076:B 0 +7 *37668:B *4408:78 0 +8 *4104:16 *39144:A 0 +9 *4104:16 *4221:60 0 +10 *4104:27 *4518:33 0 +11 *6864:DIODE *4104:27 0 +12 *8673:DIODE *37668:B 0 +13 *38446:A *4104:16 0 +14 *514:8 *4104:16 0 +15 *1436:22 *37668:B 0 +16 *2549:37 *4104:16 0 +17 *2671:14 *4104:16 0 +18 *2738:7 *4104:27 0 +19 *2749:40 *4104:16 0 +20 *3279:12 *4104:27 0 +21 *3532:24 *4104:27 0 +22 *4020:86 *37668:B 0 +23 *4075:126 *37668:B 0 +24 *4078:7 *37668:B 0 +*RES +1 *38589:X *4104:16 44.6036 +2 *4104:16 *4104:27 48.6633 +3 *4104:27 *37668:B 39.179 +*END + +*D_NET *4105 0.0223423 +*CONN +*I *8759:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41119:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *41120:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8759:DIODE 0.000396871 +2 *41119:A 2.0535e-05 +3 *41120:X 0.000571968 +4 *4105:53 0.00121495 +5 *4105:45 0.00298897 +6 *4105:15 0.00472397 +7 *4105:13 0.00255308 +8 *4105:11 0.00466027 +9 *4105:10 0.00521171 +10 *4105:10 *4307:11 0 +11 *4105:11 *4120:15 0 +12 *4105:15 *4120:15 0 +13 *4105:45 *8901:DIODE 0 +14 *4105:45 *41393:A 0 +15 *4105:45 *4108:43 0 +16 *4105:45 *4110:57 0 +17 *4105:45 *4113:37 0 +18 *4105:45 *4299:23 0 +19 *4105:45 *4373:20 0 +20 *4105:45 *4379:47 0 +21 *4105:53 *4120:64 0 +22 *4105:53 *4230:46 0 +23 *6453:DIODE *4105:53 0 +24 *8667:DIODE *4105:45 0 +25 *37780:A *4105:10 0 +26 *40831:A *4105:53 0 +27 *1226:10 *8759:DIODE 0 +28 *1267:18 *4105:45 0 +29 *2033:7 *4105:10 0 +30 *2047:10 *4105:11 0 +31 *2047:10 *4105:15 0 +32 *2056:17 *4105:10 0 +33 *2062:15 *4105:10 0 +34 *2106:23 *4105:45 0 +35 *2113:22 *4105:15 0 +36 *3330:37 *4105:45 0 +37 *3373:76 *4105:10 0 +38 *3526:40 *4105:45 0 +39 *3797:90 *4105:45 0 +40 *3806:74 *4105:53 0 +41 *3947:27 *4105:45 0 +42 *3961:30 *4105:45 0 +43 *3980:68 *8759:DIODE 0 +44 *3989:20 *4105:11 0 +45 *3992:38 *4105:45 0 +46 *4006:36 *4105:53 0 +47 *4009:14 *4105:11 0 +48 *4020:39 *4105:45 0 +49 *4039:41 *4105:15 0 +50 *4039:83 *8759:DIODE 0 +51 *4055:24 *4105:45 0 +52 *4079:57 *4105:45 0 +53 *4086:57 *4105:53 0 +54 *4098:42 *4105:53 0 +*RES +1 *41120:X *4105:10 31.2107 +2 *4105:10 *4105:11 96.8482 +3 *4105:11 *4105:13 0.428571 +4 *4105:13 *4105:15 52.9018 +5 *4105:15 *4105:45 47.9647 +6 *4105:45 *4105:53 21.7946 +7 *4105:53 *41119:A 9.72857 +8 *4105:53 *8759:DIODE 26.8536 +*END + +*D_NET *4106 0.0213396 +*CONN +*I *6418:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38290:B I *D sky130_fd_sc_hd__and2_1 +*I *41121:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *6418:DIODE 0 +2 *38290:B 0.000216188 +3 *41121:X 0.00142451 +4 *4106:55 0.000467584 +5 *4106:47 0.00343802 +6 *4106:27 0.00856509 +7 *4106:26 0.00559108 +8 *4106:21 0.00163713 +9 *4106:21 *4171:50 0 +10 *4106:21 *4171:80 0 +11 *4106:21 *4267:80 0 +12 *4106:21 *4981:39 0 +13 *4106:27 *4263:17 0 +14 *4106:47 *4256:77 0 +15 *4106:47 *4357:45 0 +16 *4106:47 *4360:66 0 +17 *4106:47 *4407:30 0 +18 *4106:55 *4360:66 0 +19 *6471:DIODE *4106:47 0 +20 *8333:DIODE *4106:21 0 +21 *38290:A *38290:B 0 +22 *1572:16 *4106:55 0 +23 *2832:50 *4106:21 0 +24 *3305:168 *4106:21 0 +25 *3310:87 *4106:47 0 +26 *3310:87 *4106:55 0 +27 *3423:37 *4106:47 0 +28 *3433:30 *4106:21 0 +29 *3481:43 *38290:B 0 +30 *3745:27 *4106:47 0 +31 *3772:98 *4106:21 0 +32 *3803:52 *4106:21 0 +33 *3937:36 *4106:26 0 +34 *3937:37 *4106:27 0 +35 *3955:55 *4106:47 0 +36 *3963:34 *38290:B 0 +37 *3983:17 *4106:21 0 +38 *4000:22 *4106:21 0 +39 *4037:42 *4106:21 0 +40 *4054:66 *4106:47 0 +41 *4085:66 *4106:21 0 +*RES +1 *41121:X *4106:21 48.6769 +2 *4106:21 *4106:26 12.7404 +3 *4106:26 *4106:27 112.25 +4 *4106:27 *4106:47 48.9694 +5 *4106:47 *4106:55 14.3929 +6 *4106:55 *38290:B 22.8714 +7 *4106:55 *6418:DIODE 9.3 +*END + +*D_NET *4107 0.0229281 +*CONN +*I *8760:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41121:A I *D sky130_fd_sc_hd__buf_2 +*I *41122:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8760:DIODE 0.000387792 +2 *41121:A 6.50276e-05 +3 *41122:X 0.00133132 +4 *4107:102 0.000885902 +5 *4107:101 0.00199127 +6 *4107:83 0.00392987 +7 *4107:63 0.00543097 +8 *4107:62 0.00385684 +9 *4107:43 0.00225766 +10 *4107:23 0.00279143 +11 *4107:23 *8899:DIODE 0 +12 *4107:23 *4120:64 0 +13 *4107:23 *4120:74 0 +14 *4107:23 *4291:24 0 +15 *4107:23 *4291:37 0 +16 *4107:23 *4408:15 0 +17 *4107:43 *41252:A 0 +18 *4107:43 *4408:56 0 +19 *4107:62 *4252:25 0 +20 *4107:62 *4291:37 0 +21 *4107:63 *8192:DIODE 0 +22 *4107:63 *8856:DIODE 0 +23 *4107:63 *38064:A_N 0 +24 *4107:63 *4408:108 0 +25 *4107:63 *4513:109 0 +26 *4107:83 *4230:99 0 +27 *4107:83 *4248:45 0 +28 *4107:83 *4520:107 0 +29 *4107:101 *4171:26 0 +30 *4107:101 *4248:45 0 +31 *4107:101 *4357:20 0 +32 *8447:DIODE *4107:63 0 +33 *8667:DIODE *4107:23 0 +34 *8670:DIODE *4107:62 0 +35 *8718:DIODE *4107:23 0 +36 *37654:B *4107:43 0 +37 *38064:C *4107:63 0 +38 *39987:A *4107:63 0 +39 *39994:A *4107:63 0 +40 *41050:A *4107:83 0 +41 *1206:41 *41121:A 0 +42 *1210:69 *4107:102 0 +43 *1226:10 *4107:43 0 +44 *1437:59 *4107:101 0 +45 *2106:32 *4107:23 0 +46 *3055:104 *4107:62 0 +47 *3159:40 *4107:101 0 +48 *3183:61 *4107:83 0 +49 *3283:21 *4107:63 0 +50 *3317:183 *8760:DIODE 0 +51 *3322:56 *4107:23 0 +52 *3427:44 *4107:83 0 +53 *3443:11 *4107:63 0 +54 *3546:128 *4107:102 0 +55 *3744:36 *4107:63 0 +56 *3774:15 *4107:101 0 +57 *3803:52 *41121:A 0 +58 *3820:89 *4107:101 0 +59 *3937:17 *4107:83 0 +60 *3937:24 *4107:83 0 +61 *3939:141 *4107:63 0 +62 *3955:38 *4107:101 0 +63 *3980:68 *4107:23 0 +64 *3980:68 *4107:43 0 +65 *4001:28 *4107:23 0 +66 *4001:28 *4107:43 0 +67 *4001:45 *4107:43 0 +68 *4001:45 *4107:62 0 +69 *4006:69 *4107:83 0 +70 *4017:58 *4107:63 0 +71 *4020:86 *4107:43 0 +72 *4020:86 *4107:62 0 +73 *4020:129 *4107:83 0 +74 *4024:30 *4107:43 0 +75 *4028:19 *4107:83 0 +76 *4037:42 *4107:101 0 +77 *4039:61 *4107:23 0 +78 *4052:27 *4107:62 0 +79 *4052:27 *4107:101 0 +80 *4059:42 *4107:83 0 +81 *4075:106 *4107:43 0 +82 *4078:41 *4107:83 0 +83 *4092:58 *4107:101 0 +*RES +1 *41122:X *4107:23 46.6929 +2 *4107:23 *4107:43 49.0179 +3 *4107:43 *4107:62 35.1607 +4 *4107:62 *4107:63 63.7857 +5 *4107:63 *4107:83 49.6222 +6 *4107:83 *4107:101 47.4218 +7 *4107:101 *4107:102 9.83929 +8 *4107:102 *41121:A 15.1571 +9 *4107:102 *8760:DIODE 22.4786 +*END + +*D_NET *4108 0.0214772 +*CONN +*I *8761:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41122:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *41123:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8761:DIODE 0.000552999 +2 *41122:A 0.000103279 +3 *41123:X 0.000473392 +4 *4108:43 0.00208704 +5 *4108:20 0.0030694 +6 *4108:9 0.00817818 +7 *4108:8 0.00701294 +8 *8761:DIODE *4291:24 0 +9 *4108:8 *4239:16 0 +10 *4108:9 *4110:11 0 +11 *4108:20 *4280:20 0 +12 *4108:43 *4110:57 0 +13 *4108:43 *4234:43 0 +14 *4108:43 *4308:15 0 +15 *4108:43 *4308:40 0 +16 *40320:A *8761:DIODE 0 +17 *1267:18 *4108:43 0 +18 *1965:8 *4108:9 0 +19 *1981:15 *4108:8 0 +20 *2023:15 *4108:8 0 +21 *2073:60 *4108:20 0 +22 *2076:8 *4108:9 0 +23 *2097:31 *4108:9 0 +24 *2117:64 *4108:20 0 +25 *3157:10 *4108:8 0 +26 *3947:9 *4108:9 0 +27 *3961:30 *4108:43 0 +28 *3969:72 *4108:43 0 +29 *3980:68 *8761:DIODE 0 +30 *3985:23 *4108:43 0 +31 *3989:21 *4108:43 0 +32 *3998:45 *4108:43 0 +33 *4006:23 *8761:DIODE 0 +34 *4009:38 *4108:43 0 +35 *4017:29 *8761:DIODE 0 +36 *4025:29 *4108:9 0 +37 *4025:44 *4108:20 0 +38 *4025:61 *8761:DIODE 0 +39 *4039:32 *4108:9 0 +40 *4053:41 *4108:9 0 +41 *4062:11 *4108:9 0 +42 *4096:11 *4108:9 0 +43 *4105:45 *4108:43 0 +*RES +1 *41123:X *4108:8 29.05 +2 *4108:8 *4108:9 136.482 +3 *4108:9 *4108:20 48.5357 +4 *4108:20 *4108:43 36.7732 +5 *4108:43 *41122:A 16.1304 +6 *4108:43 *8761:DIODE 26.2911 +*END + +*D_NET *4109 0.0230657 +*CONN +*I *6416:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38288:B I *D sky130_fd_sc_hd__and2_1 +*I *41124:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *6416:DIODE 0.000660453 +2 *38288:B 0.000119041 +3 *41124:X 0.00178009 +4 *4109:65 0.00581755 +5 *4109:48 0.00897326 +6 *4109:15 0.0057153 +7 *4109:48 *4218:43 0 +8 *4109:48 *4310:59 0 +9 *4109:48 *4365:67 0 +10 *4109:65 *4171:50 0 +11 *4109:65 *4218:43 0 +12 *4109:65 *4218:52 0 +13 *4109:65 *4267:80 0 +14 *4109:65 *4352:67 0 +15 *8097:DIODE *4109:65 0 +16 *8252:DIODE *6416:DIODE 0 +17 *39885:A *4109:15 0 +18 *1324:33 *4109:65 0 +19 *1408:29 *4109:48 0 +20 *1408:58 *4109:48 0 +21 *1408:73 *4109:48 0 +22 *1411:15 *4109:65 0 +23 *1538:19 *4109:65 0 +24 *2273:51 *4109:48 0 +25 *2822:32 *4109:65 0 +26 *2824:23 *4109:65 0 +27 *2841:19 *4109:15 0 +28 *2846:22 *4109:15 0 +29 *2937:14 *6416:DIODE 0 +30 *3076:70 *4109:48 0 +31 *3100:31 *6416:DIODE 0 +32 *3177:26 *4109:48 0 +33 *3305:168 *4109:65 0 +34 *3312:147 *4109:65 0 +35 *3317:176 *4109:65 0 +36 *3387:14 *6416:DIODE 0 +37 *3532:30 *4109:65 0 +38 *3545:27 *4109:65 0 +39 *3546:50 *4109:48 0 +40 *3648:42 *4109:65 0 +41 *3689:15 *4109:15 0 +42 *3692:9 *4109:48 0 +43 *3698:48 *4109:48 0 +44 *3726:89 *4109:65 0 +45 *3736:46 *4109:15 0 +46 *3736:46 *4109:48 0 +47 *3763:36 *4109:65 0 +48 *3769:18 *4109:15 0 +49 *3769:18 *4109:48 0 +50 *3769:51 *4109:48 0 +51 *3769:51 *4109:65 0 +52 *3786:82 *4109:15 0 +53 *3803:24 *4109:48 0 +54 *3822:111 *4109:65 0 +55 *3983:17 *4109:65 0 +56 *3984:68 *4109:48 0 +57 *3984:125 *4109:65 0 +58 *4022:31 *4109:15 0 +59 *4070:43 *4109:48 0 +60 *4099:29 *4109:48 0 +61 *4099:29 *4109:65 0 +62 *4103:47 *4109:65 0 +*RES +1 *41124:X *4109:15 47.514 +2 *4109:15 *4109:48 48.2686 +3 *4109:48 *4109:65 37.7555 +4 *4109:65 *38288:B 19.9154 +5 *4109:65 *6416:DIODE 28.1919 +*END + +*D_NET *4110 0.0238094 +*CONN +*I *8762:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41124:A I *D sky130_fd_sc_hd__buf_2 +*I *41125:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8762:DIODE 0.000796411 +2 *41124:A 0.000263242 +3 *41125:X 0.00115537 +4 *4110:64 0.0021694 +5 *4110:57 0.00295196 +6 *4110:28 0.00857996 +7 *4110:11 0.0078931 +8 *8762:DIODE *38316:A 0 +9 *8762:DIODE *4134:61 0 +10 *4110:28 *4231:43 0 +11 *4110:28 *4234:11 0 +12 *4110:28 *4290:26 0 +13 *4110:57 *8451:DIODE 0 +14 *4110:57 *38322:A 0 +15 *4110:57 *4299:33 0 +16 *4110:64 *4113:37 0 +17 *4110:64 *4124:18 0 +18 *6380:DIODE *4110:57 0 +19 *6462:DIODE *4110:57 0 +20 *8750:DIODE *41124:A 0 +21 *37652:B *8762:DIODE 0 +22 *40348:A *4110:11 0 +23 *40429:A *4110:57 0 +24 *41125:A *4110:11 0 +25 *1226:10 *8762:DIODE 0 +26 *1560:14 *4110:57 0 +27 *1965:8 *4110:11 0 +28 *1979:24 *4110:28 0 +29 *1999:29 *4110:28 0 +30 *2047:16 *4110:28 0 +31 *2077:11 *4110:11 0 +32 *2081:7 *4110:11 0 +33 *2850:39 *4110:28 0 +34 *3323:30 *4110:64 0 +35 *3354:12 *4110:28 0 +36 *3365:30 *4110:57 0 +37 *3438:57 *4110:57 0 +38 *3530:89 *8762:DIODE 0 +39 *3726:28 *4110:64 0 +40 *3985:23 *4110:28 0 +41 *3989:67 *4110:57 0 +42 *3992:38 *4110:57 0 +43 *3998:19 *4110:28 0 +44 *4006:36 *41124:A 0 +45 *4022:26 *4110:57 0 +46 *4042:28 *4110:57 0 +47 *4092:10 *41124:A 0 +48 *4096:44 *4110:57 0 +49 *4105:45 *4110:57 0 +50 *4108:9 *4110:11 0 +51 *4108:43 *4110:57 0 +*RES +1 *41125:X *4110:11 47.8357 +2 *4110:11 *4110:28 44.1451 +3 *4110:28 *4110:57 48.9812 +4 *4110:57 *4110:64 13.6119 +5 *4110:64 *41124:A 19.55 +6 *4110:64 *8762:DIODE 39.925 +*END + +*D_NET *4111 0.0217205 +*CONN +*I *6414:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38286:B I *D sky130_fd_sc_hd__and2_1 +*I *41126:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *6414:DIODE 0.000814556 +2 *38286:B 0 +3 *41126:X 0.000182678 +4 *4111:17 0.00245394 +5 *4111:16 0.00986304 +6 *4111:10 0.00840633 +7 *6414:DIODE *4220:23 0 +8 *4111:10 *4119:89 0 +9 *4111:16 *4119:109 0 +10 *4111:16 *4254:19 0 +11 *4111:16 *4267:62 0 +12 *4111:16 *4289:21 0 +13 *4111:17 *4220:23 0 +14 *8623:DIODE *6414:DIODE 0 +15 *38286:A *6414:DIODE 0 +16 *2374:23 *4111:16 0 +17 *2419:88 *4111:16 0 +18 *3487:20 *4111:16 0 +19 *3931:7 *6414:DIODE 0 +20 *3932:21 *6414:DIODE 0 +21 *3937:61 *6414:DIODE 0 +22 *4011:37 *4111:17 0 +23 *4011:63 *6414:DIODE 0 +24 *4059:24 *4111:10 0 +25 *4088:27 *4111:16 0 +26 *4094:40 *6414:DIODE 0 +*RES +1 *41126:X *4111:10 21.0939 +2 *4111:10 *4111:16 40.225 +3 *4111:16 *4111:17 34.2143 +4 *4111:17 *38286:B 9.3 +5 *4111:17 *6414:DIODE 26.55 +*END + +*D_NET *4112 0.0244515 +*CONN +*I *8764:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41126:A I *D sky130_fd_sc_hd__buf_2 +*I *41127:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8764:DIODE 0.000247039 +2 *41126:A 2.56688e-05 +3 *41127:X 0.00160154 +4 *4112:134 0.00133252 +5 *4112:119 0.00312898 +6 *4112:78 0.00359459 +7 *4112:60 0.0036443 +8 *4112:47 0.00416747 +9 *4112:40 0.00357822 +10 *4112:19 0.00313118 +11 *4112:19 *4118:42 0 +12 *4112:19 *4284:21 0 +13 *4112:19 *4284:51 0 +14 *4112:19 *4303:43 0 +15 *4112:19 *4303:81 0 +16 *4112:19 *4858:15 0 +17 *4112:40 *8897:DIODE 0 +18 *4112:40 *4135:45 0 +19 *4112:40 *4265:36 0 +20 *4112:40 *4287:49 0 +21 *4112:40 *4306:62 0 +22 *4112:47 *4284:68 0 +23 *4112:47 *4297:24 0 +24 *4112:47 *4300:15 0 +25 *4112:47 *4300:34 0 +26 *4112:60 *4281:59 0 +27 *4112:60 *4297:55 0 +28 *4112:60 *4300:34 0 +29 *4112:78 *4264:30 0 +30 *4112:119 *4221:48 0 +31 *4112:119 *4888:26 0 +32 *4112:134 *4230:74 0 +33 *4112:134 *4518:73 0 +34 *39621:A *4112:119 0 +35 *40340:A *4112:40 0 +36 *1227:42 *4112:60 0 +37 *1228:19 *4112:134 0 +38 *1228:49 *4112:134 0 +39 *1235:55 *4112:134 0 +40 *1287:25 *4112:134 0 +41 *1435:19 *4112:134 0 +42 *2118:39 *4112:19 0 +43 *2424:19 *4112:119 0 +44 *2425:67 *4112:119 0 +45 *2431:112 *4112:19 0 +46 *2671:44 *4112:119 0 +47 *2727:49 *4112:60 0 +48 *2987:16 *8764:DIODE 0 +49 *3053:62 *4112:119 0 +50 *3128:19 *4112:78 0 +51 *3128:39 *4112:40 0 +52 *3140:84 *4112:19 0 +53 *3158:16 *4112:78 0 +54 *3207:49 *4112:78 0 +55 *3207:49 *4112:119 0 +56 *3207:64 *4112:78 0 +57 *3224:21 *4112:78 0 +58 *3229:18 *4112:119 0 +59 *3256:38 *4112:134 0 +60 *3259:13 *4112:119 0 +61 *3259:77 *4112:19 0 +62 *3270:42 *4112:60 0 +63 *3270:62 *4112:47 0 +64 *3307:21 *4112:40 0 +65 *3312:45 *4112:78 0 +66 *3335:27 *4112:19 0 +67 *3438:25 *4112:40 0 +68 *3532:24 *4112:119 0 +69 *3838:32 *4112:19 0 +70 *3933:16 *4112:19 0 +71 *3956:80 *4112:119 0 +72 *3991:68 *4112:134 0 +73 *4001:74 *4112:119 0 +74 *4032:37 *4112:19 0 +75 *4039:18 *4112:19 0 +76 *4061:24 *4112:19 0 +77 *4085:40 *8764:DIODE 0 +78 *4095:58 *8764:DIODE 0 +*RES +1 *41127:X *4112:19 48.9856 +2 *4112:19 *4112:40 29.5588 +3 *4112:40 *4112:47 43 +4 *4112:47 *4112:60 49.0982 +5 *4112:60 *4112:78 45.2643 +6 *4112:78 *4112:119 47.7973 +7 *4112:119 *4112:134 45.0261 +8 *4112:134 *41126:A 14.3357 +9 *4112:134 *8764:DIODE 19.2464 +*END + +*D_NET *4113 0.0189742 +*CONN +*I *38284:B I *D sky130_fd_sc_hd__and2_1 +*I *6411:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41128:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38284:B 0.000714162 +2 *6411:DIODE 0 +3 *41128:X 0.00210056 +4 *4113:103 0.00138048 +5 *4113:99 0.00258556 +6 *4113:82 0.00359865 +7 *4113:75 0.00277971 +8 *4113:53 0.00240742 +9 *4113:37 0.00340767 +10 *38284:B *4408:124 0 +11 *4113:37 *4119:18 0 +12 *4113:37 *4128:50 0 +13 *4113:37 *4134:32 0 +14 *4113:37 *4373:20 0 +15 *4113:37 *4512:44 0 +16 *4113:53 *4128:50 0 +17 *4113:53 *4128:57 0 +18 *4113:75 *4128:57 0 +19 *4113:75 *4298:55 0 +20 *4113:75 *4372:22 0 +21 *4113:99 *38256:B 0 +22 *4113:99 *41317:A 0 +23 *5420:DIODE *4113:99 0 +24 *5466:DIODE *4113:75 0 +25 *6008:DIODE *4113:103 0 +26 *6381:DIODE *4113:37 0 +27 *8483:DIODE *4113:99 0 +28 *8778:DIODE *4113:37 0 +29 *37646:A *4113:75 0 +30 *38040:C *4113:82 0 +31 *38232:A *4113:75 0 +32 *38232:B *4113:75 0 +33 *38284:A *38284:B 0 +34 *40157:A *4113:103 0 +35 *40247:A *4113:53 0 +36 *41045:A *4113:37 0 +37 *1222:14 *4113:75 0 +38 *1222:32 *4113:82 0 +39 *1222:49 *4113:99 0 +40 *1224:20 *4113:53 0 +41 *1224:66 *4113:82 0 +42 *1229:29 *4113:75 0 +43 *1439:31 *4113:99 0 +44 *1558:28 *4113:37 0 +45 *1999:57 *4113:37 0 +46 *2073:80 *4113:37 0 +47 *2325:78 *4113:75 0 +48 *2928:55 *4113:99 0 +49 *3112:82 *4113:82 0 +50 *3120:24 *4113:53 0 +51 *3305:105 *4113:99 0 +52 *3305:142 *4113:99 0 +53 *3321:152 *4113:99 0 +54 *3322:109 *4113:37 0 +55 *3322:109 *4113:53 0 +56 *3334:53 *4113:99 0 +57 *3340:61 *4113:37 0 +58 *3358:81 *4113:75 0 +59 *3387:56 *4113:53 0 +60 *3518:131 *4113:82 0 +61 *3546:67 *4113:82 0 +62 *3546:97 *38284:B 0 +63 *3546:97 *4113:99 0 +64 *3546:97 *4113:103 0 +65 *3546:115 *38284:B 0 +66 *3658:64 *38284:B 0 +67 *3726:51 *4113:103 0 +68 *3784:29 *4113:75 0 +69 *3795:65 *4113:53 0 +70 *3801:69 *4113:75 0 +71 *3811:14 *4113:75 0 +72 *3811:33 *38284:B 0 +73 *3811:33 *4113:99 0 +74 *3811:33 *4113:103 0 +75 *3811:42 *38284:B 0 +76 *3815:109 *38284:B 0 +77 *3942:28 *4113:75 0 +78 *3942:28 *4113:82 0 +79 *3943:50 *4113:75 0 +80 *4006:23 *4113:37 0 +81 *4022:110 *4113:99 0 +82 *4063:22 *4113:37 0 +83 *4098:42 *4113:37 0 +84 *4105:45 *4113:37 0 +85 *4110:64 *4113:37 0 +*RES +1 *41128:X *4113:37 46.2093 +2 *4113:37 *4113:53 49.9732 +3 *4113:53 *4113:75 33.7765 +4 *4113:75 *4113:82 44.2321 +5 *4113:82 *4113:99 49.7857 +6 *4113:99 *4113:103 14 +7 *4113:103 *6411:DIODE 9.3 +8 *4113:103 *38284:B 33.3893 +*END + +*D_NET *4114 0.0257258 +*CONN +*I *6408:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38282:B I *D sky130_fd_sc_hd__and2_1 +*I *41129:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6408:DIODE 0.000533821 +2 *38282:B 0.000603751 +3 *41129:X 0.00470296 +4 *4114:53 0.00706369 +5 *4114:44 0.00702238 +6 *4114:28 0.00579922 +7 *6408:DIODE *4171:103 0 +8 *38282:B *6111:DIODE 0 +9 *38282:B *6112:DIODE 0 +10 *4114:28 *8899:DIODE 0 +11 *4114:28 *4408:39 0 +12 *4114:28 *5128:19 0 +13 *4114:44 *4316:23 0 +14 *4114:44 *4347:38 0 +15 *4114:44 *4747:10 0 +16 *6070:DIODE *4114:44 0 +17 *6073:DIODE *38282:B 0 +18 *38282:A *38282:B 0 +19 *40079:A *4114:44 0 +20 *40089:A *4114:53 0 +21 *1029:84 *4114:28 0 +22 *1316:12 *4114:44 0 +23 *1319:27 *4114:53 0 +24 *1325:44 *6408:DIODE 0 +25 *1325:44 *4114:53 0 +26 *1429:43 *4114:44 0 +27 *1446:45 *4114:53 0 +28 *1540:10 *38282:B 0 +29 *1557:30 *4114:28 0 +30 *2258:51 *4114:28 0 +31 *2259:75 *4114:28 0 +32 *2300:38 *4114:53 0 +33 *2336:32 *4114:44 0 +34 *2412:31 *4114:53 0 +35 *2722:16 *6408:DIODE 0 +36 *2822:46 *38282:B 0 +37 *2841:61 *38282:B 0 +38 *2930:19 *4114:53 0 +39 *2945:11 *4114:44 0 +40 *2945:20 *4114:44 0 +41 *2961:36 *4114:28 0 +42 *3112:52 *6408:DIODE 0 +43 *3112:52 *4114:53 0 +44 *3124:17 *4114:53 0 +45 *3372:76 *4114:28 0 +46 *3647:16 *4114:53 0 +47 *3647:34 *6408:DIODE 0 +48 *3691:37 *4114:53 0 +49 *3740:46 *6408:DIODE 0 +50 *3787:92 *4114:28 0 +51 *3789:65 *4114:28 0 +52 *3791:45 *4114:28 0 +53 *3797:90 *4114:28 0 +54 *3820:61 *4114:53 0 +55 *3998:45 *4114:28 0 +56 *4039:61 *4114:28 0 +57 *4048:25 *6408:DIODE 0 +*RES +1 *41129:X *4114:28 48.3432 +2 *4114:28 *4114:44 45.3118 +3 *4114:44 *4114:53 21.4915 +4 *4114:53 *38282:B 30.3261 +5 *4114:53 *6408:DIODE 23.1333 +*END + +*D_NET *4115 0.00654285 +*CONN +*I *40514:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38590:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40514:A 0.000758384 +2 *38590:X 0.000125844 +3 *4115:9 0.00314558 +4 *4115:8 0.00251304 +5 *40514:A *39053:A 0 +6 *40514:A *4424:27 0 +7 *40514:A *4593:7 0 +8 *4115:9 *4854:9 0 +9 la_data_in_mprj[10] *4115:8 0 +10 la_data_in_mprj[15] *40514:A 0 +11 *38487:A *40514:A 0 +12 *38629:A *40514:A 0 +13 *399:11 *4115:8 0 +14 *422:7 *40514:A 0 +15 *805:11 *40514:A 0 +16 *2827:32 *40514:A 0 +17 *2949:9 *4115:9 0 +18 *3082:10 *40514:A 0 +19 *3115:9 *4115:9 0 +*RES +1 *38590:X *4115:8 21.1571 +2 *4115:8 *4115:9 49.8214 +3 *4115:9 *40514:A 34.6036 +*END + +*D_NET *4116 0.0213938 +*CONN +*I *8767:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41129:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *41130:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8767:DIODE 0.000214578 +2 *41129:A 0.000151171 +3 *41130:X 0.00664335 +4 *4116:43 0.00405354 +5 *4116:15 0.0103311 +6 *8767:DIODE *4308:52 0 +7 *8767:DIODE *4408:39 0 +8 *4116:15 *4122:31 0 +9 *4116:15 *4227:23 0 +10 *4116:15 *4262:14 0 +11 *4116:15 *4273:22 0 +12 *4116:15 *4280:20 0 +13 *4116:43 *4227:23 0 +14 *4116:43 *4258:34 0 +15 *4116:43 *4261:27 0 +16 *4116:43 *4262:38 0 +17 *4116:43 *4273:50 0 +18 *4116:43 *4283:61 0 +19 *4116:43 *4307:21 0 +20 *4116:43 *4376:49 0 +21 *8667:DIODE *8767:DIODE 0 +22 *8718:DIODE *8767:DIODE 0 +23 *8718:DIODE *4116:43 0 +24 *41175:A *4116:15 0 +25 *1990:16 *4116:15 0 +26 *2032:13 *4116:15 0 +27 *2035:15 *4116:15 0 +28 *2079:27 *4116:15 0 +29 *2113:13 *4116:15 0 +30 *2117:52 *4116:15 0 +31 *2117:52 *4116:43 0 +32 *2134:21 *4116:15 0 +33 *2137:27 *4116:15 0 +34 *3147:63 *4116:15 0 +35 *3227:14 *4116:15 0 +36 *3961:49 *4116:43 0 +37 *3969:72 *4116:43 0 +38 *4001:28 *8767:DIODE 0 +39 *4035:14 *4116:15 0 +40 *4035:49 *4116:43 0 +41 *4063:16 *4116:15 0 +42 *4075:76 *4116:43 0 +*RES +1 *41130:X *4116:15 47.8811 +2 *4116:15 *4116:43 42.9437 +3 *4116:43 *41129:A 21.7643 +4 *4116:43 *8767:DIODE 22.9964 +*END + +*D_NET *4117 0.0204176 +*CONN +*I *38280:B I *D sky130_fd_sc_hd__and2_1 +*I *6405:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41131:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38280:B 0.00013776 +2 *6405:DIODE 0 +3 *41131:X 0.00286265 +4 *4117:66 0.00156635 +5 *4117:51 0.00720839 +6 *4117:18 0.00864245 +7 *4117:18 *4242:22 0 +8 *4117:18 *4280:24 0 +9 *4117:18 *4298:20 0 +10 *4117:51 *38076:A_N 0 +11 *4117:51 *4135:96 0 +12 *4117:51 *4166:60 0 +13 *4117:51 *4221:21 0 +14 *4117:51 *4221:48 0 +15 *4117:51 *4242:22 0 +16 *4117:51 *4272:38 0 +17 *4117:51 *4276:20 0 +18 *4117:51 *4277:50 0 +19 *4117:51 *4295:53 0 +20 *4117:51 *4295:58 0 +21 *4117:51 *4298:40 0 +22 *4117:51 *4408:108 0 +23 *4117:66 *4121:50 0 +24 *4117:66 *4248:45 0 +25 *4117:66 *4520:107 0 +26 *2412:16 *4117:66 0 +27 *2671:44 *4117:51 0 +28 *2837:16 *38280:B 0 +29 *2837:16 *4117:66 0 +30 *3129:45 *4117:51 0 +31 *3279:51 *4117:51 0 +32 *3317:119 *4117:66 0 +33 *3327:92 *4117:51 0 +34 *3355:69 *4117:18 0 +35 *3442:92 *4117:51 0 +36 *3532:24 *4117:51 0 +37 *3675:53 *4117:51 0 +38 *3759:19 *4117:51 0 +39 *3969:72 *4117:51 0 +40 *3991:68 *4117:66 0 +41 *4008:48 *4117:51 0 +42 *4037:24 *4117:66 0 +43 *4052:27 *4117:51 0 +44 *4072:51 *4117:51 0 +45 *4079:57 *4117:18 0 +*RES +1 *41131:X *4117:18 37.0609 +2 *4117:18 *4117:51 49.1361 +3 *4117:51 *4117:66 22.1797 +4 *4117:66 *6405:DIODE 13.8 +5 *4117:66 *38280:B 16.9607 +*END + +*D_NET *4118 0.0218995 +*CONN +*I *8768:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41131:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *41132:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8768:DIODE 0 +2 *41131:A 0.000654614 +3 *41132:X 0.00717057 +4 *4118:42 0.00377919 +5 *4118:21 0.0102951 +6 *4118:21 *4155:40 0 +7 *4118:21 *4265:19 0 +8 *4118:21 *4296:14 0 +9 *4118:21 *4481:14 0 +10 *4118:42 *4500:13 0 +11 *8671:DIODE *4118:21 0 +12 *40340:A *41131:A 0 +13 *41132:A *4118:21 0 +14 *1958:19 *4118:21 0 +15 *1980:15 *4118:21 0 +16 *2010:25 *4118:21 0 +17 *2018:7 *4118:21 0 +18 *2073:20 *4118:42 0 +19 *2073:42 *4118:42 0 +20 *2077:62 *41131:A 0 +21 *2081:32 *4118:42 0 +22 *2100:23 *4118:21 0 +23 *2113:28 *41131:A 0 +24 *2126:28 *4118:21 0 +25 *2126:32 *4118:21 0 +26 *2415:50 *4118:42 0 +27 *2427:21 *4118:42 0 +28 *2844:27 *4118:21 0 +29 *2844:27 *4118:42 0 +30 *3233:29 *4118:21 0 +31 *3233:41 *4118:21 0 +32 *3933:16 *41131:A 0 +33 *3973:21 *41131:A 0 +34 *4002:23 *4118:42 0 +35 *4112:19 *4118:42 0 +*RES +1 *41132:X *4118:21 47.5673 +2 *4118:21 *4118:42 39.4581 +3 *4118:42 *41131:A 33.0857 +4 *4118:42 *8768:DIODE 9.3 +*END + +*D_NET *4119 0.0220802 +*CONN +*I *6402:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38278:B I *D sky130_fd_sc_hd__and2_1 +*I *41133:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6402:DIODE 0.000322386 +2 *38278:B 2.56688e-05 +3 *41133:X 0.000345469 +4 *4119:122 0.00158666 +5 *4119:109 0.00295513 +6 *4119:89 0.00386693 +7 *4119:78 0.00255691 +8 *4119:70 0.00166747 +9 *4119:46 0.00271902 +10 *4119:21 0.00357359 +11 *4119:18 0.002461 +12 *4119:18 *8451:DIODE 0 +13 *4119:21 *8451:DIODE 0 +14 *4119:21 *38316:A 0 +15 *4119:21 *4230:25 0 +16 *4119:21 *4230:46 0 +17 *4119:70 *38040:B 0 +18 *4119:109 *4289:21 0 +19 *5621:DIODE *4119:70 0 +20 *6380:DIODE *4119:21 0 +21 *6381:DIODE *4119:18 0 +22 *6453:DIODE *4119:21 0 +23 *8043:DIODE *4119:89 0 +24 *8047:DIODE *4119:46 0 +25 *8251:DIODE *4119:21 0 +26 *8516:DIODE *4119:70 0 +27 *37774:B *4119:70 0 +28 *40475:A *4119:21 0 +29 *40825:A *4119:70 0 +30 *40977:A *4119:89 0 +31 *1225:10 *4119:21 0 +32 *1225:10 *4119:46 0 +33 *1226:21 *4119:70 0 +34 *1439:17 *4119:89 0 +35 *1439:17 *4119:109 0 +36 *1532:17 *4119:70 0 +37 *1532:17 *4119:89 0 +38 *2419:68 *6402:DIODE 0 +39 *2419:97 *4119:109 0 +40 *2671:57 *4119:70 0 +41 *2835:21 *4119:70 0 +42 *2837:16 *4119:109 0 +43 *2928:28 *4119:122 0 +44 *3166:17 *4119:109 0 +45 *3263:52 *4119:89 0 +46 *3279:94 *4119:109 0 +47 *3279:95 *4119:122 0 +48 *3438:57 *4119:18 0 +49 *3438:71 *4119:21 0 +50 *3446:104 *4119:89 0 +51 *3518:90 *4119:21 0 +52 *3518:122 *4119:70 0 +53 *3530:89 *4119:21 0 +54 *3530:89 *4119:46 0 +55 *3530:97 *4119:46 0 +56 *3658:65 *4119:122 0 +57 *3702:100 *4119:78 0 +58 *3820:77 *6402:DIODE 0 +59 *3932:9 *4119:89 0 +60 *3932:9 *4119:109 0 +61 *3964:26 *4119:109 0 +62 *3977:47 *4119:46 0 +63 *3997:18 *4119:89 0 +64 *4006:45 *4119:70 0 +65 *4006:45 *4119:89 0 +66 *4006:69 *4119:89 0 +67 *4006:85 *4119:109 0 +68 *4059:24 *4119:89 0 +69 *4061:119 *4119:70 0 +70 *4066:31 *4119:109 0 +71 *4067:39 *4119:109 0 +72 *4070:20 *4119:89 0 +73 *4083:131 *4119:70 0 +74 *4085:22 *4119:78 0 +75 *4092:17 *4119:46 0 +76 *4092:19 *4119:46 0 +77 *4092:19 *4119:70 0 +78 *4111:10 *4119:89 0 +79 *4111:16 *4119:109 0 +80 *4113:37 *4119:18 0 +*RES +1 *41133:X *4119:18 25.8179 +2 *4119:18 *4119:21 44.0893 +3 *4119:21 *4119:46 49.3036 +4 *4119:46 *4119:70 49.4464 +5 *4119:70 *4119:78 22.1429 +6 *4119:78 *4119:89 45.0982 +7 *4119:89 *4119:109 48.1317 +8 *4119:109 *4119:122 40.5179 +9 *4119:122 *38278:B 14.3357 +10 *4119:122 *6402:DIODE 21.1393 +*END + +*D_NET *4120 0.0221401 +*CONN +*I *6399:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38276:B I *D sky130_fd_sc_hd__and2_1 +*I *41134:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6399:DIODE 0.000127012 +2 *38276:B 2.0535e-05 +3 *41134:X 0.000977541 +4 *4120:75 0.000310971 +5 *4120:74 0.00199146 +6 *4120:64 0.00279772 +7 *4120:40 0.00243879 +8 *4120:15 0.00698382 +9 *4120:14 0.00649226 +10 *6399:DIODE *4230:53 0 +11 *4120:14 *4273:22 0 +12 *4120:40 *8984:DIODE 0 +13 *4120:40 *4294:17 0 +14 *4120:40 *4308:40 0 +15 *4120:40 *4408:15 0 +16 *4120:64 *4218:24 0 +17 *4120:74 *41252:A 0 +18 *4120:74 *4291:37 0 +19 *4120:75 *4230:53 0 +20 *8667:DIODE *4120:64 0 +21 *8722:DIODE *4120:40 0 +22 *38320:B *4120:40 0 +23 *40320:A *4120:40 0 +24 *1226:21 *4120:74 0 +25 *1982:15 *4120:14 0 +26 *1987:13 *4120:14 0 +27 *2093:43 *4120:14 0 +28 *2254:87 *4120:40 0 +29 *2841:19 *4120:74 0 +30 *3518:54 *4120:64 0 +31 *3518:122 *6399:DIODE 0 +32 *3518:122 *4120:75 0 +33 *3980:41 *4120:15 0 +34 *3980:41 *4120:40 0 +35 *3980:80 *4120:75 0 +36 *3989:20 *4120:15 0 +37 *3998:45 *4120:64 0 +38 *4001:20 *4120:40 0 +39 *4001:28 *4120:64 0 +40 *4001:28 *4120:74 0 +41 *4006:23 *4120:40 0 +42 *4006:31 *4120:64 0 +43 *4009:14 *4120:14 0 +44 *4022:31 *4120:64 0 +45 *4024:30 *4120:74 0 +46 *4039:41 *4120:15 0 +47 *4039:41 *4120:40 0 +48 *4039:61 *4120:40 0 +49 *4039:61 *4120:64 0 +50 *4039:83 *4120:75 0 +51 *4050:13 *4120:64 0 +52 *4055:24 *4120:40 0 +53 *4056:17 *4120:15 0 +54 *4056:17 *4120:40 0 +55 *4098:42 *4120:64 0 +56 *4105:11 *4120:15 0 +57 *4105:15 *4120:15 0 +58 *4105:53 *4120:64 0 +59 *4107:23 *4120:64 0 +60 *4107:23 *4120:74 0 +*RES +1 *41134:X *4120:14 40.4786 +2 *4120:14 *4120:15 115.125 +3 *4120:15 *4120:40 49.6607 +4 *4120:40 *4120:64 44.1023 +5 *4120:64 *4120:74 47.4464 +6 *4120:74 *4120:75 3.41071 +7 *4120:75 *38276:B 9.72857 +8 *4120:75 *6399:DIODE 21.1214 +*END + +*D_NET *4121 0.0214914 +*CONN +*I *38274:B I *D sky130_fd_sc_hd__and2_1 +*I *6396:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41135:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38274:B 0.000122993 +2 *6396:DIODE 0 +3 *41135:X 0.0079527 +4 *4121:50 0.00279302 +5 *4121:27 0.0106227 +6 *4121:27 *4246:27 0 +7 *4121:27 *4246:45 0 +8 *4121:27 *4275:26 0 +9 *4121:27 *4277:33 0 +10 *4121:27 *4277:50 0 +11 *4121:27 *4288:52 0 +12 *4121:27 *4303:140 0 +13 *4121:27 *4886:21 0 +14 *4121:50 *4233:26 0 +15 *4121:50 *4295:58 0 +16 *37782:A *4121:27 0 +17 *1439:31 *38274:B 0 +18 *2128:28 *4121:27 0 +19 *3037:15 *4121:27 0 +20 *3053:62 *4121:50 0 +21 *3084:34 *4121:50 0 +22 *3143:25 *4121:27 0 +23 *3173:70 *4121:27 0 +24 *3210:48 *4121:27 0 +25 *3438:25 *4121:27 0 +26 *3532:24 *4121:50 0 +27 *3653:47 *4121:27 0 +28 *3965:96 *4121:50 0 +29 *4032:71 *4121:27 0 +30 *4037:24 *4121:50 0 +31 *4067:17 *4121:27 0 +32 *4117:66 *4121:50 0 +*RES +1 *41135:X *4121:27 47.2965 +2 *4121:27 *4121:50 46.3576 +3 *4121:50 *6396:DIODE 13.8 +4 *4121:50 *38274:B 16.6571 +*END + +*D_NET *4122 0.0233479 +*CONN +*I *41135:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8771:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41136:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41135:A 0.000443078 +2 *8771:DIODE 0.000202783 +3 *41136:X 0.00106916 +4 *4122:74 0.00237197 +5 *4122:65 0.00466081 +6 *4122:56 0.00449402 +7 *4122:40 0.0027754 +8 *4122:31 0.0037388 +9 *4122:13 0.00359188 +10 *8771:DIODE *4485:41 0 +11 *4122:13 *4143:15 0 +12 *4122:31 *8985:DIODE 0 +13 *4122:31 *4239:16 0 +14 *4122:31 *4280:20 0 +15 *4122:31 *4287:15 0 +16 *4122:40 *4283:10 0 +17 *4122:56 *4296:35 0 +18 *4122:65 *4296:35 0 +19 *4122:65 *4489:26 0 +20 *4122:74 *40422:A 0 +21 *4122:74 *5159:41 0 +22 *37780:A *4122:40 0 +23 *38240:A *4122:56 0 +24 *40952:A *4122:31 0 +25 *41284:A *4122:13 0 +26 *1971:14 *4122:13 0 +27 *1994:18 *4122:13 0 +28 *1994:18 *4122:31 0 +29 *2017:14 *4122:31 0 +30 *2033:7 *4122:40 0 +31 *2055:10 *4122:13 0 +32 *2073:20 *4122:40 0 +33 *2077:23 *4122:56 0 +34 *2084:11 *4122:31 0 +35 *2103:48 *4122:65 0 +36 *2107:19 *4122:13 0 +37 *2110:23 *4122:65 0 +38 *2111:28 *4122:56 0 +39 *2114:10 *4122:31 0 +40 *2118:25 *4122:65 0 +41 *2119:11 *4122:31 0 +42 *2131:21 *4122:31 0 +43 *2139:46 *4122:74 0 +44 *2145:58 *4122:13 0 +45 *2427:21 *4122:74 0 +46 *2437:40 *4122:65 0 +47 *3139:10 *4122:65 0 +48 *3140:84 *4122:74 0 +49 *3227:14 *4122:31 0 +50 *3228:85 *4122:56 0 +51 *3233:41 *4122:56 0 +52 *3242:11 *4122:31 0 +53 *3247:17 *41135:A 0 +54 *3247:29 *4122:40 0 +55 *3258:39 *4122:31 0 +56 *3258:56 *4122:13 0 +57 *3259:77 *4122:74 0 +58 *3322:18 *4122:65 0 +59 *3322:18 *4122:74 0 +60 *3365:10 *4122:40 0 +61 *3373:43 *4122:13 0 +62 *3449:26 *4122:74 0 +63 *3530:20 *8771:DIODE 0 +64 *3727:23 *4122:56 0 +65 *3838:32 *4122:74 0 +66 *3934:41 *4122:56 0 +67 *4083:11 *41135:A 0 +68 *4116:15 *4122:31 0 +*RES +1 *41136:X *4122:13 40.925 +2 *4122:13 *4122:31 45.8383 +3 *4122:31 *4122:40 40.0982 +4 *4122:40 *4122:56 46.375 +5 *4122:56 *4122:65 48.0859 +6 *4122:65 *4122:74 26.77 +7 *4122:74 *8771:DIODE 18.0321 +8 *4122:74 *41135:A 23.6036 +*END + +*D_NET *4123 0.0209563 +*CONN +*I *5636:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37786:A I *D sky130_fd_sc_hd__and2_1 +*I *41137:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5636:DIODE 0.00013104 +2 *37786:A 0 +3 *41137:X 0.00335802 +4 *4123:32 0.00712014 +5 *4123:28 0.0103471 +6 *5636:DIODE *4205:15 0 +7 *4123:28 *4212:43 0 +8 *4123:28 *4384:56 0 +9 *4123:28 *4395:53 0 +10 *4123:28 *4457:109 0 +11 *4123:28 *4915:35 0 +12 *4123:32 *38206:B 0 +13 *4123:32 *38216:A 0 +14 *4123:32 *4156:52 0 +15 *4123:32 *4162:62 0 +16 *4123:32 *4173:34 0 +17 *4123:32 *4211:36 0 +18 *4123:32 *4392:80 0 +19 *4123:32 *4395:83 0 +20 *4123:32 *4401:20 0 +21 *4123:32 *4442:20 0 +22 *4123:32 *4442:48 0 +23 *4123:32 *4726:19 0 +24 *4123:32 *4782:20 0 +25 *5637:DIODE *5636:DIODE 0 +26 *1379:25 *4123:28 0 +27 *1379:25 *4123:32 0 +28 *1492:17 *4123:32 0 +29 *1896:55 *4123:28 0 +30 *2067:46 *4123:32 0 +31 *2907:20 *4123:32 0 +32 *3063:22 *4123:32 0 +33 *3241:52 *4123:32 0 +34 *3282:15 *5636:DIODE 0 +35 *3282:15 *4123:32 0 +36 *3374:25 *4123:32 0 +37 *3374:60 *4123:28 0 +38 *3862:26 *4123:32 0 +39 *3888:65 *4123:32 0 +40 *3895:76 *4123:32 0 +*RES +1 *41137:X *4123:28 44.7847 +2 *4123:28 *4123:32 36.5869 +3 *4123:32 *37786:A 13.8 +4 *4123:32 *5636:DIODE 16.6929 +*END + +*D_NET *4124 0.0277752 +*CONN +*I *6390:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38270:B I *D sky130_fd_sc_hd__and2_1 +*I *41138:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6390:DIODE 0.00016428 +2 *38270:B 0 +3 *41138:X 0.000216186 +4 *4124:43 0.000209628 +5 *4124:40 0.00200848 +6 *4124:21 0.0108445 +7 *4124:20 0.0088814 +8 *4124:18 0.00261723 +9 *4124:16 0.00283342 +10 *4124:18 *4134:50 0 +11 *4124:18 *4697:12 0 +12 *4124:18 *5036:11 0 +13 *4124:21 *4245:33 0 +14 *4124:21 *4245:35 0 +15 *6393:DIODE *4124:18 0 +16 *38001:A *4124:18 0 +17 *38270:A *6390:DIODE 0 +18 *1206:24 *4124:40 0 +19 *1206:41 *4124:40 0 +20 *1411:24 *4124:40 0 +21 *1559:18 *4124:18 0 +22 *1820:39 *4124:18 0 +23 *2278:48 *4124:18 0 +24 *2843:56 *4124:18 0 +25 *2856:45 *4124:18 0 +26 *2944:10 *4124:40 0 +27 *3079:54 *4124:40 0 +28 *3124:49 *4124:18 0 +29 *3322:69 *4124:16 0 +30 *3324:58 *4124:18 0 +31 *3670:68 *6390:DIODE 0 +32 *3670:68 *4124:43 0 +33 *3692:9 *4124:18 0 +34 *3719:20 *4124:40 0 +35 *3753:13 *4124:21 0 +36 *3762:18 *4124:40 0 +37 *3803:52 *4124:40 0 +38 *3984:137 *6390:DIODE 0 +39 *4006:31 *4124:16 0 +40 *4020:64 *4124:16 0 +41 *4021:8 *4124:40 0 +42 *4050:29 *4124:18 0 +43 *4099:49 *6390:DIODE 0 +44 *4110:64 *4124:18 0 +*RES +1 *41138:X *4124:16 19.2911 +2 *4124:16 *4124:18 59.4732 +3 *4124:18 *4124:20 4.5 +4 *4124:20 *4124:21 185.357 +5 *4124:21 *4124:40 49.4643 +6 *4124:40 *4124:43 5.44643 +7 *4124:43 *38270:B 9.3 +8 *4124:43 *6390:DIODE 12.8536 +*END + +*D_NET *4125 0.0230016 +*CONN +*I *38266:B I *D sky130_fd_sc_hd__and2_1 +*I *6384:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41139:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38266:B 6.50276e-05 +2 *6384:DIODE 0.000458501 +3 *41139:X 0.0029069 +4 *4125:58 0.00214137 +5 *4125:33 0.00807035 +6 *4125:15 0.00935941 +7 *4125:15 *4227:23 0 +8 *4125:15 *4280:24 0 +9 *4125:15 *4298:20 0 +10 *4125:15 *4376:49 0 +11 *4125:33 *4129:41 0 +12 *4125:33 *4320:23 0 +13 *4125:33 *4321:26 0 +14 *4125:33 *4372:22 0 +15 *4125:33 *4379:47 0 +16 *4125:58 *4320:23 0 +17 *4125:58 *4347:38 0 +18 *4125:58 *4374:21 0 +19 *8538:DIODE *4125:33 0 +20 *8741:DIODE *6384:DIODE 0 +21 *40315:A *4125:33 0 +22 *2040:11 *4125:15 0 +23 *2117:26 *4125:15 0 +24 *2128:12 *4125:15 0 +25 *2131:60 *4125:15 0 +26 *2131:78 *4125:15 0 +27 *2133:56 *4125:15 0 +28 *2295:28 *4125:33 0 +29 *2420:26 *6384:DIODE 0 +30 *3011:16 *4125:58 0 +31 *3196:10 *4125:33 0 +32 *3252:62 *4125:33 0 +33 *3345:78 *4125:15 0 +34 *3355:69 *4125:15 0 +35 *3447:60 *4125:33 0 +36 *3449:37 *4125:15 0 +37 *3675:24 *4125:58 0 +38 *3763:21 *4125:58 0 +39 *3766:25 *4125:58 0 +40 *3797:90 *4125:33 0 +41 *3801:60 *4125:33 0 +42 *3811:31 *4125:58 0 +43 *3943:17 *4125:33 0 +44 *3943:50 *4125:33 0 +45 *3956:50 *4125:58 0 +46 *3965:20 *4125:15 0 +47 *3991:13 *6384:DIODE 0 +48 *3991:13 *38266:B 0 +49 *4006:12 *4125:33 0 +50 *4006:45 *38266:B 0 +51 *4089:17 *4125:15 0 +52 *4092:19 *6384:DIODE 0 +*RES +1 *41139:X *4125:15 34.2126 +2 *4125:15 *4125:33 48.821 +3 *4125:33 *4125:58 45.1766 +4 *4125:58 *6384:DIODE 32.7464 +5 *4125:58 *38266:B 15.1571 +*END + +*D_NET *4126 0.0180353 +*CONN +*I *37904:C I *D sky130_fd_sc_hd__and3b_1 +*I *5794:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38591:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37904:C 0.000250664 +2 *5794:DIODE 0 +3 *38591:X 0.00133856 +4 *4126:34 0.00105843 +5 *4126:31 0.00471027 +6 *4126:30 0.00390251 +7 *4126:28 0.00271816 +8 *4126:14 0.00405672 +9 *37904:C *37904:A_N 0 +10 *37904:C *4203:39 0 +11 *4126:14 *39561:A 0 +12 *4126:14 *4419:35 0 +13 *4126:14 *4571:32 0 +14 *4126:14 *4571:36 0 +15 *4126:14 *4891:51 0 +16 *4126:28 *4803:11 0 +17 *4126:31 *4451:11 0 +18 *4126:31 *4451:15 0 +19 *4126:31 *4451:17 0 +20 *5786:DIODE *37904:C 0 +21 *5786:DIODE *4126:34 0 +22 *6752:DIODE *4126:14 0 +23 *37332:A *4126:14 0 +24 *37904:B *37904:C 0 +25 *39415:B *4126:14 0 +26 *39430:A *4126:28 0 +27 *1763:10 *37904:C 0 +28 *1786:30 *4126:28 0 +29 *1786:35 *4126:31 0 +30 *1786:42 *4126:31 0 +31 *2364:14 *4126:31 0 +32 *2364:20 *4126:28 0 +33 *2694:22 *4126:34 0 +34 *3149:25 *4126:28 0 +35 *3609:38 *37904:C 0 +36 *3871:14 *4126:14 0 +*RES +1 *38591:X *4126:14 48.675 +2 *4126:14 *4126:28 45.6228 +3 *4126:28 *4126:30 4.5 +4 *4126:30 *4126:31 81.4464 +5 *4126:31 *4126:34 22.8393 +6 *4126:34 *5794:DIODE 13.8 +7 *4126:34 *37904:C 19.3357 +*END + +*D_NET *4127 0.0119266 +*CONN +*I *38138:A I *D sky130_fd_sc_hd__and2_1 +*I *6202:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37882:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *5755:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38592:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *38138:A 0.000101392 +2 *6202:DIODE 0 +3 *37882:A_N 0.000199605 +4 *5755:DIODE 3.05763e-05 +5 *38592:X 0.00141846 +6 *4127:52 0.000124066 +7 *4127:34 0.000690202 +8 *4127:29 0.0023988 +9 *4127:23 0.00375326 +10 *4127:14 0.00321026 +11 *5755:DIODE *4184:83 0 +12 *37882:A_N *4190:41 0 +13 *37882:A_N *4419:51 0 +14 *38138:A *4198:17 0 +15 *4127:14 *4463:23 0 +16 *4127:14 *4493:37 0 +17 *4127:14 *4504:57 0 +18 *4127:23 *38164:B 0 +19 *4127:23 *4198:17 0 +20 *4127:23 *4499:16 0 +21 *4127:23 *4795:46 0 +22 *4127:23 *4815:15 0 +23 *4127:29 *4177:19 0 +24 *4127:29 *4475:18 0 +25 *4127:29 *4511:52 0 +26 *4127:34 *4184:83 0 +27 *4127:34 *4249:28 0 +28 *6641:DIODE *4127:14 0 +29 *38396:A *4127:14 0 +30 *38410:A *4127:23 0 +31 *38493:A *4127:14 0 +32 *38505:A *4127:14 0 +33 *332:55 *4127:23 0 +34 *1354:15 *38138:A 0 +35 *1354:15 *4127:23 0 +36 *3082:30 *4127:23 0 +37 *3127:32 *4127:34 0 +38 *3138:10 *4127:14 0 +39 *3382:8 *4127:23 0 +40 *3390:47 *4127:23 0 +*RES +1 *38592:X *4127:14 43.5946 +2 *4127:14 *4127:23 44.8125 +3 *4127:23 *4127:29 49.6607 +4 *4127:29 *4127:34 15.1339 +5 *4127:34 *5755:DIODE 14.6839 +6 *4127:34 *37882:A_N 18.0946 +7 *4127:23 *4127:52 0.535714 +8 *4127:52 *6202:DIODE 9.3 +9 *4127:52 *38138:A 11.4786 +*END + +*D_NET *4128 0.02126 +*CONN +*I *38256:B I *D sky130_fd_sc_hd__and2_1 +*I *6369:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41140:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38256:B 0.000182462 +2 *6369:DIODE 0 +3 *41140:X 0.000449642 +4 *4128:83 0.000737977 +5 *4128:80 0.00174391 +6 *4128:57 0.00312527 +7 *4128:50 0.00335261 +8 *4128:39 0.00329868 +9 *4128:22 0.00349814 +10 *4128:13 0.00301842 +11 *4128:10 0.00185287 +12 *38256:B *4336:54 0 +13 *4128:39 *8920:DIODE 0 +14 *4128:39 *38318:A 0 +15 *4128:50 *4324:43 0 +16 *4128:50 *4358:68 0 +17 *4128:83 *8937:DIODE 0 +18 *5419:DIODE *4128:80 0 +19 *5961:DIODE *4128:50 0 +20 *6393:DIODE *4128:39 0 +21 *6456:DIODE *4128:39 0 +22 *8494:DIODE *4128:39 0 +23 *8535:DIODE *4128:57 0 +24 *8644:DIODE *4128:10 0 +25 *37610:A *4128:57 0 +26 *37610:A *4128:80 0 +27 *37646:A *4128:57 0 +28 *37660:A *4128:57 0 +29 *37660:B *4128:57 0 +30 *37848:B *4128:80 0 +31 *37999:A *4128:50 0 +32 *40247:A *4128:50 0 +33 *40788:A *4128:39 0 +34 *1224:20 *4128:50 0 +35 *1224:20 *4128:57 0 +36 *1229:29 *4128:57 0 +37 *1323:17 *4128:80 0 +38 *1429:21 *4128:57 0 +39 *1531:16 *4128:39 0 +40 *1531:43 *4128:39 0 +41 *1537:22 *4128:57 0 +42 *1558:28 *4128:50 0 +43 *1979:57 *4128:13 0 +44 *1999:52 *4128:22 0 +45 *2047:16 *4128:22 0 +46 *2053:60 *4128:22 0 +47 *2073:72 *4128:22 0 +48 *2077:68 *4128:22 0 +49 *2270:60 *4128:22 0 +50 *2270:60 *4128:39 0 +51 *2279:75 *4128:39 0 +52 *2295:28 *4128:22 0 +53 *2928:55 *38256:B 0 +54 *2928:55 *4128:83 0 +55 *3085:21 *4128:50 0 +56 *3120:24 *4128:50 0 +57 *3133:61 *4128:13 0 +58 *3133:61 *4128:22 0 +59 *3133:65 *4128:13 0 +60 *3196:10 *4128:22 0 +61 *3201:26 *4128:22 0 +62 *3247:17 *4128:10 0 +63 *3305:105 *4128:80 0 +64 *3316:98 *4128:83 0 +65 *3320:129 *4128:39 0 +66 *3322:109 *4128:39 0 +67 *3322:109 *4128:50 0 +68 *3327:31 *4128:13 0 +69 *3334:53 *38256:B 0 +70 *3340:61 *4128:39 0 +71 *3438:44 *4128:22 0 +72 *3447:60 *4128:39 0 +73 *3447:75 *4128:39 0 +74 *3447:75 *4128:50 0 +75 *3449:37 *4128:10 0 +76 *3526:106 *4128:80 0 +77 *3736:24 *4128:50 0 +78 *3789:102 *4128:80 0 +79 *3795:65 *4128:50 0 +80 *3795:65 *4128:57 0 +81 *3801:60 *4128:50 0 +82 *3801:69 *4128:57 0 +83 *3812:77 *4128:57 0 +84 *3815:14 *4128:39 0 +85 *3815:47 *4128:57 0 +86 *3815:47 *4128:80 0 +87 *3815:70 *4128:80 0 +88 *3815:70 *4128:83 0 +89 *3826:41 *4128:57 0 +90 *3947:27 *4128:22 0 +91 *4046:24 *4128:13 0 +92 *4083:11 *4128:10 0 +93 *4096:44 *4128:22 0 +94 *4113:37 *4128:50 0 +95 *4113:53 *4128:50 0 +96 *4113:53 *4128:57 0 +97 *4113:75 *4128:57 0 +98 *4113:99 *38256:B 0 +*RES +1 *41140:X *4128:10 28.2107 +2 *4128:10 *4128:13 33.7857 +3 *4128:13 *4128:22 48.9821 +4 *4128:22 *4128:39 48.4821 +5 *4128:39 *4128:50 39.0536 +6 *4128:50 *4128:57 49.3929 +7 *4128:57 *4128:80 47.875 +8 *4128:80 *4128:83 16.125 +9 *4128:83 *6369:DIODE 9.3 +10 *4128:83 *38256:B 13.1393 +*END + +*D_NET *4129 0.0206739 +*CONN +*I *38252:B I *D sky130_fd_sc_hd__and2_1 +*I *6363:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41141:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38252:B 0.000140567 +2 *6363:DIODE 5.22844e-05 +3 *41141:X 0.00357877 +4 *4129:41 0.00675816 +5 *4129:24 0.0101441 +6 *4129:24 *4243:21 0 +7 *4129:24 *4376:19 0 +8 *4129:41 *4320:23 0 +9 *4129:41 *4321:26 0 +10 *4129:41 *4372:22 0 +11 *4129:41 *4374:21 0 +12 *4129:41 *4379:47 0 +13 *1296:15 *4129:24 0 +14 *1975:25 *4129:24 0 +15 *1999:29 *4129:24 0 +16 *2101:27 *4129:41 0 +17 *2259:75 *4129:41 0 +18 *2295:28 *4129:41 0 +19 *2342:13 *4129:41 0 +20 *3143:25 *4129:24 0 +21 *3801:60 *4129:41 0 +22 *3815:39 *38252:B 0 +23 *3826:41 *38252:B 0 +24 *3943:17 *4129:24 0 +25 *3943:50 *4129:41 0 +26 *3956:50 *4129:41 0 +27 *4063:22 *4129:24 0 +28 *4098:42 *4129:41 0 +29 *4125:33 *4129:41 0 +*RES +1 *41141:X *4129:24 44.9303 +2 *4129:24 *4129:41 42.0068 +3 *4129:41 *6363:DIODE 14.9875 +4 *4129:41 *38252:B 16.8625 +*END + +*D_NET *4130 0.0227177 +*CONN +*I *38248:B I *D sky130_fd_sc_hd__and2_1 +*I *6357:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41142:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38248:B 0.000194655 +2 *6357:DIODE 0 +3 *41142:X 0.000316965 +4 *4130:17 0.00157711 +5 *4130:13 0.0108472 +6 *4130:10 0.00978175 +7 *38248:B *4146:21 0 +8 *4130:10 *4189:22 0 +9 *4130:13 *4141:21 0 +10 *4130:13 *4154:24 0 +11 *4130:13 *4179:47 0 +12 *4130:17 *4146:21 0 +13 *4130:17 *4446:10 0 +14 *4130:17 *4446:12 0 +15 *6356:DIODE *38248:B 0 +16 *1806:35 *4130:13 0 +17 *1889:70 *38248:B 0 +18 *1959:9 *4130:10 0 +19 *2018:30 *4130:13 0 +20 *2066:33 *4130:17 0 +21 *2104:26 *4130:13 0 +22 *2107:45 *4130:13 0 +23 *2151:29 *4130:10 0 +24 *3232:24 *4130:13 0 +25 *3339:53 *4130:13 0 +26 *3347:48 *4130:17 0 +27 *3350:28 *4130:13 0 +28 *3364:13 *4130:13 0 +29 *3454:48 *4130:17 0 +30 *3846:16 *4130:13 0 +31 *3886:41 *38248:B 0 +32 *3886:41 *4130:17 0 +*RES +1 *41142:X *4130:10 24.2189 +2 *4130:10 *4130:13 37.6887 +3 *4130:13 *4130:17 35.6875 +4 *4130:17 *6357:DIODE 9.3 +5 *4130:17 *38248:B 13.7643 +*END + +*D_NET *4131 0.0208276 +*CONN +*I *6351:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38244:B I *D sky130_fd_sc_hd__and2_1 +*I *41143:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6351:DIODE 2.35958e-05 +2 *38244:B 0.000371654 +3 *41143:X 0.00175532 +4 *4131:28 0.00130973 +5 *4131:25 0.0044234 +6 *4131:24 0.00504984 +7 *4131:17 0.00349303 +8 *4131:14 0.00229891 +9 *4131:7 0.00210212 +10 *38244:B *4164:15 0 +11 *38244:B *4169:22 0 +12 *38244:B *4178:25 0 +13 *38244:B *4183:21 0 +14 *38244:B *4391:18 0 +15 *4131:17 *4154:13 0 +16 *4131:24 *4154:13 0 +17 *4131:28 *4139:42 0 +18 *4131:28 *4169:22 0 +19 *6303:DIODE *4131:7 0 +20 *6371:DIODE *38244:B 0 +21 *1498:16 *6351:DIODE 0 +22 *1498:16 *4131:28 0 +23 *1521:23 *6351:DIODE 0 +24 *1521:23 *38244:B 0 +25 *2140:27 *4131:7 0 +26 *3137:41 *4131:28 0 +27 *3156:55 *4131:17 0 +28 *3214:21 *4131:7 0 +29 *3214:21 *4131:14 0 +30 *3333:27 *4131:28 0 +31 *3333:33 *38244:B 0 +32 *3452:11 *4131:24 0 +33 *3594:26 *4131:7 0 +34 *3906:11 *4131:14 0 +35 *3906:11 *4131:25 0 +*RES +1 *41143:X *4131:7 45.9964 +2 *4131:7 *4131:14 16.3214 +3 *4131:14 *4131:17 40.8036 +4 *4131:17 *4131:24 41.2679 +5 *4131:24 *4131:25 73.2321 +6 *4131:25 *4131:28 25.2679 +7 *4131:28 *38244:B 25.9572 +8 *4131:28 *6351:DIODE 14.3357 +*END + +*D_NET *4132 0.0231081 +*CONN +*I *38242:B I *D sky130_fd_sc_hd__and2_1 +*I *6348:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41144:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38242:B 0.000255808 +2 *6348:DIODE 4.53482e-05 +3 *41144:X 0.00124228 +4 *4132:80 0.00126884 +5 *4132:79 0.00270546 +6 *4132:74 0.0031368 +7 *4132:58 0.00313312 +8 *4132:47 0.00307764 +9 *4132:44 0.00179391 +10 *4132:28 0.0028285 +11 *4132:15 0.00362041 +12 *38242:B *4153:50 0 +13 *38242:B *4400:48 0 +14 *4132:15 *4157:13 0 +15 *4132:28 *4137:15 0 +16 *4132:28 *4165:20 0 +17 *4132:28 *4915:35 0 +18 *4132:44 *4159:29 0 +19 *4132:47 *4782:21 0 +20 *4132:58 *4209:27 0 +21 *4132:58 *4391:27 0 +22 *4132:74 *4440:16 0 +23 *4132:74 *4440:20 0 +24 *4132:79 *4391:41 0 +25 *4132:80 *4397:59 0 +26 *40953:A *4132:74 0 +27 *41145:A *4132:15 0 +28 *1374:7 *4132:58 0 +29 *1398:37 *4132:15 0 +30 *1499:12 *4132:80 0 +31 *1502:11 *4132:47 0 +32 *1502:11 *4132:58 0 +33 *1524:35 *4132:15 0 +34 *1790:8 *4132:80 0 +35 *1795:21 *4132:74 0 +36 *1800:18 *4132:28 0 +37 *1802:8 *4132:74 0 +38 *1894:48 *4132:15 0 +39 *1901:69 *4132:44 0 +40 *2004:71 *4132:58 0 +41 *2043:40 *4132:28 0 +42 *2048:20 *4132:28 0 +43 *2165:29 *4132:28 0 +44 *3136:14 *4132:58 0 +45 *3136:32 *4132:74 0 +46 *3241:25 *4132:47 0 +47 *3241:25 *4132:58 0 +48 *3241:51 *4132:80 0 +49 *3268:29 *4132:28 0 +50 *3329:43 *4132:44 0 +51 *3336:51 *4132:28 0 +52 *3347:41 *4132:58 0 +53 *3347:48 *4132:58 0 +54 *3374:25 *4132:58 0 +55 *3379:18 *4132:15 0 +56 *3383:29 *4132:80 0 +57 *3845:121 *38242:B 0 +58 *3859:29 *4132:58 0 +59 *3859:36 *4132:58 0 +60 *3865:38 *4132:80 0 +61 *3884:132 *38242:B 0 +62 *3885:13 *4132:80 0 +63 *3895:49 *4132:28 0 +64 *3920:8 *4132:74 0 +65 *3921:41 *4132:28 0 +66 *3921:46 *4132:74 0 +67 *4068:45 *4132:58 0 +68 *4068:45 *4132:74 0 +*RES +1 *41144:X *4132:15 48.8536 +2 *4132:15 *4132:28 47.8849 +3 *4132:28 *4132:44 23.4464 +4 *4132:44 *4132:47 28.0714 +5 *4132:47 *4132:58 49.9554 +6 *4132:58 *4132:74 47.5089 +7 *4132:74 *4132:79 45.2679 +8 *4132:79 *4132:80 21.9821 +9 *4132:80 *6348:DIODE 14.7464 +10 *4132:80 *38242:B 19.3179 +*END + +*D_NET *4133 0.0196086 +*CONN +*I *6343:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38238:B I *D sky130_fd_sc_hd__and2_1 +*I *41145:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6343:DIODE 0 +2 *38238:B 0.000163425 +3 *41145:X 0 +4 *4133:79 0.000264816 +5 *4133:76 0.00184441 +6 *4133:53 0.00296728 +7 *4133:34 0.00313761 +8 *4133:27 0.00329945 +9 *4133:5 0.00465887 +10 *4133:4 0.00327277 +11 *4133:5 *37980:C 0 +12 *4133:5 *4926:46 0 +13 *4133:5 *4926:49 0 +14 *4133:27 *4146:21 0 +15 *4133:34 *4146:21 0 +16 *4133:34 *4146:23 0 +17 *4133:53 *4180:27 0 +18 *4133:53 *4205:8 0 +19 *4133:53 *4401:20 0 +20 *4133:76 *6293:DIODE 0 +21 *4133:76 *4142:15 0 +22 *4133:76 *4174:14 0 +23 *4133:76 *4180:60 0 +24 *4133:76 *4185:21 0 +25 *4133:76 *4205:8 0 +26 *4133:76 *4387:118 0 +27 *4133:76 *4389:55 0 +28 *4133:76 *4394:45 0 +29 *4133:76 *4397:59 0 +30 *5925:DIODE *4133:5 0 +31 *5931:DIODE *4133:27 0 +32 *5968:DIODE *4133:76 0 +33 *6219:DIODE *4133:53 0 +34 *6356:DIODE *4133:27 0 +35 *7430:DIODE *4133:5 0 +36 *37980:B *4133:5 0 +37 *38238:A *38238:B 0 +38 *40656:A *4133:27 0 +39 *41157:A *4133:27 0 +40 *1196:14 *4133:5 0 +41 *1518:17 *38238:B 0 +42 *1518:17 *4133:79 0 +43 *1528:42 *4133:5 0 +44 *1675:66 *4133:5 0 +45 *1887:82 *4133:27 0 +46 *3282:15 *4133:76 0 +47 *3591:32 *4133:5 0 +48 *3591:59 *4133:27 0 +49 *3606:32 *38238:B 0 +50 *3606:32 *4133:79 0 +51 *3629:131 *38238:B 0 +52 *3629:131 *4133:79 0 +53 *3875:41 *4133:76 0 +54 *3886:12 *4133:5 0 +55 *3886:19 *4133:5 0 +56 *3886:19 *4133:27 0 +57 *3886:41 *4133:27 0 +58 *3886:51 *4133:53 0 +59 *3886:76 *4133:76 0 +*RES +1 *41145:X *4133:4 9.3 +2 *4133:4 *4133:5 68.3036 +3 *4133:5 *4133:27 47.2143 +4 *4133:27 *4133:34 49.0714 +5 *4133:34 *4133:53 48.8036 +6 *4133:53 *4133:76 48.1789 +7 *4133:76 *4133:79 6.67857 +8 *4133:79 *38238:B 12.7107 +9 *4133:79 *6343:DIODE 9.3 +*END + +*D_NET *4134 0.0201015 +*CONN +*I *38234:B I *D sky130_fd_sc_hd__and2_2 +*I *6338:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41146:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38234:B 0.000271375 +2 *6338:DIODE 0 +3 *41146:X 0.0011384 +4 *4134:97 0.00137657 +5 *4134:84 0.00265698 +6 *4134:69 0.00383932 +7 *4134:66 0.00237597 +8 *4134:61 0.00224719 +9 *4134:50 0.00360801 +10 *4134:32 0.00258766 +11 *4134:32 *8854:DIODE 0 +12 *4134:32 *8984:DIODE 0 +13 *4134:32 *4292:29 0 +14 *4134:50 *4345:84 0 +15 *4134:50 *4353:33 0 +16 *4134:61 *38316:A 0 +17 *4134:69 *38028:B 0 +18 *4134:69 *4135:108 0 +19 *4134:97 *4358:120 0 +20 *5465:DIODE *4134:69 0 +21 *5470:DIODE *4134:61 0 +22 *6462:DIODE *4134:61 0 +23 *8471:DIODE *4134:61 0 +24 *8480:DIODE *4134:61 0 +25 *8762:DIODE *4134:61 0 +26 *38016:A_N *4134:69 0 +27 *38028:C *4134:69 0 +28 *38040:C *4134:69 0 +29 *38320:B *4134:32 0 +30 *40735:A *4134:50 0 +31 *40748:A *4134:50 0 +32 *41045:A *4134:32 0 +33 *1224:42 *4134:69 0 +34 *1224:66 *4134:69 0 +35 *1224:71 *4134:84 0 +36 *1229:51 *4134:69 0 +37 *1413:15 *4134:84 0 +38 *2281:62 *4134:50 0 +39 *3011:16 *4134:69 0 +40 *3105:123 *4134:69 0 +41 *3316:63 *4134:69 0 +42 *3316:77 *4134:69 0 +43 *3316:77 *4134:84 0 +44 *3320:156 *4134:61 0 +45 *3321:128 *4134:97 0 +46 *3322:82 *4134:61 0 +47 *3322:146 *4134:69 0 +48 *3438:91 *4134:69 0 +49 *3530:89 *4134:61 0 +50 *3530:97 *4134:69 0 +51 *3677:10 *4134:50 0 +52 *3702:31 *4134:61 0 +53 *3726:61 *38234:B 0 +54 *3747:53 *4134:69 0 +55 *3747:72 *4134:69 0 +56 *3747:72 *4134:84 0 +57 *3747:83 *4134:84 0 +58 *3747:83 *4134:97 0 +59 *3747:97 *4134:97 0 +60 *3806:53 *4134:61 0 +61 *3819:17 *4134:50 0 +62 *3989:41 *4134:32 0 +63 *4085:7 *4134:61 0 +64 *4085:40 *4134:97 0 +65 *4095:82 *38234:B 0 +66 *4095:82 *4134:97 0 +67 *4113:37 *4134:32 0 +68 *4124:18 *4134:50 0 +*RES +1 *41146:X *4134:32 47.9696 +2 *4134:32 *4134:50 49.9732 +3 *4134:50 *4134:61 49.6786 +4 *4134:61 *4134:66 10.9464 +5 *4134:66 *4134:69 47.7857 +6 *4134:69 *4134:84 46.25 +7 *4134:84 *4134:97 36.7857 +8 *4134:97 *6338:DIODE 9.3 +9 *4134:97 *38234:B 24.0857 +*END + +*D_NET *4135 0.0233934 +*CONN +*I *38230:B I *D sky130_fd_sc_hd__and2_1 +*I *6332:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41147:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38230:B 0.000147662 +2 *6332:DIODE 0.000135311 +3 *41147:X 0.00196113 +4 *4135:108 0.00113504 +5 *4135:96 0.00305482 +6 *4135:62 0.00354597 +7 *4135:45 0.00639779 +8 *4135:21 0.00701569 +9 *38230:B *4365:82 0 +10 *4135:21 *8907:DIODE 0 +11 *4135:21 *4287:49 0 +12 *4135:21 *4300:15 0 +13 *4135:21 *4302:51 0 +14 *4135:21 *4306:35 0 +15 *4135:45 *4275:56 0 +16 *4135:45 *4286:11 0 +17 *4135:45 *4297:24 0 +18 *4135:45 *4306:62 0 +19 *4135:62 *4277:50 0 +20 *4135:62 *4301:59 0 +21 *4135:62 *4301:67 0 +22 *4135:96 *4275:56 0 +23 *4135:96 *4295:53 0 +24 *4135:96 *4521:41 0 +25 *4135:96 *4886:21 0 +26 *5456:DIODE *4135:62 0 +27 *5622:DIODE *4135:96 0 +28 *8229:DIODE *4135:21 0 +29 *37638:B *4135:62 0 +30 *37642:B *4135:45 0 +31 *37848:B *4135:108 0 +32 *41147:A *4135:21 0 +33 *1218:36 *4135:62 0 +34 *1237:53 *6332:DIODE 0 +35 *1514:21 *38230:B 0 +36 *1967:58 *4135:45 0 +37 *2139:67 *4135:21 0 +38 *3173:30 *4135:62 0 +39 *3192:66 *4135:96 0 +40 *3202:48 *4135:62 0 +41 *3206:25 *4135:45 0 +42 *3243:27 *4135:45 0 +43 *3270:93 *4135:21 0 +44 *3318:13 *4135:45 0 +45 *3318:13 *4135:96 0 +46 *3327:92 *4135:96 0 +47 *3335:27 *4135:21 0 +48 *3447:25 *4135:21 0 +49 *3747:72 *4135:108 0 +50 *3777:33 *4135:96 0 +51 *3784:59 *4135:96 0 +52 *3788:20 *4135:45 0 +53 *3824:23 *6332:DIODE 0 +54 *3933:49 *4135:45 0 +55 *4022:68 *4135:96 0 +56 *4022:94 *38230:B 0 +57 *4032:37 *4135:21 0 +58 *4039:131 *4135:96 0 +59 *4052:27 *4135:96 0 +60 *4061:75 *4135:62 0 +61 *4072:51 *4135:96 0 +62 *4085:22 *4135:108 0 +63 *4112:40 *4135:45 0 +64 *4117:51 *4135:96 0 +65 *4134:69 *4135:108 0 +*RES +1 *41147:X *4135:21 43.6934 +2 *4135:21 *4135:45 46.9266 +3 *4135:45 *4135:62 47.625 +4 *4135:62 *4135:96 47.7071 +5 *4135:96 *4135:108 36.7143 +6 *4135:108 *6332:DIODE 16.8893 +7 *4135:108 *38230:B 16.925 +*END + +*D_NET *4136 0.0199359 +*CONN +*I *6319:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38220:B I *D sky130_fd_sc_hd__and2_1 +*I *41148:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6319:DIODE 0.000311749 +2 *38220:B 2.56688e-05 +3 *41148:X 0.00134444 +4 *4136:68 0.000449871 +5 *4136:65 0.00236949 +6 *4136:63 0.00298037 +7 *4136:49 0.0039961 +8 *4136:48 0.00431792 +9 *4136:41 0.00192048 +10 *4136:25 0.00221977 +11 *4136:25 *37994:C 0 +12 *4136:25 *4140:40 0 +13 *4136:25 *4157:13 0 +14 *4136:25 *4168:16 0 +15 *4136:25 *4183:7 0 +16 *4136:25 *4214:24 0 +17 *4136:25 *4392:26 0 +18 *4136:25 *4470:47 0 +19 *4136:25 *4470:59 0 +20 *4136:41 *4175:17 0 +21 *4136:41 *4397:36 0 +22 *4136:48 *4157:46 0 +23 *4136:48 *4168:39 0 +24 *4136:49 *4157:46 0 +25 *4136:49 *4157:64 0 +26 *4136:49 *4180:60 0 +27 *4136:49 *4206:27 0 +28 *4136:63 *4157:78 0 +29 *4136:63 *4168:65 0 +30 *4136:63 *4174:14 0 +31 *4136:63 *4183:41 0 +32 *4136:65 *4150:17 0 +33 *4136:65 *4168:65 0 +34 *4136:65 *4168:72 0 +35 *4136:65 *4174:14 0 +36 *4136:65 *4183:41 0 +37 *4136:65 *4442:20 0 +38 *5370:DIODE *6319:DIODE 0 +39 *6318:DIODE *6319:DIODE 0 +40 *37560:A *6319:DIODE 0 +41 *38004:C *4136:41 0 +42 *40094:A *4136:49 0 +43 *40913:A *4136:48 0 +44 *41177:A *4136:25 0 +45 *1373:13 *6319:DIODE 0 +46 *1521:23 *4136:48 0 +47 *1672:43 *4136:41 0 +48 *1799:10 *6319:DIODE 0 +49 *1894:66 *4136:25 0 +50 *1894:89 *4136:41 0 +51 *2741:15 *4136:25 0 +52 *2951:21 *4136:49 0 +53 *3332:62 *4136:41 0 +54 *3458:16 *4136:63 0 +55 *3628:11 *6319:DIODE 0 +56 *3861:70 *4136:41 0 +57 *3875:32 *4136:49 0 +58 *3875:65 *38220:B 0 +59 *3886:41 *4136:48 0 +60 *3897:21 *4136:41 0 +61 *3897:21 *4136:48 0 +62 *3897:92 *38220:B 0 +*RES +1 *41148:X *4136:25 46.925 +2 *4136:25 *4136:41 31.2114 +3 *4136:41 *4136:48 31.0179 +4 *4136:48 *4136:49 68.3036 +5 *4136:49 *4136:63 24.6875 +6 *4136:63 *4136:65 47.1518 +7 *4136:65 *4136:68 7.05357 +8 *4136:68 *38220:B 14.3357 +9 *4136:68 *6319:DIODE 20.8357 +*END + +*D_NET *4137 0.0210586 +*CONN +*I *6306:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38210:B I *D sky130_fd_sc_hd__and2_1 +*I *41149:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6306:DIODE 5.48686e-05 +2 *38210:B 0.00035612 +3 *41149:X 0.00129506 +4 *4137:60 0.000735923 +5 *4137:59 0.00231503 +6 *4137:44 0.00349141 +7 *4137:15 0.00646651 +8 *4137:14 0.00500688 +9 *4137:9 0.00133675 +10 *38210:B *4169:22 0 +11 *38210:B *4211:11 0 +12 *38210:B *4214:63 0 +13 *4137:15 *4143:36 0 +14 *4137:44 *4447:27 0 +15 *4137:44 *4915:35 0 +16 *4137:60 *4139:42 0 +17 *4137:60 *4959:58 0 +18 *40942:A *4137:9 0 +19 *40951:A *4137:44 0 +20 *1376:23 *4137:44 0 +21 *1502:10 *4137:60 0 +22 *1504:27 *38210:B 0 +23 *1968:17 *4137:9 0 +24 *2002:8 *4137:9 0 +25 *2004:50 *4137:44 0 +26 *2004:50 *4137:59 0 +27 *2004:65 *4137:59 0 +28 *2043:40 *4137:15 0 +29 *2043:43 *4137:44 0 +30 *2064:16 *4137:44 0 +31 *2147:8 *4137:15 0 +32 *2151:19 *4137:9 0 +33 *2165:29 *4137:15 0 +34 *2165:43 *4137:44 0 +35 *3152:9 *4137:9 0 +36 *3213:18 *4137:44 0 +37 *3227:15 *4137:15 0 +38 *3242:13 *4137:9 0 +39 *3242:19 *4137:9 0 +40 *3242:27 *4137:15 0 +41 *3329:43 *4137:44 0 +42 *3352:33 *4137:60 0 +43 *3374:25 *4137:60 0 +44 *3384:27 *4137:15 0 +45 *3384:48 *4137:15 0 +46 *3384:51 *4137:44 0 +47 *3890:50 *4137:44 0 +48 *3890:50 *4137:59 0 +49 *3921:46 *4137:59 0 +50 *3974:23 *4137:44 0 +51 *3974:23 *4137:59 0 +52 *4068:37 *4137:44 0 +53 *4132:28 *4137:15 0 +*RES +1 *41149:X *4137:9 45.7821 +2 *4137:9 *4137:14 9.94643 +3 *4137:14 *4137:15 103.625 +4 *4137:15 *4137:44 49.2889 +5 *4137:44 *4137:59 45.7273 +6 *4137:59 *4137:60 7.41071 +7 *4137:60 *38210:B 21.8804 +8 *4137:60 *6306:DIODE 15.2196 +*END + +*D_NET *4138 0.00030144 +*CONN +*I *40513:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38593:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40513:A 0.00015072 +2 *38593:X 0.00015072 +3 *2749:25 *40513:A 0 +4 *2749:40 *40513:A 0 +5 *3497:27 *40513:A 0 +*RES +1 *38593:X *40513:A 30.9036 +*END + +*D_NET *4139 0.0212782 +*CONN +*I *38206:B I *D sky130_fd_sc_hd__and2_1 +*I *6301:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41150:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38206:B 0.000234444 +2 *6301:DIODE 0 +3 *41150:X 0.000389771 +4 *4139:42 0.00155314 +5 *4139:27 0.00404044 +6 *4139:26 0.00317614 +7 *4139:15 0.00315347 +8 *4139:13 0.00271961 +9 *4139:11 0.002821 +10 *4139:10 0.00319024 +11 *38206:B *4162:62 0 +12 *38206:B *4391:18 0 +13 *4139:15 *4154:13 0 +14 *4139:15 *4466:29 0 +15 *4139:26 *4456:24 0 +16 *4139:26 *4831:15 0 +17 *4139:26 *4871:10 0 +18 *4139:42 *4141:21 0 +19 *4139:42 *4154:36 0 +20 *4139:42 *4154:39 0 +21 *4139:42 *4200:32 0 +22 *8182:DIODE *4139:10 0 +23 *40945:A *4139:27 0 +24 *41159:A *4139:10 0 +25 *1809:20 *4139:10 0 +26 *2048:15 *4139:26 0 +27 *2078:15 *4139:10 0 +28 *3132:23 *4139:10 0 +29 *3333:27 *4139:42 0 +30 *3350:11 *4139:27 0 +31 *3350:28 *4139:42 0 +32 *3352:33 *4139:42 0 +33 *3873:53 *4139:42 0 +34 *3879:25 *4139:42 0 +35 *3902:49 *4139:11 0 +36 *3902:61 *4139:15 0 +37 *3912:15 *4139:11 0 +38 *3912:15 *4139:15 0 +39 *3912:25 *4139:27 0 +40 *3918:21 *4139:27 0 +41 *3923:14 *4139:26 0 +42 *4123:32 *38206:B 0 +43 *4131:28 *4139:42 0 +44 *4137:60 *4139:42 0 +*RES +1 *41150:X *4139:10 26.8893 +2 *4139:10 *4139:11 58.4464 +3 *4139:11 *4139:13 0.428571 +4 *4139:13 *4139:15 56.3929 +5 *4139:15 *4139:26 27.9464 +6 *4139:26 *4139:27 56.8036 +7 *4139:27 *4139:42 46.087 +8 *4139:42 *6301:DIODE 13.8 +9 *4139:42 *38206:B 24.241 +*END + +*D_NET *4140 0.020299 +*CONN +*I *38204:B I *D sky130_fd_sc_hd__and2_1 +*I *6299:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41151:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38204:B 0 +2 *6299:DIODE 0.000100763 +3 *41151:X 0.00166376 +4 *4140:115 0.00099185 +5 *4140:107 0.00195638 +6 *4140:88 0.00264583 +7 *4140:63 0.00301932 +8 *4140:41 0.00368823 +9 *4140:40 0.00340926 +10 *4140:15 0.00282358 +11 *4140:15 *4392:26 0 +12 *4140:40 *4178:25 0 +13 *4140:40 *4206:27 0 +14 *4140:40 *4214:24 0 +15 *4140:41 *4169:22 0 +16 *4140:41 *4211:11 0 +17 *4140:63 *4164:15 0 +18 *4140:63 *4183:39 0 +19 *4140:63 *4384:56 0 +20 *4140:88 *6295:DIODE 0 +21 *4140:88 *38186:B 0 +22 *4140:88 *4157:64 0 +23 *4140:88 *4194:9 0 +24 *4140:88 *4199:10 0 +25 *4140:88 *4214:73 0 +26 *4140:88 *4392:89 0 +27 *4140:107 *4168:55 0 +28 *4140:107 *4168:65 0 +29 *4140:107 *4183:41 0 +30 *4140:107 *4196:41 0 +31 *4140:115 *4169:59 0 +32 *4140:115 *4183:41 0 +33 *8822:DIODE *4140:88 0 +34 *38006:A_N *4140:40 0 +35 *38006:C *4140:15 0 +36 *38006:C *4140:40 0 +37 *41200:A *4140:88 0 +38 *1284:24 *4140:88 0 +39 *1393:45 *4140:40 0 +40 *1393:45 *4140:41 0 +41 *1393:64 *4140:15 0 +42 *1393:64 *4140:40 0 +43 *1402:20 *4140:40 0 +44 *1501:18 *4140:115 0 +45 *1504:27 *4140:41 0 +46 *1533:22 *4140:40 0 +47 *1533:43 *4140:15 0 +48 *1533:57 *4140:15 0 +49 *1791:6 *4140:88 0 +50 *1985:16 *4140:88 0 +51 *2166:75 *4140:40 0 +52 *3061:38 *4140:63 0 +53 *3333:58 *4140:63 0 +54 *3567:25 *4140:107 0 +55 *3567:25 *4140:115 0 +56 *3567:32 *4140:115 0 +57 *3875:53 *4140:115 0 +58 *3895:49 *4140:15 0 +59 *3895:49 *4140:40 0 +60 *3897:48 *4140:63 0 +61 *3897:49 *4140:107 0 +62 *4136:25 *4140:40 0 +*RES +1 *41151:X *4140:15 44.3357 +2 *4140:15 *4140:40 45.8377 +3 *4140:40 *4140:41 46.9464 +4 *4140:41 *4140:63 48.2802 +5 *4140:63 *4140:88 47.3393 +6 *4140:88 *4140:107 45 +7 *4140:107 *4140:115 27.9107 +8 *4140:115 *6299:DIODE 20.4786 +9 *4140:115 *38204:B 9.3 +*END + +*D_NET *4141 0.0212437 +*CONN +*I *6296:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38202:B I *D sky130_fd_sc_hd__and2_1 +*I *41152:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6296:DIODE 4.23535e-05 +2 *38202:B 0.000104386 +3 *41152:X 0.00167687 +4 *4141:26 0.000767153 +5 *4141:21 0.00879826 +6 *4141:14 0.00985472 +7 *6296:DIODE *4168:55 0 +8 *6296:DIODE *4183:39 0 +9 *38202:B *4168:55 0 +10 *38202:B *4183:39 0 +11 *4141:14 *4179:47 0 +12 *4141:21 *4165:20 0 +13 *4141:21 *4179:47 0 +14 *4141:21 *4466:28 0 +15 *4141:26 *4196:17 0 +16 *295:24 *4141:21 0 +17 *343:13 *4141:21 0 +18 *1166:8 *4141:21 0 +19 *1800:37 *4141:21 0 +20 *1802:19 *4141:21 0 +21 *1985:13 *4141:26 0 +22 *2013:13 *4141:26 0 +23 *2064:31 *4141:21 0 +24 *2064:31 *4141:26 0 +25 *2107:45 *4141:14 0 +26 *2144:61 *4141:14 0 +27 *3059:21 *4141:21 0 +28 *3131:38 *4141:21 0 +29 *3232:24 *4141:14 0 +30 *3364:13 *4141:21 0 +31 *3371:12 *4141:21 0 +32 *3879:22 *4141:21 0 +33 *3926:13 *4141:14 0 +34 *3926:13 *4141:21 0 +35 *4130:13 *4141:21 0 +36 *4139:42 *4141:21 0 +*RES +1 *41152:X *4141:14 33.7581 +2 *4141:14 *4141:21 48.7441 +3 *4141:21 *4141:26 23.0893 +4 *4141:26 *38202:B 11.4786 +5 *4141:26 *6296:DIODE 10.2464 +*END + +*D_NET *4142 0.0196591 +*CONN +*I *38200:B I *D sky130_fd_sc_hd__and2_1 +*I *6293:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41153:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38200:B 9.22991e-05 +2 *6293:DIODE 0.000104616 +3 *41153:X 0.00342807 +4 *4142:15 0.00640147 +5 *4142:13 0.00963263 +6 *6293:DIODE *4205:8 0 +7 *6293:DIODE *4387:118 0 +8 *4142:15 *4175:29 0 +9 *4142:15 *4185:21 0 +10 *4142:15 *4208:21 0 +11 *4142:15 *4387:118 0 +12 *4142:15 *4389:23 0 +13 *4142:15 *4389:55 0 +14 *4142:15 *4394:42 0 +15 *4142:15 *4397:36 0 +16 *1384:23 *4142:13 0 +17 *1387:58 *4142:13 0 +18 *1393:86 *4142:13 0 +19 *1523:21 *4142:13 0 +20 *1523:21 *4142:15 0 +21 *1528:42 *4142:15 0 +22 *1675:70 *4142:13 0 +23 *2792:43 *4142:15 0 +24 *3338:55 *4142:15 0 +25 *3595:30 *4142:15 0 +26 *3596:72 *4142:13 0 +27 *3630:37 *4142:13 0 +28 *3630:46 *4142:13 0 +29 *3646:53 *4142:13 0 +30 *3841:32 *4142:15 0 +31 *3868:25 *4142:13 0 +32 *3868:25 *4142:15 0 +33 *3886:76 *4142:15 0 +34 *4133:76 *6293:DIODE 0 +35 *4133:76 *4142:15 0 +*RES +1 *41153:X *4142:13 34.6622 +2 *4142:13 *4142:15 22.4958 +3 *4142:15 *6293:DIODE 17.8221 +4 *4142:15 *38200:B 19.3082 +*END + +*D_NET *4143 0.0211342 +*CONN +*I *41153:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8784:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41154:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41153:A 0.000235472 +2 *8784:DIODE 0 +3 *41154:X 0.000499996 +4 *4143:40 0.000432851 +5 *4143:36 0.00173714 +6 *4143:15 0.00737085 +7 *4143:14 0.00594347 +8 *4143:11 0.00226342 +9 *4143:8 0.00265104 +10 *41153:A *4893:24 0 +11 *4143:36 *4893:24 0 +12 *4143:40 *4893:24 0 +13 *8806:DIODE *4143:36 0 +14 *40331:A *4143:36 0 +15 *301:39 *4143:36 0 +16 *1384:23 *41153:A 0 +17 *1393:86 *41153:A 0 +18 *1896:20 *4143:40 0 +19 *1961:19 *4143:15 0 +20 *1972:10 *4143:11 0 +21 *1983:22 *4143:15 0 +22 *1983:32 *4143:15 0 +23 *2003:7 *4143:14 0 +24 *2005:8 *4143:11 0 +25 *2021:16 *4143:15 0 +26 *2022:10 *4143:15 0 +27 *2024:31 *4143:15 0 +28 *2029:16 *4143:8 0 +29 *2064:14 *4143:15 0 +30 *2079:19 *4143:15 0 +31 *2083:34 *4143:11 0 +32 *2107:19 *4143:15 0 +33 *2107:45 *4143:36 0 +34 *2108:26 *4143:15 0 +35 *2127:9 *4143:14 0 +36 *2138:17 *4143:15 0 +37 *2144:32 *4143:15 0 +38 *2147:8 *4143:15 0 +39 *2147:8 *4143:36 0 +40 *2165:29 *4143:15 0 +41 *2165:29 *4143:36 0 +42 *3143:31 *4143:8 0 +43 *3152:9 *4143:11 0 +44 *3170:15 *4143:36 0 +45 *3242:11 *4143:15 0 +46 *3242:13 *4143:15 0 +47 *3242:19 *4143:15 0 +48 *3242:27 *4143:36 0 +49 *3258:56 *4143:15 0 +50 *3268:16 *4143:36 0 +51 *3494:8 *4143:36 0 +52 *3890:24 *4143:36 0 +53 *4063:16 *4143:8 0 +54 *4122:13 *4143:15 0 +55 *4137:15 *4143:36 0 +*RES +1 *41154:X *4143:8 29.6571 +2 *4143:8 *4143:11 49.3929 +3 *4143:11 *4143:14 7.05357 +4 *4143:14 *4143:15 121.696 +5 *4143:15 *4143:36 49.543 +6 *4143:36 *4143:40 4.54464 +7 *4143:40 *8784:DIODE 13.8 +8 *4143:40 *41153:A 19.1036 +*END + +*D_NET *4144 0.0200603 +*CONN +*I *38198:B I *D sky130_fd_sc_hd__and2_1 +*I *6291:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41155:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38198:B 0.000273899 +2 *6291:DIODE 4.53482e-05 +3 *41155:X 1.21519e-05 +4 *4144:12 0.010018 +5 *4144:8 0.00971093 +6 *6291:DIODE *4748:23 0 +7 *38198:B *4904:52 0 +8 *4144:12 *4165:20 0 +9 *4144:12 *4189:40 0 +10 *4144:12 *4197:36 0 +11 *4144:12 *4410:19 0 +12 *4144:12 *4904:52 0 +13 *4144:12 *4926:17 0 +14 *39455:B *4144:12 0 +15 *295:24 *4144:12 0 +16 *1974:21 *4144:12 0 +17 *2000:32 *4144:12 0 +18 *2004:19 *4144:12 0 +19 *2031:28 *4144:12 0 +20 *2031:48 *4144:12 0 +21 *2108:26 *4144:12 0 +22 *2144:61 *4144:12 0 +23 *3061:38 *4144:12 0 +24 *3136:14 *38198:B 0 +25 *3241:25 *38198:B 0 +26 *3329:43 *4144:12 0 +27 *3361:36 *38198:B 0 +28 *3361:36 *4144:12 0 +29 *3919:19 *4144:12 0 +*RES +1 *41155:X *4144:8 17.4868 +2 *4144:8 *4144:12 46.424 +3 *4144:12 *6291:DIODE 14.7464 +4 *4144:12 *38198:B 19.8536 +*END + +*D_NET *4145 0.020736 +*CONN +*I *6288:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38196:B I *D sky130_fd_sc_hd__and2_1 +*I *41156:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6288:DIODE 0 +2 *38196:B 0.000226616 +3 *41156:X 0.000312763 +4 *4145:21 0.000938069 +5 *4145:20 0.00179345 +6 *4145:9 0.00911718 +7 *4145:8 0.00834795 +8 *4145:9 *8227:DIODE 0 +9 *4145:9 *4479:13 0 +10 *4145:9 *4481:25 0 +11 *4145:9 *5081:14 0 +12 *4145:20 *4458:11 0 +13 *41240:A *4145:8 0 +14 *1803:8 *4145:20 0 +15 *1804:8 *4145:20 0 +16 *1992:13 *4145:8 0 +17 *2000:14 *4145:9 0 +18 *2000:18 *4145:9 0 +19 *2000:20 *4145:9 0 +20 *2018:30 *4145:20 0 +21 *2027:16 *4145:8 0 +22 *2029:32 *4145:9 0 +23 *2045:24 *4145:9 0 +24 *2067:32 *38196:B 0 +25 *2067:32 *4145:21 0 +26 *2111:13 *4145:9 0 +27 *2127:21 *4145:9 0 +28 *2138:23 *4145:9 0 +29 *2165:29 *4145:20 0 +30 *3146:12 *38196:B 0 +31 *3329:7 *4145:9 0 +32 *3329:9 *4145:9 0 +33 *3377:24 *38196:B 0 +34 *3384:27 *4145:20 0 +35 *3857:46 *4145:20 0 +*RES +1 *41156:X *4145:8 25.4071 +2 *4145:8 *4145:9 167.696 +3 *4145:9 *4145:20 42.125 +4 *4145:20 *4145:21 14.9107 +5 *4145:21 *38196:B 23.2286 +6 *4145:21 *6288:DIODE 9.3 +*END + +*D_NET *4146 0.0188961 +*CONN +*I *38194:B I *D sky130_fd_sc_hd__and2_1 +*I *6285:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41157:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38194:B 0.000245929 +2 *6285:DIODE 0 +3 *41157:X 0.00136253 +4 *4146:32 0.00113421 +5 *4146:23 0.0078396 +6 *4146:21 0.00831385 +7 *4146:23 *37962:B 0 +8 *4146:23 *4174:27 0 +9 *4146:23 *4174:37 0 +10 *4146:23 *4205:8 0 +11 *4146:23 *4985:20 0 +12 *4146:32 *6284:DIODE 0 +13 *4146:32 *38194:A 0 +14 *4146:32 *4383:90 0 +15 *4146:32 *4388:30 0 +16 *4146:32 *4431:34 0 +17 *5388:DIODE *4146:21 0 +18 *5388:DIODE *4146:23 0 +19 *6356:DIODE *4146:21 0 +20 *8571:DIODE *4146:23 0 +21 *38248:B *4146:21 0 +22 *38254:B *4146:23 0 +23 *40379:A *4146:21 0 +24 *40659:A *4146:32 0 +25 *40705:A *4146:23 0 +26 *1168:17 *38194:B 0 +27 *1371:7 *4146:23 0 +28 *1380:16 *4146:23 0 +29 *1383:15 *4146:23 0 +30 *1500:20 *4146:23 0 +31 *1509:30 *4146:23 0 +32 *1528:17 *4146:21 0 +33 *1889:70 *4146:21 0 +34 *3338:55 *4146:23 0 +35 *3338:57 *4146:23 0 +36 *3631:19 *38194:B 0 +37 *3645:119 *4146:23 0 +38 *3864:14 *4146:32 0 +39 *3886:19 *4146:21 0 +40 *3886:41 *4146:21 0 +41 *3886:51 *4146:23 0 +42 *3897:73 *4146:23 0 +43 *3897:93 *4146:23 0 +44 *4130:17 *4146:21 0 +45 *4133:27 *4146:21 0 +46 *4133:34 *4146:21 0 +47 *4133:34 *4146:23 0 +*RES +1 *41157:X *4146:21 46.8893 +2 *4146:21 *4146:23 145.107 +3 *4146:23 *4146:32 19.8504 +4 *4146:32 *6285:DIODE 13.8 +5 *4146:32 *38194:B 19.3179 +*END + +*D_NET *4147 0.018399 +*CONN +*I *38192:B I *D sky130_fd_sc_hd__and2_1 +*I *6282:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41158:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38192:B 0.00020629 +2 *6282:DIODE 0 +3 *41158:X 0.00105486 +4 *4147:14 0.00814465 +5 *4147:10 0.00899322 +6 *38192:B *4167:19 0 +7 *38192:B *4421:29 0 +8 *4147:14 *4202:9 0 +9 *4147:14 *4202:17 0 +10 *4147:14 *4206:27 0 +11 *4147:14 *4208:21 0 +12 *4147:14 *4208:33 0 +13 *37572:A *4147:14 0 +14 *321:13 *38192:B 0 +15 *321:13 *4147:14 0 +16 *1495:15 *38192:B 0 +17 *1783:10 *38192:B 0 +18 *1783:10 *4147:14 0 +19 *2792:11 *4147:14 0 +20 *3567:25 *4147:14 0 +21 *3868:44 *4147:14 0 +22 *3897:49 *4147:10 0 +*RES +1 *41158:X *4147:10 39.2725 +2 *4147:10 *4147:14 33.4144 +3 *4147:14 *6282:DIODE 13.8 +4 *4147:14 *38192:B 18.2464 +*END + +*D_NET *4148 0.0212536 +*CONN +*I *41158:A I *D sky130_fd_sc_hd__buf_2 +*I *8787:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41159:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41158:A 0.000213333 +2 *8787:DIODE 6.50276e-05 +3 *41159:X 0 +4 *4148:22 0.00121976 +5 *4148:15 0.00346934 +6 *4148:14 0.00257357 +7 *4148:9 0.00396631 +8 *4148:7 0.00395577 +9 *4148:5 0.00291276 +10 *4148:4 0.00287768 +11 *8787:DIODE *4211:23 0 +12 *41158:A *4183:39 0 +13 *4148:5 *4151:7 0 +14 *4148:5 *4154:12 0 +15 *4148:5 *4189:22 0 +16 *4148:9 *4151:9 0 +17 *4148:14 *4189:40 0 +18 *4148:15 *40197:A 0 +19 *4148:15 *4151:20 0 +20 *4148:15 *4970:37 0 +21 *6304:DIODE *4148:5 0 +22 *8182:DIODE *4148:5 0 +23 *8605:DIODE *4148:5 0 +24 *8836:DIODE *4148:5 0 +25 *41155:A *4148:5 0 +26 *41161:A *4148:5 0 +27 *282:35 *4148:22 0 +28 *365:11 *41158:A 0 +29 *365:11 *4148:22 0 +30 *1802:21 *4148:15 0 +31 *1974:21 *4148:5 0 +32 *2004:29 *4148:5 0 +33 *2004:30 *4148:9 0 +34 *2094:24 *4148:5 0 +35 *2150:42 *4148:15 0 +36 *2150:47 *4148:14 0 +37 *2186:29 *4148:5 0 +38 *2907:20 *41158:A 0 +39 *2907:20 *4148:22 0 +40 *3065:17 *4148:15 0 +41 *3132:23 *4148:5 0 +42 *3329:43 *4148:9 0 +43 *3347:65 *41158:A 0 +44 *3890:50 *4148:22 0 +45 *3903:8 *4148:22 0 +46 *3906:8 *4148:5 0 +47 *3910:11 *4148:15 0 +48 *3915:15 *4148:15 0 +*RES +1 *41159:X *4148:4 9.3 +2 *4148:4 *4148:5 60.0893 +3 *4148:5 *4148:7 0.732143 +4 *4148:7 *4148:9 81.8571 +5 *4148:9 *4148:14 10.0357 +6 *4148:14 *4148:15 52.6964 +7 *4148:15 *4148:22 25.9464 +8 *4148:22 *8787:DIODE 15.1571 +9 *4148:22 *41158:A 18.4429 +*END + +*D_NET *4149 0.0237849 +*CONN +*I *38084:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *8268:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40511:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6104:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38594:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38084:A_N 0.000442428 +2 *8268:DIODE 0 +3 *40511:A 0 +4 *6104:DIODE 4.53482e-05 +5 *38594:X 0.00121831 +6 *4149:121 0.00164571 +7 *4149:94 0.00277578 +8 *4149:88 0.00207908 +9 *4149:68 0.00237731 +10 *4149:47 0.00265019 +11 *4149:32 0.003983 +12 *4149:31 0.00315828 +13 *4149:23 0.00149271 +14 *4149:8 0.00191677 +15 *38084:A_N *8858:DIODE 0 +16 *38084:A_N *41242:A 0 +17 *38084:A_N *4294:103 0 +18 *4149:8 *4517:62 0 +19 *4149:8 *4870:16 0 +20 *4149:23 *8858:DIODE 0 +21 *4149:23 *41242:A 0 +22 *4149:23 *4870:16 0 +23 *4149:68 *8870:DIODE 0 +24 *4149:68 *41251:A 0 +25 *4149:68 *4259:24 0 +26 *4149:88 *4238:59 0 +27 *4149:94 *4238:59 0 +28 *4149:121 *4238:59 0 +29 *4149:121 *5193:48 0 +30 *6745:DIODE *4149:121 0 +31 *8272:DIODE *4149:88 0 +32 *8272:DIODE *4149:94 0 +33 *8466:DIODE *4149:121 0 +34 *40353:A *4149:68 0 +35 *40353:A *4149:88 0 +36 *40366:A *4149:121 0 +37 *40377:A *4149:88 0 +38 *40399:A *4149:94 0 +39 *1445:37 *4149:31 0 +40 *2386:19 *4149:68 0 +41 *2410:18 *4149:121 0 +42 *2411:93 *4149:121 0 +43 *2419:97 *4149:8 0 +44 *2425:13 *4149:68 0 +45 *2426:84 *4149:88 0 +46 *2822:19 *38084:A_N 0 +47 *3037:20 *4149:23 0 +48 *3037:20 *4149:31 0 +49 *3037:66 *4149:94 0 +50 *3092:11 *4149:32 0 +51 *3113:100 *4149:94 0 +52 *3113:100 *4149:121 0 +53 *3113:117 *4149:94 0 +54 *3122:43 *4149:47 0 +55 *3135:39 *4149:88 0 +56 *3188:111 *4149:68 0 +57 *3198:37 *4149:47 0 +58 *3208:29 *4149:68 0 +59 *3235:63 *4149:121 0 +60 *3239:8 *4149:68 0 +61 *3240:63 *4149:88 0 +62 *3244:10 *4149:8 0 +63 *3250:64 *4149:8 0 +64 *3253:15 *4149:32 0 +65 *3253:32 *38084:A_N 0 +66 *3285:29 *4149:32 0 +67 *3285:31 *4149:32 0 +68 *3291:68 *4149:121 0 +69 *3292:13 *4149:47 0 +70 *3295:20 *4149:47 0 +71 *3296:62 *4149:47 0 +72 *3348:15 *4149:68 0 +73 *3427:9 *4149:8 0 +74 *3441:41 *38084:A_N 0 +75 *3441:55 *38084:A_N 0 +76 *3493:11 *4149:23 0 +77 *3675:67 *4149:31 0 +78 *3697:99 *4149:121 0 +79 *3715:19 *38084:A_N 0 +80 *3783:41 *4149:68 0 +*RES +1 *38594:X *4149:8 40.5143 +2 *4149:8 *6104:DIODE 14.7464 +3 *4149:8 *4149:23 13.8708 +4 *4149:23 *4149:31 14.7777 +5 *4149:31 *4149:32 57.625 +6 *4149:32 *4149:47 44.4821 +7 *4149:47 *4149:68 49.7321 +8 *4149:68 *4149:88 38.3571 +9 *4149:88 *4149:94 23.6786 +10 *4149:94 *40511:A 9.3 +11 *4149:94 *4149:121 43.8929 +12 *4149:121 *8268:DIODE 9.3 +13 *4149:23 *38084:A_N 23.5232 +*END + +*D_NET *4150 0.0211058 +*CONN +*I *6277:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38188:B I *D sky130_fd_sc_hd__and2_1 +*I *41160:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *6277:DIODE 0 +2 *38188:B 0.000201662 +3 *41160:X 0.00241422 +4 *4150:38 0.00111488 +5 *4150:27 0.00282005 +6 *4150:17 0.00655716 +7 *4150:16 0.00511696 +8 *4150:13 0.00288085 +9 *38188:B *4184:57 0 +10 *38188:B *4430:50 0 +11 *4150:13 *4152:109 0 +12 *4150:13 *4169:27 0 +13 *4150:13 *4191:28 0 +14 *4150:13 *4196:32 0 +15 *4150:13 *4208:21 0 +16 *4150:13 *4443:11 0 +17 *4150:13 *5152:15 0 +18 *4150:16 *4441:10 0 +19 *4150:17 *4158:11 0 +20 *4150:17 *4163:9 0 +21 *4150:17 *4168:72 0 +22 *4150:17 *4183:41 0 +23 *4150:17 *4184:38 0 +24 *4150:17 *4824:14 0 +25 *4150:27 *37768:A 0 +26 *4150:27 *41207:A 0 +27 *4150:27 *4158:45 0 +28 *4150:27 *4163:9 0 +29 *4150:27 *4167:19 0 +30 *4150:27 *4190:40 0 +31 *4150:27 *4421:29 0 +32 *4150:27 *4422:11 0 +33 *4150:27 *4482:22 0 +34 *4150:38 *4201:18 0 +35 *4150:38 *4205:46 0 +36 *37768:B *4150:27 0 +37 *291:35 *4150:27 0 +38 *1283:20 *4150:27 0 +39 *1381:13 *4150:17 0 +40 *1381:32 *4150:17 0 +41 *1785:14 *4150:16 0 +42 *1789:22 *38188:B 0 +43 *1792:29 *4150:27 0 +44 *1802:8 *4150:13 0 +45 *3204:22 *4150:38 0 +46 *3213:22 *4150:13 0 +47 *3282:15 *4150:13 0 +48 *3333:58 *4150:13 0 +49 *3641:25 *4150:17 0 +50 *3641:25 *4150:27 0 +51 *3887:10 *4150:13 0 +52 *4136:65 *4150:17 0 +*RES +1 *41160:X *4150:13 43.3003 +2 *4150:13 *4150:16 15.0982 +3 *4150:16 *4150:17 97.0536 +4 *4150:17 *4150:27 49.0893 +5 *4150:27 *4150:38 20.3581 +6 *4150:38 *38188:B 22.5679 +7 *4150:38 *6277:DIODE 9.3 +*END + +*D_NET *4151 0.0208258 +*CONN +*I *8789:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41160:A I *D sky130_fd_sc_hd__buf_2 +*I *41161:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8789:DIODE 0 +2 *41160:A 0.000169797 +3 *41161:X 0.00191275 +4 *4151:41 0.000926268 +5 *4151:37 0.00276925 +6 *4151:23 0.00402542 +7 *4151:20 0.00228834 +8 *4151:9 0.00354846 +9 *4151:7 0.00518552 +10 *41160:A *4168:55 0 +11 *41160:A *4183:39 0 +12 *4151:7 *4410:19 0 +13 *4151:20 *40197:A 0 +14 *4151:20 *4447:17 0 +15 *4151:20 *5015:41 0 +16 *4151:37 *5197:13 0 +17 *4151:41 *41205:A 0 +18 *4151:41 *4168:55 0 +19 *4151:41 *4173:34 0 +20 *4151:41 *4183:39 0 +21 *4151:41 *4200:36 0 +22 *8561:DIODE *4151:7 0 +23 *37572:B *41160:A 0 +24 *37572:B *4151:41 0 +25 *40944:A *4151:9 0 +26 *376:33 *4151:41 0 +27 *1490:20 *41160:A 0 +28 *1787:15 *4151:37 0 +29 *1802:8 *41160:A 0 +30 *1974:24 *4151:9 0 +31 *1974:24 *4151:23 0 +32 *2004:30 *4151:7 0 +33 *2004:30 *4151:9 0 +34 *2009:16 *4151:7 0 +35 *2071:23 *4151:20 0 +36 *2132:10 *4151:7 0 +37 *2142:26 *4151:7 0 +38 *2142:26 *4151:9 0 +39 *2186:29 *4151:7 0 +40 *3065:30 *4151:37 0 +41 *3131:18 *4151:7 0 +42 *3131:35 *4151:37 0 +43 *3329:43 *4151:9 0 +44 *3344:17 *4151:37 0 +45 *3453:32 *4151:37 0 +46 *3583:32 *4151:20 0 +47 *3642:94 *4151:41 0 +48 *3857:64 *4151:37 0 +49 *3872:11 *4151:37 0 +50 *3910:10 *4151:23 0 +51 *3915:15 *4151:20 0 +52 *3918:25 *4151:23 0 +53 *3918:25 *4151:37 0 +54 *3929:20 *4151:37 0 +55 *4148:5 *4151:7 0 +56 *4148:9 *4151:9 0 +57 *4148:15 *4151:20 0 +*RES +1 *41161:X *4151:7 49.2821 +2 *4151:7 *4151:9 68.3036 +3 *4151:9 *4151:20 24.0714 +4 *4151:20 *4151:23 42.0357 +5 *4151:23 *4151:37 41.5768 +6 *4151:37 *4151:41 21.5268 +7 *4151:41 *41160:A 22.1036 +8 *4151:41 *8789:DIODE 9.3 +*END + +*D_NET *4152 0.022085 +*CONN +*I *6274:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38186:B I *D sky130_fd_sc_hd__and2_1 +*I *41162:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6274:DIODE 0 +2 *38186:B 0.000357393 +3 *41162:X 0.00112198 +4 *4152:109 0.00117266 +5 *4152:91 0.00254764 +6 *4152:77 0.00240569 +7 *4152:66 0.00248542 +8 *4152:54 0.00329612 +9 *4152:33 0.00453007 +10 *4152:14 0.00416805 +11 *38186:B *4183:39 0 +12 *38186:B *4194:9 0 +13 *4152:14 *37972:A_N 0 +14 *4152:14 *4156:37 0 +15 *4152:14 *4162:11 0 +16 *4152:33 *37958:C 0 +17 *4152:33 *4186:12 0 +18 *4152:33 *4395:53 0 +19 *4152:54 *4214:40 0 +20 *4152:66 *37960:A_N 0 +21 *4152:66 *4159:49 0 +22 *4152:66 *4162:62 0 +23 *4152:66 *4189:40 0 +24 *4152:77 *4159:49 0 +25 *4152:91 *41430:A 0 +26 *4152:91 *4395:83 0 +27 *4152:109 *41205:A 0 +28 *4152:109 *4183:39 0 +29 *4152:109 *4200:36 0 +30 *5386:DIODE *4152:91 0 +31 *5940:DIODE *4152:91 0 +32 *8896:DIODE *4152:91 0 +33 *38260:B *4152:66 0 +34 *1379:25 *4152:66 0 +35 *1393:45 *4152:54 0 +36 *1402:20 *4152:33 0 +37 *1533:22 *4152:54 0 +38 *1795:21 *4152:109 0 +39 *1801:11 *4152:66 0 +40 *1802:8 *4152:109 0 +41 *1895:18 *4152:14 0 +42 *1896:37 *4152:14 0 +43 *1896:55 *4152:33 0 +44 *1974:44 *4152:91 0 +45 *2000:32 *4152:33 0 +46 *2022:23 *4152:33 0 +47 *2036:17 *4152:33 0 +48 *2045:45 *4152:33 0 +49 *2064:31 *4152:91 0 +50 *2148:18 *4152:33 0 +51 *2741:33 *4152:14 0 +52 *3064:25 *4152:91 0 +53 *3131:19 *4152:33 0 +54 *3137:21 *4152:33 0 +55 *3213:18 *4152:33 0 +56 *3241:25 *4152:91 0 +57 *3241:41 *4152:109 0 +58 *3242:36 *4152:33 0 +59 *3333:58 *4152:109 0 +60 *3338:25 *4152:66 0 +61 *3339:60 *4152:54 0 +62 *3343:14 *4152:66 0 +63 *3452:24 *38186:B 0 +64 *3859:18 *4152:66 0 +65 *3859:37 *4152:91 0 +66 *3859:37 *4152:109 0 +67 *3862:26 *4152:109 0 +68 *3878:14 *4152:54 0 +69 *3895:79 *4152:77 0 +70 *3895:81 *4152:77 0 +71 *3895:81 *4152:91 0 +72 *3919:30 *4152:33 0 +73 *3974:10 *4152:14 0 +74 *4140:88 *38186:B 0 +75 *4150:13 *4152:109 0 +*RES +1 *41162:X *4152:14 46.5946 +2 *4152:14 *4152:33 49.2474 +3 *4152:33 *4152:54 46.3214 +4 *4152:54 *4152:66 47.2369 +5 *4152:66 *4152:77 27.6429 +6 *4152:77 *4152:91 49.7321 +7 *4152:91 *4152:109 31.75 +8 *4152:109 *38186:B 25.9786 +9 *4152:109 *6274:DIODE 9.3 +*END + +*D_NET *4153 0.0199526 +*CONN +*I *6271:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38184:B I *D sky130_fd_sc_hd__and2_1 +*I *41163:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *6271:DIODE 0 +2 *38184:B 0.000241641 +3 *41163:X 0.00163894 +4 *4153:97 0.00167832 +5 *4153:83 0.00359157 +6 *4153:76 0.00292931 +7 *4153:66 0.00239891 +8 *4153:50 0.0037297 +9 *4153:22 0.00374416 +10 *4153:22 *4397:37 0 +11 *4153:22 *4985:20 0 +12 *4153:50 *4383:63 0 +13 *4153:50 *4400:48 0 +14 *4153:76 *37928:A_N 0 +15 *4153:76 *4431:34 0 +16 *4153:76 *4431:70 0 +17 *4153:83 *4431:40 0 +18 *4153:97 *4436:23 0 +19 *5944:DIODE *4153:83 0 +20 *37532:A *4153:50 0 +21 *37536:B *4153:83 0 +22 *37906:B *4153:83 0 +23 *37914:B *4153:83 0 +24 *37928:B *4153:66 0 +25 *38242:B *4153:50 0 +26 *39929:A *38184:B 0 +27 *39929:A *4153:97 0 +28 *376:21 *4153:22 0 +29 *1165:11 *4153:50 0 +30 *1185:16 *4153:22 0 +31 *1363:22 *4153:66 0 +32 *1366:8 *38184:B 0 +33 *1518:17 *4153:50 0 +34 *1520:16 *4153:50 0 +35 *1526:8 *4153:22 0 +36 *3282:15 *4153:50 0 +37 *3559:26 *4153:50 0 +38 *3559:43 *4153:50 0 +39 *3585:14 *4153:83 0 +40 *3590:20 *4153:22 0 +41 *3606:59 *4153:66 0 +42 *3606:59 *4153:76 0 +43 *3606:59 *4153:83 0 +44 *3610:35 *4153:66 0 +45 *3610:52 *4153:76 0 +46 *3610:52 *4153:83 0 +47 *3622:37 *4153:22 0 +48 *3628:15 *4153:83 0 +49 *3628:17 *38184:B 0 +50 *3628:17 *4153:83 0 +51 *3628:17 *4153:97 0 +52 *3631:37 *4153:97 0 +53 *3839:81 *4153:22 0 +54 *3845:121 *4153:50 0 +55 *3858:40 *4153:22 0 +56 *3883:41 *4153:97 0 +57 *3884:108 *4153:50 0 +58 *3884:132 *4153:50 0 +*RES +1 *41163:X *4153:22 49.3277 +2 *4153:22 *4153:50 49.5357 +3 *4153:50 *4153:66 47.7143 +4 *4153:66 *4153:76 25.4107 +5 *4153:76 *4153:83 49.5357 +6 *4153:83 *4153:97 43.875 +7 *4153:97 *38184:B 23.5143 +8 *4153:97 *6271:DIODE 9.3 +*END + +*D_NET *4154 0.022317 +*CONN +*I *8792:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41163:A I *D sky130_fd_sc_hd__buf_2 +*I *41164:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8792:DIODE 2.26741e-05 +2 *41163:A 8.4707e-05 +3 *41164:X 0.000540363 +4 *4154:50 0.00163081 +5 *4154:39 0.00351703 +6 *4154:36 0.00235677 +7 *4154:25 0.00304854 +8 *4154:24 0.00288251 +9 *4154:13 0.00394519 +10 *4154:12 0.00428843 +11 *41163:A *4387:83 0 +12 *4154:13 *40448:A 0 +13 *4154:13 *4466:29 0 +14 *7417:DIODE *4154:50 0 +15 *37534:B *4154:50 0 +16 *40945:A *4154:25 0 +17 *41161:A *4154:12 0 +18 *41188:A *4154:50 0 +19 *282:36 *4154:39 0 +20 *295:24 *4154:36 0 +21 *322:35 *4154:50 0 +22 *1284:24 *4154:50 0 +23 *1793:20 *4154:50 0 +24 *1985:13 *4154:50 0 +25 *2018:30 *4154:24 0 +26 *2064:31 *4154:50 0 +27 *3156:55 *4154:13 0 +28 *3338:25 *4154:36 0 +29 *3350:11 *4154:25 0 +30 *3350:28 *4154:39 0 +31 *3452:11 *4154:13 0 +32 *3452:11 *4154:25 0 +33 *3463:21 *4154:50 0 +34 *3645:97 *41163:A 0 +35 *3859:36 *4154:50 0 +36 *3879:22 *4154:36 0 +37 *3879:25 *4154:39 0 +38 *3911:13 *4154:39 0 +39 *3912:15 *4154:13 0 +40 *3912:23 *4154:13 0 +41 *3912:25 *4154:25 0 +42 *3918:21 *4154:25 0 +43 *3923:14 *4154:24 0 +44 *4130:13 *4154:24 0 +45 *4131:17 *4154:13 0 +46 *4131:24 *4154:13 0 +47 *4139:15 *4154:13 0 +48 *4139:42 *4154:36 0 +49 *4139:42 *4154:39 0 +50 *4148:5 *4154:12 0 +*RES +1 *41164:X *4154:12 29.9071 +2 *4154:12 *4154:13 78.1607 +3 *4154:13 *4154:24 18.5303 +4 *4154:24 *4154:25 55.9821 +5 *4154:25 *4154:36 19.6419 +6 *4154:36 *4154:39 46.1071 +7 *4154:39 *4154:50 39.1607 +8 *4154:50 *41163:A 11.0679 +9 *4154:50 *8792:DIODE 9.83571 +*END + +*D_NET *4155 0.0192296 +*CONN +*I *8793:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41164:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *41165:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8793:DIODE 0 +2 *41164:A 0.000230887 +3 *41165:X 0.000294804 +4 *4155:47 0.00300868 +5 *4155:46 0.00433838 +6 *4155:40 0.00173013 +7 *4155:29 0.00195026 +8 *4155:11 0.00458118 +9 *4155:10 0.00309527 +10 *4155:11 *4296:23 0 +11 *4155:11 *4296:35 0 +12 *4155:29 *8232:DIODE 0 +13 *4155:40 *4296:14 0 +14 *5985:DIODE *4155:29 0 +15 *8089:DIODE *4155:11 0 +16 *37778:A *4155:47 0 +17 *40459:A *4155:11 0 +18 *41012:A *4155:11 0 +19 *41187:A *4155:40 0 +20 *1961:26 *4155:29 0 +21 *1961:26 *4155:47 0 +22 *1991:39 *4155:46 0 +23 *2104:28 *4155:29 0 +24 *2107:28 *4155:47 0 +25 *3147:55 *4155:11 0 +26 *3156:55 *41164:A 0 +27 *3156:55 *4155:47 0 +28 *3214:13 *4155:46 0 +29 *3247:29 *4155:10 0 +30 *3934:30 *4155:29 0 +31 *3934:40 *4155:11 0 +32 *3934:40 *4155:29 0 +33 *3934:41 *4155:11 0 +34 *4118:21 *4155:40 0 +*RES +1 *41165:X *4155:10 24.5857 +2 *4155:10 *4155:11 58.4464 +3 *4155:11 *4155:29 46.8393 +4 *4155:29 *4155:40 21.7321 +5 *4155:40 *4155:46 41.6786 +6 *4155:46 *4155:47 58.0357 +7 *4155:47 *41164:A 23.425 +8 *4155:47 *8793:DIODE 9.3 +*END + +*D_NET *4156 0.0191943 +*CONN +*I *6268:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38182:B I *D sky130_fd_sc_hd__and2_1 +*I *41166:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6268:DIODE 0 +2 *38182:B 0.000231002 +3 *41166:X 0.00457926 +4 *4156:52 0.0050179 +5 *4156:37 0.00936616 +6 *38182:B *4157:64 0 +7 *38182:B *4169:27 0 +8 *4156:37 *37972:A_N 0 +9 *4156:37 *4176:32 0 +10 *4156:37 *4212:43 0 +11 *4156:37 *4457:28 0 +12 *4156:37 *4457:109 0 +13 *4156:52 *4168:39 0 +14 *4156:52 *4188:17 0 +15 *4156:52 *4191:19 0 +16 *4156:52 *4212:43 0 +17 *4156:52 *4214:63 0 +18 *4156:52 *4392:80 0 +19 *4156:52 *4401:20 0 +20 *4156:52 *4915:35 0 +21 *6219:DIODE *38182:B 0 +22 *40094:A *38182:B 0 +23 *41171:A *4156:37 0 +24 *1490:20 *38182:B 0 +25 *1492:17 *4156:52 0 +26 *1498:36 *4156:52 0 +27 *1524:35 *4156:37 0 +28 *1533:43 *4156:37 0 +29 *1795:14 *38182:B 0 +30 *1795:21 *4156:52 0 +31 *1926:66 *4156:37 0 +32 *2003:17 *4156:37 0 +33 *2067:46 *4156:37 0 +34 *2067:46 *4156:52 0 +35 *3325:37 *4156:37 0 +36 *3333:58 *4156:52 0 +37 *3374:25 *4156:52 0 +38 *3374:60 *4156:37 0 +39 *3596:34 *4156:37 0 +40 *3859:18 *4156:52 0 +41 *3876:62 *4156:37 0 +42 *3876:93 *4156:37 0 +43 *3895:76 *4156:52 0 +44 *3919:30 *4156:37 0 +45 *4123:32 *4156:52 0 +46 *4152:14 *4156:37 0 +*RES +1 *41166:X *4156:37 43.2436 +2 *4156:37 *4156:52 31.7392 +3 *4156:52 *38182:B 23.55 +4 *4156:52 *6268:DIODE 9.3 +*END + +*D_NET *4157 0.020498 +*CONN +*I *6265:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38180:B I *D sky130_fd_sc_hd__and2_1 +*I *41167:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6265:DIODE 0 +2 *38180:B 0.00018396 +3 *41167:X 0.000756511 +4 *4157:81 0.000364069 +5 *4157:78 0.00172675 +6 *4157:64 0.00271973 +7 *4157:52 0.00286438 +8 *4157:46 0.00249013 +9 *4157:31 0.00255866 +10 *4157:13 0.00391856 +11 *4157:10 0.00291526 +12 *38180:B *4398:43 0 +13 *4157:13 *41192:A 0 +14 *4157:13 *4183:7 0 +15 *4157:13 *4186:15 0 +16 *4157:13 *4392:26 0 +17 *4157:31 *38216:A 0 +18 *4157:31 *38228:A 0 +19 *4157:31 *4164:15 0 +20 *4157:31 *4178:25 0 +21 *4157:31 *4183:7 0 +22 *4157:31 *4183:21 0 +23 *4157:31 *4457:61 0 +24 *4157:52 *4169:27 0 +25 *4157:64 *41210:A 0 +26 *4157:64 *4180:27 0 +27 *4157:64 *4206:27 0 +28 *4157:78 *37944:A_N 0 +29 *4157:78 *4168:55 0 +30 *4157:78 *4168:65 0 +31 *4157:78 *4183:41 0 +32 *4157:81 *4398:43 0 +33 *5951:DIODE *4157:31 0 +34 *5959:DIODE *4157:46 0 +35 *6372:DIODE *4157:52 0 +36 *6374:DIODE *4157:31 0 +37 *37575:A *4157:52 0 +38 *38182:B *4157:64 0 +39 *38190:A *4157:10 0 +40 *38258:A *4157:52 0 +41 *39911:A *4157:13 0 +42 *40094:A *4157:64 0 +43 *1185:16 *4157:64 0 +44 *1398:28 *4157:13 0 +45 *1398:28 *4157:31 0 +46 *1398:37 *4157:13 0 +47 *1489:15 *38180:B 0 +48 *1489:15 *4157:81 0 +49 *1490:20 *4157:64 0 +50 *1490:33 *4157:52 0 +51 *1521:23 *4157:46 0 +52 *1524:24 *4157:10 0 +53 *1528:17 *4157:46 0 +54 *1528:17 *4157:52 0 +55 *1672:21 *4157:31 0 +56 *1672:21 *4157:52 0 +57 *1785:14 *4157:78 0 +58 *1893:26 *4157:10 0 +59 *1894:48 *4157:10 0 +60 *3379:18 *4157:10 0 +61 *3629:45 *4157:46 0 +62 *3841:47 *38180:B 0 +63 *3841:47 *4157:81 0 +64 *3875:25 *4157:46 0 +65 *3875:32 *4157:52 0 +66 *3875:53 *4157:78 0 +67 *3897:21 *4157:31 0 +68 *4132:15 *4157:13 0 +69 *4136:25 *4157:13 0 +70 *4136:48 *4157:46 0 +71 *4136:49 *4157:46 0 +72 *4136:49 *4157:64 0 +73 *4136:63 *4157:78 0 +74 *4140:88 *4157:64 0 +*RES +1 *41167:X *4157:10 34.1393 +2 *4157:10 *4157:13 45.1161 +3 *4157:13 *4157:31 48.7758 +4 *4157:31 *4157:46 35 +5 *4157:46 *4157:52 44.3571 +6 *4157:52 *4157:64 34.0179 +7 *4157:64 *4157:78 46.9821 +8 *4157:78 *4157:81 8.32143 +9 *4157:81 *38180:B 13.1393 +10 *4157:81 *6265:DIODE 9.3 +*END + +*D_NET *4158 0.0203617 +*CONN +*I *6262:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38178:B I *D sky130_fd_sc_hd__and2_1 +*I *41168:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *6262:DIODE 0.000125844 +2 *38178:B 0.000124066 +3 *41168:X 0.00142252 +4 *4158:65 0.00259176 +5 *4158:63 0.00240773 +6 *4158:58 0.00205238 +7 *4158:54 0.0027456 +8 *4158:45 0.00255998 +9 *4158:20 0.00335508 +10 *4158:11 0.00297672 +11 *6262:DIODE *4167:40 0 +12 *38178:B *4192:19 0 +13 *38178:B *4201:23 0 +14 *4158:11 *4168:72 0 +15 *4158:11 *4169:59 0 +16 *4158:11 *4184:17 0 +17 *4158:11 *4824:14 0 +18 *4158:20 *4163:9 0 +19 *4158:20 *4202:17 0 +20 *4158:20 *4396:11 0 +21 *4158:45 *5854:DIODE 0 +22 *4158:45 *4163:9 0 +23 *4158:54 *4163:9 0 +24 *4158:54 *4195:5 0 +25 *4158:54 *5045:6 0 +26 *4158:58 *4167:19 0 +27 *4158:58 *4167:33 0 +28 *4158:58 *4201:21 0 +29 *4158:58 *4210:7 0 +30 *4158:58 *4210:13 0 +31 *4158:58 *4424:55 0 +32 *4158:58 *4815:32 0 +33 *4158:63 *4201:21 0 +34 *4158:63 *4201:23 0 +35 *4158:63 *4210:15 0 +36 *4158:65 *4192:19 0 +37 *4158:65 *4201:23 0 +38 *4158:65 *4210:15 0 +39 *39954:A *4158:58 0 +40 *1283:20 *4158:45 0 +41 *1366:8 *4158:54 0 +42 *1369:6 *6262:DIODE 0 +43 *1381:13 *4158:20 0 +44 *3641:25 *4158:11 0 +45 *3641:25 *4158:45 0 +46 *3641:39 *4158:45 0 +47 *3641:39 *4158:54 0 +48 *4150:17 *4158:11 0 +49 *4150:27 *4158:45 0 +*RES +1 *41168:X *4158:11 48.1214 +2 *4158:11 *4158:20 46.1786 +3 *4158:20 *4158:45 43 +4 *4158:45 *4158:54 25.1429 +5 *4158:54 *4158:58 46.0179 +6 *4158:58 *4158:63 5.875 +7 *4158:63 *4158:65 49 +8 *4158:65 *38178:B 11.8893 +9 *4158:65 *6262:DIODE 21.1571 +*END + +*D_NET *4159 0.0189173 +*CONN +*I *41168:A I *D sky130_fd_sc_hd__buf_2 +*I *8796:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41169:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41168:A 0.000166892 +2 *8796:DIODE 0 +3 *41169:X 0.00141321 +4 *4159:64 0.000412899 +5 *4159:63 0.00187101 +6 *4159:51 0.00574099 +7 *4159:49 0.00600757 +8 *4159:29 0.00330478 +9 *4159:29 *37952:C 0 +10 *4159:49 *38206:A 0 +11 *4159:49 *4168:39 0 +12 *4159:49 *4454:39 0 +13 *4159:51 *37942:C 0 +14 *4159:51 *38206:A 0 +15 *4159:51 *4162:77 0 +16 *4159:51 *4172:24 0 +17 *4159:51 *4395:71 0 +18 *4159:51 *4401:23 0 +19 *4159:51 *4432:62 0 +20 *4159:63 *4161:21 0 +21 *4159:63 *4194:15 0 +22 *4159:63 *4401:23 0 +23 *4159:63 *4432:43 0 +24 *8583:DIODE *4159:29 0 +25 *37960:B *4159:49 0 +26 *40930:A *4159:51 0 +27 *41169:A *4159:29 0 +28 *1379:25 *4159:49 0 +29 *1393:14 *4159:49 0 +30 *1393:14 *4159:51 0 +31 *1492:17 *4159:51 0 +32 *1502:28 *4159:29 0 +33 *1799:29 *4159:51 0 +34 *1901:69 *4159:29 0 +35 *1901:69 *4159:49 0 +36 *1901:77 *4159:49 0 +37 *2067:46 *4159:29 0 +38 *2067:46 *4159:49 0 +39 *2166:75 *4159:29 0 +40 *3066:18 *41168:A 0 +41 *3136:38 *4159:63 0 +42 *3383:29 *4159:51 0 +43 *3383:29 *4159:63 0 +44 *3875:65 *41168:A 0 +45 *3885:13 *4159:63 0 +46 *3895:79 *4159:49 0 +47 *3895:79 *4159:51 0 +48 *3895:81 *4159:51 0 +49 *3897:92 *41168:A 0 +50 *4132:44 *4159:29 0 +51 *4152:66 *4159:49 0 +52 *4152:77 *4159:49 0 +*RES +1 *41169:X *4159:29 48.1036 +2 *4159:29 *4159:49 40.25 +3 *4159:49 *4159:51 85.9643 +4 *4159:51 *4159:63 47.5536 +5 *4159:63 *4159:64 5.58929 +6 *4159:64 *8796:DIODE 13.8 +7 *4159:64 *41168:A 17.425 +*END + +*D_NET *4160 0.00107981 +*CONN +*I *40510:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38595:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40510:A 0.000539903 +2 *38595:X 0.000539903 +3 *40510:A *38084:C 0 +4 *392:7 *40510:A 0 +5 *775:12 *40510:A 0 +6 *2749:25 *40510:A 0 +7 *3105:62 *40510:A 0 +*RES +1 *38595:X *40510:A 39.2964 +*END + +*D_NET *4161 0.0194642 +*CONN +*I *6259:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38176:B I *D sky130_fd_sc_hd__and2_1 +*I *41170:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6259:DIODE 0 +2 *38176:B 0.000281501 +3 *41170:X 0.000723101 +4 *4161:27 0.00143286 +5 *4161:21 0.00814353 +6 *4161:19 0.00757614 +7 *4161:16 0.00130707 +8 *38176:B *4198:11 0 +9 *4161:16 *4172:34 0 +10 *4161:16 *4214:73 0 +11 *4161:16 *4401:23 0 +12 *4161:16 *4715:18 0 +13 *4161:19 *4172:34 0 +14 *4161:19 *4214:73 0 +15 *4161:21 *4172:34 0 +16 *4161:21 *4177:11 0 +17 *4161:21 *4177:16 0 +18 *4161:21 *4187:16 0 +19 *4161:21 *4194:15 0 +20 *4161:21 *4213:11 0 +21 *4161:21 *4213:20 0 +22 *4161:21 *4213:23 0 +23 *4161:21 *4214:73 0 +24 *4161:21 *4432:43 0 +25 *4161:27 *4198:11 0 +26 *4161:27 *4396:32 0 +27 *4161:27 *4430:50 0 +28 *317:20 *4161:27 0 +29 *1381:48 *4161:19 0 +30 *1468:15 *38176:B 0 +31 *1468:15 *4161:27 0 +32 *1487:15 *4161:27 0 +33 *3437:66 *4161:16 0 +34 *3437:66 *4161:19 0 +35 *3567:33 *4161:21 0 +36 *3859:37 *4161:16 0 +37 *4159:63 *4161:21 0 +*RES +1 *41170:X *4161:16 42.9071 +2 *4161:16 *4161:19 12.25 +3 *4161:19 *4161:21 145.929 +4 *4161:21 *4161:27 33.25 +5 *4161:27 *38176:B 15.175 +6 *4161:27 *6259:DIODE 9.3 +*END + +*D_NET *4162 0.0203299 +*CONN +*I *8798:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41170:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *41171:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8798:DIODE 0 +2 *41170:A 0.000183036 +3 *41171:X 0.00173585 +4 *4162:77 0.00194975 +5 *4162:70 0.00223159 +6 *4162:62 0.00393732 +7 *4162:51 0.00417477 +8 *4162:35 0.00254204 +9 *4162:11 0.00357556 +10 *41170:A *4214:73 0 +11 *4162:11 *8808:DIODE 0 +12 *4162:11 *37972:A_N 0 +13 *4162:11 *4457:109 0 +14 *4162:35 *4212:43 0 +15 *4162:35 *4384:56 0 +16 *4162:51 *41186:A 0 +17 *4162:62 *37956:A_N 0 +18 *4162:62 *37960:A_N 0 +19 *4162:62 *38216:A 0 +20 *4162:62 *4197:36 0 +21 *4162:62 *4782:20 0 +22 *4162:70 *4214:73 0 +23 *4162:77 *4172:24 0 +24 *4162:77 *4214:73 0 +25 *8782:DIODE *4162:11 0 +26 *37956:B *4162:51 0 +27 *38206:B *4162:62 0 +28 *41151:A *4162:11 0 +29 *1375:14 *4162:51 0 +30 *1387:58 *4162:35 0 +31 *1393:86 *4162:11 0 +32 *1492:17 *4162:77 0 +33 *1533:43 *4162:11 0 +34 *1533:43 *4162:35 0 +35 *1533:57 *4162:11 0 +36 *1895:46 *4162:51 0 +37 *1896:37 *4162:11 0 +38 *1898:36 *4162:35 0 +39 *1899:57 *4162:51 0 +40 *1901:69 *4162:35 0 +41 *1901:69 *4162:51 0 +42 *1926:66 *4162:35 0 +43 *2061:49 *4162:11 0 +44 *2061:56 *4162:35 0 +45 *2074:30 *4162:11 0 +46 *2741:33 *4162:11 0 +47 *2907:20 *4162:62 0 +48 *3213:22 *4162:62 0 +49 *3374:25 *4162:62 0 +50 *3862:26 *4162:62 0 +51 *3888:51 *4162:51 0 +52 *3888:53 *4162:62 0 +53 *3890:50 *4162:62 0 +54 *3895:49 *4162:11 0 +55 *4123:32 *4162:62 0 +56 *4152:14 *4162:11 0 +57 *4152:66 *4162:62 0 +58 *4159:51 *4162:77 0 +*RES +1 *41171:X *4162:11 45.6214 +2 *4162:11 *4162:35 48.3632 +3 *4162:35 *4162:51 33.2321 +4 *4162:51 *4162:62 48.8964 +5 *4162:62 *4162:70 23.2857 +6 *4162:70 *4162:77 46.0179 +7 *4162:77 *41170:A 22.2286 +8 *4162:77 *8798:DIODE 9.3 +*END + +*D_NET *4163 0.0195688 +*CONN +*I *6256:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38174:B I *D sky130_fd_sc_hd__and2_2 +*I *41172:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *6256:DIODE 0 +2 *38174:B 0.000203639 +3 *41172:X 9.90042e-05 +4 *4163:35 0.00316838 +5 *4163:33 0.00390037 +6 *4163:29 0.00267356 +7 *4163:9 0.00558141 +8 *4163:8 0.00394248 +9 *38174:B *4195:5 0 +10 *38174:B *4795:45 0 +11 *4163:9 *37768:A 0 +12 *4163:9 *4195:5 0 +13 *4163:9 *4285:27 0 +14 *4163:9 *4396:11 0 +15 *4163:9 *4396:19 0 +16 *4163:9 *4401:42 0 +17 *4163:29 *37884:C 0 +18 *4163:29 *4195:5 0 +19 *4163:29 *4693:38 0 +20 *4163:33 *37884:A_N 0 +21 *4163:33 *37884:C 0 +22 *4163:33 *4195:5 0 +23 *4163:33 *4396:35 0 +24 *4163:35 *4167:40 0 +25 *4163:35 *4195:5 0 +26 *4163:35 *4396:35 0 +27 *4163:35 *4418:29 0 +28 *4163:35 *4475:18 0 +29 *4163:35 *4475:45 0 +30 *4163:35 *4795:45 0 +31 *4163:35 *4800:28 0 +32 *5642:DIODE *4163:29 0 +33 *37768:B *4163:9 0 +34 *1283:20 *4163:9 0 +35 *1381:13 *4163:9 0 +36 *1664:18 *4163:29 0 +37 *1792:29 *4163:9 0 +38 *3567:56 *4163:9 0 +39 *3567:56 *4163:29 0 +40 *4150:17 *4163:9 0 +41 *4150:27 *4163:9 0 +42 *4158:20 *4163:9 0 +43 *4158:45 *4163:9 0 +44 *4158:54 *4163:9 0 +*RES +1 *41172:X *4163:8 20.55 +2 *4163:8 *4163:9 80.2143 +3 *4163:9 *4163:29 45.5179 +4 *4163:29 *4163:33 19.6518 +5 *4163:33 *4163:35 61.9375 +6 *4163:35 *38174:B 13.55 +7 *4163:35 *6256:DIODE 9.3 +*END + +*D_NET *4164 0.0195195 +*CONN +*I *8799:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41172:A I *D sky130_fd_sc_hd__buf_2 +*I *41173:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8799:DIODE 6.95881e-05 +2 *41172:A 0 +3 *41173:X 0.00341258 +4 *4164:20 0.00634718 +5 *4164:15 0.00969017 +6 *8799:DIODE *8809:DIODE 0 +7 *8799:DIODE *4184:28 0 +8 *4164:15 *4178:25 0 +9 *4164:15 *4202:9 0 +10 *4164:15 *4206:27 0 +11 *4164:20 *6295:DIODE 0 +12 *4164:20 *4178:25 0 +13 *4164:20 *4178:30 0 +14 *4164:20 *4191:28 0 +15 *4164:20 *4196:17 0 +16 *4164:20 *4202:9 0 +17 *38244:B *4164:15 0 +18 *1492:42 *4164:15 0 +19 *4140:63 *4164:15 0 +20 *4157:31 *4164:15 0 +*RES +1 *41173:X *4164:15 30.8474 +2 *4164:15 *4164:20 29.6966 +3 *4164:20 *41172:A 13.8 +4 *4164:20 *8799:DIODE 15.4429 +*END + +*D_NET *4165 0.0206463 +*CONN +*I *41173:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8800:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41174:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41173:A 0.000162053 +2 *8800:DIODE 8.4707e-05 +3 *41174:X 0.00141029 +4 *4165:20 0.00891287 +5 *4165:11 0.0100764 +6 *4165:20 *4189:40 0 +7 *4165:20 *4410:19 0 +8 *4165:20 *4926:17 0 +9 *1393:45 *41173:A 0 +10 *1533:22 *41173:A 0 +11 *1796:39 *4165:20 0 +12 *1895:46 *4165:20 0 +13 *1901:69 *8800:DIODE 0 +14 *1974:21 *4165:20 0 +15 *1991:28 *4165:20 0 +16 *2012:37 *4165:20 0 +17 *2060:24 *4165:20 0 +18 *2099:39 *4165:11 0 +19 *2144:61 *4165:20 0 +20 *2150:47 *4165:20 0 +21 *2151:35 *4165:20 0 +22 *3374:31 *8800:DIODE 0 +23 *3879:22 *4165:20 0 +24 *3919:19 *4165:11 0 +25 *3919:19 *4165:20 0 +26 *3926:13 *4165:11 0 +27 *3926:13 *4165:20 0 +28 *4076:32 *4165:11 0 +29 *4132:28 *4165:20 0 +30 *4141:21 *4165:20 0 +31 *4144:12 *4165:20 0 +*RES +1 *41174:X *4165:11 22.8178 +2 *4165:11 *4165:20 49.1303 +3 *4165:20 *8800:DIODE 15.5679 +4 *4165:20 *41173:A 17.4964 +*END + +*D_NET *4166 0.0210554 +*CONN +*I *37776:A I *D sky130_fd_sc_hd__and2_2 +*I *5624:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41175:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37776:A 5.7113e-05 +2 *5624:DIODE 0.000468724 +3 *41175:X 0.0043972 +4 *4166:63 0.000628726 +5 *4166:60 0.00560468 +6 *4166:35 0.00989899 +7 *4166:35 *4277:33 0 +8 *4166:35 *4277:50 0 +9 *4166:35 *4298:20 0 +10 *4166:60 *38076:A_N 0 +11 *4166:60 *4221:48 0 +12 *4166:60 *4230:74 0 +13 *4166:60 *4242:44 0 +14 *4166:60 *4272:28 0 +15 *4166:60 *4272:38 0 +16 *4166:60 *4277:50 0 +17 *4166:60 *4295:53 0 +18 *37776:B *5624:DIODE 0 +19 *37776:B *37776:A 0 +20 *40993:A *5624:DIODE 0 +21 *41175:A *4166:35 0 +22 *1218:36 *4166:60 0 +23 *1228:19 *4166:60 0 +24 *1290:25 *4166:35 0 +25 *2412:44 *4166:35 0 +26 *2671:44 *4166:60 0 +27 *3143:25 *4166:35 0 +28 *3210:70 *4166:60 0 +29 *3220:21 *4166:60 0 +30 *3265:86 *4166:60 0 +31 *3279:51 *4166:60 0 +32 *3442:92 *4166:60 0 +33 *3532:24 *4166:60 0 +34 *3951:7 *4166:60 0 +35 *3964:15 *5624:DIODE 0 +36 *3965:20 *4166:35 0 +37 *4008:72 *5624:DIODE 0 +38 *4008:72 *4166:63 0 +39 *4017:97 *4166:60 0 +40 *4029:49 *4166:35 0 +41 *4052:27 *4166:60 0 +42 *4072:20 *4166:35 0 +43 *4072:51 *4166:35 0 +44 *4072:51 *4166:60 0 +45 *4075:14 *4166:35 0 +46 *4078:26 *5624:DIODE 0 +47 *4078:26 *37776:A 0 +48 *4078:26 *4166:63 0 +49 *4117:51 *4166:60 0 +*RES +1 *41175:X *4166:35 48.3884 +2 *4166:35 *4166:60 45.9362 +3 *4166:60 *4166:63 6.67857 +4 *4166:63 *5624:DIODE 28.425 +5 *4166:63 *37776:A 10.6571 +*END + +*D_NET *4167 0.0194914 +*CONN +*I *6253:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38172:B I *D sky130_fd_sc_hd__and2_1 +*I *41176:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *6253:DIODE 8.29787e-05 +2 *38172:B 0.000104386 +3 *41176:X 0.000793933 +4 *4167:40 0.00170679 +5 *4167:33 0.00369015 +6 *4167:30 0.00229415 +7 *4167:19 0.0037701 +8 *4167:18 0.00495084 +9 *4167:11 0.0020981 +10 *6253:DIODE *5771:DIODE 0 +11 *38172:B *4419:51 0 +12 *38172:B *4475:45 0 +13 *4167:11 *8801:DIODE 0 +14 *4167:11 *8840:DIODE 0 +15 *4167:11 *41382:A 0 +16 *4167:11 *4180:67 0 +17 *4167:18 *41185:A 0 +18 *4167:19 *4180:99 0 +19 *4167:19 *4190:40 0 +20 *4167:19 *4210:7 0 +21 *4167:19 *4421:29 0 +22 *4167:30 *4424:63 0 +23 *4167:33 *4201:21 0 +24 *4167:33 *4201:23 0 +25 *4167:40 *5771:DIODE 0 +26 *4167:40 *4195:5 0 +27 *4167:40 *5059:11 0 +28 *6262:DIODE *4167:40 0 +29 *8580:DIODE *4167:18 0 +30 *37936:A_N *6253:DIODE 0 +31 *38139:A *4167:19 0 +32 *38192:B *4167:19 0 +33 *39442:A *4167:19 0 +34 *39442:B *4167:19 0 +35 *1345:11 *38172:B 0 +36 *1369:6 *6253:DIODE 0 +37 *1369:6 *4167:40 0 +38 *1484:10 *4167:19 0 +39 *1495:15 *4167:19 0 +40 *2952:10 *4167:19 0 +41 *3127:32 *4167:40 0 +42 *3381:55 *4167:40 0 +43 *3874:12 *4167:19 0 +44 *3875:65 *4167:11 0 +45 *3875:65 *4167:18 0 +46 *3875:69 *4167:18 0 +47 *4150:27 *4167:19 0 +48 *4158:58 *4167:19 0 +49 *4158:58 *4167:33 0 +50 *4163:35 *4167:40 0 +*RES +1 *41176:X *4167:11 34.9786 +2 *4167:11 *4167:18 36.3571 +3 *4167:18 *4167:19 76.1071 +4 *4167:19 *4167:30 16.7088 +5 *4167:30 *4167:33 49.8036 +6 *4167:33 *4167:40 41.3929 +7 *4167:40 *38172:B 15.9786 +8 *4167:40 *6253:DIODE 15.7464 +*END + +*D_NET *4168 0.0213417 +*CONN +*I *41176:A I *D sky130_fd_sc_hd__buf_2 +*I *8801:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41177:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41176:A 0 +2 *8801:DIODE 0.000423949 +3 *41177:X 0.000754761 +4 *4168:72 0.00192468 +5 *4168:65 0.00314268 +6 *4168:55 0.00409567 +7 *4168:54 0.00341335 +8 *4168:39 0.002433 +9 *4168:26 0.00293612 +10 *4168:16 0.00221751 +11 *8801:DIODE *41382:A 0 +12 *8801:DIODE *4180:67 0 +13 *8801:DIODE *4183:57 0 +14 *8801:DIODE *4205:15 0 +15 *4168:39 *4188:17 0 +16 *4168:39 *4959:58 0 +17 *4168:54 *4202:9 0 +18 *4168:54 *4211:23 0 +19 *4168:54 *4214:73 0 +20 *4168:54 *4384:56 0 +21 *4168:55 *4183:39 0 +22 *4168:55 *4183:41 0 +23 *4168:65 *4174:14 0 +24 *4168:65 *4442:20 0 +25 *5615:DIODE *4168:55 0 +26 *6296:DIODE *4168:55 0 +27 *8104:DIODE *4168:26 0 +28 *37988:A_N *4168:55 0 +29 *38202:B *4168:55 0 +30 *40094:A *4168:55 0 +31 *40913:A *4168:39 0 +32 *41160:A *4168:55 0 +33 *41282:A *4168:54 0 +34 *1393:14 *4168:39 0 +35 *1521:23 *4168:39 0 +36 *1528:17 *4168:26 0 +37 *1889:45 *4168:26 0 +38 *1889:70 *4168:26 0 +39 *1894:66 *4168:16 0 +40 *2741:14 *4168:39 0 +41 *2741:15 *4168:16 0 +42 *3332:62 *4168:26 0 +43 *3629:45 *4168:39 0 +44 *3641:24 *4168:72 0 +45 *3641:25 *4168:72 0 +46 *3875:65 *8801:DIODE 0 +47 *3886:19 *4168:26 0 +48 *3897:49 *4168:55 0 +49 *3897:92 *8801:DIODE 0 +50 *4136:25 *4168:16 0 +51 *4136:48 *4168:39 0 +52 *4136:63 *4168:65 0 +53 *4136:65 *4168:65 0 +54 *4136:65 *4168:72 0 +55 *4140:107 *4168:55 0 +56 *4140:107 *4168:65 0 +57 *4150:17 *4168:72 0 +58 *4151:41 *4168:55 0 +59 *4156:52 *4168:39 0 +60 *4157:78 *4168:55 0 +61 *4157:78 *4168:65 0 +62 *4158:11 *4168:72 0 +63 *4159:49 *4168:39 0 +64 *4167:11 *8801:DIODE 0 +*RES +1 *41177:X *4168:16 43.4429 +2 *4168:16 *4168:26 39.6607 +3 *4168:26 *4168:39 46.7363 +4 *4168:39 *4168:54 37.4189 +5 *4168:54 *4168:55 51.0536 +6 *4168:55 *4168:65 34.3929 +7 *4168:65 *4168:72 40.5357 +8 *4168:72 *8801:DIODE 27.425 +9 *4168:72 *41176:A 9.3 +*END + +*D_NET *4169 0.0212221 +*CONN +*I *6250:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38170:B I *D sky130_fd_sc_hd__and2_1 +*I *41178:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *6250:DIODE 0 +2 *38170:B 0.00018396 +3 *41178:X 0.00116845 +4 *4169:69 0.00065926 +5 *4169:67 0.0024764 +6 *4169:59 0.00435899 +7 *4169:44 0.00327573 +8 *4169:38 0.00238904 +9 *4169:27 0.00350652 +10 *4169:22 0.00320377 +11 *38170:B *4180:99 0 +12 *38170:B *4210:7 0 +13 *4169:22 *4183:21 0 +14 *4169:22 *4211:11 0 +15 *4169:22 *4211:23 0 +16 *4169:22 *4391:18 0 +17 *4169:27 *4180:27 0 +18 *4169:38 *4180:60 0 +19 *4169:38 *4440:44 0 +20 *4169:44 *4441:10 0 +21 *4169:59 *37946:A_N 0 +22 *4169:59 *37946:B 0 +23 *4169:59 *38200:A 0 +24 *4169:59 *4184:17 0 +25 *4169:67 *8837:DIODE 0 +26 *4169:67 *4210:7 0 +27 *4169:69 *4180:99 0 +28 *4169:69 *4210:7 0 +29 *6372:DIODE *4169:27 0 +30 *8575:DIODE *4169:59 0 +31 *8580:DIODE *4169:69 0 +32 *37572:A *4169:27 0 +33 *38182:B *4169:27 0 +34 *38210:B *4169:22 0 +35 *38220:A *4169:59 0 +36 *38244:B *4169:22 0 +37 *38258:A *4169:22 0 +38 *40094:A *4169:38 0 +39 *1185:16 *4169:27 0 +40 *1292:14 *4169:59 0 +41 *1297:5 *4169:44 0 +42 *1372:24 *4169:59 0 +43 *1490:20 *4169:27 0 +44 *1501:18 *4169:59 0 +45 *1504:27 *4169:22 0 +46 *1790:8 *4169:44 0 +47 *2796:15 *4169:44 0 +48 *2907:20 *4169:22 0 +49 *3315:28 *4169:38 0 +50 *3347:65 *4169:27 0 +51 *3367:40 *4169:67 0 +52 *3835:61 *4169:27 0 +53 *3874:12 *4169:69 0 +54 *3875:41 *4169:38 0 +55 *3875:53 *4169:59 0 +56 *3875:65 *4169:59 0 +57 *3875:65 *4169:67 0 +58 *3875:69 *4169:67 0 +59 *3875:69 *4169:69 0 +60 *3896:14 *4169:67 0 +61 *4131:28 *4169:22 0 +62 *4140:41 *4169:22 0 +63 *4140:115 *4169:59 0 +64 *4150:13 *4169:27 0 +65 *4157:52 *4169:27 0 +66 *4158:11 *4169:59 0 +*RES +1 *41178:X *4169:22 47.8357 +2 *4169:22 *4169:27 46.9464 +3 *4169:27 *4169:38 40.5714 +4 *4169:38 *4169:44 28.9286 +5 *4169:44 *4169:59 49.8571 +6 *4169:59 *4169:67 42.0714 +7 *4169:67 *4169:69 9.98214 +8 *4169:69 *38170:B 13.1393 +9 *4169:69 *6250:DIODE 9.3 +*END + +*D_NET *4170 0.020106 +*CONN +*I *8803:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41178:A I *D sky130_fd_sc_hd__buf_2 +*I *41179:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8803:DIODE 6.20329e-05 +2 *41178:A 4.53482e-05 +3 *41179:X 0.00560705 +4 *4170:30 0.00444596 +5 *4170:19 0.00994563 +6 *8803:DIODE *4183:21 0 +7 *4170:19 *4197:27 0 +8 *4170:30 *4197:36 0 +9 *4170:30 *4200:24 0 +10 *4170:30 *4209:19 0 +11 *4170:30 *4454:22 0 +12 *4170:30 *4454:39 0 +13 *4170:30 *4848:15 0 +14 *41094:A *4170:19 0 +15 *1402:32 *4170:19 0 +16 *1402:32 *4170:30 0 +17 *1672:21 *8803:DIODE 0 +18 *1798:57 *4170:30 0 +19 *1809:19 *4170:19 0 +20 *2004:50 *4170:30 0 +21 *2006:25 *4170:19 0 +22 *2031:28 *4170:19 0 +23 *2031:48 *4170:19 0 +24 *2066:23 *4170:30 0 +25 *2086:21 *4170:19 0 +26 *3137:32 *4170:30 0 +27 *3268:16 *4170:19 0 +28 *3268:29 *4170:30 0 +29 *3269:39 *4170:19 0 +30 *3840:71 *4170:30 0 +31 *3857:58 *4170:30 0 +32 *3907:36 *4170:19 0 +*RES +1 *41179:X *4170:19 37.7986 +2 *4170:19 *4170:30 38.2233 +3 *4170:30 *41178:A 10.2464 +4 *4170:30 *8803:DIODE 10.6571 +*END + +*D_NET *4171 0.0144304 +*CONN +*I *6110:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *8266:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40508:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38088:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *38596:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6110:DIODE 0 +2 *8266:DIODE 6.50276e-05 +3 *40508:A 0.000299372 +4 *38088:A_N 4.53482e-05 +5 *38596:X 0.000537333 +6 *4171:103 0.0015907 +7 *4171:80 0.00224589 +8 *4171:50 0.00203126 +9 *4171:26 0.00264257 +10 *4171:10 0.00305592 +11 *4171:7 0.001917 +12 *4171:7 *5105:7 0 +13 *4171:10 *4268:14 0 +14 *4171:10 *4276:20 0 +15 *4171:10 *4517:81 0 +16 *4171:26 *4248:69 0 +17 *4171:26 *4328:24 0 +18 *4171:50 *4248:69 0 +19 *4171:50 *4267:80 0 +20 *4171:80 *4217:14 0 +21 *5492:DIODE *4171:7 0 +22 *5576:DIODE *4171:80 0 +23 *5580:DIODE *4171:50 0 +24 *6408:DIODE *4171:103 0 +25 *8165:DIODE *4171:10 0 +26 *8502:DIODE *38088:A_N 0 +27 *37446:A *4171:7 0 +28 *37742:B *4171:80 0 +29 *40268:A *4171:10 0 +30 *40358:A *4171:10 0 +31 *1210:89 *38088:A_N 0 +32 *1270:10 *4171:80 0 +33 *1319:27 *4171:103 0 +34 *1325:44 *4171:103 0 +35 *1435:78 *4171:10 0 +36 *1443:27 *4171:80 0 +37 *1883:13 *4171:7 0 +38 *2349:8 *4171:10 0 +39 *2417:75 *8266:DIODE 0 +40 *2822:32 *4171:10 0 +41 *2822:32 *4171:26 0 +42 *2987:35 *4171:80 0 +43 *3092:17 *4171:10 0 +44 *3092:17 *4171:26 0 +45 *3112:37 *4171:103 0 +46 *3112:52 *4171:103 0 +47 *3145:90 *4171:10 0 +48 *3159:40 *4171:10 0 +49 *3183:42 *4171:26 0 +50 *3279:95 *4171:26 0 +51 *3545:27 *4171:50 0 +52 *3655:62 *4171:80 0 +53 *3658:65 *4171:26 0 +54 *3672:63 *4171:80 0 +55 *3740:46 *4171:103 0 +56 *3763:36 *4171:50 0 +57 *3768:14 *40508:A 0 +58 *4048:40 *8266:DIODE 0 +59 *4099:60 *4171:80 0 +60 *4106:21 *4171:50 0 +61 *4106:21 *4171:80 0 +62 *4107:101 *4171:26 0 +63 *4109:65 *4171:50 0 +*RES +1 *38596:X *4171:7 25.0143 +2 *4171:7 *4171:10 31.3839 +3 *4171:10 *4171:26 46.5268 +4 *4171:26 *4171:50 34.4896 +5 *4171:50 *38088:A_N 14.7464 +6 *4171:50 *4171:80 44.9107 +7 *4171:80 *4171:103 20.9218 +8 *4171:103 *40508:A 20.6036 +9 *4171:103 *8266:DIODE 15.1571 +10 *4171:80 *6110:DIODE 9.3 +*END + +*D_NET *4172 0.0193952 +*CONN +*I *6248:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38168:B I *D sky130_fd_sc_hd__and2_2 +*I *41180:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6248:DIODE 0 +2 *38168:B 0.000183104 +3 *41180:X 0.000579264 +4 *4172:43 0.00138504 +5 *4172:41 0.00305501 +6 *4172:35 0.00628693 +7 *4172:34 0.00588022 +8 *4172:24 0.00202563 +9 *38168:B *4177:19 0 +10 *38168:B *4213:23 0 +11 *4172:24 *37942:C 0 +12 *4172:24 *4401:23 0 +13 *4172:24 *4432:62 0 +14 *4172:34 *4214:73 0 +15 *4172:34 *4432:43 0 +16 *4172:34 *4432:62 0 +17 *4172:35 *4187:16 0 +18 *4172:35 *4401:25 0 +19 *4172:41 *4187:32 0 +20 *4172:41 *4401:43 0 +21 *4172:43 *4177:19 0 +22 *4172:43 *4213:23 0 +23 *1179:9 *4172:35 0 +24 *1381:48 *4172:34 0 +25 *1799:29 *4172:34 0 +26 *3241:52 *4172:34 0 +27 *3383:29 *4172:35 0 +28 *3437:66 *4172:34 0 +29 *3859:37 *4172:35 0 +30 *3859:41 *4172:35 0 +31 *3867:14 *4172:34 0 +32 *3885:15 *4172:35 0 +33 *3885:23 *4172:35 0 +34 *3885:23 *4172:41 0 +35 *4159:51 *4172:24 0 +36 *4161:16 *4172:34 0 +37 *4161:19 *4172:34 0 +38 *4161:21 *4172:34 0 +39 *4162:77 *4172:24 0 +*RES +1 *41180:X *4172:24 48.7286 +2 *4172:24 *4172:34 39.3571 +3 *4172:34 *4172:35 92.5357 +4 *4172:35 *4172:41 38.7679 +5 *4172:41 *4172:43 25.1786 +6 *4172:43 *38168:B 13.1214 +7 *4172:43 *6248:DIODE 9.3 +*END + +*D_NET *4173 0.0196184 +*CONN +*I *41180:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8805:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41181:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41180:A 0.000195123 +2 *8805:DIODE 2.56688e-05 +3 *41181:X 0.000159146 +4 *4173:42 0.00160035 +5 *4173:34 0.0028086 +6 *4173:19 0.00496363 +7 *4173:17 0.00466316 +8 *4173:12 0.00308609 +9 *4173:7 0.00211666 +10 *41180:A *4194:9 0 +11 *41180:A *4196:32 0 +12 *41180:A *4211:25 0 +13 *41180:A *4401:20 0 +14 *4173:12 *4410:5 0 +15 *4173:17 *5048:75 0 +16 *4173:19 *37954:A_N 0 +17 *4173:19 *37954:C 0 +18 *4173:19 *4391:27 0 +19 *4173:19 *4760:47 0 +20 *4173:34 *4391:27 0 +21 *4173:34 *4748:23 0 +22 *4173:42 *4440:16 0 +23 *4173:42 *4726:19 0 +24 *37784:A *4173:19 0 +25 *37792:A *4173:7 0 +26 *37954:B *4173:19 0 +27 *40261:A *4173:19 0 +28 *376:33 *4173:34 0 +29 *1895:52 *4173:19 0 +30 *1898:45 *4173:19 0 +31 *1899:38 *4173:12 0 +32 *1899:41 *4173:12 0 +33 *2026:25 *4173:7 0 +34 *2026:25 *4173:12 0 +35 *2063:22 *4173:19 0 +36 *2068:24 *4173:7 0 +37 *2907:20 *4173:34 0 +38 *3137:41 *4173:19 0 +39 *3213:22 *4173:34 0 +40 *3246:19 *4173:12 0 +41 *3352:33 *4173:19 0 +42 *3384:77 *4173:42 0 +43 *3456:36 *41180:A 0 +44 *3456:36 *4173:42 0 +45 *3583:55 *4173:19 0 +46 *3642:98 *4173:42 0 +47 *3862:26 *4173:34 0 +48 *3895:93 *4173:42 0 +49 *3898:39 *4173:7 0 +50 *3898:39 *4173:12 0 +51 *4068:9 *4173:12 0 +52 *4068:9 *4173:17 0 +53 *4068:37 *4173:17 0 +54 *4068:37 *4173:19 0 +55 *4068:43 *4173:19 0 +56 *4068:45 *4173:19 0 +57 *4068:45 *4173:34 0 +58 *4123:32 *4173:34 0 +59 *4151:41 *4173:34 0 +*RES +1 *41181:X *4173:7 12.6214 +2 *4173:7 *4173:12 45.5 +3 *4173:12 *4173:17 28.0536 +4 *4173:17 *4173:19 73.6429 +5 *4173:19 *4173:34 33.0513 +6 *4173:34 *4173:42 33.9643 +7 *4173:42 *8805:DIODE 14.3357 +8 *4173:42 *41180:A 18.2107 +*END + +*D_NET *4174 0.0196796 +*CONN +*I *6245:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38166:B I *D sky130_fd_sc_hd__and2_1 +*I *41182:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *6245:DIODE 0 +2 *38166:B 0.000198505 +3 *41182:X 0.00112048 +4 *4174:75 0.00143719 +5 *4174:67 0.00274728 +6 *4174:50 0.00318754 +7 *4174:37 0.00405865 +8 *4174:27 0.0040946 +9 *4174:14 0.00283537 +10 *38166:B *4184:70 0 +11 *38166:B *4190:41 0 +12 *4174:14 *8807:DIODE 0 +13 *4174:14 *4175:29 0 +14 *4174:14 *4205:8 0 +15 *4174:27 *4205:15 0 +16 *4174:37 *37964:B 0 +17 *4174:37 *41189:A 0 +18 *4174:37 *4181:23 0 +19 *4174:37 *4181:27 0 +20 *4174:37 *4183:57 0 +21 *4174:37 *4190:28 0 +22 *4174:37 *4205:15 0 +23 *4174:37 *4205:25 0 +24 *4174:37 *4397:72 0 +25 *4174:50 *4184:38 0 +26 *4174:50 *4184:48 0 +27 *4174:50 *4190:16 0 +28 *4174:50 *4190:28 0 +29 *4174:50 *4205:40 0 +30 *4174:50 *4422:27 0 +31 *4174:50 *4538:8 0 +32 *4174:67 *4181:31 0 +33 *4174:67 *4181:33 0 +34 *4174:67 *4184:57 0 +35 *4174:67 *4184:70 0 +36 *4174:67 *5045:6 0 +37 *4174:75 *4181:33 0 +38 *4174:75 *4184:70 0 +39 *4174:75 *4190:41 0 +40 *4174:75 *4422:36 0 +41 *4174:75 *4429:36 0 +42 *5890:DIODE *4174:37 0 +43 *6281:DIODE *4174:50 0 +44 *39467:A *4174:27 0 +45 *40932:A *4174:37 0 +46 *1482:13 *38166:B 0 +47 *1495:15 *4174:50 0 +48 *1509:30 *4174:27 0 +49 *1789:22 *4174:67 0 +50 *2762:10 *4174:37 0 +51 *3315:28 *4174:14 0 +52 *3369:21 *4174:37 0 +53 *3897:93 *4174:37 0 +54 *4133:76 *4174:14 0 +55 *4136:63 *4174:14 0 +56 *4136:65 *4174:14 0 +57 *4146:23 *4174:27 0 +58 *4146:23 *4174:37 0 +59 *4168:65 *4174:14 0 +*RES +1 *41182:X *4174:14 46.7107 +2 *4174:14 *4174:27 40.6607 +3 *4174:27 *4174:37 49.6964 +4 *4174:37 *4174:50 48.7857 +5 *4174:50 *4174:67 45.3214 +6 *4174:67 *4174:75 35.0357 +7 *4174:75 *38166:B 13.4429 +8 *4174:75 *6245:DIODE 9.3 +*END + +*D_NET *4175 0.0196453 +*CONN +*I *41182:A I *D sky130_fd_sc_hd__buf_2 +*I *8807:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41183:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41182:A 5.78617e-05 +2 *8807:DIODE 0.000147489 +3 *41183:X 0.00781082 +4 *4175:29 0.00201183 +5 *4175:17 0.0096173 +6 *4175:17 *37994:A_N 0 +7 *4175:17 *4185:18 0 +8 *4175:17 *4185:21 0 +9 *4175:17 *4206:27 0 +10 *4175:17 *4394:20 0 +11 *4175:17 *4394:42 0 +12 *4175:17 *4470:77 0 +13 *4175:29 *4185:21 0 +14 *4175:29 *4208:21 0 +15 *1396:20 *4175:17 0 +16 *1396:43 *4175:17 0 +17 *1528:17 *4175:17 0 +18 *1672:43 *4175:17 0 +19 *1894:89 *4175:17 0 +20 *2747:24 *4175:17 0 +21 *2792:43 *4175:29 0 +22 *3332:62 *4175:17 0 +23 *3343:22 *4175:17 0 +24 *3857:19 *4175:17 0 +25 *3861:70 *4175:17 0 +26 *3868:44 *4175:17 0 +27 *3873:25 *4175:17 0 +28 *3875:53 *8807:DIODE 0 +29 *3875:53 *41182:A 0 +30 *3886:76 *4175:29 0 +31 *4136:41 *4175:17 0 +32 *4142:15 *4175:29 0 +33 *4174:14 *8807:DIODE 0 +34 *4174:14 *4175:29 0 +*RES +1 *41183:X *4175:17 48.0523 +2 *4175:17 *4175:29 23.358 +3 *4175:29 *8807:DIODE 12.8357 +4 *4175:29 *41182:A 10.8179 +*END + +*D_NET *4176 0.0206326 +*CONN +*I *41183:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8808:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41184:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41183:A 0.000128288 +2 *8808:DIODE 0.000267143 +3 *41184:X 0.00110035 +4 *4176:32 0.00179815 +5 *4176:19 0.00590545 +6 *4176:17 0.00452327 +7 *4176:15 0.00274913 +8 *4176:14 0.00289453 +9 *4176:11 0.00126629 +10 *8808:DIODE *37972:A_N 0 +11 *8808:DIODE *4457:109 0 +12 *41183:A *37972:C 0 +13 *4176:14 *4239:16 0 +14 *4176:15 *4410:31 0 +15 *8833:DIODE *8808:DIODE 0 +16 *41002:A *4176:32 0 +17 *1295:31 *4176:32 0 +18 *1524:35 *4176:32 0 +19 *1533:57 *41183:A 0 +20 *1896:20 *8808:DIODE 0 +21 *1899:38 *4176:32 0 +22 *1900:28 *4176:32 0 +23 *1901:32 *4176:32 0 +24 *1972:10 *4176:11 0 +25 *1978:15 *4176:15 0 +26 *1981:15 *4176:14 0 +27 *1996:13 *4176:11 0 +28 *2001:8 *4176:11 0 +29 *2009:15 *4176:19 0 +30 *2024:51 *4176:32 0 +31 *2026:8 *4176:15 0 +32 *2026:8 *4176:19 0 +33 *2026:8 *4176:32 0 +34 *2038:8 *4176:15 0 +35 *2038:8 *4176:19 0 +36 *2043:8 *4176:32 0 +37 *2050:17 *4176:11 0 +38 *2053:7 *4176:11 0 +39 *2061:21 *4176:32 0 +40 *2067:8 *4176:19 0 +41 *2067:20 *4176:19 0 +42 *2067:20 *4176:32 0 +43 *2092:8 *4176:15 0 +44 *2741:33 *8808:DIODE 0 +45 *2741:33 *4176:32 0 +46 *3152:9 *4176:11 0 +47 *3876:62 *4176:32 0 +48 *4156:37 *4176:32 0 +49 *4162:11 *8808:DIODE 0 +*RES +1 *41184:X *4176:11 46.4429 +2 *4176:11 *4176:14 8.26786 +3 *4176:14 *4176:15 57.0089 +4 *4176:15 *4176:17 0.428571 +5 *4176:17 *4176:19 93.9732 +6 *4176:19 *4176:32 30.5826 +7 *4176:32 *8808:DIODE 19.3893 +8 *4176:32 *41183:A 16.6929 +*END + +*D_NET *4177 0.0197595 +*CONN +*I *6242:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38164:B I *D sky130_fd_sc_hd__and2_1 +*I *41185:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *6242:DIODE 0 +2 *38164:B 0.000263281 +3 *41185:X 0.000286021 +4 *4177:19 0.00526784 +5 *4177:18 0.00500456 +6 *4177:16 0.00207559 +7 *4177:11 0.00432589 +8 *4177:8 0.00253632 +9 *38164:B *38164:A 0 +10 *38164:B *4511:32 0 +11 *4177:8 *4178:30 0 +12 *4177:11 *4187:16 0 +13 *4177:16 *4213:23 0 +14 *4177:16 *4430:50 0 +15 *4177:19 *38176:A 0 +16 *4177:19 *4213:23 0 +17 *4177:19 *4511:32 0 +18 *4177:19 *4805:15 0 +19 *37506:A *4177:19 0 +20 *37506:B *4177:19 0 +21 *37940:C *4177:19 0 +22 *38168:B *4177:19 0 +23 *317:20 *4177:16 0 +24 *1152:11 *4177:19 0 +25 *1179:38 *4177:19 0 +26 *1477:13 *38164:B 0 +27 *1477:13 *4177:19 0 +28 *1774:22 *4177:19 0 +29 *3082:30 *38164:B 0 +30 *3567:33 *4177:11 0 +31 *3885:15 *4177:11 0 +32 *3885:23 *4177:11 0 +33 *3885:23 *4177:16 0 +34 *4127:23 *38164:B 0 +35 *4127:29 *4177:19 0 +36 *4161:21 *4177:11 0 +37 *4161:21 *4177:16 0 +38 *4172:43 *4177:19 0 +*RES +1 *41185:X *4177:8 24.8 +2 *4177:8 *4177:11 46.9643 +3 *4177:11 *4177:16 47.9643 +4 *4177:16 *4177:18 4.5 +5 *4177:18 *4177:19 104.446 +6 *4177:19 *38164:B 24.05 +7 *4177:19 *6242:DIODE 9.3 +*END + +*D_NET *4178 0.0201534 +*CONN +*I *8809:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41185:A I *D sky130_fd_sc_hd__buf_2 +*I *41186:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8809:DIODE 5.62171e-05 +2 *41185:A 4.62038e-05 +3 *41186:X 0.00458559 +4 *4178:30 0.0054911 +5 *4178:25 0.00997427 +6 *8809:DIODE *4180:82 0 +7 *8809:DIODE *4181:23 0 +8 *4178:25 *6295:DIODE 0 +9 *4178:25 *4196:17 0 +10 *4178:25 *4206:27 0 +11 *4178:25 *4285:19 0 +12 *4178:25 *4384:56 0 +13 *4178:25 *4395:53 0 +14 *4178:25 *5026:42 0 +15 *4178:30 *4191:28 0 +16 *4178:30 *4285:19 0 +17 *4178:30 *4285:27 0 +18 *8799:DIODE *8809:DIODE 0 +19 *38244:B *4178:25 0 +20 *39916:A *4178:25 0 +21 *1492:42 *4178:25 0 +22 *1898:36 *4178:25 0 +23 *3875:65 *41185:A 0 +24 *4140:40 *4178:25 0 +25 *4157:31 *4178:25 0 +26 *4164:15 *4178:25 0 +27 *4164:20 *4178:25 0 +28 *4164:20 *4178:30 0 +29 *4167:18 *41185:A 0 +30 *4177:8 *4178:30 0 +*RES +1 *41186:X *4178:25 38.4922 +2 *4178:25 *4178:30 27.2562 +3 *4178:30 *41185:A 14.7643 +4 *4178:30 *8809:DIODE 15.1393 +*END + +*D_NET *4179 0.0223447 +*CONN +*I *41186:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8810:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41187:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41186:A 0.000268165 +2 *8810:DIODE 0.000102889 +3 *41187:X 0.00415514 +4 *4179:50 0.00121744 +5 *4179:47 0.00664616 +6 *4179:20 0.00995491 +7 *41186:A *5026:42 0 +8 *4179:20 *4296:14 0 +9 *4179:20 *4481:25 0 +10 *4179:47 *4462:21 0 +11 *4179:47 *4466:28 0 +12 *4179:47 *4893:24 0 +13 *4179:47 *4970:36 0 +14 *4179:50 *5026:42 0 +15 *1393:45 *41186:A 0 +16 *1533:22 *41186:A 0 +17 *1803:8 *4179:47 0 +18 *1901:69 *8810:DIODE 0 +19 *1998:29 *4179:20 0 +20 *2126:13 *4179:20 0 +21 *2140:27 *4179:20 0 +22 *2144:61 *4179:47 0 +23 *2150:20 *4179:20 0 +24 *3232:24 *4179:20 0 +25 *3232:24 *4179:47 0 +26 *3846:16 *4179:47 0 +27 *3926:13 *4179:20 0 +28 *3926:13 *4179:47 0 +29 *3926:16 *4179:50 0 +30 *3934:14 *4179:20 0 +31 *4130:13 *4179:47 0 +32 *4141:14 *4179:47 0 +33 *4141:21 *4179:47 0 +34 *4162:51 *41186:A 0 +*RES +1 *41187:X *4179:20 49.7522 +2 *4179:20 *4179:47 47.8159 +3 *4179:47 *4179:50 23.5982 +4 *4179:50 *8810:DIODE 11.4786 +5 *4179:50 *41186:A 24.1125 +*END + +*D_NET *4180 0.0204961 +*CONN +*I *38162:B I *D sky130_fd_sc_hd__and2_1 +*I *6239:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41188:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38162:B 0.000237496 +2 *6239:DIODE 0 +3 *41188:X 0.00123795 +4 *4180:108 0.00128367 +5 *4180:99 0.00259628 +6 *4180:82 0.00323872 +7 *4180:67 0.00438918 +8 *4180:65 0.00321844 +9 *4180:60 0.00178715 +10 *4180:27 0.00250723 +11 *38162:B *4431:50 0 +12 *4180:27 *4401:20 0 +13 *4180:67 *37946:C 0 +14 *4180:82 *4184:38 0 +15 *4180:82 *4208:33 0 +16 *4180:82 *4432:24 0 +17 *4180:99 *38170:A 0 +18 *4180:99 *4210:7 0 +19 *4180:99 *4421:29 0 +20 *4180:108 *4190:40 0 +21 *4180:108 *4201:18 0 +22 *4180:108 *4205:46 0 +23 *4180:108 *4422:35 0 +24 *4180:108 *4526:18 0 +25 *8580:DIODE *4180:99 0 +26 *8801:DIODE *4180:67 0 +27 *8809:DIODE *4180:82 0 +28 *38149:A *4180:27 0 +29 *38170:B *4180:99 0 +30 *40094:A *4180:60 0 +31 *40922:A *4180:65 0 +32 *41387:A *4180:27 0 +33 *321:13 *4180:99 0 +34 *327:25 *38162:B 0 +35 *327:25 *4180:108 0 +36 *354:17 *38162:B 0 +37 *1185:16 *4180:27 0 +38 *1480:12 *38162:B 0 +39 *1484:10 *4180:99 0 +40 *2951:21 *4180:60 0 +41 *3315:28 *4180:60 0 +42 *3344:28 *4180:82 0 +43 *3378:24 *4180:99 0 +44 *3378:37 *4180:99 0 +45 *3383:48 *4180:108 0 +46 *3567:25 *4180:60 0 +47 *3593:31 *38162:B 0 +48 *3604:16 *38162:B 0 +49 *3641:25 *4180:82 0 +50 *3644:37 *4180:108 0 +51 *3874:12 *4180:82 0 +52 *3875:41 *4180:60 0 +53 *3875:53 *4180:60 0 +54 *3875:53 *4180:65 0 +55 *3875:53 *4180:67 0 +56 *3875:65 *4180:67 0 +57 *3886:51 *4180:27 0 +58 *3886:76 *4180:60 0 +59 *3886:77 *4180:65 0 +60 *3897:92 *4180:67 0 +61 *4133:53 *4180:27 0 +62 *4133:76 *4180:60 0 +63 *4136:49 *4180:60 0 +64 *4157:64 *4180:27 0 +65 *4167:11 *4180:67 0 +66 *4167:19 *4180:99 0 +67 *4169:27 *4180:27 0 +68 *4169:38 *4180:60 0 +69 *4169:69 *4180:99 0 +*RES +1 *41188:X *4180:27 49.2643 +2 *4180:27 *4180:60 49.8571 +3 *4180:60 *4180:65 10.8393 +4 *4180:65 *4180:67 56.3929 +5 *4180:67 *4180:82 45.7784 +6 *4180:82 *4180:99 46.1786 +7 *4180:99 *4180:108 17.3606 +8 *4180:108 *6239:DIODE 13.8 +9 *4180:108 *38162:B 19.0946 +*END + +*D_NET *4181 0.0189007 +*CONN +*I *6236:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38160:B I *D sky130_fd_sc_hd__and2_1 +*I *41189:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *6236:DIODE 0 +2 *38160:B 0.000163425 +3 *41189:X 0.000588257 +4 *4181:47 0.000973275 +5 *4181:46 0.00197878 +6 *4181:33 0.00400426 +7 *4181:31 0.00469888 +8 *4181:27 0.00388454 +9 *4181:23 0.00260925 +10 *38160:B *4420:83 0 +11 *4181:23 *4205:25 0 +12 *4181:27 *5838:DIODE 0 +13 *4181:27 *4184:48 0 +14 *4181:27 *4190:28 0 +15 *4181:27 *4205:25 0 +16 *4181:27 *4205:40 0 +17 *4181:31 *4184:48 0 +18 *4181:31 *4184:57 0 +19 *4181:31 *4205:40 0 +20 *4181:31 *4398:73 0 +21 *4181:33 *4422:36 0 +22 *4181:46 *4190:41 0 +23 *4181:46 *4420:54 0 +24 *4181:47 *4420:83 0 +25 *8379:DIODE *4181:31 0 +26 *8809:DIODE *4181:23 0 +27 *37892:B *4181:46 0 +28 *39926:A *4181:31 0 +29 *1340:10 *4181:46 0 +30 *1353:19 *4181:47 0 +31 *1367:8 *4181:46 0 +32 *1478:10 *4181:46 0 +33 *1792:29 *4181:27 0 +34 *2572:12 *4181:46 0 +35 *2762:10 *4181:27 0 +36 *3069:34 *4181:46 0 +37 *3563:44 *4181:47 0 +38 *3628:45 *4181:46 0 +39 *3631:61 *38160:B 0 +40 *3631:61 *4181:47 0 +41 *4174:37 *4181:23 0 +42 *4174:37 *4181:27 0 +43 *4174:67 *4181:31 0 +44 *4174:67 *4181:33 0 +45 *4174:75 *4181:33 0 +*RES +1 *41189:X *4181:23 31.0679 +2 *4181:23 *4181:27 42.2411 +3 *4181:27 *4181:31 38.9554 +4 *4181:31 *4181:33 59.2679 +5 *4181:33 *4181:46 33.8929 +6 *4181:46 *4181:47 16.9643 +7 *4181:47 *38160:B 12.7107 +8 *4181:47 *6236:DIODE 9.3 +*END + +*D_NET *4182 0.0143924 +*CONN +*I *8265:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40507:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6114:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38090:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *38597:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8265:DIODE 0.000778192 +2 *40507:A 0 +3 *6114:DIODE 0.000181845 +4 *38090:A_N 0.000606749 +5 *38597:X 9.2358e-05 +6 *4182:68 0.00341827 +7 *4182:20 0.00368556 +8 *4182:8 0.0056294 +9 *6114:DIODE *4261:51 0 +10 *38090:A_N *4279:55 0 +11 *38090:A_N *4297:108 0 +12 *4182:8 *4753:30 0 +13 *4182:68 *40503:A 0 +14 *4182:68 *4754:12 0 +15 *4182:68 *5191:46 0 +16 la_data_in_mprj[109] *4182:68 0 +17 *6594:DIODE *8265:DIODE 0 +18 *6990:DIODE *4182:20 0 +19 *8486:DIODE *8265:DIODE 0 +20 *40345:A *8265:DIODE 0 +21 *526:29 *8265:DIODE 0 +22 *777:14 *4182:8 0 +23 *777:14 *4182:20 0 +24 *779:17 *4182:68 0 +25 *780:30 *8265:DIODE 0 +26 *780:30 *4182:68 0 +27 *1880:22 *4182:68 0 +28 *2389:29 *4182:20 0 +29 *2389:29 *4182:68 0 +30 *2406:16 *4182:68 0 +31 *2418:8 *4182:20 0 +32 *2516:30 *4182:20 0 +33 *2683:27 *4182:20 0 +34 *2712:174 *4182:68 0 +35 *2712:181 *4182:68 0 +36 *2794:25 *8265:DIODE 0 +37 *3025:21 *4182:20 0 +38 *3125:46 *4182:20 0 +39 *3125:46 *4182:68 0 +40 *3178:43 *38090:A_N 0 +41 *3265:23 *8265:DIODE 0 +42 *3276:26 *4182:20 0 +43 *3279:81 *38090:A_N 0 +44 *3319:76 *4182:20 0 +45 *3500:32 *4182:20 0 +46 *3675:67 *4182:20 0 +47 *3788:72 *4182:20 0 +48 *4001:105 *38090:A_N 0 +49 *4012:105 *38090:A_N 0 +50 *4017:117 *6114:DIODE 0 +51 *4045:43 *4182:20 0 +*RES +1 *38597:X *4182:8 19.3082 +2 *4182:8 *4182:20 24.9904 +3 *4182:20 *38090:A_N 29.1423 +4 *4182:20 *6114:DIODE 23.5525 +5 *4182:8 *4182:68 31.5632 +6 *4182:68 *40507:A 9.3 +7 *4182:68 *8265:DIODE 25.7286 +*END + +*D_NET *4183 0.019177 +*CONN +*I *8812:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41189:A I *D sky130_fd_sc_hd__buf_2 +*I *41190:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8812:DIODE 0 +2 *41189:A 0.000124066 +3 *41190:X 0.000279362 +4 *4183:57 0.00185403 +5 *4183:41 0.00431396 +6 *4183:39 0.00491685 +7 *4183:32 0.00249802 +8 *4183:21 0.00253823 +9 *4183:7 0.00265244 +10 *41189:A *4205:15 0 +11 *4183:21 *38216:A 0 +12 *4183:21 *38228:A 0 +13 *4183:21 *4391:18 0 +14 *4183:21 *4457:61 0 +15 *4183:39 *4196:17 0 +16 *4183:39 *4392:100 0 +17 *4183:41 *4392:100 0 +18 *4183:57 *5892:DIODE 0 +19 *4183:57 *37946:C 0 +20 *4183:57 *4184:17 0 +21 *4183:57 *4185:21 0 +22 *4183:57 *4205:15 0 +23 *4183:57 *4208:33 0 +24 *4183:57 *4214:78 0 +25 *4183:57 *4432:24 0 +26 *4183:57 *4432:40 0 +27 *4183:57 *4726:20 0 +28 *5615:DIODE *4183:39 0 +29 *5951:DIODE *4183:21 0 +30 *6296:DIODE *4183:39 0 +31 *6371:DIODE *4183:21 0 +32 *6374:DIODE *4183:21 0 +33 *8801:DIODE *4183:57 0 +34 *8803:DIODE *4183:21 0 +35 *37574:A *4183:39 0 +36 *37574:B *4183:39 0 +37 *37988:A_N *4183:39 0 +38 *38186:B *4183:39 0 +39 *38202:B *4183:39 0 +40 *38228:B *4183:21 0 +41 *38244:B *4183:21 0 +42 *40094:A *4183:39 0 +43 *41158:A *4183:39 0 +44 *41160:A *4183:39 0 +45 *41190:A *4183:7 0 +46 *1372:24 *4183:57 0 +47 *1381:32 *4183:41 0 +48 *1504:27 *4183:21 0 +49 *1513:15 *4183:21 0 +50 *1521:23 *4183:21 0 +51 *1672:21 *4183:21 0 +52 *2741:15 *4183:7 0 +53 *2741:15 *4183:21 0 +54 *3066:18 *4183:57 0 +55 *3226:21 *4183:57 0 +56 *3347:65 *4183:21 0 +57 *3347:65 *4183:32 0 +58 *3347:65 *4183:39 0 +59 *3567:32 *4183:41 0 +60 *3897:21 *4183:21 0 +61 *3897:49 *4183:32 0 +62 *4136:25 *4183:7 0 +63 *4136:63 *4183:41 0 +64 *4136:65 *4183:41 0 +65 *4140:63 *4183:39 0 +66 *4140:107 *4183:41 0 +67 *4140:115 *4183:41 0 +68 *4150:17 *4183:41 0 +69 *4151:41 *4183:39 0 +70 *4152:109 *4183:39 0 +71 *4157:13 *4183:7 0 +72 *4157:31 *4183:7 0 +73 *4157:31 *4183:21 0 +74 *4157:78 *4183:41 0 +75 *4168:55 *4183:39 0 +76 *4168:55 *4183:41 0 +77 *4169:22 *4183:21 0 +78 *4174:37 *41189:A 0 +79 *4174:37 *4183:57 0 +*RES +1 *41190:X *4183:7 15.1929 +2 *4183:7 *4183:21 49.8393 +3 *4183:21 *4183:32 12.6607 +4 *4183:32 *4183:39 48.75 +5 *4183:39 *4183:41 53.9286 +6 *4183:41 *4183:57 32.0654 +7 *4183:57 *41189:A 11.8893 +8 *4183:57 *8812:DIODE 9.3 +*END + +*D_NET *4184 0.0204663 +*CONN +*I *6233:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38158:B I *D sky130_fd_sc_hd__and2_2 +*I *41191:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *6233:DIODE 0 +2 *38158:B 0.000216106 +3 *41191:X 0.00177423 +4 *4184:83 0.00127207 +5 *4184:70 0.00342973 +6 *4184:57 0.00361828 +7 *4184:48 0.0029796 +8 *4184:38 0.00296171 +9 *4184:28 0.00183347 +10 *4184:17 0.00238108 +11 *38158:B *4201:23 0 +12 *4184:17 *4208:33 0 +13 *4184:17 *4214:78 0 +14 *4184:17 *4432:24 0 +15 *4184:28 *4205:25 0 +16 *4184:38 *4824:14 0 +17 *4184:48 *5854:DIODE 0 +18 *4184:48 *4190:28 0 +19 *4184:48 *4205:40 0 +20 *4184:48 *4671:46 0 +21 *4184:70 *5822:DIODE 0 +22 *4184:70 *37932:C 0 +23 *4184:70 *41209:A 0 +24 *4184:70 *4190:41 0 +25 *4184:70 *4203:16 0 +26 *4184:70 *4396:32 0 +27 *4184:70 *4637:47 0 +28 *4184:83 *4201:23 0 +29 *4184:83 *4419:49 0 +30 *4184:83 *4419:51 0 +31 *5334:DIODE *4184:57 0 +32 *5755:DIODE *4184:83 0 +33 *5814:DIODE *4184:48 0 +34 *8799:DIODE *4184:28 0 +35 *37526:B *4184:70 0 +36 *37529:A *4184:57 0 +37 *37884:B *4184:70 0 +38 *38166:B *4184:70 0 +39 *38188:B *4184:57 0 +40 *39952:A *4184:57 0 +41 *40932:A *4184:28 0 +42 *291:35 *4184:48 0 +43 *332:37 *4184:70 0 +44 *1482:13 *4184:70 0 +45 *1488:24 *38158:B 0 +46 *1792:29 *4184:38 0 +47 *2792:11 *4184:48 0 +48 *2792:11 *4184:57 0 +49 *3127:32 *4184:83 0 +50 *3604:16 *4184:70 0 +51 *3641:25 *4184:17 0 +52 *3641:25 *4184:38 0 +53 *3864:14 *4184:70 0 +54 *4127:34 *4184:83 0 +55 *4150:17 *4184:38 0 +56 *4158:11 *4184:17 0 +57 *4169:59 *4184:17 0 +58 *4174:50 *4184:38 0 +59 *4174:50 *4184:48 0 +60 *4174:67 *4184:57 0 +61 *4174:67 *4184:70 0 +62 *4174:75 *4184:70 0 +63 *4180:82 *4184:38 0 +64 *4181:27 *4184:48 0 +65 *4181:31 *4184:48 0 +66 *4181:31 *4184:57 0 +67 *4183:57 *4184:17 0 +*RES +1 *41191:X *4184:17 47.2302 +2 *4184:17 *4184:28 31.3036 +3 *4184:28 *4184:38 35.0893 +4 *4184:38 *4184:48 49.9107 +5 *4184:48 *4184:57 30.6607 +6 *4184:57 *4184:70 49.3249 +7 *4184:70 *4184:83 31.6964 +8 *4184:83 *38158:B 22.9429 +9 *4184:83 *6233:DIODE 9.3 +*END + +*D_NET *4185 0.0193035 +*CONN +*I *8814:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41191:A I *D sky130_fd_sc_hd__buf_2 +*I *41192:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8814:DIODE 8.85517e-05 +2 *41191:A 1.21715e-05 +3 *41192:X 0.00207669 +4 *4185:21 0.00757506 +5 *4185:18 0.00955103 +6 *8814:DIODE *4205:15 0 +7 *4185:18 *5015:50 0 +8 *4185:21 *4208:21 0 +9 *4185:21 *4208:33 0 +10 *4185:21 *4394:42 0 +11 *4185:21 *4397:36 0 +12 *4185:21 *4397:59 0 +13 *4185:21 *4397:72 0 +14 *38254:A *4185:21 0 +15 *41188:A *4185:21 0 +16 *1372:24 *8814:DIODE 0 +17 *1372:24 *41191:A 0 +18 *1523:21 *4185:21 0 +19 *3226:21 *4185:21 0 +20 *3338:55 *4185:21 0 +21 *3641:14 *4185:21 0 +22 *3841:32 *4185:21 0 +23 *3861:70 *4185:18 0 +24 *3868:44 *4185:21 0 +25 *3897:48 *4185:21 0 +26 *3897:92 *8814:DIODE 0 +27 *4133:76 *4185:21 0 +28 *4142:15 *4185:21 0 +29 *4175:17 *4185:18 0 +30 *4175:17 *4185:21 0 +31 *4175:29 *4185:21 0 +32 *4183:57 *4185:21 0 +*RES +1 *41192:X *4185:18 33.9542 +2 *4185:18 *4185:21 30.482 +3 *4185:21 *41191:A 14.0768 +4 *4185:21 *8814:DIODE 15.8268 +*END + +*D_NET *4186 0.0204296 +*CONN +*I *8815:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41192:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *41193:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8815:DIODE 0 +2 *41192:A 0.000189305 +3 *41193:X 0.00046647 +4 *4186:15 0.000428452 +5 *4186:12 0.00955905 +6 *4186:8 0.00978637 +7 *4186:12 *4280:20 0 +8 *1517:14 *4186:12 0 +9 *1815:42 *4186:12 0 +10 *1963:16 *4186:8 0 +11 *2017:14 *4186:12 0 +12 *2036:17 *4186:12 0 +13 *2057:49 *4186:12 0 +14 *2068:24 *4186:12 0 +15 *2084:7 *4186:8 0 +16 *2177:14 *4186:12 0 +17 *3213:18 *4186:12 0 +18 *3223:35 *4186:8 0 +19 *3379:18 *4186:12 0 +20 *3616:41 *4186:12 0 +21 *3898:15 *4186:12 0 +22 *4152:33 *4186:12 0 +23 *4157:13 *41192:A 0 +24 *4157:13 *4186:15 0 +*RES +1 *41193:X *4186:8 27.8082 +2 *4186:8 *4186:12 45.8213 +3 *4186:12 *4186:15 9.55357 +4 *4186:15 *41192:A 22.3357 +5 *4186:15 *8815:DIODE 9.3 +*END + +*D_NET *4187 0.0196238 +*CONN +*I *6230:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38156:B I *D sky130_fd_sc_hd__and2_1 +*I *41194:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *6230:DIODE 0 +2 *38156:B 0.000163425 +3 *41194:X 0.000372419 +4 *4187:51 0.00115039 +5 *4187:49 0.00317009 +6 *4187:46 0.00225543 +7 *4187:41 0.00242399 +8 *4187:35 0.00320475 +9 *4187:32 0.00368199 +10 *4187:16 0.00320135 +11 *38156:B *4198:17 0 +12 *4187:32 *4199:13 0 +13 *4187:32 *4401:42 0 +14 *4187:32 *4526:18 0 +15 *4187:35 *4198:11 0 +16 *4187:41 *39563:A 0 +17 *4187:41 *4198:11 0 +18 *4187:41 *4198:15 0 +19 *4187:41 *4198:17 0 +20 *4187:41 *4800:29 0 +21 *4187:46 *4805:8 0 +22 *4187:49 *38138:B 0 +23 *37940:C *4187:49 0 +24 *321:13 *4187:16 0 +25 *1283:20 *4187:32 0 +26 *1354:11 *38156:B 0 +27 *1354:11 *4187:49 0 +28 *1354:11 *4187:51 0 +29 *1354:15 *4187:49 0 +30 *1354:21 *4187:49 0 +31 *1354:41 *4187:32 0 +32 *1354:49 *4187:32 0 +33 *1468:24 *4187:32 0 +34 *1473:24 *4187:41 0 +35 *1477:10 *38156:B 0 +36 *1477:10 *4187:51 0 +37 *1487:15 *4187:35 0 +38 *1664:18 *4187:32 0 +39 *2572:12 *4187:46 0 +40 *2795:17 *4187:32 0 +41 *2907:10 *4187:16 0 +42 *3069:34 *4187:46 0 +43 *3885:23 *4187:16 0 +44 *4161:21 *4187:16 0 +45 *4172:35 *4187:16 0 +46 *4172:41 *4187:32 0 +47 *4177:11 *4187:16 0 +*RES +1 *41194:X *4187:16 35.2643 +2 *4187:16 *4187:32 44.9075 +3 *4187:32 *4187:35 17.8036 +4 *4187:35 *4187:41 49.1429 +5 *4187:41 *4187:46 10.6429 +6 *4187:46 *4187:49 45.625 +7 *4187:49 *4187:51 20.6607 +8 *4187:51 *38156:B 12.7107 +9 *4187:51 *6230:DIODE 9.3 +*END + +*D_NET *4188 0.0193418 +*CONN +*I *8816:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41194:A I *D sky130_fd_sc_hd__buf_6 +*I *41195:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8816:DIODE 0.000136433 +2 *41194:A 4.58251e-05 +3 *41195:X 0.000203473 +4 *4188:17 0.00946744 +5 *4188:14 0.00948865 +6 *8816:DIODE *4401:42 0 +7 *4188:14 *4214:63 0 +8 *4188:14 *4392:80 0 +9 *4188:17 *4191:19 0 +10 *4188:17 *4214:63 0 +11 *4188:17 *4285:19 0 +12 *4188:17 *4285:27 0 +13 *4188:17 *4395:83 0 +14 *4188:17 *4401:20 0 +15 *4188:17 *4726:19 0 +16 *4188:17 *4737:18 0 +17 *1393:29 *4188:14 0 +18 *1498:36 *4188:17 0 +19 *1798:57 *4188:14 0 +20 *1799:29 *4188:17 0 +21 *3063:22 *4188:17 0 +22 *3067:24 *4188:17 0 +23 *3333:58 *4188:17 0 +24 *3369:21 *4188:17 0 +25 *3462:28 *4188:17 0 +26 *3465:32 *8816:DIODE 0 +27 *3465:32 *41194:A 0 +28 *4156:52 *4188:17 0 +29 *4168:39 *4188:17 0 +*RES +1 *41195:X *4188:14 21.7368 +2 *4188:14 *4188:17 37.0401 +3 *4188:17 *41194:A 14.9161 +4 *4188:17 *8816:DIODE 17.1125 +*END + +*D_NET *4189 0.0205906 +*CONN +*I *41195:A I *D sky130_fd_sc_hd__buf_4 +*I *8817:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41196:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41195:A 0.000158142 +2 *8817:DIODE 0 +3 *41196:X 0.00148434 +4 *4189:40 0.00246949 +5 *4189:27 0.00739312 +6 *4189:25 0.00634147 +7 *4189:22 0.00274403 +8 *41195:A *4211:11 0 +9 *41195:A *4392:49 0 +10 *4189:22 *4845:15 0 +11 *4189:40 *4450:8 0 +12 *4189:40 *4993:17 0 +13 *6304:DIODE *4189:22 0 +14 *37370:A *4189:22 0 +15 *40533:A *4189:27 0 +16 *41094:A *4189:22 0 +17 *41150:A *4189:22 0 +18 *41155:A *4189:22 0 +19 *41159:A *4189:22 0 +20 *1901:77 *4189:40 0 +21 *2012:37 *4189:40 0 +22 *2037:22 *4189:22 0 +23 *2108:46 *4189:22 0 +24 *2140:27 *4189:22 0 +25 *2150:27 *4189:25 0 +26 *2150:32 *4189:27 0 +27 *2150:40 *4189:27 0 +28 *2150:42 *4189:27 0 +29 *3061:38 *4189:40 0 +30 *3062:24 *4189:40 0 +31 *3132:23 *4189:22 0 +32 *3214:21 *4189:25 0 +33 *3214:21 *4189:27 0 +34 *3343:14 *4189:40 0 +35 *3879:22 *4189:40 0 +36 *4130:10 *4189:22 0 +37 *4144:12 *4189:40 0 +38 *4148:5 *4189:22 0 +39 *4148:14 *4189:40 0 +40 *4152:66 *4189:40 0 +41 *4165:20 *4189:40 0 +*RES +1 *41196:X *4189:22 49.7464 +2 *4189:22 *4189:25 26.3214 +3 *4189:25 *4189:27 106.089 +4 *4189:27 *4189:40 35.5214 +5 *4189:40 *8817:DIODE 13.8 +6 *4189:40 *41195:A 17.4429 +*END + +*D_NET *4190 0.0202309 +*CONN +*I *6227:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38154:B I *D sky130_fd_sc_hd__and2_1 +*I *41197:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *6227:DIODE 2.56688e-05 +2 *38154:B 0.000228723 +3 *41197:X 0.000733711 +4 *4190:60 0.000893628 +5 *4190:56 0.00199073 +6 *4190:41 0.00513593 +7 *4190:40 0.00575704 +8 *4190:28 0.00335217 +9 *4190:16 0.00211327 +10 *38154:B *38154:A 0 +11 *38154:B *4195:5 0 +12 *38154:B *4795:45 0 +13 *4190:16 *4191:28 0 +14 *4190:16 *4398:63 0 +15 *4190:16 *4398:70 0 +16 *4190:40 *5854:DIODE 0 +17 *4190:40 *41207:A 0 +18 *4190:40 *4201:18 0 +19 *4190:40 *4210:7 0 +20 *4190:40 *4421:29 0 +21 *4190:40 *4433:46 0 +22 *4190:41 *37892:A_N 0 +23 *4190:41 *4419:49 0 +24 *4190:41 *4419:51 0 +25 *4190:41 *4422:36 0 +26 *4190:56 *5771:DIODE 0 +27 *4190:56 *4249:53 0 +28 *4190:56 *4419:51 0 +29 *4190:56 *4511:52 0 +30 *4190:56 *4937:8 0 +31 *4190:60 *4210:15 0 +32 *6281:DIODE *4190:28 0 +33 *37529:A *4190:40 0 +34 *37797:A *4190:28 0 +35 *37882:A_N *4190:41 0 +36 *37892:B *4190:41 0 +37 *38166:B *4190:41 0 +38 *39933:A *4190:41 0 +39 *260:17 *4190:60 0 +40 *317:20 *4190:40 0 +41 *1297:18 *4190:28 0 +42 *1482:13 *4190:41 0 +43 *2795:17 *4190:40 0 +44 *3069:34 *4190:56 0 +45 *3378:37 *4190:28 0 +46 *3383:48 *4190:40 0 +47 *3593:5 *4190:16 0 +48 *3641:39 *4190:40 0 +49 *3644:37 *4190:16 0 +50 *4150:27 *4190:40 0 +51 *4167:19 *4190:40 0 +52 *4174:37 *4190:28 0 +53 *4174:50 *4190:16 0 +54 *4174:50 *4190:28 0 +55 *4174:75 *4190:41 0 +56 *4180:108 *4190:40 0 +57 *4181:27 *4190:28 0 +58 *4181:46 *4190:41 0 +59 *4184:48 *4190:28 0 +60 *4184:70 *4190:41 0 +*RES +1 *41197:X *4190:16 42.8536 +2 *4190:16 *4190:28 47 +3 *4190:28 *4190:40 38.4729 +4 *4190:40 *4190:41 78.9821 +5 *4190:41 *4190:56 46.6071 +6 *4190:56 *4190:60 17.9821 +7 *4190:60 *38154:B 18.6571 +8 *4190:60 *6227:DIODE 14.3357 +*END + +*D_NET *4191 0.0202829 +*CONN +*I *8819:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41197:A I *D sky130_fd_sc_hd__buf_2 +*I *41198:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8819:DIODE 6.95684e-05 +2 *41197:A 6.50276e-05 +3 *41198:X 0.0039037 +4 *4191:28 0.00623776 +5 *4191:19 0.0100069 +6 *41197:A *4398:63 0 +7 *4191:19 *4212:43 0 +8 *4191:19 *4214:73 0 +9 *4191:19 *4285:19 0 +10 *4191:19 *4384:56 0 +11 *4191:19 *4395:53 0 +12 *4191:28 *4199:13 0 +13 *4191:28 *4202:9 0 +14 *4191:28 *4211:40 0 +15 *4191:28 *4285:27 0 +16 *5336:DIODE *4191:28 0 +17 *5337:DIODE *8819:DIODE 0 +18 *5337:DIODE *4191:28 0 +19 *1164:17 *4191:28 0 +20 *1381:10 *4191:28 0 +21 *1498:36 *4191:19 0 +22 *1802:8 *4191:28 0 +23 *3344:28 *4191:28 0 +24 *3593:5 *41197:A 0 +25 *3859:18 *4191:19 0 +26 *3859:44 *4191:28 0 +27 *3876:93 *4191:19 0 +28 *4150:13 *4191:28 0 +29 *4156:52 *4191:19 0 +30 *4164:20 *4191:28 0 +31 *4178:30 *4191:28 0 +32 *4188:17 *4191:19 0 +33 *4190:16 *4191:28 0 +*RES +1 *41198:X *4191:19 46.3886 +2 *4191:19 *4191:28 42.5648 +3 *4191:28 *41197:A 15.1571 +4 *4191:28 *8819:DIODE 15.4429 +*END + +*D_NET *4192 0.0192959 +*CONN +*I *6224:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38152:B I *D sky130_fd_sc_hd__and2_1 +*I *41199:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *6224:DIODE 0 +2 *38152:B 0.000213051 +3 *41199:X 0.00131077 +4 *4192:44 0.000285411 +5 *4192:39 0.00297122 +6 *4192:38 0.00309689 +7 *4192:27 0.00257175 +8 *4192:23 0.00254485 +9 *4192:19 0.00254891 +10 *4192:16 0.00241003 +11 *4192:11 0.00134302 +12 *38152:B *38152:A 0 +13 *4192:11 *4195:5 0 +14 *4192:11 *4210:13 0 +15 *4192:11 *4210:15 0 +16 *4192:19 *4201:23 0 +17 *4192:19 *4210:15 0 +18 *4192:23 *4201:23 0 +19 *4192:23 *4210:15 0 +20 *4192:27 *4201:23 0 +21 *4192:27 *4201:33 0 +22 *4192:27 *4210:15 0 +23 *4192:27 *4249:65 0 +24 *4192:27 *4795:27 0 +25 *4192:27 *4795:45 0 +26 *4192:38 *4249:65 0 +27 *4192:38 *4795:27 0 +28 *4192:39 *4795:11 0 +29 *4192:39 *4795:27 0 +30 *4192:44 *4499:38 0 +31 *37336:A *4192:27 0 +32 *37343:A *4192:11 0 +33 *38178:B *4192:19 0 +34 *39949:A *4192:27 0 +35 *39951:A *4192:38 0 +36 *302:13 *4192:16 0 +37 *1354:11 *4192:38 0 +38 *1359:14 *4192:11 0 +39 *1475:8 *4192:44 0 +40 *1475:9 *4192:38 0 +41 *1475:9 *4192:39 0 +42 *1780:29 *4192:11 0 +43 *2791:14 *4192:38 0 +44 *2795:17 *4192:11 0 +45 *4158:65 *4192:19 0 +*RES +1 *41199:X *4192:11 45.7643 +2 *4192:11 *4192:16 9.73214 +3 *4192:16 *4192:19 49.8393 +4 *4192:19 *4192:23 3.63393 +5 *4192:23 *4192:27 49.6339 +6 *4192:27 *4192:38 13.2857 +7 *4192:38 *4192:39 60.5 +8 *4192:39 *4192:44 10.6429 +9 *4192:44 *38152:B 13.7464 +10 *4192:44 *6224:DIODE 9.3 +*END + +*D_NET *4193 0.0063698 +*CONN +*I *38888:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38092:A_N I *D sky130_fd_sc_hd__and3b_2 +*I *38598:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *38888:A 0.000222507 +2 *38092:A_N 0.000826197 +3 *38598:X 0 +4 *4193:27 0.0029624 +5 *4193:4 0.00235871 +6 *38092:A_N *41262:A 0 +7 *4193:27 *4233:71 0 +8 *4193:27 *4252:37 0 +9 *5257:DIODE *38092:A_N 0 +10 *6592:DIODE *38888:A 0 +11 *8131:DIODE *4193:27 0 +12 *1883:13 *4193:27 0 +13 *2401:10 *4193:27 0 +14 *2406:16 *38888:A 0 +15 *2460:15 *38888:A 0 +16 *3105:42 *38888:A 0 +17 *3296:49 *4193:27 0 +18 *3515:34 *4193:27 0 +19 *3525:29 *4193:27 0 +*RES +1 *38598:X *4193:4 9.3 +2 *4193:4 *4193:27 39.3337 +3 *4193:27 *38092:A_N 32.5232 +4 *4193:4 *38888:A 23.2286 +*END + +*D_NET *4194 0.0190404 +*CONN +*I *8821:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41199:A I *D sky130_fd_sc_hd__buf_2 +*I *41200:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8821:DIODE 0 +2 *41199:A 0.000180901 +3 *41200:X 0.00181521 +4 *4194:23 0.000955243 +5 *4194:19 0.00446423 +6 *4194:17 0.00371042 +7 *4194:15 0.00302763 +8 *4194:14 0.00303935 +9 *4194:9 0.00184746 +10 *41199:A *4693:38 0 +11 *4194:9 *4196:39 0 +12 *4194:9 *4196:41 0 +13 *4194:9 *4211:25 0 +14 *4194:9 *4214:73 0 +15 *4194:9 *4392:89 0 +16 *4194:9 *4392:106 0 +17 *4194:9 *4432:62 0 +18 *4194:15 *4213:11 0 +19 *4194:15 *4213:20 0 +20 *4194:15 *4214:73 0 +21 *4194:19 *37934:B 0 +22 *4194:19 *4213:20 0 +23 *4194:19 *4396:19 0 +24 *37920:B *41199:A 0 +25 *38186:B *4194:9 0 +26 *41180:A *4194:9 0 +27 *1473:24 *41199:A 0 +28 *1487:15 *4194:19 0 +29 *1487:15 *4194:23 0 +30 *1487:25 *4194:19 0 +31 *1664:18 *41199:A 0 +32 *3136:39 *4194:15 0 +33 *3136:39 *4194:19 0 +34 *3383:33 *4194:19 0 +35 *3567:44 *4194:19 0 +36 *3567:50 *4194:19 0 +37 *4140:88 *4194:9 0 +38 *4159:63 *4194:15 0 +39 *4161:21 *4194:15 0 +*RES +1 *41200:X *4194:9 47.2464 +2 *4194:9 *4194:14 9.73214 +3 *4194:14 *4194:15 62.7589 +4 *4194:15 *4194:17 0.428571 +5 *4194:17 *4194:19 77.1339 +6 *4194:19 *4194:23 16.1607 +7 *4194:23 *41199:A 22.4071 +8 *4194:23 *8821:DIODE 9.3 +*END + +*D_NET *4195 0.0187271 +*CONN +*I *6221:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38150:B I *D sky130_fd_sc_hd__and2_1 +*I *41201:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6221:DIODE 0 +2 *38150:B 0.00018396 +3 *41201:X 0 +4 *4195:5 0.00936354 +5 *4195:4 0.00917958 +6 *38150:B *38150:A 0 +7 *38150:B *4788:12 0 +8 *4195:5 *38140:A 0 +9 *4195:5 *38140:B 0 +10 *4195:5 *38154:A 0 +11 *4195:5 *4475:18 0 +12 *4195:5 *4475:45 0 +13 *4195:5 *4788:12 0 +14 *4195:5 *4792:12 0 +15 *4195:5 *4795:45 0 +16 *37920:B *4195:5 0 +17 *38154:B *4195:5 0 +18 *38174:B *4195:5 0 +19 *38411:A *38150:B 0 +20 *38411:A *4195:5 0 +21 *39953:A *38150:B 0 +22 *39953:A *4195:5 0 +23 *2795:5 *4195:5 0 +24 *2795:17 *4195:5 0 +25 *3641:39 *4195:5 0 +26 *4158:54 *4195:5 0 +27 *4163:9 *4195:5 0 +28 *4163:29 *4195:5 0 +29 *4163:33 *4195:5 0 +30 *4163:35 *4195:5 0 +31 *4167:40 *4195:5 0 +32 *4192:11 *4195:5 0 +*RES +1 *41201:X *4195:4 9.3 +2 *4195:4 *4195:5 191.518 +3 *4195:5 *38150:B 13.1393 +4 *4195:5 *6221:DIODE 9.3 +*END + +*D_NET *4196 0.0196751 +*CONN +*I *8823:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41201:A I *D sky130_fd_sc_hd__buf_4 +*I *41202:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8823:DIODE 0 +2 *41201:A 0.000144451 +3 *41202:X 0.00120324 +4 *4196:53 0.00117031 +5 *4196:41 0.00699364 +6 *4196:39 0.00632051 +7 *4196:32 0.00149623 +8 *4196:17 0.00234674 +9 *41201:A *4396:19 0 +10 *4196:32 *4214:73 0 +11 *4196:32 *4401:23 0 +12 *4196:39 *4211:25 0 +13 *4196:39 *4432:62 0 +14 *4196:41 *4211:25 0 +15 *4196:41 *4211:37 0 +16 *4196:41 *4392:106 0 +17 *4196:41 *4396:11 0 +18 *4196:41 *4396:19 0 +19 *4196:53 *4396:19 0 +20 *4196:53 *4401:42 0 +21 *41180:A *4196:32 0 +22 *1487:25 *41201:A 0 +23 *1487:25 *4196:53 0 +24 *1792:11 *4196:53 0 +25 *1799:29 *4196:32 0 +26 *3136:39 *4196:41 0 +27 *3204:22 *41201:A 0 +28 *3249:50 *41201:A 0 +29 *3333:58 *4196:32 0 +30 *3383:33 *4196:41 0 +31 *3567:25 *4196:41 0 +32 *3567:32 *4196:41 0 +33 *3897:60 *4196:32 0 +34 *4140:107 *4196:41 0 +35 *4141:26 *4196:17 0 +36 *4150:13 *4196:32 0 +37 *4164:20 *4196:17 0 +38 *4178:25 *4196:17 0 +39 *4183:39 *4196:17 0 +40 *4194:9 *4196:39 0 +41 *4194:9 *4196:41 0 +*RES +1 *41202:X *4196:17 47.7869 +2 *4196:17 *4196:32 47.0357 +3 *4196:32 *4196:39 7.63839 +4 *4196:39 *4196:41 124.612 +5 *4196:41 *4196:53 34.7108 +6 *4196:53 *41201:A 21.4964 +7 *4196:53 *8823:DIODE 9.3 +*END + +*D_NET *4197 0.0203414 +*CONN +*I *41202:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8824:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41203:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41202:A 0.000214724 +2 *8824:DIODE 0 +3 *41203:X 0.00422667 +4 *4197:36 0.00594402 +5 *4197:27 0.00995596 +6 *41202:A *4214:73 0 +7 *41202:A *4392:89 0 +8 *4197:36 *4440:20 0 +9 *4197:36 *4748:23 0 +10 *37562:B *4197:36 0 +11 *39455:B *4197:36 0 +12 *296:29 *4197:36 0 +13 *1402:20 *4197:36 0 +14 *1402:32 *4197:27 0 +15 *2031:28 *4197:27 0 +16 *2031:48 *4197:27 0 +17 *2031:48 *4197:36 0 +18 *2066:23 *4197:36 0 +19 *2907:20 *4197:36 0 +20 *3063:14 *4197:36 0 +21 *3170:27 *4197:36 0 +22 *3222:37 *4197:27 0 +23 *3350:41 *4197:36 0 +24 *3872:11 *4197:36 0 +25 *4144:12 *4197:36 0 +26 *4162:62 *4197:36 0 +27 *4170:19 *4197:27 0 +28 *4170:30 *4197:36 0 +*RES +1 *41203:X *4197:27 48.6777 +2 *4197:27 *4197:36 34.6343 +3 *4197:36 *8824:DIODE 13.8 +4 *4197:36 *41202:A 18.6214 +*END + +*D_NET *4198 0.0188854 +*CONN +*I *38148:B I *D sky130_fd_sc_hd__and2_2 +*I *6218:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41204:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *38148:B 0.000195511 +2 *6218:DIODE 0 +3 *41204:X 0.00173949 +4 *4198:17 0.00620372 +5 *4198:15 0.00750769 +6 *4198:11 0.00323897 +7 *4198:17 *37940:B 0 +8 *4198:17 *4523:40 0 +9 *38138:A *4198:17 0 +10 *38156:B *4198:17 0 +11 *38176:B *4198:11 0 +12 *1354:11 *4198:17 0 +13 *1354:15 *4198:17 0 +14 *1354:21 *4198:15 0 +15 *1354:21 *4198:17 0 +16 *1468:15 *4198:11 0 +17 *1468:15 *4198:15 0 +18 *1487:15 *4198:11 0 +19 *3390:47 *4198:17 0 +20 *3390:52 *4198:17 0 +21 *4127:23 *4198:17 0 +22 *4161:27 *4198:11 0 +23 *4187:35 *4198:11 0 +24 *4187:41 *4198:11 0 +25 *4187:41 *4198:15 0 +26 *4187:41 *4198:17 0 +*RES +1 *41204:X *4198:11 45.7286 +2 *4198:11 *4198:15 31.3571 +3 *4198:15 *4198:17 125.393 +4 *4198:17 *6218:DIODE 9.3 +5 *4198:17 *38148:B 13.4429 +*END + +*D_NET *4199 0.0190299 +*CONN +*I *41204:A I *D sky130_fd_sc_hd__buf_6 +*I *8826:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41205:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *41204:A 9.48208e-05 +2 *8826:DIODE 9.23777e-05 +3 *41205:X 0.000281056 +4 *4199:13 0.00923391 +5 *4199:10 0.00932777 +6 *8826:DIODE *4430:50 0 +7 *41204:A *4396:32 0 +8 *41204:A *4430:50 0 +9 *4199:10 *4214:73 0 +10 *4199:13 *4202:9 0 +11 *4199:13 *4285:27 0 +12 *4199:13 *4401:42 0 +13 *4199:13 *4737:18 0 +14 *1179:20 *4199:13 0 +15 *1283:20 *4199:13 0 +16 *1354:49 *4199:13 0 +17 *1487:15 *41204:A 0 +18 *1487:25 *4199:13 0 +19 *1789:22 *8826:DIODE 0 +20 *3136:48 *4199:13 0 +21 *4140:88 *4199:10 0 +22 *4187:32 *4199:13 0 +23 *4191:28 *4199:13 0 +*RES +1 *41205:X *4199:10 23.1475 +2 *4199:10 *4199:13 36.1753 +3 *4199:13 *8826:DIODE 15.8982 +4 *4199:13 *41204:A 15.9339 +*END + +*D_NET *4200 0.0203182 +*CONN +*I *8827:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41205:A I *D sky130_fd_sc_hd__buf_4 +*I *41206:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8827:DIODE 5.25696e-05 +2 *41205:A 0.000208125 +3 *41206:X 0.00130654 +4 *4200:36 0.000745963 +5 *4200:35 0.00243952 +6 *4200:32 0.00243057 +7 *4200:24 0.00248749 +8 *4200:13 0.00567603 +9 *4200:11 0.0049714 +10 *4200:11 *4447:69 0 +11 *4200:13 *4447:51 0 +12 *4200:24 *4454:22 0 +13 *4200:24 *4848:15 0 +14 *4200:32 *4209:27 0 +15 *4200:35 *5152:15 0 +16 *40910:A *4200:35 0 +17 *1284:24 *41205:A 0 +18 *1802:8 *41205:A 0 +19 *2009:31 *4200:24 0 +20 *2064:31 *4200:35 0 +21 *3065:17 *4200:32 0 +22 *3131:10 *4200:11 0 +23 *3131:19 *4200:11 0 +24 *3131:19 *4200:13 0 +25 *3131:19 *4200:24 0 +26 *3131:28 *4200:24 0 +27 *3231:20 *4200:35 0 +28 *3344:17 *4200:32 0 +29 *3374:92 *4200:11 0 +30 *3374:104 *4200:11 0 +31 *3384:77 *4200:36 0 +32 *3452:19 *4200:35 0 +33 *3583:32 *4200:24 0 +34 *3862:26 *41205:A 0 +35 *3862:26 *4200:36 0 +36 *3873:53 *4200:35 0 +37 *3925:7 *4200:24 0 +38 *3925:9 *4200:24 0 +39 *4139:42 *4200:32 0 +40 *4151:41 *41205:A 0 +41 *4151:41 *4200:36 0 +42 *4152:109 *41205:A 0 +43 *4152:109 *4200:36 0 +44 *4170:30 *4200:24 0 +*RES +1 *41206:X *4200:11 36.6929 +2 *4200:11 *4200:13 76.5179 +3 *4200:13 *4200:24 46.8125 +4 *4200:24 *4200:32 13.5328 +5 *4200:32 *4200:35 45.2857 +6 *4200:35 *4200:36 11.0536 +7 *4200:36 *41205:A 18.6393 +8 *4200:36 *8827:DIODE 15.1393 +*END + +*D_NET *4201 0.0191506 +*CONN +*I *6215:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38146:B I *D sky130_fd_sc_hd__and2_1 +*I *41207:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *6215:DIODE 0 +2 *38146:B 0.000163425 +3 *41207:X 0.00196939 +4 *4201:33 0.00164992 +5 *4201:23 0.00621255 +6 *4201:21 0.00595601 +7 *4201:18 0.00319935 +8 *38146:B *38146:A 0 +9 *4201:18 *4205:46 0 +10 *4201:18 *4210:7 0 +11 *4201:18 *4424:55 0 +12 *4201:18 *4526:18 0 +13 *4201:18 *4637:47 0 +14 *4201:18 *4671:46 0 +15 *4201:18 *4693:38 0 +16 *4201:21 *4210:13 0 +17 *4201:21 *4210:15 0 +18 *4201:21 *4419:35 0 +19 *4201:21 *4419:46 0 +20 *4201:23 *5771:DIODE 0 +21 *4201:23 *4425:44 0 +22 *4201:33 *38146:A 0 +23 *4201:33 *4249:65 0 +24 *4201:33 *4463:51 0 +25 *4201:33 *4463:53 0 +26 *4201:33 *4515:20 0 +27 *37336:A *4201:23 0 +28 *37529:A *4201:18 0 +29 *38158:B *4201:23 0 +30 *38178:B *4201:23 0 +31 *39949:A *4201:23 0 +32 *39952:A *4201:18 0 +33 *1472:9 *38146:B 0 +34 *1472:9 *4201:33 0 +35 *1488:24 *4201:23 0 +36 *2789:16 *4201:23 0 +37 *3641:39 *4201:18 0 +38 *4150:38 *4201:18 0 +39 *4158:58 *4201:21 0 +40 *4158:63 *4201:21 0 +41 *4158:63 *4201:23 0 +42 *4158:65 *4201:23 0 +43 *4167:33 *4201:21 0 +44 *4167:33 *4201:23 0 +45 *4180:108 *4201:18 0 +46 *4184:83 *4201:23 0 +47 *4190:40 *4201:18 0 +48 *4192:19 *4201:23 0 +49 *4192:23 *4201:23 0 +50 *4192:27 *4201:23 0 +51 *4192:27 *4201:33 0 +*RES +1 *41207:X *4201:18 38.1122 +2 *4201:18 *4201:21 25.6071 +3 *4201:21 *4201:23 98.6964 +4 *4201:23 *4201:33 40.2679 +5 *4201:33 *38146:B 12.7107 +6 *4201:33 *6215:DIODE 9.3 +*END + +*D_NET *4202 0.019008 +*CONN +*I *41207:A I *D sky130_fd_sc_hd__buf_2 +*I *8829:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41208:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41207:A 0.000121622 +2 *8829:DIODE 2.55622e-05 +3 *41208:X 0.00800458 +4 *4202:17 0.00149944 +5 *4202:9 0.00935684 +6 *8829:DIODE *4538:8 0 +7 *41207:A *4422:18 0 +8 *41207:A *4538:8 0 +9 *4202:9 *4206:27 0 +10 *4202:17 *4208:33 0 +11 *1283:20 *8829:DIODE 0 +12 *1374:8 *4202:9 0 +13 *2792:11 *4202:9 0 +14 *2792:11 *4202:17 0 +15 *3136:48 *4202:9 0 +16 *3344:28 *4202:9 0 +17 *3383:48 *4202:17 0 +18 *3567:25 *4202:9 0 +19 *4147:14 *4202:9 0 +20 *4147:14 *4202:17 0 +21 *4150:27 *41207:A 0 +22 *4158:20 *4202:17 0 +23 *4164:15 *4202:9 0 +24 *4164:20 *4202:9 0 +25 *4168:54 *4202:9 0 +26 *4190:40 *41207:A 0 +27 *4191:28 *4202:9 0 +28 *4199:13 *4202:9 0 +*RES +1 *41208:X *4202:9 47.1991 +2 *4202:9 *4202:17 16.7636 +3 *4202:17 *8829:DIODE 14.3804 +4 *4202:17 *41207:A 16.5411 +*END + +*D_NET *4203 0.0187221 +*CONN +*I *6212:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38144:B I *D sky130_fd_sc_hd__and2_1 +*I *41209:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *6212:DIODE 0 +2 *38144:B 0.00018396 +3 *41209:X 0.00170436 +4 *4203:41 0.0012383 +5 *4203:39 0.0032933 +6 *4203:36 0.00258576 +7 *4203:30 0.00211137 +8 *4203:19 0.00383262 +9 *4203:16 0.00377241 +10 *38144:B *38144:A 0 +11 *4203:30 *5780:DIODE 0 +12 *4203:30 *4371:46 0 +13 *4203:39 *37904:A_N 0 +14 *4203:39 *4371:46 0 +15 *4203:39 *4371:48 0 +16 *37508:A *4203:19 0 +17 *37508:B *4203:19 0 +18 *37509:A *4203:41 0 +19 *37510:A *4203:19 0 +20 *37510:B *4203:19 0 +21 *37898:B *4203:30 0 +22 *37904:C *4203:39 0 +23 *40060:A *4203:30 0 +24 *1350:14 *4203:39 0 +25 *1350:14 *4203:41 0 +26 *1351:12 *4203:36 0 +27 *1481:19 *4203:16 0 +28 *1781:13 *4203:16 0 +29 *2797:26 *4203:30 0 +30 *3559:70 *4203:16 0 +31 *3563:39 *4203:16 0 +32 *3570:37 *4203:30 0 +33 *3585:25 *4203:16 0 +34 *3585:32 *4203:16 0 +35 *3600:57 *4203:36 0 +36 *3609:17 *4203:19 0 +37 *3609:17 *4203:30 0 +38 *3613:31 *4203:16 0 +39 *3613:31 *4203:19 0 +40 *3613:37 *4203:19 0 +41 *3613:37 *4203:30 0 +42 *3644:68 *4203:16 0 +43 *3864:14 *4203:16 0 +44 *3880:41 *4203:19 0 +45 *3891:20 *4203:16 0 +46 *4184:70 *4203:16 0 +*RES +1 *41209:X *4203:16 40.7754 +2 *4203:16 *4203:19 43.1607 +3 *4203:19 *4203:30 46.0357 +4 *4203:30 *4203:36 16.3214 +5 *4203:36 *4203:39 46.7589 +6 *4203:39 *4203:41 22.0982 +7 *4203:41 *38144:B 13.1393 +8 *4203:41 *6212:DIODE 9.3 +*END + +*D_NET *4204 0.0156439 +*CONN +*I *7020:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6118:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38094:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *38887:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38599:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7020:DIODE 0.0010481 +2 *6118:DIODE 8.18859e-05 +3 *38094:A_N 0.000729134 +4 *38887:A 9.94179e-05 +5 *38599:X 0 +6 *4204:50 0.00353723 +7 *4204:32 0.00474539 +8 *4204:28 0.00310211 +9 *4204:15 0.00216612 +10 *4204:4 0.000134499 +11 *7020:DIODE *4215:8 0 +12 *7020:DIODE *5196:39 0 +13 *38094:A_N *4301:79 0 +14 *38094:A_N *4509:69 0 +15 *4204:32 *39023:A 0 +16 *4204:32 *4759:10 0 +17 *4204:50 *4518:46 0 +18 *6572:DIODE *4204:28 0 +19 *6593:DIODE *38887:A 0 +20 *6593:DIODE *4204:28 0 +21 *6735:DIODE *7020:DIODE 0 +22 *38096:C *7020:DIODE 0 +23 *40253:A *38094:A_N 0 +24 *390:10 *4204:32 0 +25 *523:8 *4204:28 0 +26 *775:12 *4204:32 0 +27 *780:8 *7020:DIODE 0 +28 *780:30 *7020:DIODE 0 +29 *896:8 *4204:50 0 +30 *1234:10 *4204:28 0 +31 *2386:67 *4204:50 0 +32 *2460:44 *4204:50 0 +33 *2538:19 *4204:50 0 +34 *2683:27 *4204:50 0 +35 *2727:18 *4204:32 0 +36 *2794:13 *7020:DIODE 0 +37 *3041:11 *4204:50 0 +38 *3105:42 *38887:A 0 +39 *3134:23 *4204:32 0 +40 *3234:27 *4204:50 0 +41 *3239:9 *38094:A_N 0 +42 *3256:38 *38094:A_N 0 +43 *3312:78 *4204:50 0 +44 *3319:49 *38094:A_N 0 +45 *3422:14 *7020:DIODE 0 +46 *3422:14 *4204:28 0 +47 *3430:53 *4204:32 0 +48 *3500:32 *4204:50 0 +*RES +1 *38599:X *4204:4 9.3 +2 *4204:4 *38887:A 20.5857 +3 *4204:4 *4204:15 0.732143 +4 *4204:15 *4204:28 31.875 +5 *4204:28 *4204:32 46.6875 +6 *4204:32 *4204:50 45.1148 +7 *4204:50 *38094:A_N 48.2107 +8 *4204:50 *6118:DIODE 15.675 +9 *4204:15 *7020:DIODE 40.4429 +*END + +*D_NET *4205 0.019066 +*CONN +*I *8831:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41209:A I *D sky130_fd_sc_hd__buf_6 +*I *41210:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8831:DIODE 0 +2 *41209:A 0.000304742 +3 *41210:X 0.00160628 +4 *4205:46 0.00212773 +5 *4205:40 0.00369764 +6 *4205:25 0.00321264 +7 *4205:15 0.00385845 +8 *4205:13 0.00258634 +9 *4205:8 0.00167216 +10 *41209:A *37932:C 0 +11 *41209:A *4693:38 0 +12 *4205:8 *4394:45 0 +13 *4205:15 *5868:DIODE 0 +14 *4205:15 *5892:DIODE 0 +15 *4205:15 *6298:DIODE 0 +16 *4205:15 *4397:72 0 +17 *4205:15 *4442:21 0 +18 *4205:25 *5838:DIODE 0 +19 *4205:40 *4398:73 0 +20 *4205:46 *37932:C 0 +21 *4205:46 *4422:35 0 +22 *4205:46 *4424:55 0 +23 *5636:DIODE *4205:15 0 +24 *5637:DIODE *4205:15 0 +25 *5890:DIODE *4205:15 0 +26 *5896:DIODE *4205:25 0 +27 *5896:DIODE *4205:40 0 +28 *6293:DIODE *4205:8 0 +29 *8801:DIODE *4205:15 0 +30 *8814:DIODE *4205:15 0 +31 *39467:A *4205:15 0 +32 *40702:A *4205:8 0 +33 *41189:A *4205:15 0 +34 *1365:10 *41209:A 0 +35 *1381:10 *4205:25 0 +36 *1495:15 *4205:40 0 +37 *1501:18 *4205:8 0 +38 *1509:20 *4205:15 0 +39 *1509:30 *4205:8 0 +40 *1509:30 *4205:13 0 +41 *1509:30 *4205:15 0 +42 *1792:29 *4205:25 0 +43 *1799:10 *4205:15 0 +44 *3369:21 *4205:15 0 +45 *3378:51 *4205:46 0 +46 *3589:24 *41209:A 0 +47 *3886:51 *4205:8 0 +48 *4133:53 *4205:8 0 +49 *4133:76 *4205:8 0 +50 *4146:23 *4205:8 0 +51 *4150:38 *4205:46 0 +52 *4174:14 *4205:8 0 +53 *4174:27 *4205:15 0 +54 *4174:37 *4205:15 0 +55 *4174:37 *4205:25 0 +56 *4174:50 *4205:40 0 +57 *4180:108 *4205:46 0 +58 *4181:23 *4205:25 0 +59 *4181:27 *4205:25 0 +60 *4181:27 *4205:40 0 +61 *4181:31 *4205:40 0 +62 *4183:57 *4205:15 0 +63 *4184:28 *4205:25 0 +64 *4184:48 *4205:40 0 +65 *4184:70 *41209:A 0 +66 *4201:18 *4205:46 0 +*RES +1 *41210:X *4205:8 47.4071 +2 *4205:8 *4205:13 5.875 +3 *4205:13 *4205:15 52.6964 +4 *4205:15 *4205:25 28.2321 +5 *4205:25 *4205:40 47.4368 +6 *4205:40 *4205:46 15.0234 +7 *4205:46 *41209:A 25.2464 +8 *4205:46 *8831:DIODE 9.3 +*END + +*D_NET *4206 0.0178625 +*CONN +*I *8832:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41210:A I *D sky130_fd_sc_hd__buf_4 +*I *41211:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8832:DIODE 0 +2 *41210:A 0.00014073 +3 *41211:X 0.00193402 +4 *4206:27 0.00699722 +5 *4206:18 0.00879052 +6 *4206:27 *4384:56 0 +7 *8776:DIODE *4206:18 0 +8 *37572:A *4206:27 0 +9 *40094:A *4206:27 0 +10 *1398:20 *4206:27 0 +11 *1398:37 *4206:18 0 +12 *1894:89 *4206:27 0 +13 *1898:36 *4206:27 0 +14 *2181:33 *4206:18 0 +15 *3267:19 *4206:27 0 +16 *3332:62 *4206:27 0 +17 *3343:22 *4206:27 0 +18 *3861:70 *4206:18 0 +19 *3861:70 *4206:27 0 +20 *3868:44 *4206:27 0 +21 *3888:24 *4206:18 0 +22 *3888:40 *4206:18 0 +23 *3898:39 *4206:27 0 +24 *4136:49 *4206:27 0 +25 *4140:40 *4206:27 0 +26 *4147:14 *4206:27 0 +27 *4157:64 *41210:A 0 +28 *4157:64 *4206:27 0 +29 *4164:15 *4206:27 0 +30 *4175:17 *4206:27 0 +31 *4178:25 *4206:27 0 +32 *4202:9 *4206:27 0 +*RES +1 *41211:X *4206:18 48.3768 +2 *4206:18 *4206:27 39.4647 +3 *4206:27 *41210:A 21.4964 +4 *4206:27 *8832:DIODE 9.3 +*END + +*D_NET *4207 0.0199186 +*CONN +*I *6209:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38142:B I *D sky130_fd_sc_hd__and2_1 +*I *41212:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *6209:DIODE 0 +2 *38142:B 0.000213051 +3 *41212:X 0.00285228 +4 *4207:41 0.0032255 +5 *4207:39 0.00441353 +6 *4207:35 0.00272495 +7 *4207:32 0.00248043 +8 *4207:16 0.00400884 +9 *4207:16 *37734:B 0 +10 *4207:32 *5766:DIODE 0 +11 *37525:A *4207:16 0 +12 *39438:A *4207:41 0 +13 *39440:B *4207:16 0 +14 *302:13 *4207:32 0 +15 *1154:16 *4207:39 0 +16 *1159:23 *4207:35 0 +17 *1159:23 *4207:39 0 +18 *1159:25 *4207:39 0 +19 *1167:21 *4207:32 0 +20 *1167:21 *4207:35 0 +21 *1168:42 *4207:16 0 +22 *1470:9 *38142:B 0 +23 *1470:9 *4207:41 0 +24 *1470:21 *4207:39 0 +25 *1470:21 *4207:41 0 +26 *1483:10 *4207:16 0 +27 *1637:9 *38142:B 0 +28 *1642:13 *4207:41 0 +29 *1777:10 *4207:32 0 +30 *1784:12 *4207:16 0 +31 *2767:14 *4207:16 0 +32 *2797:57 *4207:16 0 +33 *2951:28 *4207:16 0 +34 *3600:23 *4207:16 0 +35 *3600:23 *4207:32 0 +36 *3609:11 *4207:16 0 +37 *3621:21 *4207:32 0 +38 *3631:37 *4207:16 0 +39 *3869:20 *4207:16 0 +40 *3880:34 *4207:16 0 +*RES +1 *41212:X *4207:16 46.9885 +2 *4207:16 *4207:32 42.7321 +3 *4207:32 *4207:35 27.6607 +4 *4207:35 *4207:39 29.3036 +5 *4207:39 *4207:41 62.9643 +6 *4207:41 *38142:B 13.7464 +7 *4207:41 *6209:DIODE 9.3 +*END + +*D_NET *4208 0.0198116 +*CONN +*I *8834:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41212:A I *D sky130_fd_sc_hd__buf_6 +*I *41213:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8834:DIODE 0 +2 *41212:A 0.000143745 +3 *41213:X 0.0047488 +4 *4208:33 0.00515703 +5 *4208:21 0.00976208 +6 *4208:21 *4401:20 0 +7 *4208:33 *37906:C 0 +8 *4208:33 *4432:24 0 +9 *4208:33 *4482:22 0 +10 *5814:DIODE *4208:33 0 +11 *38254:A *4208:21 0 +12 *41188:A *4208:21 0 +13 *1354:49 *4208:33 0 +14 *1370:17 *4208:21 0 +15 *1792:29 *4208:33 0 +16 *1802:8 *4208:21 0 +17 *3066:18 *4208:33 0 +18 *3333:58 *4208:21 0 +19 *3383:48 *4208:33 0 +20 *3631:37 *41212:A 0 +21 *3641:14 *4208:21 0 +22 *3644:37 *4208:33 0 +23 *3841:32 *4208:21 0 +24 *3868:44 *4208:21 0 +25 *3883:41 *41212:A 0 +26 *3883:41 *4208:33 0 +27 *4142:15 *4208:21 0 +28 *4147:14 *4208:21 0 +29 *4147:14 *4208:33 0 +30 *4150:13 *4208:21 0 +31 *4175:29 *4208:21 0 +32 *4180:82 *4208:33 0 +33 *4183:57 *4208:33 0 +34 *4184:17 *4208:33 0 +35 *4185:21 *4208:21 0 +36 *4185:21 *4208:33 0 +37 *4202:17 *4208:33 0 +*RES +1 *41213:X *4208:21 44.5391 +2 *4208:21 *4208:33 35.8786 +3 *4208:33 *41212:A 12.3 +4 *4208:33 *8834:DIODE 9.3 +*END + +*D_NET *4209 0.020291 +*CONN +*I *8835:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41213:A I *D sky130_fd_sc_hd__buf_4 +*I *41214:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8835:DIODE 0 +2 *41213:A 0.000184505 +3 *41214:X 0.0075474 +4 *4209:27 0.00259812 +5 *4209:19 0.00996101 +6 *4209:27 *5152:23 0 +7 *5385:DIODE *4209:27 0 +8 *8830:DIODE *4209:27 0 +9 *40347:A *4209:19 0 +10 *41208:A *4209:27 0 +11 *387:31 *4209:27 0 +12 *1374:8 *41213:A 0 +13 *1374:8 *4209:27 0 +14 *1393:14 *4209:27 0 +15 *1402:32 *4209:19 0 +16 *1492:27 *4209:27 0 +17 *1800:18 *4209:19 0 +18 *2004:50 *4209:19 0 +19 *2043:27 *4209:19 0 +20 *2061:21 *4209:19 0 +21 *3064:25 *4209:27 0 +22 *3065:17 *4209:19 0 +23 *3065:17 *4209:27 0 +24 *3170:15 *4209:19 0 +25 *3268:16 *4209:19 0 +26 *3268:29 *4209:19 0 +27 *3338:55 *41213:A 0 +28 *3344:17 *4209:27 0 +29 *3374:25 *4209:27 0 +30 *3384:63 *4209:27 0 +31 *3840:71 *4209:19 0 +32 *3840:80 *4209:19 0 +33 *3840:80 *4209:27 0 +34 *3857:58 *4209:19 0 +35 *3886:41 *41213:A 0 +36 *3890:50 *4209:27 0 +37 *4132:58 *4209:27 0 +38 *4170:30 *4209:19 0 +39 *4200:32 *4209:27 0 +*RES +1 *41214:X *4209:19 46.3567 +2 *4209:19 *4209:27 30.4484 +3 *4209:27 *41213:A 22.4071 +4 *4209:27 *8835:DIODE 9.3 +*END + +*D_NET *4210 0.0191587 +*CONN +*I *38140:B I *D sky130_fd_sc_hd__and2_2 +*I *6206:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41215:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *38140:B 0.000144601 +2 *6206:DIODE 7.23606e-05 +3 *41215:X 2.0535e-05 +4 *4210:18 0.000332188 +5 *4210:15 0.00482309 +6 *4210:13 0.00628842 +7 *4210:7 0.00451877 +8 *4210:5 0.00295875 +9 *6206:DIODE *4425:10 0 +10 *38140:B *38140:A 0 +11 *4210:7 *8837:DIODE 0 +12 *4210:7 *38170:A 0 +13 *4210:7 *4421:29 0 +14 *4210:7 *4892:14 0 +15 *4210:13 *5820:DIODE 0 +16 *4210:13 *4424:37 0 +17 *4210:13 *4424:55 0 +18 *4210:13 *4433:46 0 +19 *4210:15 *4419:35 0 +20 *4210:15 *4419:46 0 +21 *4210:15 *4422:45 0 +22 *4210:15 *4795:45 0 +23 *4210:15 *4803:11 0 +24 *4210:18 *4425:23 0 +25 *4210:18 *4425:44 0 +26 *6204:DIODE *4210:7 0 +27 *37920:B *4210:13 0 +28 *38170:B *4210:7 0 +29 *38178:A *4210:15 0 +30 *39442:A *4210:7 0 +31 *39442:A *4210:13 0 +32 *39442:B *4210:7 0 +33 *39954:A *4210:13 0 +34 *1359:14 *4210:13 0 +35 *1477:10 *6206:DIODE 0 +36 *1774:16 *4210:7 0 +37 *1774:16 *4210:13 0 +38 *2796:13 *4210:13 0 +39 *2952:10 *4210:7 0 +40 *3381:64 *4210:15 0 +41 *4158:58 *4210:7 0 +42 *4158:58 *4210:13 0 +43 *4158:63 *4210:15 0 +44 *4158:65 *4210:15 0 +45 *4167:19 *4210:7 0 +46 *4169:67 *4210:7 0 +47 *4169:69 *4210:7 0 +48 *4180:99 *4210:7 0 +49 *4190:40 *4210:7 0 +50 *4190:60 *4210:15 0 +51 *4192:11 *4210:13 0 +52 *4192:11 *4210:15 0 +53 *4192:19 *4210:15 0 +54 *4192:23 *4210:15 0 +55 *4192:27 *4210:15 0 +56 *4195:5 *38140:B 0 +57 *4201:18 *4210:7 0 +58 *4201:21 *4210:13 0 +59 *4201:21 *4210:15 0 +*RES +1 *41215:X *4210:5 9.72857 +2 *4210:5 *4210:7 61.3214 +3 *4210:7 *4210:13 33.0179 +4 *4210:13 *4210:15 98.2857 +5 *4210:15 *4210:18 7.05357 +6 *4210:18 *6206:DIODE 15.4429 +7 *4210:18 *38140:B 16.8179 +*END + +*D_NET *4211 0.0211126 +*CONN +*I *41215:A I *D sky130_fd_sc_hd__buf_6 +*I *8837:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41216:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *41215:A 0.000107893 +2 *8837:DIODE 0.000517654 +3 *41216:X 0.00151032 +4 *4211:40 0.000778055 +5 *4211:37 0.00435021 +6 *4211:36 0.00487466 +7 *4211:25 0.00321859 +8 *4211:23 0.00339327 +9 *4211:11 0.00236195 +10 *4211:11 *4214:63 0 +11 *4211:11 *4392:49 0 +12 *4211:11 *4392:80 0 +13 *4211:23 *4392:89 0 +14 *4211:23 *4395:71 0 +15 *4211:25 *4392:89 0 +16 *4211:25 *4432:62 0 +17 *4211:36 *4726:19 0 +18 *4211:37 *4396:11 0 +19 *4211:37 *4824:23 0 +20 *8787:DIODE *4211:23 0 +21 *38210:B *4211:11 0 +22 *41180:A *4211:25 0 +23 *41195:A *4211:11 0 +24 *41208:A *4211:23 0 +25 *41282:A *4211:23 0 +26 *271:51 *4211:36 0 +27 *1164:17 *41215:A 0 +28 *1164:17 *4211:40 0 +29 *1381:10 *41215:A 0 +30 *1381:10 *4211:40 0 +31 *1381:13 *4211:37 0 +32 *1393:14 *4211:23 0 +33 *1393:29 *4211:11 0 +34 *1504:27 *4211:11 0 +35 *1799:13 *4211:37 0 +36 *1799:29 *4211:36 0 +37 *3367:40 *41215:A 0 +38 *3383:20 *4211:36 0 +39 *3567:32 *4211:37 0 +40 *3875:69 *41215:A 0 +41 *4123:32 *4211:36 0 +42 *4140:41 *4211:11 0 +43 *4168:54 *4211:23 0 +44 *4169:22 *4211:11 0 +45 *4169:22 *4211:23 0 +46 *4169:67 *8837:DIODE 0 +47 *4191:28 *4211:40 0 +48 *4194:9 *4211:25 0 +49 *4196:39 *4211:25 0 +50 *4196:41 *4211:25 0 +51 *4196:41 *4211:37 0 +52 *4210:7 *8837:DIODE 0 +*RES +1 *41216:X *4211:11 49.8714 +2 *4211:11 *4211:23 26.9464 +3 *4211:23 *4211:25 53.1071 +4 *4211:25 *4211:36 26.3925 +5 *4211:36 *4211:37 87.6071 +6 *4211:37 *4211:40 7.96429 +7 *4211:40 *8837:DIODE 24.6036 +8 *4211:40 *41215:A 16.1929 +*END + +*D_NET *4212 0.0205432 +*CONN +*I *41216:A I *D sky130_fd_sc_hd__buf_4 +*I *8838:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41217:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41216:A 0.000175125 +2 *8838:DIODE 2.55425e-05 +3 *41217:X 0.000578512 +4 *4212:43 0.00545644 +5 *4212:17 0.00793816 +6 *4212:16 0.00423665 +7 *4212:10 0.00213278 +8 *4212:43 *4384:56 0 +9 *4212:43 *4392:49 0 +10 *4212:43 *4915:35 0 +11 *41002:A *4212:17 0 +12 *41166:A *4212:43 0 +13 *1530:15 *4212:16 0 +14 *1530:15 *4212:43 0 +15 *1898:36 *41216:A 0 +16 *1901:32 *4212:43 0 +17 *1965:7 *4212:16 0 +18 *1971:14 *4212:10 0 +19 *1986:9 *4212:10 0 +20 *2043:8 *4212:17 0 +21 *2071:11 *4212:10 0 +22 *2078:15 *4212:16 0 +23 *2096:17 *4212:17 0 +24 *2096:17 *4212:43 0 +25 *2112:10 *4212:16 0 +26 *2144:25 *4212:10 0 +27 *2151:19 *4212:10 0 +28 *2166:39 *4212:43 0 +29 *2741:69 *4212:17 0 +30 *3058:28 *8838:DIODE 0 +31 *3058:28 *41216:A 0 +32 *3325:37 *4212:43 0 +33 *3596:21 *4212:16 0 +34 *3859:18 *41216:A 0 +35 *3876:25 *4212:17 0 +36 *3876:62 *4212:43 0 +37 *3876:93 *4212:43 0 +38 *3895:76 *4212:43 0 +39 *4123:28 *4212:43 0 +40 *4156:37 *4212:43 0 +41 *4156:52 *4212:43 0 +42 *4162:35 *4212:43 0 +43 *4191:19 *4212:43 0 +*RES +1 *41217:X *4212:10 31.3179 +2 *4212:10 *4212:16 41.5714 +3 *4212:16 *4212:17 55.9821 +4 *4212:17 *4212:43 44.4534 +5 *4212:43 *8838:DIODE 14.3804 +6 *4212:43 *41216:A 17.7554 +*END + +*D_NET *4213 0.0198166 +*CONN +*I *38138:B I *D sky130_fd_sc_hd__and2_1 +*I *6203:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41218:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38138:B 0.00020629 +2 *6203:DIODE 0 +3 *41218:X 0.00097109 +4 *4213:26 0.000278651 +5 *4213:23 0.00669362 +6 *4213:22 0.00662126 +7 *4213:20 0.00203731 +8 *4213:11 0.0030084 +9 *38138:B *4371:18 0 +10 *4213:11 *4214:78 0 +11 *4213:23 *4805:15 0 +12 *4213:26 *4371:18 0 +13 *37506:A *4213:23 0 +14 *37940:C *4213:23 0 +15 *38168:B *4213:23 0 +16 *306:11 *38138:B 0 +17 *306:11 *4213:26 0 +18 *321:13 *4213:20 0 +19 *1354:21 *38138:B 0 +20 *1354:41 *4213:23 0 +21 *1473:9 *4213:23 0 +22 *1477:13 *4213:23 0 +23 *1483:10 *4213:23 0 +24 *1774:22 *4213:23 0 +25 *3378:24 *4213:20 0 +26 *3885:23 *4213:23 0 +27 *4161:21 *4213:11 0 +28 *4161:21 *4213:20 0 +29 *4161:21 *4213:23 0 +30 *4172:43 *4213:23 0 +31 *4177:16 *4213:23 0 +32 *4177:19 *4213:23 0 +33 *4187:49 *38138:B 0 +34 *4194:15 *4213:11 0 +35 *4194:15 *4213:20 0 +36 *4194:19 *4213:20 0 +*RES +1 *41218:X *4213:11 39.0232 +2 *4213:11 *4213:20 47.2768 +3 *4213:20 *4213:22 4.5 +4 *4213:22 *4213:23 138.125 +5 *4213:23 *4213:26 6.14286 +6 *4213:26 *6203:DIODE 13.8 +7 *4213:26 *38138:B 18.2464 +*END + +*D_NET *4214 0.0212272 +*CONN +*I *41218:A I *D sky130_fd_sc_hd__buf_2 +*I *8840:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41219:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41218:A 0 +2 *8840:DIODE 0.000183104 +3 *41219:X 0.000796907 +4 *4214:78 0.000429189 +5 *4214:73 0.00645707 +6 *4214:72 0.00641545 +7 *4214:63 0.00160993 +8 *4214:40 0.00297205 +9 *4214:24 0.00236349 +10 *4214:24 *4470:47 0 +11 *4214:24 *4470:59 0 +12 *4214:40 *4392:49 0 +13 *4214:63 *4384:56 0 +14 *4214:63 *4392:80 0 +15 *4214:72 *4392:80 0 +16 *4214:73 *6295:DIODE 0 +17 *4214:73 *37988:B 0 +18 *4214:73 *38186:A 0 +19 *4214:73 *4392:89 0 +20 *4214:73 *4395:71 0 +21 *4214:73 *4432:63 0 +22 *4214:78 *4396:8 0 +23 *37572:B *4214:73 0 +24 *37770:B *4214:73 0 +25 *38210:B *4214:63 0 +26 *41170:A *4214:73 0 +27 *41190:A *4214:24 0 +28 *41202:A *4214:73 0 +29 *1284:24 *4214:73 0 +30 *1393:29 *4214:63 0 +31 *1393:45 *4214:24 0 +32 *1504:27 *4214:63 0 +33 *1533:22 *4214:40 0 +34 *1799:29 *4214:73 0 +35 *1801:19 *4214:40 0 +36 *1895:46 *4214:40 0 +37 *1985:16 *4214:73 0 +38 *2049:28 *4214:40 0 +39 *2741:15 *4214:24 0 +40 *3136:39 *4214:73 0 +41 *3332:38 *4214:40 0 +42 *3875:65 *8840:DIODE 0 +43 *3897:21 *4214:63 0 +44 *4136:25 *4214:24 0 +45 *4140:40 *4214:24 0 +46 *4140:88 *4214:73 0 +47 *4152:54 *4214:40 0 +48 *4156:52 *4214:63 0 +49 *4161:16 *4214:73 0 +50 *4161:19 *4214:73 0 +51 *4161:21 *4214:73 0 +52 *4162:70 *4214:73 0 +53 *4162:77 *4214:73 0 +54 *4167:11 *8840:DIODE 0 +55 *4168:54 *4214:73 0 +56 *4172:34 *4214:73 0 +57 *4183:57 *4214:78 0 +58 *4184:17 *4214:78 0 +59 *4188:14 *4214:63 0 +60 *4188:17 *4214:63 0 +61 *4191:19 *4214:73 0 +62 *4194:9 *4214:73 0 +63 *4194:15 *4214:73 0 +64 *4196:32 *4214:73 0 +65 *4199:10 *4214:73 0 +66 *4211:11 *4214:63 0 +67 *4213:11 *4214:78 0 +*RES +1 *41219:X *4214:24 48.9429 +2 *4214:24 *4214:40 46.8393 +3 *4214:40 *4214:63 47.2859 +4 *4214:63 *4214:72 12.6154 +5 *4214:72 *4214:73 129.5 +6 *4214:73 *4214:78 14.5893 +7 *4214:78 *8840:DIODE 13.1214 +8 *4214:78 *41218:A 9.3 +*END + +*D_NET *4215 0.0216194 +*CONN +*I *8262:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40504:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6122:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38096:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *38600:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8262:DIODE 0.000720389 +2 *40504:A 8.83271e-05 +3 *6122:DIODE 0.000119839 +4 *38096:A_N 0.000321218 +5 *38600:X 0.000495231 +6 *4215:82 0.00290888 +7 *4215:74 0.00842708 +8 *4215:39 0.0070844 +9 *4215:8 0.00145409 +10 *8262:DIODE *8852:DIODE 0 +11 *38096:A_N *8889:DIODE 0 +12 *4215:8 *4753:17 0 +13 *4215:74 *4220:20 0 +14 *4215:74 *4245:51 0 +15 *4215:74 *4254:19 0 +16 *4215:74 *4407:30 0 +17 *4215:82 *4254:54 0 +18 *4215:82 *4407:30 0 +19 *5506:DIODE *38096:A_N 0 +20 *5506:DIODE *4215:8 0 +21 *7020:DIODE *4215:8 0 +22 *40334:A *38096:A_N 0 +23 *40334:A *4215:39 0 +24 *40345:A *4215:39 0 +25 *40350:A *6122:DIODE 0 +26 *396:25 *4215:8 0 +27 *396:25 *4215:39 0 +28 *397:8 *4215:8 0 +29 *780:30 *4215:8 0 +30 *1027:74 *4215:74 0 +31 *1454:24 *8262:DIODE 0 +32 *1454:41 *8262:DIODE 0 +33 *1880:22 *4215:39 0 +34 *2374:76 *4215:74 0 +35 *2390:12 *4215:8 0 +36 *2682:21 *4215:74 0 +37 *2821:23 *4215:82 0 +38 *3025:68 *4215:74 0 +39 *3086:28 *4215:39 0 +40 *3163:40 *4215:82 0 +41 *3257:35 *6122:DIODE 0 +42 *3257:35 *4215:39 0 +43 *3257:35 *4215:74 0 +44 *3413:60 *4215:82 0 +45 *3432:49 *4215:82 0 +46 *3434:45 *4215:82 0 +47 *3434:56 *4215:82 0 +48 *3487:45 *4215:74 0 +49 *3520:61 *4215:74 0 +50 *3522:24 *4215:74 0 +51 *3532:24 *4215:74 0 +52 *3777:65 *4215:74 0 +53 *3904:49 *4215:82 0 +54 *3950:41 *8262:DIODE 0 +55 *3976:25 *4215:74 0 +56 *3976:60 *4215:74 0 +57 *4005:39 *4215:82 0 +58 *4026:45 *8262:DIODE 0 +59 *4054:48 *4215:82 0 +60 *4081:39 *4215:74 0 +61 *4088:27 *4215:74 0 +*RES +1 *38600:X *4215:8 24.6393 +2 *4215:8 *38096:A_N 21.2821 +3 *4215:8 *4215:39 31.9821 +4 *4215:39 *6122:DIODE 16.5143 +5 *4215:39 *4215:74 45.1071 +6 *4215:74 *4215:82 12.0517 +7 *4215:82 *40504:A 15.7464 +8 *4215:82 *8262:DIODE 37.9429 +*END + +*D_NET *4216 0.0200886 +*CONN +*I *38136:B I *D sky130_fd_sc_hd__and3b_1 +*I *6199:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41220:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *38136:B 0.000269728 +2 *6199:DIODE 0.000124355 +3 *41220:X 0.00107759 +4 *4216:108 0.00253464 +5 *4216:99 0.00396133 +6 *4216:75 0.0031159 +7 *4216:65 0.00297176 +8 *4216:45 0.00331618 +9 *4216:24 0.00271713 +10 *4216:24 *5182:66 0 +11 *6504:DIODE *4216:108 0 +12 *6519:DIODE *4216:99 0 +13 *8634:DIODE *4216:75 0 +14 *8648:DIODE *4216:75 0 +15 *8648:DIODE *4216:99 0 +16 *37712:B *4216:108 0 +17 *38342:B *4216:99 0 +18 *38343:A *4216:99 0 +19 *38356:A *4216:108 0 +20 *39981:A *4216:45 0 +21 *40173:A *4216:99 0 +22 *263:15 *6199:DIODE 0 +23 *263:15 *4216:108 0 +24 *1012:52 *4216:24 0 +25 *1454:58 *4216:24 0 +26 *1454:69 *4216:24 0 +27 *1459:21 *4216:24 0 +28 *1464:11 *38136:B 0 +29 *1564:16 *4216:65 0 +30 *1571:37 *4216:45 0 +31 *2806:50 *4216:65 0 +32 *2825:20 *4216:45 0 +33 *2825:43 *4216:45 0 +34 *2825:43 *4216:65 0 +35 *2826:43 *4216:45 0 +36 *2871:69 *4216:45 0 +37 *2985:14 *38136:B 0 +38 *3036:76 *4216:65 0 +39 *3039:33 *6199:DIODE 0 +40 *3286:72 *4216:65 0 +41 *3399:23 *38136:B 0 +42 *3401:27 *4216:65 0 +43 *3402:157 *4216:65 0 +44 *3406:65 *4216:45 0 +45 *3411:138 *4216:45 0 +46 *3411:164 *4216:65 0 +47 *3417:119 *4216:24 0 +48 *3476:23 *4216:108 0 +49 *3476:31 *6199:DIODE 0 +50 *3495:19 *4216:75 0 +51 *3498:25 *4216:45 0 +52 *3501:64 *4216:24 0 +53 *3501:74 *4216:24 0 +54 *3501:74 *4216:45 0 +55 *3699:16 *6199:DIODE 0 +56 *3764:34 *4216:65 0 +57 *3768:58 *4216:45 0 +58 *3904:80 *4216:24 0 +59 *3944:19 *4216:75 0 +60 *3944:21 *4216:75 0 +61 *3944:21 *4216:99 0 +62 *3944:21 *4216:108 0 +63 *3950:75 *4216:75 0 +64 *3986:7 *4216:65 0 +65 *3986:11 *4216:75 0 +66 *3986:11 *4216:99 0 +67 *3986:13 *4216:99 0 +68 *3986:13 *4216:108 0 +69 *4003:42 *4216:65 0 +70 *4013:67 *4216:75 0 +71 *4018:57 *4216:24 0 +72 *4018:71 *4216:45 0 +73 *4073:25 *4216:45 0 +74 *4073:45 *4216:45 0 +75 *4073:45 *4216:65 0 +*RES +1 *41220:X *4216:24 46.0321 +2 *4216:24 *4216:45 48.1786 +3 *4216:45 *4216:65 48.3562 +4 *4216:65 *4216:75 35.0439 +5 *4216:75 *4216:99 39.1607 +6 *4216:99 *4216:108 49.3839 +7 *4216:108 *6199:DIODE 16.5411 +8 *4216:108 *38136:B 22.2722 +*END + +*D_NET *4217 0.0283624 +*CONN +*I *8842:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41220:A I *D sky130_fd_sc_hd__buf_6 +*I *41221:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8842:DIODE 2.26741e-05 +2 *41220:A 0.000154966 +3 *41221:X 0.00067478 +4 *4217:39 0.000546364 +5 *4217:26 0.00292718 +6 *4217:25 0.00374607 +7 *4217:19 0.00914108 +8 *4217:18 0.00921398 +9 *4217:14 0.00193529 +10 *4217:18 *4738:6 0 +11 *1339:36 *4217:14 0 +12 *1339:46 *4217:18 0 +13 *1540:10 *4217:14 0 +14 *1540:14 *4217:18 0 +15 *1547:22 *4217:26 0 +16 *1547:30 *4217:26 0 +17 *1551:33 *4217:26 0 +18 *1564:35 *4217:39 0 +19 *3074:18 *4217:18 0 +20 *3303:47 *4217:26 0 +21 *3411:121 *41220:A 0 +22 *3424:39 *4217:25 0 +23 *3489:16 *4217:26 0 +24 *3501:64 *41220:A 0 +25 *3654:29 *4217:26 0 +26 *3672:63 *4217:14 0 +27 *3686:11 *4217:19 0 +28 *3701:31 *4217:19 0 +29 *3729:18 *4217:18 0 +30 *3963:34 *4217:39 0 +31 *4007:13 *4217:19 0 +32 *4007:13 *4217:25 0 +33 *4057:17 *4217:19 0 +34 *4057:17 *4217:25 0 +35 *4057:23 *4217:25 0 +36 *4171:80 *4217:14 0 +*RES +1 *41221:X *4217:14 29.3357 +2 *4217:14 *4217:18 33.1607 +3 *4217:18 *4217:19 166.054 +4 *4217:19 *4217:25 29.2857 +5 *4217:25 *4217:26 58.1071 +6 *4217:26 *4217:39 21.6607 +7 *4217:39 *41220:A 21.7911 +8 *4217:39 *8842:DIODE 9.83571 +*END + +*D_NET *4218 0.0226723 +*CONN +*I *8843:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41221:A I *D sky130_fd_sc_hd__buf_4 +*I *41222:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8843:DIODE 0.000472434 +2 *41221:A 3.52946e-05 +3 *41222:X 0.00120776 +4 *4218:52 0.00241561 +5 *4218:43 0.00962066 +6 *4218:24 0.00892054 +7 *8843:DIODE *6111:DIODE 0 +8 *4218:24 *4310:59 0 +9 *4218:24 *4746:8 0 +10 *4218:43 *4310:59 0 +11 *4218:52 *4717:6 0 +12 *1331:31 *4218:52 0 +13 *1408:58 *4218:43 0 +14 *1408:73 *4218:43 0 +15 *1505:48 *4218:24 0 +16 *1541:23 *8843:DIODE 0 +17 *2347:33 *4218:24 0 +18 *2437:20 *4218:43 0 +19 *3119:22 *4218:52 0 +20 *3312:147 *4218:52 0 +21 *3648:42 *4218:52 0 +22 *3650:43 *8843:DIODE 0 +23 *3655:18 *4218:24 0 +24 *3674:93 *4218:52 0 +25 *3687:55 *4218:52 0 +26 *3689:15 *4218:43 0 +27 *3692:9 *4218:24 0 +28 *3698:48 *4218:43 0 +29 *3736:46 *4218:43 0 +30 *3769:51 *4218:52 0 +31 *3787:67 *4218:43 0 +32 *3820:34 *4218:43 0 +33 *3984:68 *4218:43 0 +34 *3984:90 *4218:43 0 +35 *3984:125 *4218:52 0 +36 *4022:31 *4218:24 0 +37 *4097:17 *8843:DIODE 0 +38 *4099:29 *4218:43 0 +39 *4103:47 *4218:43 0 +40 *4109:48 *4218:43 0 +41 *4109:65 *4218:43 0 +42 *4109:65 *4218:52 0 +43 *4120:64 *4218:24 0 +*RES +1 *41222:X *4218:24 47.0879 +2 *4218:24 *4218:43 47.7743 +3 *4218:43 *4218:52 20.2879 +4 *4218:52 *41221:A 14.6036 +5 *4218:52 *8843:DIODE 33.2821 +*END + +*D_NET *4219 0.0211661 +*CONN +*I *6195:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38134:B I *D sky130_fd_sc_hd__and3b_1 +*I *41223:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *6195:DIODE 0 +2 *38134:B 0.0002415 +3 *41223:X 0.00139047 +4 *4219:117 0.00167906 +5 *4219:105 0.00319454 +6 *4219:85 0.00334457 +7 *4219:76 0.00329858 +8 *4219:58 0.00305546 +9 *4219:42 0.00245795 +10 *4219:19 0.00250395 +11 *4219:42 *4427:113 0 +12 *4219:58 *4411:89 0 +13 *4219:58 *4427:113 0 +14 *4219:58 *4572:47 0 +15 *4219:76 *4404:129 0 +16 *4219:76 *4411:102 0 +17 *4219:85 *8256:DIODE 0 +18 *4219:85 *4404:129 0 +19 *4219:85 *4411:145 0 +20 *7879:DIODE *4219:42 0 +21 *8659:DIODE *4219:19 0 +22 *38102:C *4219:19 0 +23 *38124:A_N *4219:117 0 +24 *38134:A_N *38134:B 0 +25 *39570:A *4219:85 0 +26 *39583:A *4219:76 0 +27 *39962:A *4219:58 0 +28 *40120:A *4219:105 0 +29 *40553:A *4219:105 0 +30 *41064:A *4219:85 0 +31 *1013:77 *4219:117 0 +32 *1453:16 *4219:42 0 +33 *1580:45 *4219:85 0 +34 *1844:39 *4219:76 0 +35 *1850:17 *4219:105 0 +36 *1850:43 *4219:85 0 +37 *1863:28 *4219:42 0 +38 *2382:14 *4219:76 0 +39 *2414:55 *4219:42 0 +40 *2979:10 *4219:105 0 +41 *2980:49 *4219:105 0 +42 *3040:34 *4219:76 0 +43 *3107:28 *4219:42 0 +44 *3281:33 *4219:19 0 +45 *3288:24 *4219:76 0 +46 *3395:85 *4219:105 0 +47 *3396:5 *4219:117 0 +48 *3396:24 *38134:B 0 +49 *3396:24 *4219:117 0 +50 *3398:43 *4219:117 0 +51 *3406:51 *4219:42 0 +52 *3412:71 *4219:19 0 +53 *3412:95 *4219:58 0 +54 *3412:119 *4219:76 0 +55 *3412:136 *4219:85 0 +56 *3416:88 *4219:76 0 +57 *3417:94 *4219:19 0 +58 *3417:119 *4219:42 0 +59 *3476:16 *4219:117 0 +60 *3478:48 *4219:42 0 +61 *3498:25 *4219:42 0 +62 *3767:39 *4219:85 0 +63 *3767:51 *4219:85 0 +64 *3767:51 *4219:105 0 +65 *3767:55 *38134:B 0 +66 *3767:55 *4219:117 0 +67 *3936:79 *4219:105 0 +68 *3953:48 *4219:105 0 +69 *3954:53 *4219:42 0 +70 *3954:65 *4219:42 0 +71 *3954:99 *4219:85 0 +72 *3975:87 *4219:58 0 +73 *3975:87 *4219:76 0 +74 *3976:67 *4219:19 0 +75 *3999:52 *4219:76 0 +76 *4013:24 *4219:42 0 +77 *4026:90 *4219:76 0 +78 *4026:90 *4219:85 0 +79 *4044:49 *4219:85 0 +*RES +1 *41223:X *4219:19 47.8 +2 *4219:19 *4219:42 46.6964 +3 *4219:42 *4219:58 46.2321 +4 *4219:58 *4219:76 48.3466 +5 *4219:76 *4219:85 37.875 +6 *4219:85 *4219:105 46.3304 +7 *4219:105 *4219:117 39.2679 +8 *4219:117 *38134:B 14.3714 +9 *4219:117 *6195:DIODE 9.3 +*END + +*D_NET *4220 0.0238741 +*CONN +*I *41223:A I *D sky130_fd_sc_hd__buf_6 +*I *8845:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41224:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *41223:A 2.0548e-05 +2 *8845:DIODE 0.00016053 +3 *41224:X 0.00289542 +4 *4220:88 0.00139791 +5 *4220:73 0.00249208 +6 *4220:53 0.00259681 +7 *4220:23 0.00636847 +8 *4220:22 0.00504691 +9 *4220:20 0.00289542 +10 *41223:A *4270:66 0 +11 *4220:20 *4254:19 0 +12 *4220:20 *4276:34 0 +13 *4220:53 *4334:16 0 +14 *4220:73 *38030:B 0 +15 *4220:73 *4235:73 0 +16 *4220:73 *4241:124 0 +17 *4220:73 *4319:41 0 +18 *4220:73 *4360:42 0 +19 *4220:88 *8861:DIODE 0 +20 *4220:88 *4244:11 0 +21 *6414:DIODE *4220:23 0 +22 *7992:DIODE *4220:53 0 +23 *8009:DIODE *4220:53 0 +24 *8056:DIODE *4220:88 0 +25 *8449:DIODE *4220:73 0 +26 *8623:DIODE *4220:53 0 +27 *8682:DIODE *4220:73 0 +28 *8691:DIODE *4220:88 0 +29 *40755:A *4220:73 0 +30 *1416:17 *4220:73 0 +31 *1452:17 *4220:88 0 +32 *1454:24 *4220:88 0 +33 *2374:76 *4220:20 0 +34 *2386:25 *4220:20 0 +35 *2414:109 *4220:88 0 +36 *2414:126 *4220:73 0 +37 *2422:48 *4220:53 0 +38 *2422:66 *4220:53 0 +39 *2442:54 *4220:23 0 +40 *2987:124 *4220:53 0 +41 *3013:11 *4220:53 0 +42 *3020:11 *4220:53 0 +43 *3023:58 *8845:DIODE 0 +44 *3023:58 *41223:A 0 +45 *3070:50 *4220:23 0 +46 *3098:41 *4220:53 0 +47 *3100:17 *4220:88 0 +48 *3107:91 *4220:53 0 +49 *3163:55 *4220:23 0 +50 *3218:68 *4220:20 0 +51 *3409:70 *4220:73 0 +52 *3409:120 *4220:73 0 +53 *3413:94 *4220:88 0 +54 *3433:20 *4220:20 0 +55 *3439:51 *4220:73 0 +56 *3515:34 *4220:20 0 +57 *3658:85 *4220:23 0 +58 *3743:32 *4220:53 0 +59 *3932:21 *4220:23 0 +60 *3932:21 *4220:53 0 +61 *3951:39 *4220:23 0 +62 *3963:19 *4220:73 0 +63 *3976:40 *4220:23 0 +64 *3976:41 *4220:23 0 +65 *3977:151 *4220:20 0 +66 *3977:155 *4220:20 0 +67 *3988:11 *4220:20 0 +68 *4000:23 *4220:23 0 +69 *4011:31 *4220:23 0 +70 *4011:35 *4220:23 0 +71 *4011:37 *4220:23 0 +72 *4013:11 *4220:88 0 +73 *4018:23 *4220:88 0 +74 *4088:27 *4220:20 0 +75 *4095:89 *4220:23 0 +76 *4111:17 *4220:23 0 +77 *4215:74 *4220:20 0 +*RES +1 *41224:X *4220:20 46.933 +2 *4220:20 *4220:22 4.5 +3 *4220:22 *4220:23 105.268 +4 *4220:23 *4220:53 48.536 +5 *4220:53 *4220:73 45.7679 +6 *4220:73 *4220:88 39.8304 +7 *4220:88 *8845:DIODE 17.5589 +8 *4220:88 *41223:A 14.3804 +*END + +*D_NET *4221 0.0246748 +*CONN +*I *41224:A I *D sky130_fd_sc_hd__buf_6 +*I *8846:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41225:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *41224:A 0 +2 *8846:DIODE 0.000386873 +3 *41225:X 0.00316247 +4 *4221:81 0.00080998 +5 *4221:78 0.0019775 +6 *4221:68 0.00217782 +7 *4221:60 0.00246365 +8 *4221:48 0.00618715 +9 *4221:21 0.0075094 +10 *4221:21 *38320:A 0 +11 *4221:21 *4236:30 0 +12 *4221:21 *4269:22 0 +13 *4221:21 *4298:20 0 +14 *4221:21 *4298:40 0 +15 *4221:21 *4303:140 0 +16 *4221:48 *4242:44 0 +17 *4221:48 *4301:79 0 +18 *7973:DIODE *4221:68 0 +19 *8131:DIODE *4221:81 0 +20 *38448:A *4221:60 0 +21 *514:8 *4221:60 0 +22 *1979:77 *4221:21 0 +23 *2115:70 *4221:21 0 +24 *2386:25 *4221:81 0 +25 *2386:42 *4221:78 0 +26 *2386:63 *4221:60 0 +27 *2386:63 *4221:68 0 +28 *2402:56 *8846:DIODE 0 +29 *2425:25 *8846:DIODE 0 +30 *2549:45 *4221:60 0 +31 *2671:44 *4221:48 0 +32 *3144:21 *4221:68 0 +33 *3158:16 *4221:60 0 +34 *3208:37 *4221:81 0 +35 *3220:21 *4221:48 0 +36 *3279:51 *4221:48 0 +37 *3442:92 *4221:21 0 +38 *3502:9 *4221:78 0 +39 *3513:38 *4221:68 0 +40 *3517:65 *4221:60 0 +41 *3520:45 *4221:60 0 +42 *3529:66 *4221:78 0 +43 *3532:24 *4221:48 0 +44 *3744:63 *4221:48 0 +45 *3933:49 *4221:48 0 +46 *3933:49 *4221:60 0 +47 *3952:108 *4221:48 0 +48 *3977:151 *4221:81 0 +49 *4001:74 *4221:48 0 +50 *4017:29 *4221:21 0 +51 *4072:51 *4221:21 0 +52 *4072:51 *4221:48 0 +53 *4104:16 *4221:60 0 +54 *4112:119 *4221:48 0 +55 *4117:51 *4221:21 0 +56 *4117:51 *4221:48 0 +57 *4166:60 *4221:48 0 +*RES +1 *41225:X *4221:21 45.9217 +2 *4221:21 *4221:48 42.6507 +3 *4221:48 *4221:60 42.0698 +4 *4221:60 *4221:68 22.1786 +5 *4221:68 *4221:78 41.8214 +6 *4221:78 *4221:81 8.76786 +7 *4221:81 *8846:DIODE 26.5321 +8 *4221:81 *41224:A 9.3 +*END + +*D_NET *4222 0.0220775 +*CONN +*I *41225:A I *D sky130_fd_sc_hd__buf_4 +*I *8847:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41226:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41225:A 0 +2 *8847:DIODE 0.000948421 +3 *41226:X 0.00071875 +4 *4222:22 0.01032 +5 *4222:10 0.0100903 +6 *8847:DIODE *38322:A 0 +7 *4222:22 *4258:34 0 +8 *4222:22 *4273:50 0 +9 *4222:22 *4290:20 0 +10 *39998:A *4222:10 0 +11 *1293:20 *4222:22 0 +12 *1519:19 *4222:22 0 +13 *1999:29 *4222:22 0 +14 *2092:7 *4222:10 0 +15 *2141:64 *8847:DIODE 0 +16 *2850:39 *4222:22 0 +17 *3214:11 *4222:10 0 +18 *3324:30 *4222:22 0 +19 *3961:49 *4222:22 0 +20 *3989:41 *4222:22 0 +21 *4009:38 *4222:22 0 +22 *4017:29 *8847:DIODE 0 +23 *4025:61 *8847:DIODE 0 +24 *4035:34 *4222:22 0 +25 *4053:57 *8847:DIODE 0 +26 *4053:66 *8847:DIODE 0 +27 *4056:17 *4222:22 0 +28 *4063:16 *4222:22 0 +29 *4079:19 *4222:22 0 +30 *4079:57 *4222:22 0 +31 *4086:20 *4222:22 0 +*RES +1 *41226:X *4222:10 33.4154 +2 *4222:10 *4222:22 37.6459 +3 *4222:22 *8847:DIODE 42.9964 +4 *4222:22 *41225:A 13.8 +*END + +*D_NET *4223 0.0217868 +*CONN +*I *6192:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38132:B I *D sky130_fd_sc_hd__and3b_1 +*I *41227:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *6192:DIODE 0 +2 *38132:B 0.000261734 +3 *41227:X 0.00124828 +4 *4223:105 0.000641632 +5 *4223:103 0.00234426 +6 *4223:78 0.00388439 +7 *4223:71 0.00361229 +8 *4223:62 0.00511909 +9 *4223:35 0.00467511 +10 *4223:62 *4240:28 0 +11 *4223:78 *4779:10 0 +12 *6506:DIODE *4223:71 0 +13 *38358:A *4223:71 0 +14 *38368:A *4223:78 0 +15 *38368:B *4223:71 0 +16 *38376:A *4223:103 0 +17 *39514:A *4223:103 0 +18 *39514:B *38132:B 0 +19 *39514:B *4223:105 0 +20 *381:17 *4223:103 0 +21 *1214:31 *4223:35 0 +22 *1252:12 *4223:62 0 +23 *1252:12 *4223:103 0 +24 *1458:37 *4223:35 0 +25 *1461:11 *4223:62 0 +26 *1463:30 *38132:B 0 +27 *1466:38 *4223:103 0 +28 *1569:12 *4223:62 0 +29 *1579:26 *4223:35 0 +30 *1581:29 *4223:35 0 +31 *1589:22 *4223:103 0 +32 *1590:30 *4223:105 0 +33 *1718:29 *38132:B 0 +34 *1846:10 *4223:103 0 +35 *1849:21 *4223:62 0 +36 *1851:5 *4223:103 0 +37 *1863:48 *4223:71 0 +38 *2370:19 *4223:35 0 +39 *2806:71 *4223:35 0 +40 *2808:17 *4223:62 0 +41 *2808:35 *4223:71 0 +42 *2808:35 *4223:78 0 +43 *2809:24 *4223:35 0 +44 *2809:24 *4223:62 0 +45 *2988:24 *4223:103 0 +46 *2999:37 *4223:62 0 +47 *3006:14 *4223:62 0 +48 *3028:44 *4223:62 0 +49 *3286:72 *4223:35 0 +50 *3286:114 *4223:62 0 +51 *3394:108 *4223:103 0 +52 *3396:24 *4223:62 0 +53 *3401:27 *4223:62 0 +54 *3931:43 *4223:35 0 +55 *3935:25 *4223:71 0 +56 *3935:25 *4223:78 0 +57 *3935:44 *4223:103 0 +58 *3935:44 *4223:105 0 +59 *3945:52 *4223:35 0 +60 *3953:48 *4223:62 0 +61 *3953:72 *4223:78 0 +62 *3953:94 *4223:103 0 +63 *3957:15 *4223:71 0 +64 *3963:84 *4223:35 0 +65 *3963:88 *4223:62 0 +66 *3966:14 *4223:62 0 +67 *3981:99 *4223:71 0 +68 *4013:67 *4223:35 0 +69 *4013:98 *4223:62 0 +70 *4018:95 *4223:35 0 +71 *4073:79 *4223:35 0 +*RES +1 *41227:X *4223:35 45.7464 +2 *4223:35 *4223:62 49.2052 +3 *4223:62 *4223:71 44.4821 +4 *4223:71 *4223:78 48.1332 +5 *4223:78 *4223:103 49.4105 +6 *4223:103 *4223:105 7.92857 +7 *4223:105 *38132:B 23.8714 +8 *4223:105 *6192:DIODE 9.3 +*END + +*D_NET *4224 0.0225175 +*CONN +*I *8849:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41227:A I *D sky130_fd_sc_hd__buf_6 +*I *41228:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8849:DIODE 6.20329e-05 +2 *41227:A 0.000199938 +3 *41228:X 0.00495825 +4 *4224:69 0.00164731 +5 *4224:51 0.00603854 +6 *4224:27 0.00961146 +7 *4224:27 *4266:38 0 +8 *4224:69 *41307:A 0 +9 *4224:69 *4739:21 0 +10 *5728:DIODE *4224:27 0 +11 *6441:DIODE *4224:69 0 +12 *8049:DIODE *4224:69 0 +13 *38294:A *4224:27 0 +14 *1031:86 *4224:51 0 +15 *2370:66 *4224:27 0 +16 *2376:24 *4224:69 0 +17 *2822:145 *4224:27 0 +18 *2842:14 *4224:51 0 +19 *2977:31 *4224:27 0 +20 *2987:78 *4224:27 0 +21 *3000:37 *4224:27 0 +22 *3008:54 *4224:27 0 +23 *3048:13 *4224:51 0 +24 *3048:20 *4224:51 0 +25 *3218:24 *4224:51 0 +26 *3306:96 *4224:27 0 +27 *3473:22 *4224:51 0 +28 *3482:32 *4224:51 0 +29 *3540:13 *4224:51 0 +30 *3669:69 *4224:27 0 +31 *3802:45 *4224:51 0 +32 *3810:9 *4224:27 0 +33 *3810:27 *4224:51 0 +34 *3941:63 *4224:51 0 +35 *3994:17 *4224:27 0 +36 *3996:20 *4224:51 0 +37 *3997:79 *4224:27 0 +38 *4018:95 *41227:A 0 +39 *4026:90 *41227:A 0 +40 *4036:11 *4224:27 0 +41 *4036:18 *4224:51 0 +42 *4073:45 *8849:DIODE 0 +43 *4077:19 *4224:27 0 +44 *4077:19 *4224:51 0 +45 *4080:29 *4224:69 0 +*RES +1 *41228:X *4224:27 49.9307 +2 *4224:27 *4224:51 39.5612 +3 *4224:51 *4224:69 44.7589 +4 *4224:69 *41227:A 22.6304 +5 *4224:69 *8849:DIODE 10.6571 +*END + +*D_NET *4225 0.0220355 +*CONN +*I *41228:A I *D sky130_fd_sc_hd__buf_4 +*I *8850:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41229:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41228:A 2.41714e-05 +2 *8850:DIODE 0.000406438 +3 *41229:X 0.00427192 +4 *4225:69 0.00129672 +5 *4225:66 0.00240661 +6 *4225:59 0.00328502 +7 *4225:50 0.0039086 +8 *4225:35 0.006436 +9 *4225:50 *4289:36 0 +10 *4225:59 *4357:21 0 +11 *4225:66 *4355:27 0 +12 *8315:DIODE *8850:DIODE 0 +13 *8415:DIODE *8850:DIODE 0 +14 *38041:A *4225:69 0 +15 *39628:A *4225:69 0 +16 *39986:A *4225:69 0 +17 *39989:A *4225:69 0 +18 *1437:30 *4225:35 0 +19 *1437:59 *4225:35 0 +20 *2374:52 *4225:59 0 +21 *2413:98 *4225:35 0 +22 *2429:34 *4225:35 0 +23 *2671:44 *4225:35 0 +24 *2834:18 *4225:69 0 +25 *2840:27 *4225:35 0 +26 *2929:51 *4225:59 0 +27 *2929:51 *4225:66 0 +28 *3098:43 *4225:66 0 +29 *3107:104 *4225:66 0 +30 *3279:103 *4225:59 0 +31 *3279:103 *4225:66 0 +32 *3650:75 *41228:A 0 +33 *3650:75 *4225:69 0 +34 *3667:45 *4225:59 0 +35 *3667:93 *4225:69 0 +36 *3669:69 *8850:DIODE 0 +37 *3701:17 *4225:35 0 +38 *3726:28 *4225:35 0 +39 *3780:26 *4225:69 0 +40 *3988:11 *4225:35 0 +41 *3988:11 *4225:50 0 +42 *3991:87 *4225:59 0 +43 *3991:108 *4225:66 0 +44 *3991:133 *4225:69 0 +45 *3992:43 *4225:35 0 +46 *3994:17 *8850:DIODE 0 +47 *3995:36 *4225:50 0 +48 *3997:46 *4225:35 0 +49 *4000:22 *4225:50 0 +50 *4022:68 *4225:35 0 +51 *4037:42 *4225:50 0 +52 *4041:54 *4225:50 0 +53 *4054:11 *4225:59 0 +54 *4058:38 *4225:50 0 +55 *4061:146 *4225:35 0 +56 *4075:126 *4225:35 0 +57 *4088:27 *4225:35 0 +58 *4088:27 *4225:50 0 +59 *4092:58 *4225:50 0 +60 *4095:93 *4225:59 0 +*RES +1 *41229:X *4225:35 46.4579 +2 *4225:35 *4225:50 26.4309 +3 *4225:50 *4225:59 45.875 +4 *4225:59 *4225:66 41.875 +5 *4225:66 *4225:69 18.1071 +6 *4225:69 *8850:DIODE 27.5321 +7 *4225:69 *41228:A 9.83571 +*END + +*D_NET *4226 0.000773745 +*CONN +*I *40503:A I *D sky130_fd_sc_hd__buf_2 +*I *38601:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40503:A 0.000386873 +2 *38601:X 0.000386873 +3 *40503:A *4754:12 0 +4 *3430:55 *40503:A 0 +5 *4182:68 *40503:A 0 +*RES +1 *38601:X *40503:A 35.8321 +*END + +*D_NET *4227 0.0213065 +*CONN +*I *8851:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41229:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *41230:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8851:DIODE 1.07853e-05 +2 *41229:A 0.000234769 +3 *41230:X 0.00659539 +4 *4227:57 0.00405786 +5 *4227:23 0.0104077 +6 *4227:23 *4262:38 0 +7 *4227:23 *4277:33 0 +8 *4227:23 *4280:24 0 +9 *4227:23 *4305:50 0 +10 *4227:23 *4307:21 0 +11 *4227:23 *4376:49 0 +12 *4227:57 *4255:13 0 +13 *4227:57 *4258:34 0 +14 *4227:57 *4261:51 0 +15 *4227:57 *4272:28 0 +16 *4227:57 *4303:167 0 +17 *4227:57 *4305:50 0 +18 *4227:57 *4514:46 0 +19 *41175:A *4227:23 0 +20 *41296:A *4227:23 0 +21 *1990:16 *4227:23 0 +22 *2051:9 *4227:23 0 +23 *2116:19 *4227:23 0 +24 *2117:52 *4227:23 0 +25 *2131:60 *4227:23 0 +26 *2133:56 *4227:23 0 +27 *2134:21 *4227:23 0 +28 *2605:23 *4227:57 0 +29 *2616:21 *4227:57 0 +30 *2671:44 *41229:A 0 +31 *3187:47 *4227:57 0 +32 *3330:33 *4227:23 0 +33 *3345:78 *4227:23 0 +34 *3961:49 *4227:57 0 +35 *3969:72 *4227:23 0 +36 *3969:72 *4227:57 0 +37 *3998:45 *4227:57 0 +38 *4008:18 *41229:A 0 +39 *4035:14 *4227:23 0 +40 *4035:49 *4227:23 0 +41 *4035:49 *4227:57 0 +42 *4075:76 *4227:57 0 +43 *4116:15 *4227:23 0 +44 *4116:43 *4227:23 0 +45 *4125:15 *4227:23 0 +*RES +1 *41230:X *4227:23 49.5784 +2 *4227:23 *4227:57 47.945 +3 *4227:57 *41229:A 19.1661 +4 *4227:57 *8851:DIODE 14.0768 +*END + +*D_NET *4228 0.0194134 +*CONN +*I *38130:B I *D sky130_fd_sc_hd__and3b_1 +*I *6188:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41231:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *38130:B 0.000314351 +2 *6188:DIODE 0 +3 *41231:X 0.00661134 +4 *4228:49 0.00309535 +5 *4228:22 0.00939234 +6 *4228:22 *4322:93 0 +7 *5540:DIODE *4228:49 0 +8 *38348:B *4228:49 0 +9 *40967:A *4228:49 0 +10 *380:31 *38130:B 0 +11 *1009:155 *4228:22 0 +12 *1458:18 *4228:22 0 +13 *1461:11 *38130:B 0 +14 *2395:24 *4228:22 0 +15 *2806:71 *4228:22 0 +16 *2806:71 *4228:49 0 +17 *2808:34 *4228:49 0 +18 *2988:18 *4228:22 0 +19 *2988:24 *4228:49 0 +20 *3039:25 *38130:B 0 +21 *3395:106 *38130:B 0 +22 *3399:16 *4228:49 0 +23 *3402:157 *4228:22 0 +24 *3402:157 *4228:49 0 +25 *3434:56 *4228:22 0 +26 *3478:98 *4228:49 0 +27 *3478:107 *4228:49 0 +28 *3682:46 *4228:22 0 +29 *3904:80 *4228:22 0 +30 *3935:17 *4228:49 0 +31 *3935:21 *38130:B 0 +32 *3935:21 *4228:49 0 +33 *3936:79 *4228:49 0 +34 *3957:13 *4228:49 0 +35 *3966:14 *4228:22 0 +36 *3966:14 *4228:49 0 +37 *3970:19 *4228:22 0 +38 *3981:89 *38130:B 0 +39 *3999:26 *4228:22 0 +40 *4003:42 *4228:22 0 +41 *4018:117 *4228:49 0 +*RES +1 *41231:X *4228:22 49.8272 +2 *4228:22 *4228:49 48.4095 +3 *4228:49 *6188:DIODE 9.3 +4 *4228:49 *38130:B 34.05 +*END + +*D_NET *4229 0.0295975 +*CONN +*I *8852:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41231:A I *D sky130_fd_sc_hd__buf_6 +*I *41232:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8852:DIODE 0.000581132 +2 *41231:A 0 +3 *41232:X 0.00115386 +4 *4229:69 0.0028464 +5 *4229:51 0.00447165 +6 *4229:33 0.00943771 +7 *4229:32 0.00859211 +8 *4229:28 0.00251464 +9 *8852:DIODE *5182:66 0 +10 *4229:28 *8949:DIODE 0 +11 *4229:28 *38022:B 0 +12 *4229:28 *4357:20 0 +13 *4229:28 *5151:10 0 +14 *4229:69 *5182:81 0 +15 *8262:DIODE *8852:DIODE 0 +16 *40756:A *4229:28 0 +17 *40766:A *4229:33 0 +18 *358:31 *4229:51 0 +19 *1018:62 *4229:51 0 +20 *1324:16 *4229:32 0 +21 *1454:41 *8852:DIODE 0 +22 *2366:61 *4229:69 0 +23 *2367:8 *4229:51 0 +24 *2395:24 *4229:69 0 +25 *2419:88 *4229:28 0 +26 *2430:54 *4229:51 0 +27 *2446:17 *4229:51 0 +28 *2840:49 *4229:33 0 +29 *2871:39 *4229:51 0 +30 *2992:32 *4229:32 0 +31 *3024:49 *4229:69 0 +32 *3029:68 *4229:51 0 +33 *3036:57 *4229:69 0 +34 *3079:33 *4229:51 0 +35 *3159:24 *4229:69 0 +36 *3279:94 *4229:28 0 +37 *3283:53 *4229:33 0 +38 *3283:57 *4229:33 0 +39 *3411:42 *4229:69 0 +40 *3434:56 *4229:69 0 +41 *3512:22 *4229:51 0 +42 *3514:26 *4229:51 0 +43 *3543:35 *4229:51 0 +44 *3686:8 *4229:32 0 +45 *3713:51 *4229:33 0 +46 *3715:32 *4229:32 0 +47 *3719:43 *4229:51 0 +48 *3818:27 *4229:51 0 +49 *3964:26 *4229:28 0 +50 *3970:19 *4229:69 0 +51 *4003:26 *8852:DIODE 0 +52 *4003:26 *4229:69 0 +53 *4008:102 *4229:28 0 +54 *4054:11 *4229:28 0 +55 *4073:22 *8852:DIODE 0 +56 *4073:22 *4229:69 0 +57 *4085:58 *4229:28 0 +*RES +1 *41232:X *4229:28 48.8982 +2 *4229:28 *4229:32 35.4375 +3 *4229:32 *4229:33 150.857 +4 *4229:33 *4229:51 48.22 +5 *4229:51 *4229:69 39.3298 +6 *4229:69 *41231:A 9.3 +7 *4229:69 *8852:DIODE 31.1036 +*END + +*D_NET *4230 0.0221617 +*CONN +*I *41232:A I *D sky130_fd_sc_hd__buf_4 +*I *8853:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41233:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41232:A 0.000109701 +2 *8853:DIODE 0.000971574 +3 *41233:X 0.00149236 +4 *4230:99 0.0018881 +5 *4230:90 0.00218966 +6 *4230:74 0.00226575 +7 *4230:53 0.00396487 +8 *4230:52 0.00383017 +9 *4230:46 0.00235266 +10 *4230:25 0.00309682 +11 *4230:25 *8451:DIODE 0 +12 *4230:99 *41262:A 0 +13 *6380:DIODE *4230:25 0 +14 *6399:DIODE *4230:53 0 +15 *6462:DIODE *4230:25 0 +16 *8126:DIODE *4230:25 0 +17 *8490:DIODE *4230:25 0 +18 *8632:DIODE *4230:53 0 +19 *8663:DIODE *4230:90 0 +20 *8692:DIODE *8853:DIODE 0 +21 *8778:DIODE *4230:25 0 +22 *37666:B *4230:74 0 +23 *38086:A_N *4230:99 0 +24 *38264:A *4230:25 0 +25 *40250:A *4230:25 0 +26 *40298:A *4230:90 0 +27 *40315:A *4230:25 0 +28 *40316:A *8853:DIODE 0 +29 *40981:A *4230:74 0 +30 *1228:19 *4230:74 0 +31 *1228:49 *4230:74 0 +32 *1232:23 *4230:74 0 +33 *1438:30 *4230:46 0 +34 *1438:55 *4230:46 0 +35 *1505:19 *4230:46 0 +36 *1531:16 *4230:25 0 +37 *2384:118 *4230:99 0 +38 *2419:97 *4230:99 0 +39 *3008:20 *4230:53 0 +40 *3167:39 *8853:DIODE 0 +41 *3181:18 *4230:90 0 +42 *3183:61 *8853:DIODE 0 +43 *3183:61 *4230:99 0 +44 *3191:10 *4230:53 0 +45 *3253:32 *8853:DIODE 0 +46 *3274:37 *4230:99 0 +47 *3320:156 *4230:25 0 +48 *3320:156 *4230:46 0 +49 *3321:112 *4230:74 0 +50 *3322:82 *4230:25 0 +51 *3323:30 *4230:46 0 +52 *3340:61 *4230:46 0 +53 *3438:57 *4230:25 0 +54 *3438:71 *4230:46 0 +55 *3441:55 *8853:DIODE 0 +56 *3518:122 *4230:53 0 +57 *3726:28 *4230:46 0 +58 *3744:20 *4230:53 0 +59 *3932:9 *4230:90 0 +60 *3932:11 *4230:90 0 +61 *3937:24 *4230:99 0 +62 *3942:28 *4230:53 0 +63 *3943:51 *4230:53 0 +64 *3947:27 *4230:46 0 +65 *3964:15 *4230:90 0 +66 *3964:15 *4230:99 0 +67 *3964:26 *4230:99 0 +68 *3972:24 *8853:DIODE 0 +69 *3972:24 *4230:99 0 +70 *3977:21 *4230:53 0 +71 *3977:47 *4230:53 0 +72 *3977:74 *4230:53 0 +73 *3980:100 *4230:74 0 +74 *4006:23 *4230:25 0 +75 *4008:72 *4230:90 0 +76 *4014:13 *8853:DIODE 0 +77 *4020:113 *4230:74 0 +78 *4022:26 *4230:25 0 +79 *4022:31 *4230:52 0 +80 *4028:19 *4230:99 0 +81 *4078:7 *4230:53 0 +82 *4078:26 *4230:53 0 +83 *4078:26 *4230:90 0 +84 *4078:41 *4230:99 0 +85 *4083:124 *4230:53 0 +86 *4092:44 *4230:90 0 +87 *4105:53 *4230:46 0 +88 *4107:83 *4230:99 0 +89 *4112:134 *4230:74 0 +90 *4119:21 *4230:25 0 +91 *4119:21 *4230:46 0 +92 *4120:75 *4230:53 0 +93 *4166:60 *4230:74 0 +*RES +1 *41233:X *4230:25 49.7911 +2 *4230:25 *4230:46 45.0265 +3 *4230:46 *4230:52 24.8214 +4 *4230:52 *4230:53 64.1964 +5 *4230:53 *4230:74 45.9643 +6 *4230:74 *4230:90 47.4107 +7 *4230:90 *4230:99 30.5714 +8 *4230:99 *8853:DIODE 44.4964 +9 *4230:99 *41232:A 16.3536 +*END + +*D_NET *4231 0.0246257 +*CONN +*I *8854:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41233:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *41234:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8854:DIODE 0.000737977 +2 *41233:A 0 +3 *41234:X 0.00377293 +4 *4231:46 0.000803653 +5 *4231:43 0.00780196 +6 *4231:26 0.0115092 +7 *8854:DIODE *8984:DIODE 0 +8 *4231:26 *4292:22 0 +9 *4231:26 *4376:19 0 +10 *4231:43 *4292:22 0 +11 *4231:43 *4376:49 0 +12 *8778:DIODE *8854:DIODE 0 +13 *41045:A *8854:DIODE 0 +14 *41128:A *8854:DIODE 0 +15 *41234:A *4231:26 0 +16 *1299:21 *4231:26 0 +17 *1979:24 *4231:43 0 +18 *2020:24 *4231:26 0 +19 *2059:39 *4231:26 0 +20 *2101:27 *4231:26 0 +21 *2229:18 *4231:43 0 +22 *3223:35 *4231:26 0 +23 *3358:23 *4231:43 0 +24 *3985:23 *4231:43 0 +25 *3989:41 *8854:DIODE 0 +26 *3989:67 *8854:DIODE 0 +27 *3992:38 *4231:43 0 +28 *4020:39 *8854:DIODE 0 +29 *4022:26 *8854:DIODE 0 +30 *4042:28 *4231:43 0 +31 *4064:24 *4231:26 0 +32 *4064:24 *4231:43 0 +33 *4086:38 *4231:43 0 +34 *4110:28 *4231:43 0 +35 *4134:32 *8854:DIODE 0 +*RES +1 *41234:X *4231:26 48.2082 +2 *4231:26 *4231:43 48.884 +3 *4231:43 *4231:46 5.99107 +4 *4231:46 *41233:A 9.3 +5 *4231:46 *8854:DIODE 24.7643 +*END + +*D_NET *4232 0.0207728 +*CONN +*I *38128:B I *D sky130_fd_sc_hd__and3b_2 +*I *6184:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41235:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *38128:B 0.000242142 +2 *6184:DIODE 0.000164448 +3 *41235:X 0.00158644 +4 *4232:43 0.00325498 +5 *4232:40 0.00839339 +6 *4232:15 0.00713144 +7 *4232:15 *4251:41 0 +8 *4232:15 *4591:67 0 +9 *4232:40 *4251:92 0 +10 *4232:40 *4268:24 0 +11 *4232:40 *4404:25 0 +12 *4232:40 *4404:44 0 +13 *4232:40 *4411:65 0 +14 *4232:40 *4596:48 0 +15 *4232:40 *5189:34 0 +16 *4232:43 *4240:28 0 +17 *7574:DIODE *4232:40 0 +18 *8642:DIODE *4232:40 0 +19 *40163:A *4232:40 0 +20 *40238:A *4232:40 0 +21 *40242:A *4232:40 0 +22 *1008:109 *4232:40 0 +23 *2365:24 *4232:40 0 +24 *2426:43 *4232:40 0 +25 *2980:22 *4232:40 0 +26 *3028:25 *4232:40 0 +27 *3111:75 *4232:40 0 +28 *3155:59 *4232:40 0 +29 *3155:61 *4232:15 0 +30 *3188:87 *4232:15 0 +31 *3194:125 *4232:15 0 +32 *3194:132 *4232:15 0 +33 *3263:10 *4232:15 0 +34 *3292:48 *4232:40 0 +35 *3298:63 *4232:40 0 +36 *3348:57 *4232:40 0 +37 *3406:16 *4232:15 0 +38 *3407:18 *4232:15 0 +39 *3410:15 *4232:15 0 +40 *3412:119 *6184:DIODE 0 +41 *3477:53 *4232:40 0 +42 *3487:45 *4232:40 0 +43 *3696:62 *4232:40 0 +44 *3722:25 *4232:15 0 +45 *3745:49 *4232:43 0 +46 *3954:86 *38128:B 0 +47 *3958:19 *4232:40 0 +48 *3958:25 *4232:40 0 +49 *3958:25 *4232:43 0 +50 *4014:66 *4232:40 0 +51 *4015:55 *4232:40 0 +52 *4030:18 *4232:43 0 +53 *4044:25 *4232:40 0 +54 *4044:49 *4232:40 0 +*RES +1 *41235:X *4232:15 48.8284 +2 *4232:15 *4232:40 45.5771 +3 *4232:40 *4232:43 13.7265 +4 *4232:43 *6184:DIODE 17.4518 +5 *4232:43 *38128:B 18.8536 +*END + +*D_NET *4233 0.0250728 +*CONN +*I *8855:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41235:A I *D sky130_fd_sc_hd__buf_6 +*I *41236:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8855:DIODE 0.00166973 +2 *41235:A 1.21519e-05 +3 *41236:X 0.00176737 +4 *4233:71 0.00932607 +5 *4233:54 0.00908715 +6 *4233:26 0.00321032 +7 *4233:26 *8856:DIODE 0 +8 *4233:26 *4252:37 0 +9 *4233:54 *4242:71 0 +10 *4233:54 *4252:37 0 +11 *4233:54 *4297:91 0 +12 *4233:71 *6130:DIODE 0 +13 *4233:71 *4238:41 0 +14 *4233:71 *4252:37 0 +15 *4233:71 *4252:50 0 +16 *4233:71 *4276:34 0 +17 *4233:71 *4276:37 0 +18 *4233:71 *4890:10 0 +19 *8707:DIODE *4233:26 0 +20 *40362:A *4233:71 0 +21 *41056:A *4233:26 0 +22 *41066:A *4233:26 0 +23 *1227:67 *4233:71 0 +24 *2391:76 *4233:71 0 +25 *2397:36 *4233:54 0 +26 *2425:42 *4233:71 0 +27 *2435:26 *4233:26 0 +28 *2829:14 *4233:71 0 +29 *3158:16 *4233:71 0 +30 *3221:36 *8855:DIODE 0 +31 *3221:36 *4233:71 0 +32 *3248:24 *4233:71 0 +33 *3265:70 *4233:54 0 +34 *3265:70 *4233:71 0 +35 *3287:91 *4233:71 0 +36 *3287:103 *8855:DIODE 0 +37 *3287:103 *4233:71 0 +38 *3295:20 *4233:71 0 +39 *3312:78 *4233:26 0 +40 *3314:62 *4233:26 0 +41 *3314:62 *4233:54 0 +42 *3318:13 *4233:54 0 +43 *3429:22 *8855:DIODE 0 +44 *3440:59 *4233:54 0 +45 *3511:85 *4233:71 0 +46 *3513:24 *4233:54 0 +47 *3515:28 *4233:54 0 +48 *3515:34 *8855:DIODE 0 +49 *3515:34 *4233:71 0 +50 *3529:66 *4233:71 0 +51 *3697:99 *8855:DIODE 0 +52 *3722:69 *8855:DIODE 0 +53 *3759:57 *4233:71 0 +54 *3933:64 *4233:54 0 +55 *3960:35 *4233:54 0 +56 *3977:119 *4233:71 0 +57 *4020:113 *4233:26 0 +58 *4121:50 *4233:26 0 +59 *4193:27 *4233:71 0 +*RES +1 *41236:X *4233:26 48.8654 +2 *4233:26 *4233:54 49.1196 +3 *4233:54 *4233:71 41.7202 +4 *4233:71 *41235:A 17.4868 +5 *4233:71 *8855:DIODE 23.5153 +*END + +*D_NET *4234 0.0226351 +*CONN +*I *8856:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41236:A I *D sky130_fd_sc_hd__buf_4 +*I *41237:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8856:DIODE 0.000612688 +2 *41236:A 1.54013e-05 +3 *41237:X 0.00465153 +4 *4234:45 0.000730979 +5 *4234:43 0.00603796 +6 *4234:11 0.0105866 +7 *8856:DIODE *4408:108 0 +8 *4234:11 *4299:23 0 +9 *4234:43 *4248:24 0 +10 *4234:43 *4290:26 0 +11 *4234:43 *4291:58 0 +12 *4234:45 *4291:58 0 +13 *8183:DIODE *4234:11 0 +14 *40978:A *4234:11 0 +15 *41066:A *8856:DIODE 0 +16 *41237:A *4234:11 0 +17 *1298:17 *4234:43 0 +18 *1437:30 *4234:45 0 +19 *2102:16 *4234:11 0 +20 *2154:16 *4234:11 0 +21 *2840:27 *8856:DIODE 0 +22 *3354:12 *4234:43 0 +23 *3443:11 *8856:DIODE 0 +24 *3939:140 *8856:DIODE 0 +25 *3985:23 *4234:11 0 +26 *3998:19 *4234:11 0 +27 *3998:19 *4234:43 0 +28 *3998:45 *4234:43 0 +29 *4008:18 *4234:43 0 +30 *4009:38 *4234:43 0 +31 *4020:80 *4234:43 0 +32 *4020:113 *8856:DIODE 0 +33 *4020:113 *4234:45 0 +34 *4039:61 *4234:43 0 +35 *4049:43 *4234:43 0 +36 *4052:27 *4234:43 0 +37 *4058:18 *4234:43 0 +38 *4079:57 *4234:43 0 +39 *4107:63 *8856:DIODE 0 +40 *4108:43 *4234:43 0 +41 *4110:28 *4234:11 0 +42 *4233:26 *8856:DIODE 0 +*RES +1 *41237:X *4234:11 49.1034 +2 *4234:11 *4234:43 48.644 +3 *4234:43 *4234:45 2.17857 +4 *4234:45 *41236:A 9.72857 +5 *4234:45 *8856:DIODE 31.2821 +*END + +*D_NET *4235 0.0241658 +*CONN +*I *6181:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38126:B I *D sky130_fd_sc_hd__and3b_1 +*I *41238:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *6181:DIODE 4.23535e-05 +2 *38126:B 0.000179946 +3 *41238:X 0.00138412 +4 *4235:73 0.00167862 +5 *4235:61 0.00254876 +6 *4235:41 0.00309068 +7 *4235:15 0.00792772 +8 *4235:14 0.00731361 +9 *6181:DIODE *4270:45 0 +10 *4235:14 *4278:23 0 +11 *4235:14 *4520:118 0 +12 *4235:15 *5151:11 0 +13 *4235:41 *4319:30 0 +14 *4235:41 *4364:19 0 +15 *4235:73 *4254:105 0 +16 *4235:73 *4270:45 0 +17 *6465:DIODE *4235:41 0 +18 *1416:17 *4235:73 0 +19 *2422:66 *4235:41 0 +20 *3013:11 *4235:41 0 +21 *3021:33 *4235:41 0 +22 *3079:25 *4235:73 0 +23 *3098:41 *4235:41 0 +24 *3183:61 *4235:14 0 +25 *3283:110 *4235:41 0 +26 *3308:39 *4235:41 0 +27 *3348:95 *4235:73 0 +28 *3411:61 *4235:73 0 +29 *3423:37 *38126:B 0 +30 *3433:30 *4235:41 0 +31 *3443:75 *4235:14 0 +32 *3582:12 *4235:41 0 +33 *3715:64 *38126:B 0 +34 *3743:32 *4235:41 0 +35 *3745:27 *4235:73 0 +36 *3773:14 *4235:61 0 +37 *3955:38 *4235:14 0 +38 *4000:10 *4235:14 0 +39 *4011:24 *4235:14 0 +40 *4028:19 *4235:14 0 +41 *4028:28 *4235:14 0 +42 *4059:53 *4235:15 0 +43 *4080:15 *4235:41 0 +44 *4080:15 *4235:61 0 +45 *4220:73 *4235:73 0 +*RES +1 *41238:X *4235:14 48.4786 +2 *4235:14 *4235:15 123.75 +3 *4235:15 *4235:41 48.0813 +4 *4235:41 *4235:61 45.8393 +5 *4235:61 *4235:73 37.3929 +6 *4235:73 *38126:B 22.5857 +7 *4235:73 *6181:DIODE 10.2464 +*END + +*D_NET *4236 0.0204271 +*CONN +*I *8858:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41238:A I *D sky130_fd_sc_hd__buf_4 +*I *41239:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8858:DIODE 0.000685066 +2 *41238:A 8.43551e-05 +3 *41239:X 0.00155531 +4 *4236:95 0.00143879 +5 *4236:79 0.00290705 +6 *4236:65 0.00408145 +7 *4236:33 0.00498178 +8 *4236:32 0.00313801 +9 *4236:30 0.00155531 +10 *4236:30 *38322:A 0 +11 *4236:30 *4283:20 0 +12 *4236:30 *4283:36 0 +13 *4236:30 *4283:61 0 +14 *4236:30 *4305:50 0 +15 *4236:33 *8876:DIODE 0 +16 *4236:33 *38068:A_N 0 +17 *4236:33 *38322:A 0 +18 *4236:33 *4253:87 0 +19 *4236:33 *4255:13 0 +20 *4236:33 *4261:27 0 +21 *4236:33 *4514:57 0 +22 *4236:65 *38064:B 0 +23 *4236:65 *4516:83 0 +24 *4236:79 *4516:83 0 +25 *4236:79 *4881:22 0 +26 *4236:79 *4881:48 0 +27 *4236:95 *41267:A 0 +28 *5570:DIODE *4236:30 0 +29 *7886:DIODE *4236:79 0 +30 *8212:DIODE *4236:79 0 +31 *8692:DIODE *8858:DIODE 0 +32 *8693:DIODE *4236:30 0 +33 *8699:DIODE *4236:33 0 +34 *8883:DIODE *4236:33 0 +35 *37672:B *4236:30 0 +36 *37736:B *4236:30 0 +37 *38068:B *4236:33 0 +38 *38084:A_N *8858:DIODE 0 +39 *38266:A *4236:65 0 +40 *39629:A *4236:79 0 +41 *41005:A *4236:30 0 +42 *41050:A *4236:95 0 +43 *1432:41 *4236:79 0 +44 *1960:34 *4236:33 0 +45 *1997:30 *4236:30 0 +46 *2117:64 *4236:30 0 +47 *2117:68 *4236:30 0 +48 *2822:19 *4236:95 0 +49 *3084:62 *4236:79 0 +50 *3124:17 *8858:DIODE 0 +51 *3124:17 *41238:A 0 +52 *3307:85 *4236:79 0 +53 *3327:105 *4236:65 0 +54 *3439:24 *8858:DIODE 0 +55 *3441:21 *4236:79 0 +56 *3441:41 *4236:79 0 +57 *3443:36 *4236:65 0 +58 *3675:53 *4236:79 0 +59 *3715:19 *8858:DIODE 0 +60 *3939:93 *4236:33 0 +61 *3939:117 *4236:65 0 +62 *3939:140 *4236:65 0 +63 *3955:26 *41238:A 0 +64 *4001:105 *4236:79 0 +65 *4001:105 *4236:95 0 +66 *4017:29 *4236:30 0 +67 *4017:29 *4236:33 0 +68 *4017:53 *4236:33 0 +69 *4017:58 *4236:33 0 +70 *4017:58 *4236:65 0 +71 *4017:97 *4236:79 0 +72 *4025:67 *4236:33 0 +73 *4039:112 *4236:33 0 +74 *4075:76 *4236:33 0 +75 *4075:126 *4236:33 0 +76 *4149:23 *8858:DIODE 0 +77 *4221:21 *4236:30 0 +*RES +1 *41239:X *4236:30 47.4786 +2 *4236:30 *4236:32 4.5 +3 *4236:32 *4236:33 65.4286 +4 *4236:33 *4236:65 48.5 +5 *4236:65 *4236:79 46.8571 +6 *4236:79 *4236:95 27.6786 +7 *4236:95 *41238:A 15.7464 +8 *4236:95 *8858:DIODE 29.3357 +*END + +*D_NET *4237 0.00115509 +*CONN +*I *40502:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38602:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40502:A 0.000577547 +2 *38602:X 0.000577547 +3 *40502:A *4348:14 0 +4 *40502:A *5102:18 0 +5 *40153:A *40502:A 0 +6 *409:61 *40502:A 0 +7 *412:12 *40502:A 0 +8 *412:43 *40502:A 0 +*RES +1 *38602:X *40502:A 30.6536 +*END + +*D_NET *4238 0.0195726 +*CONN +*I *8261:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40501:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6129:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38100:A_N I *D sky130_fd_sc_hd__and3b_2 +*I *38603:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8261:DIODE 0.000627528 +2 *40501:A 0 +3 *6129:DIODE 0 +4 *38100:A_N 3.50807e-05 +5 *38603:X 0.00233014 +6 *4238:103 0.000971918 +7 *4238:98 0.00293226 +8 *4238:64 0.00270032 +9 *4238:59 0.00204418 +10 *4238:41 0.00378392 +11 *4238:18 0.00414726 +12 *8261:DIODE *4244:11 0 +13 *8261:DIODE *4322:40 0 +14 *4238:18 *4259:24 0 +15 *4238:18 *5105:9 0 +16 *4238:59 *5182:84 0 +17 *4238:98 *4259:40 0 +18 *4238:98 *4274:26 0 +19 *4238:98 *4360:25 0 +20 *4238:98 *5173:36 0 +21 *4238:98 *5173:66 0 +22 *4238:98 *5188:32 0 +23 *4238:98 *5193:26 0 +24 *4238:103 *4244:11 0 +25 *4238:103 *4322:40 0 +26 *7585:DIODE *4238:18 0 +27 *7996:DIODE *4238:98 0 +28 *8061:DIODE *4238:98 0 +29 *8272:DIODE *4238:41 0 +30 *38098:C *4238:103 0 +31 *40142:A *4238:98 0 +32 *40171:A *4238:41 0 +33 *40353:A *4238:41 0 +34 *40377:A *4238:41 0 +35 *526:29 *4238:18 0 +36 *3025:80 *4238:98 0 +37 *3075:77 *4238:41 0 +38 *3113:117 *4238:41 0 +39 *3113:117 *4238:59 0 +40 *3130:35 *4238:59 0 +41 *3188:111 *4238:41 0 +42 *3221:36 *4238:41 0 +43 *3235:80 *4238:59 0 +44 *3237:22 *4238:18 0 +45 *3240:63 *4238:41 0 +46 *3253:13 *4238:59 0 +47 *3272:14 *4238:18 0 +48 *3287:91 *4238:18 0 +49 *3291:68 *4238:59 0 +50 *3291:68 *4238:98 0 +51 *3294:53 *4238:18 0 +52 *3300:65 *4238:18 0 +53 *3348:95 *8261:DIODE 0 +54 *3400:52 *4238:98 0 +55 *3410:55 *4238:103 0 +56 *3422:46 *4238:18 0 +57 *3428:14 *4238:59 0 +58 *3435:98 *4238:41 0 +59 *3460:22 *4238:41 0 +60 *3460:73 *4238:98 0 +61 *3500:55 *4238:18 0 +62 *3515:34 *4238:41 0 +63 *3522:24 *4238:18 0 +64 *3653:47 *4238:18 0 +65 *3743:16 *4238:18 0 +66 *3758:18 *4238:18 0 +67 *3954:34 *8261:DIODE 0 +68 *4014:66 *4238:98 0 +69 *4149:88 *4238:59 0 +70 *4149:94 *4238:59 0 +71 *4149:121 *4238:59 0 +72 *4233:71 *4238:41 0 +*RES +1 *38603:X *4238:18 47.9709 +2 *4238:18 *4238:41 43.2796 +3 *4238:41 *38100:A_N 10.0321 +4 *4238:41 *4238:59 49.5357 +5 *4238:59 *4238:64 11.5536 +6 *4238:64 *6129:DIODE 9.3 +7 *4238:64 *4238:98 47.7909 +8 *4238:98 *4238:103 7.25 +9 *4238:103 *40501:A 9.3 +10 *4238:103 *8261:DIODE 31.7643 +*END + +*D_NET *4239 0.0214752 +*CONN +*I *41239:A I *D sky130_fd_sc_hd__buf_4 +*I *8859:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41240:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41239:A 2.0535e-05 +2 *8859:DIODE 0.000740512 +3 *41240:X 0.000457997 +4 *4239:17 0.00891281 +5 *4239:16 0.00951858 +6 *4239:10 0.00182481 +7 *8859:DIODE *4283:20 0 +8 *8859:DIODE *4283:36 0 +9 *4239:10 *8985:DIODE 0 +10 *4239:16 *4290:20 0 +11 *4239:17 *4273:29 0 +12 *4239:17 *4273:31 0 +13 *4239:17 *4283:11 0 +14 *8722:DIODE *8859:DIODE 0 +15 *8723:DIODE *4239:10 0 +16 *41128:A *8859:DIODE 0 +17 *1957:20 *4239:10 0 +18 *1988:8 *4239:16 0 +19 *1988:12 *4239:16 0 +20 *1997:21 *4239:17 0 +21 *1997:30 *8859:DIODE 0 +22 *1997:30 *41239:A 0 +23 *2017:14 *4239:16 0 +24 *2023:15 *4239:16 0 +25 *2038:8 *4239:17 0 +26 *2039:14 *4239:17 0 +27 *2084:11 *4239:16 0 +28 *2092:8 *4239:17 0 +29 *2092:21 *4239:17 0 +30 *2092:25 *4239:17 0 +31 *2095:8 *4239:17 0 +32 *2131:45 *4239:16 0 +33 *3223:35 *4239:10 0 +34 *3242:11 *4239:16 0 +35 *3320:108 *8859:DIODE 0 +36 *3961:11 *4239:17 0 +37 *4025:61 *8859:DIODE 0 +38 *4035:34 *4239:17 0 +39 *4053:57 *8859:DIODE 0 +40 *4108:8 *4239:16 0 +41 *4122:31 *4239:16 0 +42 *4176:14 *4239:16 0 +*RES +1 *41240:X *4239:10 28.5857 +2 *4239:10 *4239:16 37.9286 +3 *4239:16 *4239:17 170.161 +4 *4239:17 *8859:DIODE 35.1393 +5 *4239:17 *41239:A 9.72857 +*END + +*D_NET *4240 0.0193826 +*CONN +*I *38124:B I *D sky130_fd_sc_hd__and3b_1 +*I *6177:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41241:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *38124:B 0.000202783 +2 *6177:DIODE 0 +3 *41241:X 0.00430309 +4 *4240:28 0.00538823 +5 *4240:21 0.00948854 +6 *4240:21 *4322:93 0 +7 *4240:21 *4404:67 0 +8 *4240:21 *4404:91 0 +9 *4240:21 *5189:34 0 +10 *4240:28 *4322:93 0 +11 *8645:DIODE *4240:28 0 +12 *1005:91 *4240:28 0 +13 *1214:43 *4240:28 0 +14 *2414:22 *4240:28 0 +15 *2808:17 *4240:28 0 +16 *2999:37 *4240:28 0 +17 *3108:27 *4240:21 0 +18 *3348:95 *4240:21 0 +19 *3417:119 *4240:21 0 +20 *3475:58 *4240:28 0 +21 *3487:45 *4240:21 0 +22 *3745:49 *4240:28 0 +23 *3767:26 *4240:21 0 +24 *3948:28 *4240:28 0 +25 *3953:48 *4240:28 0 +26 *3954:34 *4240:21 0 +27 *3957:15 *38124:B 0 +28 *3958:25 *4240:21 0 +29 *3958:25 *4240:28 0 +30 *3966:14 *4240:28 0 +31 *3976:60 *4240:21 0 +32 *3981:67 *4240:28 0 +33 *4030:18 *4240:21 0 +34 *4030:18 *4240:28 0 +35 *4044:49 *4240:21 0 +36 *4223:62 *4240:28 0 +37 *4232:43 *4240:28 0 +*RES +1 *41241:X *4240:21 42.303 +2 *4240:21 *4240:28 27.9332 +3 *4240:28 *6177:DIODE 9.3 +4 *4240:28 *38124:B 13.5321 +*END + +*D_NET *4241 0.033182 +*CONN +*I *8861:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41241:A I *D sky130_fd_sc_hd__buf_6 +*I *41242:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *8861:DIODE 0.000925443 +2 *41241:A 0.000375764 +3 *41242:X 0.00109997 +4 *4241:124 0.00209927 +5 *4241:110 0.00498514 +6 *4241:81 0.00603361 +7 *4241:75 0.0033025 +8 *4241:49 0.00382414 +9 *4241:48 0.00246708 +10 *4241:45 0.0017624 +11 *4241:21 0.00343509 +12 *4241:14 0.00287158 +13 *4241:14 *4357:20 0 +14 *4241:45 *8919:DIODE 0 +15 *4241:110 *4245:40 0 +16 *4241:110 *4247:51 0 +17 *4241:110 *4331:37 0 +18 *4241:110 *5013:13 0 +19 *4241:124 *4334:20 0 +20 *4241:124 *4343:15 0 +21 *5422:DIODE *4241:21 0 +22 *5431:DIODE *4241:124 0 +23 *5576:DIODE *4241:45 0 +24 *6067:DIODE *4241:75 0 +25 *7884:DIODE *4241:75 0 +26 *8505:DIODE *4241:45 0 +27 *39632:A *4241:75 0 +28 *40722:A *4241:110 0 +29 *41046:A *4241:45 0 +30 *1006:10 *4241:110 0 +31 *1025:151 *8861:DIODE 0 +32 *1211:68 *4241:75 0 +33 *1271:25 *4241:21 0 +34 *1320:21 *4241:75 0 +35 *1324:16 *4241:21 0 +36 *1339:16 *4241:75 0 +37 *1416:47 *4241:124 0 +38 *1541:23 *4241:21 0 +39 *1546:12 *4241:75 0 +40 *2384:63 *4241:45 0 +41 *2384:77 *4241:45 0 +42 *2384:90 *4241:21 0 +43 *2384:90 *4241:45 0 +44 *2384:103 *4241:21 0 +45 *2400:39 *4241:110 0 +46 *2411:60 *41241:A 0 +47 *2414:126 *8861:DIODE 0 +48 *2421:18 *4241:75 0 +49 *2458:20 *4241:110 0 +50 *2723:10 *4241:21 0 +51 *2814:36 *4241:81 0 +52 *2822:32 *4241:21 0 +53 *2822:55 *4241:49 0 +54 *2822:97 *4241:49 0 +55 *2822:113 *4241:75 0 +56 *2824:49 *4241:110 0 +57 *2842:23 *4241:81 0 +58 *2926:57 *4241:49 0 +59 *2958:28 *4241:81 0 +60 *2992:70 *4241:49 0 +61 *3001:38 *4241:110 0 +62 *3028:25 *4241:110 0 +63 *3053:71 *4241:14 0 +64 *3090:17 *4241:124 0 +65 *3114:47 *4241:110 0 +66 *3166:17 *4241:14 0 +67 *3183:42 *4241:21 0 +68 *3197:30 *4241:14 0 +69 *3218:60 *4241:75 0 +70 *3280:15 *4241:81 0 +71 *3318:66 *4241:14 0 +72 *3318:75 *4241:45 0 +73 *3323:69 *4241:21 0 +74 *3348:75 *41241:A 0 +75 *3411:17 *4241:75 0 +76 *3413:94 *8861:DIODE 0 +77 *3414:6 *41241:A 0 +78 *3492:17 *4241:110 0 +79 *3506:24 *4241:110 0 +80 *3528:45 *4241:110 0 +81 *3647:40 *4241:45 0 +82 *3650:23 *4241:21 0 +83 *3650:58 *4241:45 0 +84 *3658:64 *4241:14 0 +85 *3680:107 *4241:21 0 +86 *3684:53 *4241:49 0 +87 *3684:53 *4241:75 0 +88 *3687:55 *4241:21 0 +89 *3803:82 *4241:49 0 +90 *3950:41 *8861:DIODE 0 +91 *3951:23 *4241:81 0 +92 *3970:19 *41241:A 0 +93 *4014:13 *4241:14 0 +94 *4026:45 *41241:A 0 +95 *4028:19 *4241:14 0 +96 *4048:25 *4241:45 0 +97 *4097:17 *4241:49 0 +98 *4220:73 *4241:124 0 +99 *4220:88 *8861:DIODE 0 +*RES +1 *41242:X *4241:14 43.3 +2 *4241:14 *4241:21 46.5357 +3 *4241:21 *4241:45 48.8036 +4 *4241:45 *4241:48 6.75 +5 *4241:48 *4241:49 49.4554 +6 *4241:49 *4241:75 48.9723 +7 *4241:75 *4241:81 48.2404 +8 *4241:81 *4241:110 49.5442 +9 *4241:110 *4241:124 33.1262 +10 *4241:124 *41241:A 21.9964 +11 *4241:124 *8861:DIODE 43.2643 +*END + +*D_NET *4242 0.0220503 +*CONN +*I *8862:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41242:A I *D sky130_fd_sc_hd__buf_6 +*I *41243:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8862:DIODE 0 +2 *41242:A 0.000909432 +3 *41243:X 0.00411232 +4 *4242:73 0.00142709 +5 *4242:71 0.00230692 +6 *4242:51 0.00318842 +7 *4242:44 0.00369651 +8 *4242:22 0.00640966 +9 *41242:A *8983:DIODE 0 +10 *41242:A *4278:23 0 +11 *4242:22 *4261:27 0 +12 *4242:22 *4514:46 0 +13 *4242:51 *4264:48 0 +14 *4242:51 *4889:22 0 +15 *38084:A_N *41242:A 0 +16 *40341:A *4242:71 0 +17 *1435:19 *4242:71 0 +18 *1435:44 *4242:71 0 +19 *2425:57 *4242:71 0 +20 *2425:67 *4242:51 0 +21 *3148:36 *4242:51 0 +22 *3167:59 *41242:A 0 +23 *3183:61 *41242:A 0 +24 *3192:37 *4242:73 0 +25 *3197:16 *41242:A 0 +26 *3202:60 *4242:22 0 +27 *3207:35 *4242:71 0 +28 *3207:49 *4242:51 0 +29 *3259:13 *4242:51 0 +30 *3261:53 *4242:71 0 +31 *3261:53 *4242:73 0 +32 *3265:86 *4242:44 0 +33 *3272:51 *4242:71 0 +34 *3318:13 *4242:71 0 +35 *3319:76 *4242:71 0 +36 *3319:103 *4242:71 0 +37 *3433:20 *4242:71 0 +38 *3440:67 *4242:71 0 +39 *3446:52 *4242:51 0 +40 *3510:7 *4242:73 0 +41 *3515:34 *4242:71 0 +42 *3523:65 *4242:51 0 +43 *3532:24 *4242:44 0 +44 *3715:19 *41242:A 0 +45 *3965:43 *4242:22 0 +46 *3969:72 *4242:22 0 +47 *4001:74 *4242:44 0 +48 *4045:43 *4242:71 0 +49 *4049:43 *4242:44 0 +50 *4072:51 *4242:44 0 +51 *4117:18 *4242:22 0 +52 *4117:51 *4242:22 0 +53 *4149:23 *41242:A 0 +54 *4166:60 *4242:44 0 +55 *4221:48 *4242:44 0 +56 *4233:54 *4242:71 0 +*RES +1 *41243:X *4242:22 47.4922 +2 *4242:22 *4242:44 28.935 +3 *4242:44 *4242:51 29.4464 +4 *4242:51 *4242:71 43.2984 +5 *4242:71 *4242:73 10.8036 +6 *4242:73 *41242:A 39.1036 +7 *4242:73 *8862:DIODE 9.3 +*END + +*D_NET *4243 0.0205522 +*CONN +*I *41243:A I *D sky130_fd_sc_hd__buf_4 +*I *8863:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41244:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41243:A 0.000188041 +2 *8863:DIODE 0.000379898 +3 *41244:X 0.00648251 +4 *4243:32 0.0037936 +5 *4243:21 0.00970817 +6 *8863:DIODE *40427:A 0 +7 *4243:21 *38012:C 0 +8 *4243:21 *4250:23 0 +9 *4243:21 *4302:51 0 +10 *4243:32 *40427:A 0 +11 *4243:32 *4246:27 0 +12 *4243:32 *4250:23 0 +13 *40324:A *8863:DIODE 0 +14 *1285:15 *4243:32 0 +15 *1956:27 *4243:21 0 +16 *1998:51 *4243:21 0 +17 *2050:55 *4243:21 0 +18 *2053:54 *4243:32 0 +19 *2083:34 *4243:21 0 +20 *2099:39 *4243:21 0 +21 *2228:22 *4243:21 0 +22 *3132:15 *4243:21 0 +23 *3143:25 *4243:21 0 +24 *3143:25 *4243:32 0 +25 *3153:72 *4243:21 0 +26 *3232:14 *4243:21 0 +27 *3236:53 *41243:A 0 +28 *3255:13 *41243:A 0 +29 *3270:118 *4243:32 0 +30 *3330:33 *4243:32 0 +31 *3345:30 *4243:21 0 +32 *3907:21 *4243:21 0 +33 *3956:24 *4243:32 0 +34 *3992:14 *4243:32 0 +35 *3998:19 *4243:32 0 +36 *4032:60 *8863:DIODE 0 +37 *4047:58 *4243:21 0 +38 *4047:58 *4243:32 0 +39 *4076:63 *4243:32 0 +40 *4083:52 *8863:DIODE 0 +41 *4129:24 *4243:21 0 +*RES +1 *41244:X *4243:21 44.481 +2 *4243:21 *4243:32 23.2094 +3 *4243:32 *8863:DIODE 21.7286 +4 *4243:32 *41243:A 18.0143 +*END + +*D_NET *4244 0.0190012 +*CONN +*I *6173:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38122:B I *D sky130_fd_sc_hd__and3b_2 +*I *41245:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *6173:DIODE 0 +2 *38122:B 0.000397132 +3 *41245:X 0.000543573 +4 *4244:43 0.00144759 +5 *4244:29 0.00337521 +6 *4244:11 0.00750941 +7 *4244:10 0.00572824 +8 *4244:11 *4322:40 0 +9 *4244:11 *5182:46 0 +10 *4244:43 *4573:28 0 +11 *6468:DIODE *4244:11 0 +12 *7984:DIODE *4244:10 0 +13 *8056:DIODE *4244:11 0 +14 *8261:DIODE *4244:11 0 +15 *8638:DIODE *4244:10 0 +16 *8665:DIODE *4244:11 0 +17 *38098:C *4244:11 0 +18 *38108:C *4244:11 0 +19 *40227:A *4244:11 0 +20 *363:53 *4244:43 0 +21 *375:41 *4244:29 0 +22 *1452:17 *4244:11 0 +23 *1463:18 *4244:43 0 +24 *1840:20 *4244:29 0 +25 *2414:55 *4244:11 0 +26 *2414:89 *4244:11 0 +27 *2414:109 *4244:11 0 +28 *2968:53 *4244:29 0 +29 *3101:55 *4244:11 0 +30 *3118:6 *4244:29 0 +31 *3199:62 *4244:43 0 +32 *3251:35 *4244:43 0 +33 *3286:49 *4244:29 0 +34 *3288:24 *4244:43 0 +35 *3389:28 *4244:29 0 +36 *3397:17 *38122:B 0 +37 *3398:40 *4244:43 0 +38 *3403:39 *4244:29 0 +39 *3405:71 *4244:29 0 +40 *3407:52 *4244:29 0 +41 *3410:55 *4244:11 0 +42 *3411:106 *4244:11 0 +43 *3419:28 *4244:29 0 +44 *3490:25 *4244:29 0 +45 *3936:14 *4244:10 0 +46 *3975:51 *4244:11 0 +47 *3981:21 *4244:11 0 +48 *3999:26 *4244:11 0 +49 *3999:52 *4244:29 0 +50 *4013:24 *4244:11 0 +51 *4220:88 *4244:11 0 +52 *4238:103 *4244:11 0 +*RES +1 *41245:X *4244:10 29.7286 +2 *4244:10 *4244:11 108.143 +3 *4244:11 *4244:29 47.0594 +4 *4244:29 *4244:43 20.835 +5 *4244:43 *38122:B 22.6304 +6 *4244:43 *6173:DIODE 13.8 +*END + +*D_NET *4245 0.0336914 +*CONN +*I *41245:A I *D sky130_fd_sc_hd__buf_2 +*I *8865:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41246:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41245:A 0.000242998 +2 *8865:DIODE 0.000943469 +3 *41246:X 0.000449129 +4 *4245:52 0.00130954 +5 *4245:51 0.0019407 +6 *4245:40 0.00341028 +7 *4245:35 0.00868323 +8 *4245:33 0.0091321 +9 *4245:16 0.0045862 +10 *4245:15 0.0029938 +11 *8865:DIODE *5084:23 0 +12 *4245:16 *4331:6 0 +13 *4245:33 *5000:17 0 +14 *4245:35 *5024:13 0 +15 *4245:51 *5151:17 0 +16 *7574:DIODE *8865:DIODE 0 +17 *8638:DIODE *41245:A 0 +18 *8735:DIODE *8865:DIODE 0 +19 *37840:B *4245:51 0 +20 *38323:A *4245:35 0 +21 *40725:A *4245:51 0 +22 *1232:23 *4245:15 0 +23 *1232:23 *4245:16 0 +24 *1337:12 *4245:40 0 +25 *1439:31 *4245:16 0 +26 *2367:17 *4245:33 0 +27 *2370:81 *4245:40 0 +28 *2378:58 *8865:DIODE 0 +29 *2478:18 *4245:40 0 +30 *2837:38 *4245:40 0 +31 *2998:37 *4245:40 0 +32 *3025:68 *4245:51 0 +33 *3072:39 *4245:35 0 +34 *3183:14 *8865:DIODE 0 +35 *3316:115 *4245:16 0 +36 *3424:25 *4245:35 0 +37 *3429:22 *4245:40 0 +38 *3496:19 *8865:DIODE 0 +39 *3535:14 *8865:DIODE 0 +40 *3668:34 *4245:16 0 +41 *3688:16 *4245:33 0 +42 *3688:23 *4245:35 0 +43 *3688:32 *4245:35 0 +44 *3688:32 *4245:40 0 +45 *3696:62 *8865:DIODE 0 +46 *3753:13 *4245:33 0 +47 *3932:11 *4245:51 0 +48 *3951:29 *4245:40 0 +49 *3951:39 *4245:51 0 +50 *3972:39 *4245:51 0 +51 *4000:35 *4245:51 0 +52 *4005:39 *4245:51 0 +53 *4022:110 *4245:16 0 +54 *4028:41 *41245:A 0 +55 *4028:45 *41245:A 0 +56 *4051:34 *4245:51 0 +57 *4074:65 *4245:51 0 +58 *4085:40 *4245:15 0 +59 *4124:21 *4245:33 0 +60 *4124:21 *4245:35 0 +61 *4215:74 *4245:51 0 +62 *4241:110 *4245:40 0 +*RES +1 *41246:X *4245:15 32.9429 +2 *4245:15 *4245:16 57.8036 +3 *4245:16 *4245:33 47.7857 +4 *4245:33 *4245:35 147.982 +5 *4245:35 *4245:40 40.6339 +6 *4245:40 *4245:51 49.3839 +7 *4245:51 *4245:52 2.85714 +8 *4245:52 *8865:DIODE 43.9071 +9 *4245:52 *41245:A 18.8714 +*END + +*D_NET *4246 0.0226206 +*CONN +*I *41246:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8866:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41247:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41246:A 0.000201867 +2 *8866:DIODE 0.000477159 +3 *41247:X 0.0064791 +4 *4246:50 0.00140079 +5 *4246:45 0.0041522 +6 *4246:27 0.00990954 +7 *4246:27 *4250:23 0 +8 *4246:27 *4275:26 0 +9 *4246:27 *4288:52 0 +10 *4246:27 *4295:26 0 +11 *4246:27 *4490:73 0 +12 *4246:45 *4288:94 0 +13 *4246:45 *4520:79 0 +14 *4246:45 *4881:48 0 +15 *4246:50 *4520:79 0 +16 *8705:DIODE *4246:27 0 +17 *40993:A *41246:A 0 +18 *1235:55 *4246:45 0 +19 *1238:21 *4246:27 0 +20 *1238:21 *4246:45 0 +21 *1981:62 *4246:27 0 +22 *2053:54 *4246:27 0 +23 *2128:28 *4246:27 0 +24 *2638:22 *4246:45 0 +25 *3133:40 *4246:27 0 +26 *3187:21 *4246:50 0 +27 *3202:42 *4246:45 0 +28 *3229:26 *4246:27 0 +29 *3270:118 *4246:27 0 +30 *3307:85 *41246:A 0 +31 *3307:85 *4246:50 0 +32 *3522:14 *4246:45 0 +33 *3534:5 *4246:50 0 +34 *3675:53 *8866:DIODE 0 +35 *3952:26 *4246:27 0 +36 *3959:23 *4246:50 0 +37 *3965:96 *4246:50 0 +38 *3980:100 *41246:A 0 +39 *3995:25 *8866:DIODE 0 +40 *4020:113 *41246:A 0 +41 *4029:81 *4246:45 0 +42 *4029:89 *4246:50 0 +43 *4121:27 *4246:27 0 +44 *4121:27 *4246:45 0 +45 *4243:32 *4246:27 0 +*RES +1 *41247:X *4246:27 45.3222 +2 *4246:27 *4246:45 41.1439 +3 *4246:45 *4246:50 20.1161 +4 *4246:50 *8866:DIODE 25.1326 +5 *4246:50 *41246:A 18.3625 +*END + +*D_NET *4247 0.0224331 +*CONN +*I *6169:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38120:B I *D sky130_fd_sc_hd__and3b_1 +*I *41248:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *6169:DIODE 0.000612352 +2 *38120:B 0.000244277 +3 *41248:X 0.000370985 +4 *4247:60 0.0014223 +5 *4247:51 0.00524277 +6 *4247:23 0.00810581 +7 *4247:21 0.00474616 +8 *4247:14 0.00168843 +9 *4247:21 *4319:16 0 +10 *4247:21 *4364:18 0 +11 *4247:23 *4256:13 0 +12 *4247:23 *4319:24 0 +13 *4247:23 *4339:14 0 +14 *4247:23 *4355:50 0 +15 *4247:51 *4323:93 0 +16 *4247:51 *4339:23 0 +17 *4247:51 *4343:15 0 +18 *4247:51 *4406:22 0 +19 *6170:DIODE *6169:DIODE 0 +20 *8097:DIODE *4247:21 0 +21 *38290:A *4247:60 0 +22 *40284:A *4247:21 0 +23 *1414:16 *4247:51 0 +24 *1416:17 *4247:51 0 +25 *1454:41 *38120:B 0 +26 *1824:42 *4247:51 0 +27 *2375:48 *4247:51 0 +28 *2417:43 *4247:51 0 +29 *2422:48 *4247:51 0 +30 *3285:112 *4247:51 0 +31 *3388:55 *4247:51 0 +32 *3481:43 *6169:DIODE 0 +33 *3509:52 *4247:60 0 +34 *3626:45 *4247:21 0 +35 *3696:96 *4247:51 0 +36 *3700:36 *4247:51 0 +37 *3725:22 *4247:51 0 +38 *3725:80 *38120:B 0 +39 *3740:78 *4247:23 0 +40 *3750:55 *4247:14 0 +41 *3750:75 *4247:21 0 +42 *3750:75 *4247:23 0 +43 *3756:23 *4247:14 0 +44 *3766:81 *4247:14 0 +45 *3774:25 *4247:21 0 +46 *3774:25 *4247:23 0 +47 *3950:49 *38120:B 0 +48 *3963:34 *6169:DIODE 0 +49 *4034:62 *4247:23 0 +50 *4048:79 *4247:60 0 +51 *4080:11 *4247:23 0 +52 *4241:110 *4247:51 0 +*RES +1 *41248:X *4247:14 26.2643 +2 *4247:14 *4247:21 27.8036 +3 *4247:21 *4247:23 71.5893 +4 *4247:23 *4247:51 46.647 +5 *4247:51 *4247:60 13.2411 +6 *4247:60 *38120:B 19.2286 +7 *4247:60 *6169:DIODE 36.0321 +*END + +*D_NET *4248 0.0250825 +*CONN +*I *8867:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41248:A I *D sky130_fd_sc_hd__buf_6 +*I *41249:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8867:DIODE 0.000484109 +2 *41248:A 3.2228e-05 +3 *41249:X 0.00474692 +4 *4248:109 0.00166796 +5 *4248:69 0.00256432 +6 *4248:45 0.00609413 +7 *4248:24 0.0094928 +8 *4248:24 *4261:27 0 +9 *4248:24 *4273:50 0 +10 *4248:24 *4308:40 0 +11 *4248:45 *38076:A_N 0 +12 *4248:45 *4267:62 0 +13 *4248:45 *4289:21 0 +14 *4248:45 *4291:58 0 +15 *4248:45 *4520:107 0 +16 *4248:45 *5151:11 0 +17 *4248:69 *4352:58 0 +18 *4248:69 *4520:118 0 +19 *7876:DIODE *4248:69 0 +20 *8473:DIODE *4248:109 0 +21 *8479:DIODE *4248:109 0 +22 *8502:DIODE *4248:109 0 +23 *8505:DIODE *8867:DIODE 0 +24 *37654:B *4248:24 0 +25 *39992:A *4248:45 0 +26 *1210:89 *8867:DIODE 0 +27 *1226:53 *4248:24 0 +28 *1437:65 *4248:69 0 +29 *1443:27 *4248:109 0 +30 *2365:71 *4248:45 0 +31 *2824:23 *4248:69 0 +32 *2992:57 *4248:69 0 +33 *2992:57 *4248:109 0 +34 *3159:40 *4248:45 0 +35 *3670:68 *4248:109 0 +36 *3726:114 *8867:DIODE 0 +37 *3750:45 *4248:69 0 +38 *3756:23 *4248:69 0 +39 *3756:23 *4248:109 0 +40 *3780:18 *4248:109 0 +41 *3959:27 *4248:45 0 +42 *3961:49 *4248:24 0 +43 *3980:68 *4248:24 0 +44 *3991:68 *4248:45 0 +45 *3998:45 *4248:24 0 +46 *4000:10 *4248:45 0 +47 *4009:38 *4248:24 0 +48 *4020:80 *4248:24 0 +49 *4039:112 *4248:24 0 +50 *4039:131 *4248:45 0 +51 *4052:27 *4248:24 0 +52 *4052:27 *4248:45 0 +53 *4075:106 *4248:24 0 +54 *4079:57 *4248:24 0 +55 *4092:58 *4248:45 0 +56 *4099:60 *4248:109 0 +57 *4107:83 *4248:45 0 +58 *4107:101 *4248:45 0 +59 *4117:66 *4248:45 0 +60 *4171:26 *4248:69 0 +61 *4171:50 *4248:69 0 +62 *4234:43 *4248:24 0 +*RES +1 *41249:X *4248:24 43.1576 +2 *4248:24 *4248:45 47.067 +3 *4248:45 *4248:69 47.6429 +4 *4248:69 *41248:A 19.0321 +5 *4248:69 *4248:109 49.4397 +6 *4248:109 *8867:DIODE 37.6214 +*END + +*D_NET *4249 0.019113 +*CONN +*I *6232:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38158:A I *D sky130_fd_sc_hd__and2_2 +*I *5788:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37902:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *38604:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6232:DIODE 0.000105927 +2 *38158:A 7.75958e-05 +3 *5788:DIODE 0 +4 *37902:A_N 0.000427385 +5 *38604:X 0.00145238 +6 *4249:70 0.000473004 +7 *4249:65 0.00205132 +8 *4249:57 0.00417493 +9 *4249:53 0.00306178 +10 *4249:37 0.00127277 +11 *4249:28 0.00231282 +12 *4249:24 0.00207751 +13 *4249:15 0.00162561 +14 *4249:15 *4451:72 0 +15 *4249:15 *4493:15 0 +16 *4249:15 *4523:10 0 +17 *4249:15 *4693:29 0 +18 *4249:15 *4789:32 0 +19 *4249:28 *4537:23 0 +20 *4249:37 *4419:51 0 +21 *4249:37 *4420:54 0 +22 *4249:53 *4419:51 0 +23 *4249:57 *37908:A_N 0 +24 *4249:57 *4425:44 0 +25 *4249:57 *4463:51 0 +26 *4249:65 *4795:27 0 +27 *4249:70 *4487:37 0 +28 *6702:DIODE *4249:24 0 +29 *6714:DIODE *4249:15 0 +30 *6868:DIODE *4249:15 0 +31 *37474:A *4249:15 0 +32 *37474:A *4249:24 0 +33 *37474:A *4249:28 0 +34 *37474:B *4249:24 0 +35 *37475:A *4249:28 0 +36 *37902:B *37902:A_N 0 +37 *37922:C *4249:65 0 +38 *37936:A_N *4249:53 0 +39 *38437:A *4249:15 0 +40 *39933:A *6232:DIODE 0 +41 *39933:A *4249:28 0 +42 *303:13 *38158:A 0 +43 *399:11 *4249:15 0 +44 *877:8 *4249:24 0 +45 *1345:11 *4249:53 0 +46 *1345:11 *4249:57 0 +47 *1353:11 *4249:57 0 +48 *1468:14 *4249:53 0 +49 *1472:9 *4249:57 0 +50 *3069:34 *4249:53 0 +51 *3127:32 *4249:28 0 +52 *3378:60 *6232:DIODE 0 +53 *3381:55 *4249:53 0 +54 *3381:64 *4249:57 0 +55 *3381:66 *4249:57 0 +56 *3382:12 *4249:28 0 +57 *3585:44 *4249:53 0 +58 *3604:65 *37902:A_N 0 +59 *3877:63 *4249:28 0 +60 *3922:72 *4249:28 0 +61 *4127:34 *4249:28 0 +62 *4190:56 *4249:53 0 +63 *4192:27 *4249:65 0 +64 *4192:38 *4249:65 0 +65 *4201:33 *4249:65 0 +*RES +1 *38604:X *4249:15 48.7821 +2 *4249:15 *4249:24 8.79464 +3 *4249:24 *4249:28 43.7857 +4 *4249:28 *4249:37 11.4911 +5 *4249:37 *4249:53 28.1463 +6 *4249:53 *4249:57 49.8036 +7 *4249:57 *4249:65 46.6607 +8 *4249:65 *4249:70 10.0357 +9 *4249:70 *37902:A_N 18.2821 +10 *4249:70 *5788:DIODE 9.3 +11 *4249:37 *38158:A 19.9786 +12 *4249:28 *6232:DIODE 16.1482 +*END + +*D_NET *4250 0.0216565 +*CONN +*I *41249:A I *D sky130_fd_sc_hd__buf_4 +*I *8868:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41250:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41249:A 0.000441714 +2 *8868:DIODE 0 +3 *41250:X 0.00834055 +4 *4250:46 0.00111332 +5 *4250:42 0.00204599 +6 *4250:23 0.00971493 +7 *4250:23 *4295:26 0 +8 *4250:23 *4302:51 0 +9 *4250:23 *4303:30 0 +10 *4250:23 *4306:35 0 +11 *4250:42 *8222:DIODE 0 +12 *4250:42 *4297:24 0 +13 *4250:46 *4303:112 0 +14 *8081:DIODE *4250:46 0 +15 *40519:A *4250:42 0 +16 *40599:A *4250:42 0 +17 *41073:A *4250:23 0 +18 *1981:62 *4250:23 0 +19 *2034:35 *4250:23 0 +20 *2106:15 *4250:23 0 +21 *2236:25 *4250:23 0 +22 *2401:18 *4250:42 0 +23 *3133:40 *4250:46 0 +24 *3214:11 *4250:23 0 +25 *3228:28 *4250:42 0 +26 *3228:37 *4250:42 0 +27 *3244:32 *4250:42 0 +28 *3259:25 *4250:42 0 +29 *3270:62 *4250:42 0 +30 *3340:20 *4250:23 0 +31 *3345:30 *4250:23 0 +32 *3939:44 *4250:42 0 +33 *3952:26 *4250:23 0 +34 *4029:29 *4250:42 0 +35 *4042:14 *4250:23 0 +36 *4046:52 *4250:46 0 +37 *4047:58 *4250:23 0 +38 *4076:32 *4250:23 0 +39 *4076:63 *4250:23 0 +40 *4083:63 *4250:46 0 +41 *4243:21 *4250:23 0 +42 *4243:32 *4250:23 0 +43 *4246:27 *4250:23 0 +*RES +1 *41250:X *4250:23 48.7535 +2 *4250:23 *4250:42 46.7225 +3 *4250:42 *4250:46 18.9464 +4 *4250:46 *8868:DIODE 13.8 +5 *4250:46 *41249:A 24.2375 +*END + +*D_NET *4251 0.0225922 +*CONN +*I *38118:B I *D sky130_fd_sc_hd__and3b_1 +*I *6165:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41251:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *38118:B 0.000280204 +2 *6165:DIODE 0 +3 *41251:X 0.000152547 +4 *4251:92 0.00448702 +5 *4251:69 0.00557341 +6 *4251:41 0.00411192 +7 *4251:9 0.00528995 +8 *4251:8 0.00269718 +9 *4251:8 *4259:24 0 +10 *4251:41 *40212:A 0 +11 *4251:41 *4591:67 0 +12 *4251:41 *5034:16 0 +13 *4251:41 *5175:74 0 +14 *4251:41 *5188:32 0 +15 *4251:69 *4603:43 0 +16 *4251:69 *5172:65 0 +17 *4251:69 *5188:32 0 +18 *4251:92 *4322:93 0 +19 *4251:92 *4596:48 0 +20 *6613:DIODE *4251:69 0 +21 *8297:DIODE *4251:41 0 +22 *8309:DIODE *4251:41 0 +23 *38118:C *38118:B 0 +24 *40142:A *4251:41 0 +25 *40607:A *4251:69 0 +26 *535:21 *4251:9 0 +27 *1451:24 *4251:92 0 +28 *1453:30 *4251:92 0 +29 *3003:24 *4251:41 0 +30 *3113:70 *4251:41 0 +31 *3113:81 *4251:41 0 +32 *3150:28 *4251:41 0 +33 *3163:19 *4251:41 0 +34 *3211:49 *4251:9 0 +35 *3264:105 *4251:41 0 +36 *3272:14 *4251:8 0 +37 *3281:24 *4251:41 0 +38 *3281:24 *4251:69 0 +39 *3400:52 *4251:41 0 +40 *3402:56 *4251:41 0 +41 *3411:91 *4251:92 0 +42 *3413:132 *4251:92 0 +43 *3422:67 *4251:69 0 +44 *3460:33 *4251:9 0 +45 *3469:49 *4251:92 0 +46 *3478:61 *38118:B 0 +47 *3479:30 *4251:92 0 +48 *3510:9 *4251:9 0 +49 *3515:34 *4251:41 0 +50 *3682:46 *4251:92 0 +51 *3904:49 *4251:92 0 +52 *3936:50 *38118:B 0 +53 *3958:25 *4251:92 0 +54 *3999:26 *4251:92 0 +55 *4030:18 *4251:92 0 +56 *4232:15 *4251:41 0 +57 *4232:40 *4251:92 0 +*RES +1 *41251:X *4251:8 21.7643 +2 *4251:8 *4251:9 53.1071 +3 *4251:9 *4251:41 49.8159 +4 *4251:41 *4251:69 47.8121 +5 *4251:69 *4251:92 48.7167 +6 *4251:92 *6165:DIODE 9.3 +7 *4251:92 *38118:B 24.425 +*END + +*D_NET *4252 0.0237525 +*CONN +*I *41251:A I *D sky130_fd_sc_hd__buf_6 +*I *8870:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41252:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *41251:A 0.000127573 +2 *8870:DIODE 0.000996654 +3 *41252:X 0.000762987 +4 *4252:50 0.00492442 +5 *4252:37 0.00998905 +6 *4252:25 0.00695185 +7 *8870:DIODE *5188:44 0 +8 *4252:25 *4255:13 0 +9 *4252:25 *4258:34 0 +10 *4252:25 *4516:17 0 +11 *4252:25 *4516:58 0 +12 *4252:50 *4276:37 0 +13 *8203:DIODE *4252:50 0 +14 *38068:C *4252:25 0 +15 *1238:38 *4252:37 0 +16 *1433:15 *4252:25 0 +17 *2051:49 *4252:37 0 +18 *2386:19 *41251:A 0 +19 *2594:28 *4252:37 0 +20 *3086:56 *4252:25 0 +21 *3105:23 *4252:50 0 +22 *3128:19 *4252:37 0 +23 *3158:16 *4252:37 0 +24 *3188:111 *8870:DIODE 0 +25 *3208:29 *8870:DIODE 0 +26 *3265:70 *4252:37 0 +27 *3272:67 *4252:37 0 +28 *3275:32 *8870:DIODE 0 +29 *3287:91 *4252:50 0 +30 *3314:62 *4252:37 0 +31 *3410:14 *8870:DIODE 0 +32 *3440:59 *4252:37 0 +33 *3515:34 *4252:37 0 +34 *3520:45 *4252:50 0 +35 *3759:57 *4252:50 0 +36 *3784:82 *4252:50 0 +37 *3933:49 *4252:37 0 +38 *3961:49 *4252:25 0 +39 *3976:25 *4252:50 0 +40 *4001:45 *4252:25 0 +41 *4017:58 *4252:25 0 +42 *4045:53 *4252:50 0 +43 *4075:126 *4252:25 0 +44 *4107:62 *4252:25 0 +45 *4149:68 *8870:DIODE 0 +46 *4149:68 *41251:A 0 +47 *4193:27 *4252:37 0 +48 *4233:26 *4252:37 0 +49 *4233:54 *4252:37 0 +50 *4233:71 *4252:37 0 +51 *4233:71 *4252:50 0 +*RES +1 *41252:X *4252:25 38.9744 +2 *4252:25 *4252:37 49.636 +3 *4252:37 *4252:50 25.8889 +4 *4252:50 *8870:DIODE 43.7821 +5 *4252:50 *41251:A 16.6036 +*END + +*D_NET *4253 0.0278231 +*CONN +*I *8871:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41252:A I *D sky130_fd_sc_hd__buf_4 +*I *41253:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8871:DIODE 4.23535e-05 +2 *41252:A 0.000277328 +3 *41253:X 0.000298574 +4 *4253:93 0.000463338 +5 *4253:87 0.00366816 +6 *4253:72 0.00514 +7 *4253:56 0.00241808 +8 *4253:39 0.00208013 +9 *4253:11 0.00720704 +10 *4253:10 0.00622806 +11 *4253:39 *5999:DIODE 0 +12 *4253:39 *38020:C 0 +13 *4253:39 *40187:A 0 +14 *4253:39 *41277:A 0 +15 *4253:39 *4279:21 0 +16 *4253:72 *4488:84 0 +17 *4253:72 *4495:40 0 +18 *4253:72 *4496:52 0 +19 *4253:72 *4875:55 0 +20 *4253:87 *4513:31 0 +21 *4253:93 *4514:27 0 +22 *6459:DIODE *4253:56 0 +23 *8885:DIODE *4253:39 0 +24 *38717:A *4253:72 0 +25 *40167:A *4253:72 0 +26 *41037:A *4253:39 0 +27 *41268:A *4253:39 0 +28 *629:7 *4253:72 0 +29 *1216:17 *4253:87 0 +30 *1226:21 *41252:A 0 +31 *1966:31 *4253:10 0 +32 *1972:32 *4253:11 0 +33 *1979:77 *4253:39 0 +34 *1979:77 *4253:56 0 +35 *1987:36 *4253:87 0 +36 *2087:35 *4253:87 0 +37 *2097:8 *4253:11 0 +38 *2097:12 *4253:11 0 +39 *2115:70 *4253:39 0 +40 *2405:40 *4253:72 0 +41 *2407:35 *4253:72 0 +42 *2516:26 *4253:87 0 +43 *2538:19 *4253:72 0 +44 *3207:83 *4253:72 0 +45 *3210:87 *4253:87 0 +46 *3219:66 *4253:39 0 +47 *3236:105 *4253:11 0 +48 *3327:53 *4253:39 0 +49 *3330:33 *4253:11 0 +50 *3365:13 *4253:11 0 +51 *3365:21 *4253:11 0 +52 *3440:9 *4253:72 0 +53 *3442:51 *4253:72 0 +54 *3526:18 *4253:39 0 +55 *3534:105 *4253:56 0 +56 *3787:92 *4253:87 0 +57 *3788:20 *4253:72 0 +58 *3961:49 *4253:87 0 +59 *3965:66 *8871:DIODE 0 +60 *3965:66 *4253:93 0 +61 *4025:29 *4253:11 0 +62 *4025:44 *4253:11 0 +63 *4025:61 *4253:39 0 +64 *4025:61 *4253:56 0 +65 *4035:34 *4253:39 0 +66 *4046:70 *4253:72 0 +67 *4053:92 *8871:DIODE 0 +68 *4053:92 *4253:93 0 +69 *4062:19 *4253:11 0 +70 *4062:38 *4253:39 0 +71 *4075:106 *41252:A 0 +72 *4107:43 *41252:A 0 +73 *4120:74 *41252:A 0 +74 *4236:33 *4253:87 0 +*RES +1 *41253:X *4253:10 25.0321 +2 *4253:10 *4253:11 123.75 +3 *4253:11 *4253:39 46.1607 +4 *4253:39 *4253:56 31.2679 +5 *4253:56 *4253:72 47.6687 +6 *4253:72 *4253:87 48.2827 +7 *4253:87 *4253:93 12.1071 +8 *4253:93 *41252:A 24.4429 +9 *4253:93 *8871:DIODE 10.2464 +*END + +*D_NET *4254 0.025261 +*CONN +*I *6161:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38116:B I *D sky130_fd_sc_hd__and3b_1 +*I *41254:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *6161:DIODE 0 +2 *38116:B 0.000242054 +3 *41254:X 0.00654388 +4 *4254:115 0.00128574 +5 *4254:105 0.00296094 +6 *4254:78 0.00333831 +7 *4254:54 0.0028836 +8 *4254:19 0.00800643 +9 *4254:19 *4256:77 0 +10 *4254:19 *4357:45 0 +11 *4254:19 *4407:30 0 +12 *4254:78 *8922:DIODE 0 +13 *4254:78 *4319:41 0 +14 *4254:105 *38102:B 0 +15 *4254:105 *4270:45 0 +16 *4254:105 *4270:66 0 +17 *4254:105 *4274:26 0 +18 *4254:105 *4563:89 0 +19 *4254:105 *4563:91 0 +20 *4254:115 *4270:78 0 +21 *8627:DIODE *4254:54 0 +22 *39567:A *4254:78 0 +23 *1864:36 *4254:54 0 +24 *2366:112 *4254:78 0 +25 *2374:23 *4254:19 0 +26 *2374:76 *4254:19 0 +27 *2440:58 *4254:19 0 +28 *2682:21 *4254:19 0 +29 *2993:44 *4254:105 0 +30 *3107:53 *38116:B 0 +31 *3107:83 *4254:78 0 +32 *3113:70 *4254:105 0 +33 *3116:63 *4254:115 0 +34 *3116:71 *4254:105 0 +35 *3163:40 *4254:19 0 +36 *3288:24 *4254:105 0 +37 *3313:73 *4254:78 0 +38 *3348:112 *4254:105 0 +39 *3348:112 *4254:115 0 +40 *3413:60 *4254:78 0 +41 *3414:6 *4254:78 0 +42 *3422:46 *4254:19 0 +43 *3432:28 *4254:54 0 +44 *3434:45 *4254:54 0 +45 *3434:56 *4254:78 0 +46 *3477:53 *4254:105 0 +47 *3487:20 *4254:19 0 +48 *3522:24 *4254:19 0 +49 *3532:24 *4254:19 0 +50 *3715:54 *4254:105 0 +51 *3746:19 *4254:54 0 +52 *3759:57 *4254:19 0 +53 *3976:25 *4254:19 0 +54 *3976:60 *4254:19 0 +55 *3978:20 *4254:54 0 +56 *4030:18 *4254:54 0 +57 *4088:27 *4254:19 0 +58 *4094:40 *4254:19 0 +59 *4111:16 *4254:19 0 +60 *4215:74 *4254:19 0 +61 *4215:82 *4254:54 0 +62 *4220:20 *4254:19 0 +63 *4235:73 *4254:105 0 +*RES +1 *41254:X *4254:19 49.8056 +2 *4254:19 *4254:54 48.7012 +3 *4254:54 *4254:78 49.6386 +4 *4254:78 *4254:105 47.4523 +5 *4254:105 *4254:115 29.8118 +6 *4254:115 *38116:B 23.4607 +7 *4254:115 *6161:DIODE 9.3 +*END + +*D_NET *4255 0.0217867 +*CONN +*I *8873:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41254:A I *D sky130_fd_sc_hd__buf_2 +*I *41255:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8873:DIODE 0.000756797 +2 *41254:A 0 +3 *41255:X 0.00457212 +4 *4255:27 0.00110379 +5 *4255:21 0.00257676 +6 *4255:20 0.00521744 +7 *4255:13 0.0075598 +8 *8873:DIODE *8886:DIODE 0 +9 *8873:DIODE *4259:24 0 +10 *4255:13 *41270:A 0 +11 *4255:13 *4258:34 0 +12 *4255:13 *4261:51 0 +13 *4255:13 *4272:28 0 +14 *4255:13 *4303:167 0 +15 *4255:13 *4514:46 0 +16 *4255:13 *4514:57 0 +17 *4255:13 *4516:17 0 +18 *4255:13 *4516:58 0 +19 *4255:21 *4261:87 0 +20 *4255:27 *4261:87 0 +21 *7880:DIODE *8873:DIODE 0 +22 *8499:DIODE *8873:DIODE 0 +23 *39590:A *4255:27 0 +24 *39992:A *4255:20 0 +25 *40233:A *8873:DIODE 0 +26 *40233:A *4255:27 0 +27 *1218:36 *4255:13 0 +28 *1218:48 *4255:20 0 +29 *1435:84 *4255:21 0 +30 *1441:19 *4255:20 0 +31 *2386:25 *8873:DIODE 0 +32 *2605:23 *4255:13 0 +33 *3084:34 *4255:20 0 +34 *3092:17 *4255:20 0 +35 *3122:44 *4255:27 0 +36 *3208:35 *8873:DIODE 0 +37 *3208:37 *8873:DIODE 0 +38 *3210:11 *4255:21 0 +39 *3285:31 *4255:21 0 +40 *3308:15 *8873:DIODE 0 +41 *3443:88 *4255:20 0 +42 *3507:19 *4255:21 0 +43 *3697:66 *4255:21 0 +44 *3758:18 *8873:DIODE 0 +45 *3956:50 *4255:13 0 +46 *3959:23 *4255:20 0 +47 *3961:49 *4255:13 0 +48 *3995:25 *4255:13 0 +49 *3995:25 *4255:20 0 +50 *4017:53 *4255:13 0 +51 *4017:97 *4255:13 0 +52 *4227:57 *4255:13 0 +53 *4236:33 *4255:13 0 +54 *4252:25 *4255:13 0 +*RES +1 *41255:X *4255:13 41.0869 +2 *4255:13 *4255:20 27.9032 +3 *4255:20 *4255:21 46.5357 +4 *4255:21 *4255:27 16.6607 +5 *4255:27 *41254:A 9.3 +6 *4255:27 *8873:DIODE 34.55 +*END + +*D_NET *4256 0.0203208 +*CONN +*I *38114:B I *D sky130_fd_sc_hd__and3b_1 +*I *6157:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41256:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *38114:B 0.000199789 +2 *6157:DIODE 0 +3 *41256:X 0.00143601 +4 *4256:77 0.00694021 +5 *4256:47 0.00852462 +6 *4256:13 0.0032202 +7 *4256:47 *4323:77 0 +8 *4256:47 *4323:93 0 +9 *4256:47 *4340:40 0 +10 *4256:47 *4364:19 0 +11 *4256:77 *37748:B 0 +12 *4256:77 *4322:68 0 +13 *4256:77 *4407:30 0 +14 *1207:43 *4256:13 0 +15 *1824:88 *4256:47 0 +16 *2422:66 *4256:77 0 +17 *2811:20 *4256:77 0 +18 *2825:43 *38114:B 0 +19 *3070:50 *4256:47 0 +20 *3073:29 *4256:77 0 +21 *3110:16 *4256:77 0 +22 *3301:42 *4256:77 0 +23 *3313:46 *4256:47 0 +24 *3409:138 *4256:77 0 +25 *3413:60 *4256:77 0 +26 *3434:56 *4256:77 0 +27 *3481:56 *4256:77 0 +28 *3498:25 *4256:77 0 +29 *3509:52 *4256:77 0 +30 *3725:22 *4256:47 0 +31 *3740:64 *4256:13 0 +32 *3745:27 *4256:77 0 +33 *3756:25 *4256:13 0 +34 *3904:80 *4256:77 0 +35 *3970:19 *4256:77 0 +36 *3987:19 *4256:13 0 +37 *4003:26 *4256:77 0 +38 *4005:39 *4256:77 0 +39 *4018:23 *4256:77 0 +40 *4059:53 *4256:47 0 +41 *4106:47 *4256:77 0 +42 *4247:23 *4256:13 0 +43 *4254:19 *4256:77 0 +*RES +1 *41256:X *4256:13 48.3536 +2 *4256:13 *4256:47 49.2969 +3 *4256:47 *4256:77 45.6573 +4 *4256:77 *6157:DIODE 9.3 +5 *4256:77 *38114:B 13.5321 +*END + +*D_NET *4257 0.0329175 +*CONN +*I *8875:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41256:A I *D sky130_fd_sc_hd__buf_6 +*I *41257:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8875:DIODE 0.00113525 +2 *41256:A 0.000159233 +3 *41257:X 0.00152715 +4 *4257:36 0.00368313 +5 *4257:35 0.00238865 +6 *4257:33 0.00299726 +7 *4257:32 0.0031631 +8 *4257:27 0.00656763 +9 *4257:26 0.00808538 +10 *4257:22 0.00321073 +11 *8875:DIODE *4351:33 0 +12 *4257:27 *4314:25 0 +13 *4257:36 *4718:6 0 +14 *4257:36 *5006:11 0 +15 *8392:DIODE *4257:36 0 +16 *39632:A *8875:DIODE 0 +17 *40148:A *8875:DIODE 0 +18 *1414:44 *41256:A 0 +19 *1414:44 *4257:36 0 +20 *1429:34 *4257:26 0 +21 *2286:63 *4257:22 0 +22 *2286:63 *4257:26 0 +23 *2420:40 *4257:26 0 +24 *2436:10 *8875:DIODE 0 +25 *2480:15 *4257:36 0 +26 *2720:11 *4257:33 0 +27 *2728:21 *4257:27 0 +28 *2851:48 *4257:26 0 +29 *2956:16 *4257:32 0 +30 *3010:20 *8875:DIODE 0 +31 *3186:11 *4257:22 0 +32 *3358:81 *4257:22 0 +33 *3522:35 *41256:A 0 +34 *3668:31 *4257:27 0 +35 *3676:14 *4257:36 0 +36 *3684:34 *4257:36 0 +37 *3706:10 *4257:26 0 +38 *3713:51 *4257:36 0 +39 *3744:20 *4257:22 0 +40 *3752:19 *4257:27 0 +41 *3762:18 *4257:32 0 +42 *3796:17 *4257:27 0 +43 *3808:56 *4257:22 0 +44 *3941:19 *8875:DIODE 0 +45 *3987:19 *8875:DIODE 0 +46 *4070:95 *8875:DIODE 0 +47 *4084:31 *8875:DIODE 0 +*RES +1 *41257:X *4257:22 49.2554 +2 *4257:22 *4257:26 42.7768 +3 *4257:26 *4257:27 133.607 +4 *4257:27 *4257:32 12.7679 +5 *4257:32 *4257:33 62.5536 +6 *4257:33 *4257:35 4.5 +7 *4257:35 *4257:36 54.3125 +8 *4257:36 *41256:A 17.4161 +9 *4257:36 *8875:DIODE 42.072 +*END + +*D_NET *4258 0.0213525 +*CONN +*I *41257:A I *D sky130_fd_sc_hd__buf_4 +*I *8876:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41258:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41257:A 0.000282293 +2 *8876:DIODE 0.000104386 +3 *41258:X 0.00150224 +4 *4258:34 0.00917399 +5 *4258:19 0.0102896 +6 *4258:19 *4280:20 0 +7 *4258:19 *4307:11 0 +8 *4258:34 *4272:28 0 +9 *4258:34 *4273:50 0 +10 *4258:34 *4307:21 0 +11 *41073:A *4258:19 0 +12 *41175:A *4258:34 0 +13 *1430:18 *4258:34 0 +14 *1961:32 *4258:19 0 +15 *1965:14 *4258:19 0 +16 *1975:25 *4258:19 0 +17 *1987:13 *4258:19 0 +18 *1995:21 *4258:19 0 +19 *2001:7 *4258:19 0 +20 *2010:19 *4258:19 0 +21 *2112:10 *4258:19 0 +22 *2134:21 *4258:34 0 +23 *3210:87 *4258:34 0 +24 *3939:93 *4258:34 0 +25 *3961:49 *4258:34 0 +26 *3969:72 *4258:34 0 +27 *4035:34 *4258:34 0 +28 *4116:43 *4258:34 0 +29 *4222:22 *4258:34 0 +30 *4227:57 *4258:34 0 +31 *4236:33 *8876:DIODE 0 +32 *4252:25 *4258:34 0 +33 *4255:13 *4258:34 0 +*RES +1 *41258:X *4258:19 49.762 +2 *4258:19 *4258:34 41.5424 +3 *4258:34 *8876:DIODE 15.9786 +4 *4258:34 *41257:A 20.2286 +*END + +*D_NET *4259 0.0210799 +*CONN +*I *38112:B I *D sky130_fd_sc_hd__and3b_1 +*I *6153:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41259:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38112:B 0.00026791 +2 *6153:DIODE 0 +3 *41259:X 0.000539676 +4 *4259:40 0.00740112 +5 *4259:24 0.00852381 +6 *4259:18 0.00259915 +7 *4259:12 0.00174822 +8 *38112:B *5084:43 0 +9 *4259:18 *5193:68 0 +10 *4259:24 *4270:13 0 +11 *4259:40 *4270:32 0 +12 *4259:40 *4274:26 0 +13 *7880:DIODE *4259:24 0 +14 *8873:DIODE *4259:24 0 +15 *2386:19 *4259:24 0 +16 *2386:23 *4259:24 0 +17 *2386:25 *4259:24 0 +18 *2387:63 *4259:40 0 +19 *2400:60 *4259:18 0 +20 *2993:64 *38112:B 0 +21 *3187:12 *4259:24 0 +22 *3192:13 *4259:18 0 +23 *3208:35 *4259:24 0 +24 *3211:49 *4259:18 0 +25 *3251:35 *4259:40 0 +26 *3292:13 *4259:40 0 +27 *3292:48 *4259:40 0 +28 *3297:35 *38112:B 0 +29 *3297:51 *38112:B 0 +30 *3306:47 *4259:40 0 +31 *3405:41 *4259:40 0 +32 *3407:18 *4259:40 0 +33 *3407:30 *38112:B 0 +34 *3407:52 *38112:B 0 +35 *3417:47 *4259:40 0 +36 *3422:46 *4259:24 0 +37 *3510:9 *4259:18 0 +38 *3675:67 *4259:40 0 +39 *3696:62 *4259:40 0 +40 *3722:25 *4259:40 0 +41 *4149:68 *4259:24 0 +42 *4238:18 *4259:24 0 +43 *4238:98 *4259:40 0 +44 *4251:8 *4259:24 0 +*RES +1 *41259:X *4259:12 30.6214 +2 *4259:12 *4259:18 34.5536 +3 *4259:18 *4259:24 37.7404 +4 *4259:24 *4259:40 40.8568 +5 *4259:40 *6153:DIODE 9.3 +6 *4259:40 *38112:B 24.55 +*END + +*D_NET *4260 0.00019598 +*CONN +*I *40500:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *38605:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40500:A 9.799e-05 +2 *38605:X 9.799e-05 +3 *1884:14 *40500:A 0 +*RES +1 *38605:X *40500:A 29.7786 +*END + +*D_NET *4261 0.0256676 +*CONN +*I *8878:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41259:A I *D sky130_fd_sc_hd__buf_2 +*I *41260:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8878:DIODE 0 +2 *41259:A 0.000639738 +3 *41260:X 0.0035847 +4 *4261:87 0.00155057 +5 *4261:62 0.00460055 +6 *4261:51 0.00705878 +7 *4261:27 0.00823325 +8 *4261:27 *4283:61 0 +9 *4261:27 *4305:50 0 +10 *4261:27 *4514:46 0 +11 *4261:51 *38090:C 0 +12 *4261:51 *4272:38 0 +13 *4261:62 *4276:20 0 +14 *4261:62 *4276:34 0 +15 *6114:DIODE *4261:51 0 +16 *6594:DIODE *4261:87 0 +17 *40369:A *4261:87 0 +18 *40989:A *4261:51 0 +19 *1880:22 *41259:A 0 +20 *2402:56 *4261:62 0 +21 *2712:181 *4261:87 0 +22 *3053:62 *4261:51 0 +23 *3084:34 *4261:51 0 +24 *3150:35 *4261:62 0 +25 *3198:47 *41259:A 0 +26 *3202:60 *4261:27 0 +27 *3211:52 *4261:87 0 +28 *3229:18 *4261:87 0 +29 *3263:11 *4261:62 0 +30 *3263:30 *4261:51 0 +31 *3279:81 *4261:51 0 +32 *3285:31 *4261:87 0 +33 *3296:62 *41259:A 0 +34 *3313:17 *4261:62 0 +35 *3318:28 *4261:51 0 +36 *3327:92 *4261:27 0 +37 *3439:38 *4261:62 0 +38 *3502:28 *41259:A 0 +39 *3532:24 *4261:51 0 +40 *3532:24 *4261:62 0 +41 *3534:5 *4261:51 0 +42 *3675:53 *4261:51 0 +43 *3744:70 *4261:87 0 +44 *3759:57 *4261:87 0 +45 *3961:49 *4261:27 0 +46 *3961:49 *4261:51 0 +47 *3965:43 *4261:27 0 +48 *3969:72 *4261:27 0 +49 *3972:24 *4261:51 0 +50 *3972:24 *4261:62 0 +51 *3995:25 *4261:51 0 +52 *3995:25 *4261:62 0 +53 *3998:45 *4261:27 0 +54 *3998:45 *4261:51 0 +55 *4008:99 *4261:51 0 +56 *4017:97 *4261:51 0 +57 *4017:117 *4261:51 0 +58 *4019:28 *4261:62 0 +59 *4035:49 *4261:27 0 +60 *4039:112 *4261:27 0 +61 *4075:106 *4261:27 0 +62 *4116:43 *4261:27 0 +63 *4227:57 *4261:51 0 +64 *4236:33 *4261:27 0 +65 *4242:22 *4261:27 0 +66 *4248:24 *4261:27 0 +67 *4255:13 *4261:51 0 +68 *4255:21 *4261:87 0 +69 *4255:27 *4261:87 0 +*RES +1 *41260:X *4261:27 44.2958 +2 *4261:27 *4261:51 47.7884 +3 *4261:51 *4261:62 46.9962 +4 *4261:62 *41259:A 28.2464 +5 *4261:62 *4261:87 46.6483 +6 *4261:87 *8878:DIODE 9.3 +*END + +*D_NET *4262 0.0207768 +*CONN +*I *8879:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41260:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *41261:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8879:DIODE 8.7247e-05 +2 *41260:A 0.000284476 +3 *41261:X 0.0024687 +4 *4262:38 0.00216347 +5 *4262:19 0.00427584 +6 *4262:17 0.00250463 +7 *4262:15 0.00327213 +8 *4262:14 0.00572029 +9 *8879:DIODE *4279:23 0 +10 *8879:DIODE *4294:47 0 +11 *41260:A *4283:61 0 +12 *41260:A *4308:52 0 +13 *4262:14 *4273:22 0 +14 *41073:A *4262:14 0 +15 *41261:A *4262:14 0 +16 *1972:12 *4262:15 0 +17 *1996:13 *4262:14 0 +18 *2001:8 *4262:15 0 +19 *2025:17 *4262:15 0 +20 *2093:22 *4262:14 0 +21 *2095:18 *4262:15 0 +22 *3157:5 *4262:15 0 +23 *3157:5 *4262:19 0 +24 *3227:14 *4262:14 0 +25 *3258:39 *4262:14 0 +26 *3365:10 *4262:14 0 +27 *3961:30 *4262:38 0 +28 *3965:43 *4262:38 0 +29 *3969:33 *4262:15 0 +30 *3969:35 *4262:19 0 +31 *4017:29 *41260:A 0 +32 *4035:34 *4262:38 0 +33 *4035:49 *4262:38 0 +34 *4046:70 *8879:DIODE 0 +35 *4062:38 *4262:38 0 +36 *4075:76 *8879:DIODE 0 +37 *4075:76 *41260:A 0 +38 *4096:22 *4262:15 0 +39 *4116:15 *4262:14 0 +40 *4116:43 *4262:38 0 +41 *4227:23 *4262:38 0 +*RES +1 *41261:X *4262:14 45.2448 +2 *4262:14 *4262:15 67.8929 +3 *4262:15 *4262:17 0.428571 +4 *4262:17 *4262:19 51.875 +5 *4262:19 *4262:38 27.5182 +6 *4262:38 *41260:A 20.5143 +7 *4262:38 *8879:DIODE 15.6929 +*END + +*D_NET *4263 0.0214976 +*CONN +*I *38110:B I *D sky130_fd_sc_hd__and3b_1 +*I *6149:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41262:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38110:B 0.000101392 +2 *6149:DIODE 0 +3 *41262:X 0.000865702 +4 *4263:53 0.000913823 +5 *4263:29 0.00123768 +6 *4263:28 0.00164105 +7 *4263:17 0.00864542 +8 *4263:15 0.00809253 +9 *5438:DIODE *4263:15 0 +10 *6127:DIODE *4263:53 0 +11 *2380:60 *4263:28 0 +12 *3119:10 *4263:53 0 +13 *3348:75 *4263:29 0 +14 *3406:45 *4263:53 0 +15 *3409:37 *4263:28 0 +16 *3416:54 *4263:53 0 +17 *3487:45 *4263:53 0 +18 *3793:80 *4263:53 0 +19 *3937:37 *4263:17 0 +20 *3950:18 *4263:29 0 +21 *3955:55 *4263:28 0 +22 *3964:27 *4263:17 0 +23 *3972:39 *4263:28 0 +24 *3997:46 *4263:15 0 +25 *4005:17 *4263:15 0 +26 *4005:17 *4263:17 0 +27 *4005:32 *4263:28 0 +28 *4011:27 *4263:17 0 +29 *4011:31 *4263:17 0 +30 *4026:30 *38110:B 0 +31 *4095:82 *4263:15 0 +32 *4095:85 *4263:17 0 +33 *4106:27 *4263:17 0 +*RES +1 *41262:X *4263:15 36.925 +2 *4263:15 *4263:17 150.857 +3 *4263:17 *4263:28 48.0714 +4 *4263:28 *4263:29 4.64286 +5 *4263:29 *4263:53 47.375 +6 *4263:53 *6149:DIODE 9.3 +7 *4263:29 *38110:B 11.4786 +*END + +*D_NET *4264 0.0213484 +*CONN +*I *8880:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41262:A I *D sky130_fd_sc_hd__buf_2 +*I *41263:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8880:DIODE 0.000101392 +2 *41262:A 0.000849098 +3 *41263:X 0.000495835 +4 *4264:55 0.00113359 +5 *4264:54 0.00207269 +6 *4264:48 0.00248889 +7 *4264:42 0.00184755 +8 *4264:30 0.00235517 +9 *4264:9 0.00530761 +10 *4264:7 0.00469653 +11 *41262:A *4520:107 0 +12 *4264:7 *40419:A 0 +13 *4264:7 *4284:62 0 +14 *4264:9 *4284:62 0 +15 *4264:9 *4297:38 0 +16 *4264:9 *4297:44 0 +17 *4264:9 *4303:112 0 +18 *4264:30 *4281:59 0 +19 *4264:30 *4521:41 0 +20 *4264:42 *4284:94 0 +21 *4264:42 *4286:39 0 +22 *4264:42 *4297:66 0 +23 *4264:54 *4279:55 0 +24 *4264:54 *4286:61 0 +25 *8323:DIODE *4264:9 0 +26 *8701:DIODE *41262:A 0 +27 *38092:A_N *41262:A 0 +28 *40365:A *4264:54 0 +29 *40521:A *41262:A 0 +30 *2365:71 *41262:A 0 +31 *2424:19 *4264:30 0 +32 *3053:62 *41262:A 0 +33 *3129:21 *4264:54 0 +34 *3148:39 *4264:42 0 +35 *3207:49 *4264:30 0 +36 *3252:14 *4264:54 0 +37 *3256:23 *4264:54 0 +38 *3256:38 *4264:54 0 +39 *3259:17 *4264:30 0 +40 *3259:19 *4264:9 0 +41 *3259:19 *4264:30 0 +42 *3263:30 *41262:A 0 +43 *3274:36 *41262:A 0 +44 *3306:27 *8880:DIODE 0 +45 *3306:27 *4264:55 0 +46 *3312:23 *4264:9 0 +47 *3312:27 *4264:9 0 +48 *3312:45 *4264:9 0 +49 *3312:45 *4264:30 0 +50 *3312:78 *4264:42 0 +51 *3319:76 *4264:54 0 +52 *3319:103 *41262:A 0 +53 *3446:16 *4264:7 0 +54 *3511:73 *4264:42 0 +55 *3526:18 *4264:9 0 +56 *3717:31 *41262:A 0 +57 *3777:33 *4264:30 0 +58 *3977:119 *4264:42 0 +59 *4012:117 *4264:54 0 +60 *4037:24 *41262:A 0 +61 *4061:53 *4264:7 0 +62 *4061:53 *4264:9 0 +63 *4061:59 *4264:9 0 +64 *4078:41 *41262:A 0 +65 *4112:78 *4264:30 0 +66 *4230:99 *41262:A 0 +67 *4242:51 *4264:48 0 +*RES +1 *41263:X *4264:7 19.7107 +2 *4264:7 *4264:9 87.6071 +3 *4264:9 *4264:30 41.75 +4 *4264:30 *4264:42 44.5893 +5 *4264:42 *4264:48 21.9107 +6 *4264:48 *4264:54 48.6429 +7 *4264:54 *4264:55 3.82143 +8 *4264:55 *41262:A 39.4025 +9 *4264:55 *8880:DIODE 11.4786 +*END + +*D_NET *4265 0.0217623 +*CONN +*I *41263:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8881:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41264:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41263:A 0.000107854 +2 *8881:DIODE 0.000379898 +3 *41264:X 0.0072724 +4 *4265:36 0.00360873 +5 *4265:19 0.0103934 +6 *8881:DIODE *4284:62 0 +7 *41263:A *4284:62 0 +8 *4265:19 *4287:35 0 +9 *4265:19 *4287:49 0 +10 *4265:19 *4296:14 0 +11 *4265:19 *4302:51 0 +12 *4265:19 *4306:35 0 +13 *4265:36 *8897:DIODE 0 +14 *4265:36 *4287:49 0 +15 *1958:31 *4265:19 0 +16 *1980:15 *4265:19 0 +17 *2050:55 *4265:36 0 +18 *2070:56 *4265:19 0 +19 *2126:13 *4265:19 0 +20 *2240:25 *4265:19 0 +21 *2844:41 *4265:36 0 +22 *3128:39 *4265:36 0 +23 *3147:55 *4265:19 0 +24 *3156:28 *4265:19 0 +25 *3206:46 *4265:36 0 +26 *3232:24 *4265:19 0 +27 *3233:29 *4265:36 0 +28 *3233:41 *4265:19 0 +29 *3355:42 *4265:36 0 +30 *3933:49 *4265:36 0 +31 *3934:14 *4265:19 0 +32 *4047:33 *4265:19 0 +33 *4061:53 *41263:A 0 +34 *4076:32 *4265:19 0 +35 *4112:40 *4265:36 0 +36 *4118:21 *4265:19 0 +*RES +1 *41264:X *4265:19 49.1193 +2 *4265:19 *4265:36 25.4913 +3 *4265:36 *8881:DIODE 21.7286 +4 *4265:36 *41263:A 16.1929 +*END + +*D_NET *4266 0.0229014 +*CONN +*I *38108:B I *D sky130_fd_sc_hd__and3b_1 +*I *6145:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41265:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38108:B 0.000672084 +2 *6145:DIODE 0 +3 *41265:X 0.00559067 +4 *4266:42 0.00100697 +5 *4266:38 0.00518792 +6 *4266:18 0.0104437 +7 *38108:B *4404:91 0 +8 *38108:B *4427:93 0 +9 *4266:18 *4267:87 0 +10 *4266:18 *4720:17 0 +11 *5726:DIODE *4266:18 0 +12 *8508:DIODE *4266:18 0 +13 *8669:DIODE *4266:18 0 +14 *8719:DIODE *4266:18 0 +15 *1319:16 *4266:18 0 +16 *1321:25 *4266:38 0 +17 *1331:13 *4266:18 0 +18 *1428:19 *4266:18 0 +19 *1840:52 *38108:B 0 +20 *2402:8 *4266:38 0 +21 *3010:20 *4266:18 0 +22 *3075:73 *4266:18 0 +23 *3283:110 *4266:18 0 +24 *3301:68 *4266:38 0 +25 *3306:96 *4266:38 0 +26 *3499:79 *4266:38 0 +27 *3521:26 *4266:18 0 +28 *3684:34 *4266:18 0 +29 *3740:64 *4266:18 0 +30 *3769:53 *4266:18 0 +31 *3773:32 *4266:38 0 +32 *3975:51 *38108:B 0 +33 *3981:21 *38108:B 0 +34 *3996:20 *4266:18 0 +35 *3996:20 *4266:38 0 +36 *4036:11 *4266:38 0 +37 *4037:74 *4266:18 0 +38 *4037:95 *4266:18 0 +39 *4051:34 *4266:18 0 +40 *4052:53 *4266:18 0 +41 *4077:19 *4266:18 0 +42 *4077:19 *4266:38 0 +43 *4091:26 *4266:18 0 +44 *4091:55 *4266:18 0 +45 *4224:27 *4266:38 0 +*RES +1 *41265:X *4266:18 46.0578 +2 *4266:18 *4266:38 49.6745 +3 *4266:38 *4266:42 12.1696 +4 *4266:42 *6145:DIODE 9.3 +5 *4266:42 *38108:B 42.2821 +*END + +*D_NET *4267 0.0245963 +*CONN +*I *8882:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41265:A I *D sky130_fd_sc_hd__buf_2 +*I *41266:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8882:DIODE 0.000313436 +2 *41265:A 0 +3 *41266:X 0.00458595 +4 *4267:87 0.00119847 +5 *4267:80 0.00254565 +6 *4267:62 0.00651371 +7 *4267:25 0.00943905 +8 *4267:25 *6093:DIODE 0 +9 *4267:25 *4289:21 0 +10 *4267:25 *4299:33 0 +11 *4267:62 *4289:21 0 +12 *4267:62 *4328:24 0 +13 *4267:62 *4344:17 0 +14 *4267:62 *4352:58 0 +15 *4267:62 *4408:108 0 +16 *4267:62 *4520:107 0 +17 *5726:DIODE *4267:87 0 +18 *8505:DIODE *8882:DIODE 0 +19 *39992:A *4267:62 0 +20 *40146:A *4267:25 0 +21 *1226:80 *4267:25 0 +22 *1437:30 *4267:25 0 +23 *1438:30 *4267:25 0 +24 *1442:46 *4267:80 0 +25 *1442:46 *4267:87 0 +26 *1543:19 *4267:87 0 +27 *2258:56 *4267:25 0 +28 *2822:55 *8882:DIODE 0 +29 *2822:55 *4267:87 0 +30 *2929:64 *4267:87 0 +31 *3050:10 *4267:87 0 +32 *3098:52 *4267:87 0 +33 *3279:81 *4267:62 0 +34 *3279:95 *4267:80 0 +35 *3318:66 *4267:62 0 +36 *3320:169 *4267:25 0 +37 *3446:89 *4267:62 0 +38 *3546:128 *4267:80 0 +39 *3658:65 *4267:80 0 +40 *3685:40 *4267:62 0 +41 *3698:48 *4267:25 0 +42 *3702:125 *4267:62 0 +43 *3726:28 *4267:25 0 +44 *3726:89 *4267:80 0 +45 *3726:114 *4267:87 0 +46 *3733:115 *4267:80 0 +47 *3744:20 *4267:25 0 +48 *3756:23 *4267:80 0 +49 *3763:36 *4267:62 0 +50 *3765:22 *4267:87 0 +51 *3790:64 *4267:62 0 +52 *3822:111 *4267:80 0 +53 *3998:45 *4267:25 0 +54 *4020:64 *4267:25 0 +55 *4052:27 *4267:62 0 +56 *4058:18 *4267:25 0 +57 *4058:18 *4267:62 0 +58 *4058:38 *4267:62 0 +59 *4061:119 *4267:25 0 +60 *4074:24 *4267:25 0 +61 *4075:126 *4267:25 0 +62 *4079:57 *4267:25 0 +63 *4081:24 *4267:62 0 +64 *4088:18 *4267:25 0 +65 *4088:27 *4267:25 0 +66 *4097:17 *8882:DIODE 0 +67 *4097:17 *4267:87 0 +68 *4099:60 *4267:87 0 +69 *4106:21 *4267:80 0 +70 *4109:65 *4267:80 0 +71 *4111:16 *4267:62 0 +72 *4171:50 *4267:80 0 +73 *4248:45 *4267:62 0 +74 *4266:18 *4267:87 0 +*RES +1 *41266:X *4267:25 49.9489 +2 *4267:25 *4267:62 48.3536 +3 *4267:62 *4267:80 48.0851 +4 *4267:80 *4267:87 28.3214 +5 *4267:87 *41265:A 9.3 +6 *4267:87 *8882:DIODE 25.2643 +*END + +*D_NET *4268 0.0213121 +*CONN +*I *6141:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38106:B I *D sky130_fd_sc_hd__and3b_1 +*I *41267:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *6141:DIODE 0 +2 *38106:B 0.000356652 +3 *41267:X 0.000895741 +4 *4268:55 0.000939312 +5 *4268:48 0.00163263 +6 *4268:24 0.00882098 +7 *4268:14 0.00866675 +8 *4268:24 *4272:38 0 +9 *4268:24 *5084:23 0 +10 *4268:48 *40222:A 0 +11 *4268:48 *5084:23 0 +12 *4268:55 *4270:45 0 +13 *7589:DIODE *4268:48 0 +14 *8307:DIODE *4268:48 0 +15 *38092:C *4268:14 0 +16 *38110:C *4268:55 0 +17 *41026:A *4268:14 0 +18 *41041:A *4268:48 0 +19 *1441:19 *4268:14 0 +20 *2398:60 *4268:48 0 +21 *2402:55 *4268:24 0 +22 *2426:65 *4268:24 0 +23 *2822:19 *4268:14 0 +24 *3015:25 *4268:24 0 +25 *3119:22 *4268:24 0 +26 *3159:40 *4268:14 0 +27 *3197:16 *4268:14 0 +28 *3263:11 *4268:14 0 +29 *3297:22 *4268:24 0 +30 *3301:42 *4268:24 0 +31 *3313:46 *4268:24 0 +32 *3416:26 *4268:48 0 +33 *3417:80 *38106:B 0 +34 *3439:38 *4268:24 0 +35 *3460:73 *4268:48 0 +36 *3496:19 *4268:48 0 +37 *3532:24 *4268:24 0 +38 *3535:14 *4268:48 0 +39 *3715:19 *4268:14 0 +40 *3995:25 *4268:24 0 +41 *4000:10 *4268:14 0 +42 *4014:40 *4268:24 0 +43 *4019:36 *4268:14 0 +44 *4044:25 *4268:24 0 +45 *4171:10 *4268:14 0 +46 *4232:40 *4268:24 0 +*RES +1 *41267:X *4268:14 36.1118 +2 *4268:14 *4268:24 49.7524 +3 *4268:24 *4268:48 49.6429 +4 *4268:48 *4268:55 17.1786 +5 *4268:55 *38106:B 35.2821 +6 *4268:55 *6141:DIODE 9.3 +*END + +*D_NET *4269 0.0194906 +*CONN +*I *41267:A I *D sky130_fd_sc_hd__buf_2 +*I *8884:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41268:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41267:A 0.000106098 +2 *8884:DIODE 0 +3 *41268:X 0.00269136 +4 *4269:88 0.00117636 +5 *4269:63 0.00144866 +6 *4269:61 0.00241094 +7 *4269:53 0.00296367 +8 *4269:45 0.00228212 +9 *4269:36 0.00253553 +10 *4269:22 0.0038759 +11 *4269:22 *4290:26 0 +12 *4269:22 *4298:20 0 +13 *4269:22 *4298:40 0 +14 *4269:22 *4299:33 0 +15 *4269:22 *4408:15 0 +16 *4269:36 *4303:167 0 +17 *4269:36 *4305:55 0 +18 *4269:36 *4514:27 0 +19 *4269:36 *4514:46 0 +20 *4269:45 *4279:23 0 +21 *4269:45 *4294:49 0 +22 *4269:53 *4277:53 0 +23 *4269:53 *4294:67 0 +24 *4269:61 *4279:39 0 +25 *4269:61 *4294:67 0 +26 *4269:61 *4294:77 0 +27 *4269:61 *4518:73 0 +28 *4269:63 *4279:39 0 +29 *4269:63 *4286:65 0 +30 *4269:63 *4288:103 0 +31 *4269:63 *4294:103 0 +32 *4269:88 *38092:B 0 +33 *4269:88 *4286:65 0 +34 *4269:88 *4294:103 0 +35 *4269:88 *4881:22 0 +36 *40122:A *4269:61 0 +37 *41026:A *41267:A 0 +38 *41255:A *4269:36 0 +39 *1432:52 *4269:61 0 +40 *1432:52 *4269:63 0 +41 *2844:41 *4269:22 0 +42 *2981:18 *4269:61 0 +43 *3187:47 *4269:61 0 +44 *3210:87 *4269:36 0 +45 *3263:52 *4269:61 0 +46 *3280:10 *4269:88 0 +47 *3317:81 *4269:61 0 +48 *3321:112 *4269:61 0 +49 *3427:34 *4269:88 0 +50 *3439:24 *4269:88 0 +51 *3965:43 *4269:36 0 +52 *4001:105 *41267:A 0 +53 *4001:105 *4269:88 0 +54 *4012:68 *4269:45 0 +55 *4012:68 *4269:53 0 +56 *4012:82 *4269:61 0 +57 *4020:39 *4269:22 0 +58 *4053:66 *4269:36 0 +59 *4221:21 *4269:22 0 +60 *4236:95 *41267:A 0 +*RES +1 *41268:X *4269:22 48.1416 +2 *4269:22 *4269:36 33.9464 +3 *4269:36 *4269:45 37.3036 +4 *4269:45 *4269:53 19.5893 +5 *4269:53 *4269:61 42.4821 +6 *4269:61 *4269:63 7.92857 +7 *4269:63 *8884:DIODE 9.3 +8 *4269:63 *4269:88 45.5714 +9 *4269:88 *41267:A 16.1393 +*END + +*D_NET *4270 0.0212676 +*CONN +*I *38104:B I *D sky130_fd_sc_hd__and3b_1 +*I *6137:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41269:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *38104:B 0.000320441 +2 *6137:DIODE 0 +3 *41269:X 0.000727431 +4 *4270:79 0.000700339 +5 *4270:78 0.0017237 +6 *4270:66 0.0021523 +7 *4270:45 0.00246723 +8 *4270:32 0.00367306 +9 *4270:17 0.00481479 +10 *4270:16 0.00338067 +11 *4270:13 0.00130763 +12 *4270:16 *5189:46 0 +13 *4270:32 *4274:26 0 +14 *4270:66 *4404:67 0 +15 *4270:66 *4596:48 0 +16 *4270:78 *4563:89 0 +17 *6181:DIODE *4270:45 0 +18 *38116:A_N *4270:78 0 +19 *38116:C *4270:78 0 +20 *39569:A *4270:32 0 +21 *40242:A *4270:45 0 +22 *41223:A *4270:66 0 +23 *1450:45 *4270:79 0 +24 *1452:17 *4270:66 0 +25 *2367:7 *4270:32 0 +26 *2386:25 *4270:13 0 +27 *2425:13 *4270:13 0 +28 *2993:44 *4270:45 0 +29 *3015:25 *4270:17 0 +30 *3015:79 *4270:45 0 +31 *3023:58 *4270:66 0 +32 *3075:45 *4270:78 0 +33 *3113:70 *4270:45 0 +34 *3116:71 *4270:45 0 +35 *3116:77 *4270:45 0 +36 *3155:61 *4270:17 0 +37 *3155:61 *4270:32 0 +38 *3155:65 *4270:17 0 +39 *3187:12 *4270:16 0 +40 *3237:22 *4270:16 0 +41 *3287:91 *4270:13 0 +42 *3288:10 *4270:45 0 +43 *3289:29 *4270:17 0 +44 *3289:37 *4270:32 0 +45 *3306:29 *4270:17 0 +46 *3348:95 *4270:45 0 +47 *3348:112 *4270:78 0 +48 *3406:49 *4270:66 0 +49 *3406:51 *4270:66 0 +50 *3410:15 *4270:17 0 +51 *3410:15 *4270:32 0 +52 *3412:79 *38104:B 0 +53 *3412:95 *38104:B 0 +54 *3413:132 *4270:66 0 +55 *3423:37 *4270:45 0 +56 *3477:53 *4270:66 0 +57 *3510:18 *4270:32 0 +58 *3783:41 *4270:13 0 +59 *3793:93 *4270:66 0 +60 *3954:34 *4270:66 0 +61 *3976:67 *4270:66 0 +62 *4014:66 *4270:45 0 +63 *4019:45 *4270:32 0 +64 *4235:73 *4270:45 0 +65 *4254:105 *4270:45 0 +66 *4254:105 *4270:66 0 +67 *4254:115 *4270:78 0 +68 *4259:24 *4270:13 0 +69 *4259:40 *4270:32 0 +70 *4268:55 *4270:45 0 +*RES +1 *41269:X *4270:13 38.1393 +2 *4270:13 *4270:16 17.6786 +3 *4270:16 *4270:17 58.4464 +4 *4270:17 *4270:32 48.6604 +5 *4270:32 *4270:45 48.2857 +6 *4270:45 *4270:66 44.7857 +7 *4270:66 *4270:78 46.2143 +8 *4270:78 *4270:79 7.92857 +9 *4270:79 *6137:DIODE 9.3 +10 *4270:79 *38104:B 25.3357 +*END + +*D_NET *4271 0.00152117 +*CONN +*I *40499:A I *D sky130_fd_sc_hd__buf_2 +*I *38606:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40499:A 0.000760583 +2 *38606:X 0.000760583 +3 *40499:A *5101:13 0 +4 *402:8 *40499:A 0 +5 *402:35 *40499:A 0 +6 *3126:29 *40499:A 0 +7 *3237:22 *40499:A 0 +8 *3291:37 *40499:A 0 +9 *3417:7 *40499:A 0 +10 *3417:18 *40499:A 0 +*RES +1 *38606:X *40499:A 43.5821 +*END + +*D_NET *4272 0.0226904 +*CONN +*I *8886:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41269:A I *D sky130_fd_sc_hd__buf_6 +*I *41270:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8886:DIODE 0.00069806 +2 *41269:A 0 +3 *41270:X 0.00344473 +4 *4272:42 0.00117009 +5 *4272:39 0.00291827 +6 *4272:38 0.00673037 +7 *4272:28 0.00772886 +8 *4272:28 *4303:167 0 +9 *4272:38 *38076:A_N 0 +10 *4272:38 *4278:23 0 +11 *4272:38 *4278:26 0 +12 *4272:38 *4408:108 0 +13 *4272:38 *5153:16 0 +14 *4272:39 *5153:16 0 +15 *6605:DIODE *8886:DIODE 0 +16 *7880:DIODE *8886:DIODE 0 +17 *8873:DIODE *8886:DIODE 0 +18 *38092:C *4272:38 0 +19 *1218:36 *4272:28 0 +20 *2421:73 *4272:28 0 +21 *2616:21 *4272:28 0 +22 *3187:13 *4272:39 0 +23 *3187:47 *4272:28 0 +24 *3256:20 *4272:39 0 +25 *3276:53 *8886:DIODE 0 +26 *3279:51 *4272:28 0 +27 *3279:81 *4272:38 0 +28 *3289:29 *4272:39 0 +29 *3306:29 *4272:39 0 +30 *3528:20 *4272:38 0 +31 *3675:53 *4272:38 0 +32 *3959:23 *4272:38 0 +33 *3961:49 *4272:28 0 +34 *3995:25 *4272:38 0 +35 *4000:10 *4272:38 0 +36 *4008:99 *4272:38 0 +37 *4017:97 *4272:28 0 +38 *4019:28 *4272:38 0 +39 *4019:36 *4272:38 0 +40 *4035:49 *4272:28 0 +41 *4052:27 *4272:38 0 +42 *4060:32 *4272:28 0 +43 *4117:51 *4272:38 0 +44 *4166:60 *4272:28 0 +45 *4166:60 *4272:38 0 +46 *4227:57 *4272:28 0 +47 *4255:13 *4272:28 0 +48 *4258:34 *4272:28 0 +49 *4261:51 *4272:38 0 +50 *4268:24 *4272:38 0 +*RES +1 *41270:X *4272:28 45.8894 +2 *4272:28 *4272:38 30.5756 +3 *4272:38 *4272:39 51.0536 +4 *4272:39 *4272:42 15.25 +5 *4272:42 *41269:A 13.8 +6 *4272:42 *8886:DIODE 29.3179 +*END + +*D_NET *4273 0.0204015 +*CONN +*I *41270:A I *D sky130_fd_sc_hd__buf_4 +*I *8887:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41271:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41270:A 0.000124066 +2 *8887:DIODE 0 +3 *41271:X 0.000904487 +4 *4273:50 0.00284594 +5 *4273:31 0.00678182 +6 *4273:29 0.00555301 +7 *4273:22 0.00239039 +8 *4273:13 0.00180181 +9 *41034:A *4273:13 0 +10 *41141:A *4273:13 0 +11 *1519:19 *4273:22 0 +12 *1972:20 *4273:31 0 +13 *1987:13 *4273:22 0 +14 *2039:14 *4273:29 0 +15 *2050:30 *4273:13 0 +16 *2092:21 *4273:29 0 +17 *2092:25 *4273:31 0 +18 *2113:13 *4273:22 0 +19 *3247:29 *4273:13 0 +20 *3961:11 *4273:31 0 +21 *3961:49 *4273:50 0 +22 *4009:38 *4273:50 0 +23 *4017:53 *41270:A 0 +24 *4035:34 *4273:31 0 +25 *4053:38 *4273:29 0 +26 *4053:41 *4273:31 0 +27 *4079:57 *4273:50 0 +28 *4086:20 *4273:13 0 +29 *4096:25 *4273:31 0 +30 *4116:15 *4273:22 0 +31 *4116:43 *4273:50 0 +32 *4120:14 *4273:22 0 +33 *4222:22 *4273:50 0 +34 *4239:17 *4273:29 0 +35 *4239:17 *4273:31 0 +36 *4248:24 *4273:50 0 +37 *4255:13 *41270:A 0 +38 *4258:34 *4273:50 0 +39 *4262:14 *4273:22 0 +*RES +1 *41271:X *4273:13 41.8357 +2 *4273:13 *4273:22 26.5182 +3 *4273:22 *4273:29 31.5 +4 *4273:29 *4273:31 84.7321 +5 *4273:31 *4273:50 34.5312 +6 *4273:50 *8887:DIODE 9.3 +7 *4273:50 *41270:A 11.8893 +*END + +*D_NET *4274 0.0203772 +*CONN +*I *38102:B I *D sky130_fd_sc_hd__and3b_1 +*I *6133:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41272:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38102:B 0.000347107 +2 *6133:DIODE 0 +3 *41272:X 0.000744978 +4 *4274:26 0.00622987 +5 *4274:11 0.0090965 +6 *4274:10 0.00395871 +7 *1239:16 *4274:10 0 +8 *1840:72 *4274:26 0 +9 *2387:63 *4274:26 0 +10 *2712:190 *4274:10 0 +11 *3023:58 *4274:26 0 +12 *3129:15 *4274:11 0 +13 *3217:13 *4274:10 0 +14 *3281:24 *4274:26 0 +15 *3285:60 *4274:26 0 +16 *3288:24 *4274:26 0 +17 *3292:48 *4274:26 0 +18 *3297:22 *4274:26 0 +19 *3306:47 *4274:26 0 +20 *3348:57 *4274:26 0 +21 *3348:112 *38102:B 0 +22 *3405:41 *4274:26 0 +23 *3410:14 *4274:26 0 +24 *3417:47 *4274:26 0 +25 *3460:33 *4274:26 0 +26 *3513:68 *4274:26 0 +27 *3675:67 *4274:26 0 +28 *3715:64 *4274:26 0 +29 *3722:25 *4274:26 0 +30 *3793:93 *38102:B 0 +31 *4019:37 *4274:11 0 +32 *4238:98 *4274:26 0 +33 *4254:105 *38102:B 0 +34 *4254:105 *4274:26 0 +35 *4259:40 *4274:26 0 +36 *4270:32 *4274:26 0 +*RES +1 *41272:X *4274:10 35.0679 +2 *4274:10 *4274:11 67.0714 +3 *4274:11 *4274:26 39.6607 +4 *4274:26 *6133:DIODE 9.3 +5 *4274:26 *38102:B 34.8357 +*END + +*D_NET *4275 0.0231495 +*CONN +*I *8889:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41272:A I *D sky130_fd_sc_hd__buf_2 +*I *41273:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8889:DIODE 0.000821051 +2 *41272:A 0 +3 *41273:X 0.00177457 +4 *4275:81 0.00177935 +5 *4275:67 0.00273156 +6 *4275:56 0.00802084 +7 *4275:26 0.00802215 +8 *4275:26 *40425:A 0 +9 *4275:26 *4277:33 0 +10 *4275:26 *4288:52 0 +11 *4275:26 *4295:26 0 +12 *4275:56 *38082:C 0 +13 *4275:56 *4286:61 0 +14 *4275:56 *4288:94 0 +15 *4275:56 *4300:56 0 +16 *4275:56 *4306:62 0 +17 *4275:81 *4517:81 0 +18 *37409:A *4275:81 0 +19 *38096:A_N *8889:DIODE 0 +20 *40320:A *4275:26 0 +21 *40334:A *8889:DIODE 0 +22 *1880:22 *8889:DIODE 0 +23 *2393:44 *4275:56 0 +24 *2415:10 *4275:67 0 +25 *2549:45 *4275:56 0 +26 *2583:35 *4275:26 0 +27 *2712:190 *8889:DIODE 0 +28 *3154:14 *4275:81 0 +29 *3192:54 *4275:56 0 +30 *3217:13 *8889:DIODE 0 +31 *3217:13 *4275:67 0 +32 *3217:13 *4275:81 0 +33 *3229:18 *4275:56 0 +34 *3229:26 *4275:56 0 +35 *3234:27 *4275:56 0 +36 *3234:27 *4275:67 0 +37 *3244:10 *4275:67 0 +38 *3265:57 *4275:81 0 +39 *3287:25 *4275:67 0 +40 *3287:47 *4275:67 0 +41 *3287:47 *4275:81 0 +42 *3300:55 *8889:DIODE 0 +43 *3300:55 *4275:81 0 +44 *3302:11 *4275:81 0 +45 *3302:28 *4275:81 0 +46 *3311:49 *4275:67 0 +47 *3318:13 *4275:56 0 +48 *3430:21 *4275:56 0 +49 *3525:29 *4275:56 0 +50 *3533:49 *4275:56 0 +51 *3744:36 *4275:56 0 +52 *3784:82 *4275:67 0 +53 *3939:93 *4275:56 0 +54 *3960:35 *4275:56 0 +55 *4121:27 *4275:26 0 +56 *4135:45 *4275:56 0 +57 *4135:96 *4275:56 0 +58 *4246:27 *4275:26 0 +*RES +1 *41273:X *4275:26 49.4529 +2 *4275:26 *4275:56 49.2783 +3 *4275:56 *4275:67 44.4008 +4 *4275:67 *4275:81 20.6786 +5 *4275:81 *41272:A 9.3 +6 *4275:81 *8889:DIODE 35.8179 +*END + +*D_NET *4276 0.0241441 +*CONN +*I *6130:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38100:B I *D sky130_fd_sc_hd__and3b_2 +*I *41274:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *6130:DIODE 0.00168428 +2 *38100:B 1.21715e-05 +3 *41274:X 0.00434952 +4 *4276:37 0.00494055 +5 *4276:34 0.00602608 +6 *4276:20 0.00713151 +7 *4276:20 *4295:58 0 +8 *4276:20 *4520:107 0 +9 *4276:20 *4881:48 0 +10 *4276:34 *5153:16 0 +11 *8195:DIODE *6130:DIODE 0 +12 *8714:DIODE *6130:DIODE 0 +13 *38074:A_N *4276:20 0 +14 *38092:C *4276:20 0 +15 *39992:A *4276:20 0 +16 *1218:36 *4276:20 0 +17 *1218:48 *4276:20 0 +18 *2349:8 *4276:20 0 +19 *2369:53 *4276:20 0 +20 *2402:56 *4276:34 0 +21 *2426:84 *4276:37 0 +22 *3075:73 *4276:37 0 +23 *3084:34 *4276:20 0 +24 *3092:17 *4276:20 0 +25 *3187:47 *4276:20 0 +26 *3203:79 *4276:34 0 +27 *3263:11 *4276:34 0 +28 *3279:51 *4276:20 0 +29 *3279:81 *4276:20 0 +30 *3287:91 *4276:37 0 +31 *3287:103 *6130:DIODE 0 +32 *3287:103 *4276:37 0 +33 *3291:68 *6130:DIODE 0 +34 *3313:17 *4276:34 0 +35 *3319:76 *4276:20 0 +36 *3439:24 *4276:20 0 +37 *3513:52 *4276:34 0 +38 *3515:34 *4276:34 0 +39 *3759:57 *4276:34 0 +40 *3784:82 *4276:34 0 +41 *3956:80 *4276:20 0 +42 *3959:23 *4276:20 0 +43 *3972:24 *4276:20 0 +44 *3995:25 *4276:20 0 +45 *4012:105 *4276:20 0 +46 *4017:97 *4276:20 0 +47 *4017:117 *4276:20 0 +48 *4035:49 *4276:20 0 +49 *4045:53 *6130:DIODE 0 +50 *4117:51 *4276:20 0 +51 *4171:10 *4276:20 0 +52 *4220:20 *4276:34 0 +53 *4233:71 *6130:DIODE 0 +54 *4233:71 *4276:34 0 +55 *4233:71 *4276:37 0 +56 *4252:50 *4276:37 0 +57 *4261:62 *4276:20 0 +58 *4261:62 *4276:34 0 +*RES +1 *41274:X *4276:20 48.3099 +2 *4276:20 *4276:34 48.0207 +3 *4276:34 *4276:37 11.7657 +4 *4276:37 *38100:B 17.4868 +5 *4276:37 *6130:DIODE 25.6722 +*END + +*D_NET *4277 0.0210451 +*CONN +*I *8891:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41274:A I *D sky130_fd_sc_hd__buf_2 +*I *41275:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8891:DIODE 0 +2 *41274:A 0.000363941 +3 *41275:X 0.00626438 +4 *4277:53 0.000901274 +5 *4277:50 0.00389421 +6 *4277:33 0.00962126 +7 *41274:A *38074:B 0 +8 *4277:50 *4288:52 0 +9 *4277:50 *4295:53 0 +10 *4277:50 *4303:140 0 +11 *8143:DIODE *4277:50 0 +12 *40336:A *4277:50 0 +13 *40371:A *4277:33 0 +14 *1235:19 *4277:50 0 +15 *2065:35 *4277:33 0 +16 *2065:50 *4277:33 0 +17 *2117:26 *4277:33 0 +18 *2128:28 *4277:33 0 +19 *2439:14 *4277:33 0 +20 *2671:44 *41274:A 0 +21 *3143:25 *4277:33 0 +22 *3210:70 *4277:50 0 +23 *3255:38 *4277:33 0 +24 *3258:27 *4277:33 0 +25 *3438:25 *4277:33 0 +26 *3442:92 *4277:50 0 +27 *3442:99 *4277:50 0 +28 *3792:37 *4277:33 0 +29 *4029:49 *4277:33 0 +30 *4032:71 *4277:33 0 +31 *4067:17 *4277:33 0 +32 *4072:20 *4277:33 0 +33 *4090:49 *4277:33 0 +34 *4117:51 *4277:50 0 +35 *4121:27 *4277:33 0 +36 *4121:27 *4277:50 0 +37 *4135:62 *4277:50 0 +38 *4166:35 *4277:33 0 +39 *4166:35 *4277:50 0 +40 *4166:60 *4277:50 0 +41 *4227:23 *4277:33 0 +42 *4269:53 *4277:53 0 +43 *4275:26 *4277:33 0 +*RES +1 *41275:X *4277:33 48.9382 +2 *4277:33 *4277:50 48.6767 +3 *4277:50 *4277:53 15.7143 +4 *4277:53 *41274:A 26.3714 +5 *4277:53 *8891:DIODE 9.3 +*END + +*D_NET *4278 0.0201902 +*CONN +*I *6126:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38098:B I *D sky130_fd_sc_hd__and3b_1 +*I *41276:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *6126:DIODE 0.00043443 +2 *38098:B 0 +3 *41276:X 0.000990541 +4 *4278:37 0.00204409 +5 *4278:27 0.00846408 +6 *4278:26 0.00706045 +7 *4278:23 0.00119657 +8 *6126:DIODE *4322:40 0 +9 *4278:23 *8983:DIODE 0 +10 *6127:DIODE *6126:DIODE 0 +11 *8270:DIODE *4278:37 0 +12 *8646:DIODE *4278:37 0 +13 *41242:A *4278:23 0 +14 *1445:22 *4278:23 0 +15 *2367:8 *4278:37 0 +16 *2398:60 *4278:37 0 +17 *2822:19 *4278:23 0 +18 *3073:17 *4278:37 0 +19 *3113:70 *6126:DIODE 0 +20 *3159:40 *4278:26 0 +21 *3183:14 *4278:37 0 +22 *3183:61 *4278:23 0 +23 *3263:11 *4278:27 0 +24 *3298:63 *4278:27 0 +25 *3298:79 *4278:37 0 +26 *3410:55 *4278:37 0 +27 *3412:40 *4278:27 0 +28 *3416:44 *6126:DIODE 0 +29 *3417:47 *4278:37 0 +30 *3423:11 *4278:27 0 +31 *3433:37 *4278:37 0 +32 *3443:75 *4278:23 0 +33 *3507:60 *4278:37 0 +34 *3682:32 *4278:27 0 +35 *3955:26 *4278:23 0 +36 *4000:10 *4278:23 0 +37 *4000:10 *4278:26 0 +38 *4008:99 *4278:23 0 +39 *4011:24 *4278:23 0 +40 *4030:18 *4278:37 0 +41 *4031:81 *4278:37 0 +42 *4044:25 *4278:27 0 +43 *4235:14 *4278:23 0 +44 *4272:38 *4278:23 0 +45 *4272:38 *4278:26 0 +*RES +1 *41276:X *4278:23 49.7397 +2 *4278:23 *4278:26 9.17857 +3 *4278:26 *4278:27 143.054 +4 *4278:27 *4278:37 43 +5 *4278:37 *38098:B 9.3 +6 *4278:37 *6126:DIODE 28.1393 +*END + +*D_NET *4279 0.0191817 +*CONN +*I *41276:A I *D sky130_fd_sc_hd__buf_2 +*I *8893:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41277:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41276:A 0.00061866 +2 *8893:DIODE 0.00017153 +3 *41277:X 0.000810423 +4 *4279:55 0.0019814 +5 *4279:39 0.00348065 +6 *4279:23 0.00679902 +7 *4279:21 0.00532 +8 *41276:A *38086:C 0 +9 *4279:21 *8894:DIODE 0 +10 *4279:23 *6078:DIODE 0 +11 *4279:23 *4294:47 0 +12 *4279:23 *4294:49 0 +13 *4279:23 *4294:67 0 +14 *4279:23 *4514:46 0 +15 *4279:39 *4294:67 0 +16 *4279:55 *6108:DIODE 0 +17 *5263:DIODE *4279:39 0 +18 *8523:DIODE *4279:21 0 +19 *8643:DIODE *4279:39 0 +20 *8693:DIODE *4279:21 0 +21 *8707:DIODE *4279:39 0 +22 *8879:DIODE *4279:23 0 +23 *38090:A_N *4279:55 0 +24 *38092:C *41276:A 0 +25 *38094:C *4279:39 0 +26 *40122:A *4279:39 0 +27 *1446:20 *4279:39 0 +28 *2436:53 *4279:39 0 +29 *2981:18 *4279:39 0 +30 *3029:24 *4279:55 0 +31 *3037:20 *41276:A 0 +32 *3037:20 *4279:55 0 +33 *3187:13 *4279:55 0 +34 *3202:24 *4279:55 0 +35 *3256:23 *4279:55 0 +36 *3441:10 *4279:23 0 +37 *3528:20 *41276:A 0 +38 *3675:67 *41276:A 0 +39 *3675:67 *4279:55 0 +40 *3697:59 *8893:DIODE 0 +41 *3759:19 *4279:23 0 +42 *3952:122 *4279:39 0 +43 *3965:83 *4279:39 0 +44 *4012:18 *4279:21 0 +45 *4012:18 *4279:23 0 +46 *4012:30 *4279:23 0 +47 *4012:105 *4279:39 0 +48 *4025:61 *4279:21 0 +49 *4253:39 *4279:21 0 +50 *4264:54 *4279:55 0 +51 *4269:45 *4279:23 0 +52 *4269:61 *4279:39 0 +53 *4269:63 *4279:39 0 +*RES +1 *41277:X *4279:21 35.6929 +2 *4279:21 *4279:23 94.1786 +3 *4279:23 *4279:39 48.2143 +4 *4279:39 *4279:55 35.0686 +5 *4279:55 *8893:DIODE 21.0582 +6 *4279:55 *41276:A 26.9948 +*END + +*D_NET *4280 0.0237856 +*CONN +*I *41277:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8894:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41278:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41277:A 0.000258535 +2 *8894:DIODE 0.00030118 +3 *41278:X 0.000471804 +4 *4280:24 0.00238243 +5 *4280:20 0.0108613 +6 *4280:10 0.00951036 +7 *4280:20 *4307:11 0 +8 *4280:20 *4307:21 0 +9 *8693:DIODE *8894:DIODE 0 +10 *40280:A *8894:DIODE 0 +11 *1815:42 *4280:20 0 +12 *1966:14 *4280:20 0 +13 *1970:11 *4280:10 0 +14 *2017:14 *4280:20 0 +15 *2030:15 *4280:10 0 +16 *2065:15 *4280:20 0 +17 *2117:52 *4280:20 0 +18 *3156:28 *4280:10 0 +19 *3243:50 *4280:20 0 +20 *3355:69 *4280:24 0 +21 *3934:14 *4280:10 0 +22 *3965:43 *4280:24 0 +23 *4012:18 *8894:DIODE 0 +24 *4025:20 *4280:20 0 +25 *4025:61 *41277:A 0 +26 *4053:57 *41277:A 0 +27 *4056:17 *4280:20 0 +28 *4108:20 *4280:20 0 +29 *4116:15 *4280:20 0 +30 *4117:18 *4280:24 0 +31 *4122:31 *4280:20 0 +32 *4125:15 *4280:24 0 +33 *4186:12 *4280:20 0 +34 *4227:23 *4280:24 0 +35 *4253:39 *41277:A 0 +36 *4258:19 *4280:20 0 +37 *4279:21 *8894:DIODE 0 +*RES +1 *41278:X *4280:10 27.8439 +2 *4280:10 *4280:20 46.1816 +3 *4280:20 *4280:24 10.7549 +4 *4280:24 *8894:DIODE 20.0857 +5 *4280:24 *41277:A 28.4964 +*END + +*D_NET *4281 0.021922 +*CONN +*I *6123:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38096:B I *D sky130_fd_sc_hd__and3b_1 +*I *41279:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6123:DIODE 0.00049498 +2 *38096:B 5.09097e-05 +3 *41279:X 0.000821959 +4 *4281:83 0.00166647 +5 *4281:77 0.00344575 +6 *4281:75 0.00245543 +7 *4281:71 0.00248816 +8 *4281:64 0.00240351 +9 *4281:59 0.00281471 +10 *4281:42 0.00361364 +11 *4281:26 0.00166651 +12 *40417:A *4281:83 0 +13 *2393:28 *4281:83 0 +14 *2402:56 *4281:83 0 +15 *2424:19 *4281:59 0 +16 *2425:24 *6123:DIODE 0 +17 *2425:25 *4281:77 0 +18 *2425:25 *4281:83 0 +19 *3133:12 *4281:42 0 +20 *3133:21 *4281:42 0 +21 *3144:21 *4281:71 0 +22 *3144:21 *4281:75 0 +23 *3144:21 *4281:77 0 +24 *3202:75 *4281:26 0 +25 *3224:46 *4281:42 0 +26 *3270:42 *4281:42 0 +27 *3281:10 *4281:83 0 +28 *3300:55 *6123:DIODE 0 +29 *3300:55 *4281:83 0 +30 *3300:65 *6123:DIODE 0 +31 *3309:49 *4281:83 0 +32 *3309:61 *6123:DIODE 0 +33 *3309:61 *4281:83 0 +34 *3312:35 *4281:42 0 +35 *3318:13 *4281:59 0 +36 *3440:39 *4281:71 0 +37 *3511:73 *4281:59 0 +38 *3517:65 *4281:71 0 +39 *3529:35 *4281:71 0 +40 *3529:62 *4281:71 0 +41 *3530:79 *4281:26 0 +42 *3777:33 *4281:59 0 +43 *3777:46 *4281:71 0 +44 *3783:14 *38096:B 0 +45 *3783:14 *4281:83 0 +46 *3783:24 *6123:DIODE 0 +47 *3933:49 *4281:59 0 +48 *3977:137 *4281:77 0 +49 *4004:18 *4281:26 0 +50 *4029:49 *4281:26 0 +51 *4061:59 *4281:42 0 +52 *4112:60 *4281:59 0 +53 *4264:30 *4281:59 0 +*RES +1 *41279:X *4281:26 46.3 +2 *4281:26 *4281:42 37.3393 +3 *4281:42 *4281:59 46.7924 +4 *4281:59 *4281:64 10.0357 +5 *4281:64 *4281:71 49.5804 +6 *4281:71 *4281:75 2.90179 +7 *4281:75 *4281:77 48.5893 +8 *4281:77 *4281:83 32.4464 +9 *4281:83 *38096:B 10.6929 +10 *4281:83 *6123:DIODE 19.6929 +*END + +*D_NET *4282 0.00019598 +*CONN +*I *40498:A I *D sky130_fd_sc_hd__buf_2 +*I *38607:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40498:A 9.799e-05 +2 *38607:X 9.799e-05 +3 *402:35 *40498:A 0 +4 *528:31 *40498:A 0 +5 *2860:20 *40498:A 0 +6 *3291:37 *40498:A 0 +7 *3411:17 *40498:A 0 +*RES +1 *38607:X *40498:A 29.7786 +*END + +*D_NET *4283 0.021572 +*CONN +*I *41279:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8895:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41280:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41279:A 0 +2 *8895:DIODE 0.000235045 +3 *41280:X 0.000585398 +4 *4283:61 0.00114999 +5 *4283:36 0.00202432 +6 *4283:20 0.00296519 +7 *4283:11 0.00794124 +8 *4283:10 0.00667082 +9 *8895:DIODE *4512:23 0 +10 *4283:61 *4308:52 0 +11 *8693:DIODE *4283:36 0 +12 *8859:DIODE *4283:20 0 +13 *8859:DIODE *4283:36 0 +14 *37780:A *4283:10 0 +15 *37798:A *4283:61 0 +16 *37798:B *4283:61 0 +17 *41128:A *4283:36 0 +18 *41260:A *4283:61 0 +19 *1956:54 *4283:10 0 +20 *1965:14 *4283:11 0 +21 *1967:16 *4283:11 0 +22 *1997:8 *4283:11 0 +23 *1997:21 *4283:20 0 +24 *1997:30 *4283:20 0 +25 *2035:15 *4283:10 0 +26 *2095:8 *4283:11 0 +27 *3152:8 *4283:10 0 +28 *3223:23 *4283:10 0 +29 *3518:54 *4283:61 0 +30 *3989:21 *4283:20 0 +31 *4001:20 *4283:36 0 +32 *4017:29 *4283:36 0 +33 *4017:29 *4283:61 0 +34 *4017:53 *4283:61 0 +35 *4039:61 *4283:61 0 +36 *4053:57 *4283:36 0 +37 *4053:66 *8895:DIODE 0 +38 *4075:76 *4283:61 0 +39 *4116:43 *4283:61 0 +40 *4122:40 *4283:10 0 +41 *4236:30 *4283:20 0 +42 *4236:30 *4283:36 0 +43 *4236:30 *4283:61 0 +44 *4239:17 *4283:11 0 +45 *4261:27 *4283:61 0 +*RES +1 *41280:X *4283:10 31.5143 +2 *4283:10 *4283:11 127.036 +3 *4283:11 *4283:20 47.9464 +4 *4283:20 *4283:36 45.8929 +5 *4283:36 *4283:61 33.6964 +6 *4283:61 *8895:DIODE 23.4429 +7 *4283:61 *41279:A 9.3 +*END + +*D_NET *4284 0.0208819 +*CONN +*I *6119:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38094:B I *D sky130_fd_sc_hd__and3b_1 +*I *41281:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6119:DIODE 8.29197e-05 +2 *38094:B 0.00042287 +3 *41281:X 0.00106173 +4 *4284:94 0.00251862 +5 *4284:82 0.00342607 +6 *4284:74 0.00282904 +7 *4284:68 0.0028331 +8 *4284:62 0.00261265 +9 *4284:51 0.00261427 +10 *4284:21 0.00248065 +11 *38094:B *4301:67 0 +12 *4284:51 *4288:30 0 +13 *4284:51 *4303:81 0 +14 *4284:51 *4303:96 0 +15 *4284:51 *4489:41 0 +16 *4284:62 *4303:96 0 +17 *4284:94 *4286:39 0 +18 *4284:94 *4297:66 0 +19 *5456:DIODE *4284:82 0 +20 *7608:DIODE *38094:B 0 +21 *8644:DIODE *4284:21 0 +22 *8664:DIODE *4284:51 0 +23 *8881:DIODE *4284:62 0 +24 *38094:C *38094:B 0 +25 *40253:A *38094:B 0 +26 *40371:A *4284:21 0 +27 *40382:A *4284:94 0 +28 *40982:A *4284:21 0 +29 *41043:A *4284:51 0 +30 *41080:A *4284:21 0 +31 *41127:A *4284:21 0 +32 *41263:A *4284:62 0 +33 *1215:32 *4284:94 0 +34 *2050:62 *4284:21 0 +35 *2121:45 *4284:51 0 +36 *2133:72 *4284:21 0 +37 *2412:44 *4284:51 0 +38 *2431:15 *38094:B 0 +39 *3187:47 *38094:B 0 +40 *3202:42 *38094:B 0 +41 *3206:25 *4284:62 0 +42 *3206:46 *4284:51 0 +43 *3256:38 *38094:B 0 +44 *3259:77 *4284:21 0 +45 *3270:12 *4284:94 0 +46 *3270:93 *4284:51 0 +47 *3312:27 *4284:74 0 +48 *3312:35 *4284:74 0 +49 *3312:35 *4284:82 0 +50 *3319:49 *38094:B 0 +51 *3838:32 *4284:51 0 +52 *3933:16 *4284:51 0 +53 *3934:88 *4284:21 0 +54 *3973:21 *4284:62 0 +55 *4006:12 *4284:62 0 +56 *4032:37 *4284:51 0 +57 *4039:18 *4284:21 0 +58 *4060:19 *4284:94 0 +59 *4061:24 *4284:21 0 +60 *4061:51 *4284:51 0 +61 *4061:53 *4284:62 0 +62 *4061:53 *4284:74 0 +63 *4061:59 *4284:82 0 +64 *4076:63 *4284:21 0 +65 *4112:19 *4284:21 0 +66 *4112:19 *4284:51 0 +67 *4112:47 *4284:68 0 +68 *4264:7 *4284:62 0 +69 *4264:9 *4284:62 0 +70 *4264:42 *4284:94 0 +*RES +1 *41281:X *4284:21 41.0321 +2 *4284:21 *4284:51 40.8036 +3 *4284:51 *4284:62 34.125 +4 *4284:62 *4284:68 38.7857 +5 *4284:68 *4284:74 38.6071 +6 *4284:74 *4284:82 38.8036 +7 *4284:82 *4284:94 47.2321 +8 *4284:94 *38094:B 32.0187 +9 *4284:94 *6119:DIODE 15.7464 +*END + +*D_NET *4285 0.0189047 +*CONN +*I *5612:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37768:A I *D sky130_fd_sc_hd__and2_1 +*I *41282:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5612:DIODE 0 +2 *37768:A 0.000156294 +3 *41282:X 0.00204009 +4 *4285:27 0.00741227 +5 *4285:19 0.00929606 +6 *4285:27 *4737:18 0 +7 *2907:10 *4285:27 0 +8 *4150:27 *37768:A 0 +9 *4163:9 *37768:A 0 +10 *4163:9 *4285:27 0 +11 *4178:25 *4285:19 0 +12 *4178:30 *4285:19 0 +13 *4178:30 *4285:27 0 +14 *4188:17 *4285:19 0 +15 *4188:17 *4285:27 0 +16 *4191:19 *4285:19 0 +17 *4191:28 *4285:27 0 +18 *4199:13 *4285:27 0 +*RES +1 *41282:X *4285:19 27.1778 +2 *4285:19 *4285:27 40.8114 +3 *4285:27 *37768:A 21.6214 +4 *4285:27 *5612:DIODE 9.3 +*END + +*D_NET *4286 0.0204533 +*CONN +*I *38092:B I *D sky130_fd_sc_hd__and3b_2 +*I *6116:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41283:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38092:B 0.000479216 +2 *6116:DIODE 0 +3 *41283:X 0.00069648 +4 *4286:65 0.00104626 +5 *4286:61 0.00299337 +6 *4286:39 0.0056976 +7 *4286:38 0.0040562 +8 *4286:20 0.0027863 +9 *4286:11 0.00269785 +10 *38092:B *4297:122 0 +11 *4286:11 *4297:24 0 +12 *4286:11 *4300:15 0 +13 *4286:20 *8217:DIODE 0 +14 *4286:20 *4300:15 0 +15 *4286:20 *4303:140 0 +16 *4286:38 *4297:55 0 +17 *4286:38 *4300:34 0 +18 *4286:39 *4297:55 0 +19 *4286:39 *4509:53 0 +20 *4286:61 *4297:91 0 +21 *4286:65 *4294:77 0 +22 *4286:65 *4297:122 0 +23 *5250:DIODE *4286:20 0 +24 *8280:DIODE *4286:11 0 +25 *8319:DIODE *4286:20 0 +26 *8684:DIODE *4286:11 0 +27 *37392:A *4286:20 0 +28 *40258:A *4286:39 0 +29 *40294:A *4286:61 0 +30 *40333:A *4286:38 0 +31 *1215:32 *4286:39 0 +32 *1227:26 *4286:38 0 +33 *1238:38 *4286:61 0 +34 *1445:22 *38092:B 0 +35 *2460:44 *4286:61 0 +36 *3005:22 *4286:65 0 +37 *3129:30 *4286:65 0 +38 *3134:59 *4286:39 0 +39 *3192:54 *4286:61 0 +40 *3217:69 *4286:39 0 +41 *3217:71 *4286:38 0 +42 *3229:18 *4286:61 0 +43 *3256:23 *4286:61 0 +44 *3259:13 *4286:61 0 +45 *3270:62 *4286:20 0 +46 *3270:72 *4286:20 0 +47 *3298:24 *4286:61 0 +48 *3312:78 *4286:61 0 +49 *3441:41 *38092:B 0 +50 *3530:62 *4286:20 0 +51 *4001:105 *4286:61 0 +52 *4012:105 *38092:B 0 +53 *4029:29 *4286:20 0 +54 *4045:43 *4286:61 0 +55 *4060:19 *4286:39 0 +56 *4135:45 *4286:11 0 +57 *4264:42 *4286:39 0 +58 *4264:54 *4286:61 0 +59 *4269:63 *4286:65 0 +60 *4269:88 *38092:B 0 +61 *4269:88 *4286:65 0 +62 *4275:56 *4286:61 0 +63 *4284:94 *4286:39 0 +*RES +1 *41283:X *4286:11 23.9607 +2 *4286:11 *4286:20 46.375 +3 *4286:20 *4286:38 30.375 +4 *4286:38 *4286:39 68.3036 +5 *4286:39 *4286:61 45.4518 +6 *4286:61 *4286:65 16.7857 +7 *4286:65 *6116:DIODE 9.3 +8 *4286:65 *38092:B 37.7464 +*END + +*D_NET *4287 0.0222513 +*CONN +*I *8897:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41283:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *41284:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8897:DIODE 0.000435947 +2 *41283:A 1.21519e-05 +3 *41284:X 9.90632e-05 +4 *4287:49 0.00539294 +5 *4287:35 0.0083323 +6 *4287:15 0.00563367 +7 *4287:8 0.00234527 +8 *4287:35 *4302:26 0 +9 *4287:49 *4302:51 0 +10 *4287:49 *4306:35 0 +11 *4287:49 *4306:62 0 +12 *8684:DIODE *8897:DIODE 0 +13 *38240:A *4287:49 0 +14 *41287:A *4287:35 0 +15 *1971:20 *4287:15 0 +16 *1994:18 *4287:15 0 +17 *2012:17 *4287:8 0 +18 *2050:55 *4287:49 0 +19 *2053:54 *4287:49 0 +20 *2070:56 *4287:49 0 +21 *2079:27 *4287:15 0 +22 *2114:10 *4287:15 0 +23 *2131:21 *4287:15 0 +24 *2412:44 *4287:49 0 +25 *2420:76 *4287:49 0 +26 *3147:63 *4287:35 0 +27 *3227:14 *4287:15 0 +28 *3228:69 *4287:49 0 +29 *3232:14 *4287:35 0 +30 *3233:29 *4287:49 0 +31 *3233:41 *4287:49 0 +32 *3258:39 *4287:15 0 +33 *3258:56 *4287:8 0 +34 *3358:23 *4287:49 0 +35 *3933:49 *8897:DIODE 0 +36 *3933:49 *4287:49 0 +37 *3985:14 *4287:35 0 +38 *4029:16 *8897:DIODE 0 +39 *4047:33 *4287:35 0 +40 *4064:24 *4287:35 0 +41 *4076:32 *4287:35 0 +42 *4112:40 *8897:DIODE 0 +43 *4112:40 *4287:49 0 +44 *4122:31 *4287:15 0 +45 *4135:21 *4287:49 0 +46 *4265:19 *4287:35 0 +47 *4265:19 *4287:49 0 +48 *4265:36 *8897:DIODE 0 +49 *4265:36 *4287:49 0 +*RES +1 *41284:X *4287:8 20.55 +2 *4287:8 *4287:15 49.5648 +3 *4287:15 *4287:35 46.8765 +4 *4287:35 *4287:49 25.9034 +5 *4287:49 *41283:A 17.4868 +6 *4287:49 *8897:DIODE 24.6633 +*END + +*D_NET *4288 0.0221158 +*CONN +*I *6115:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38090:B I *D sky130_fd_sc_hd__and3b_1 +*I *41285:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6115:DIODE 0 +2 *38090:B 7.12677e-05 +3 *41285:X 0.00171438 +4 *4288:103 0.000497934 +5 *4288:94 0.00375557 +6 *4288:52 0.00884556 +7 *4288:30 0.00723104 +8 *4288:30 *4295:26 0 +9 *4288:30 *4303:81 0 +10 *4288:30 *4306:62 0 +11 *4288:52 *4295:26 0 +12 *4288:52 *4306:62 0 +13 *4288:52 *4513:48 0 +14 *4288:52 *4521:41 0 +15 *4288:94 *4518:73 0 +16 *4288:94 *4881:48 0 +17 *7886:DIODE *4288:103 0 +18 *1238:21 *4288:52 0 +19 *1432:52 *4288:103 0 +20 *1981:62 *4288:52 0 +21 *2428:17 *4288:94 0 +22 *2972:23 *4288:94 0 +23 *3005:22 *4288:103 0 +24 *3037:15 *4288:94 0 +25 *3210:35 *4288:94 0 +26 *3210:70 *4288:52 0 +27 *3220:21 *4288:52 0 +28 *3220:21 *4288:94 0 +29 *3229:18 *4288:94 0 +30 *3229:26 *4288:52 0 +31 *3229:26 *4288:94 0 +32 *3306:20 *4288:94 0 +33 *3334:19 *4288:30 0 +34 *3441:41 *4288:103 0 +35 *3443:53 *38090:B 0 +36 *3522:14 *4288:94 0 +37 *3522:24 *4288:94 0 +38 *3653:47 *4288:94 0 +39 *3697:46 *4288:94 0 +40 *3933:64 *4288:94 0 +41 *4032:37 *4288:30 0 +42 *4032:81 *4288:52 0 +43 *4061:51 *4288:30 0 +44 *4072:51 *4288:52 0 +45 *4121:27 *4288:52 0 +46 *4246:27 *4288:52 0 +47 *4246:45 *4288:94 0 +48 *4269:63 *4288:103 0 +49 *4275:26 *4288:52 0 +50 *4275:56 *4288:94 0 +51 *4277:50 *4288:52 0 +52 *4284:51 *4288:30 0 +*RES +1 *41285:X *4288:30 49.0885 +2 *4288:30 *4288:52 48.9585 +3 *4288:52 *4288:94 47.91 +4 *4288:94 *4288:103 22.6607 +5 *4288:103 *38090:B 19.8714 +6 *4288:103 *6115:DIODE 9.3 +*END + +*D_NET *4289 0.0222643 +*CONN +*I *6111:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38088:B I *D sky130_fd_sc_hd__and3b_1 +*I *41286:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *6111:DIODE 0.000813783 +2 *38088:B 4.53482e-05 +3 *41286:X 0.00850742 +4 *4289:36 0.00262475 +5 *4289:21 0.010273 +6 *6111:DIODE *6112:DIODE 0 +7 *6111:DIODE *4981:39 0 +8 *4289:21 *4291:58 0 +9 *4289:21 *4520:107 0 +10 *4289:36 *4520:118 0 +11 *8843:DIODE *6111:DIODE 0 +12 *38282:B *6111:DIODE 0 +13 *2419:88 *4289:21 0 +14 *2923:36 *6111:DIODE 0 +15 *3124:17 *4289:21 0 +16 *3162:14 *6111:DIODE 0 +17 *3937:33 *4289:36 0 +18 *3942:125 *38088:B 0 +19 *3984:19 *4289:21 0 +20 *3988:11 *4289:36 0 +21 *3991:68 *4289:21 0 +22 *3998:45 *4289:21 0 +23 *4008:48 *4289:21 0 +24 *4020:64 *4289:21 0 +25 *4037:24 *4289:21 0 +26 *4037:42 *4289:36 0 +27 *4039:61 *4289:21 0 +28 *4039:131 *4289:21 0 +29 *4058:18 *4289:21 0 +30 *4058:38 *4289:21 0 +31 *4058:38 *4289:36 0 +32 *4078:58 *4289:36 0 +33 *4079:57 *4289:21 0 +34 *4088:27 *4289:36 0 +35 *4092:58 *4289:36 0 +36 *4111:16 *4289:21 0 +37 *4119:109 *4289:21 0 +38 *4225:50 *4289:36 0 +39 *4248:45 *4289:21 0 +40 *4267:25 *4289:21 0 +41 *4267:62 *4289:21 0 +*RES +1 *41286:X *4289:21 48.3282 +2 *4289:21 *4289:36 38.8408 +3 *4289:36 *38088:B 14.7464 +4 *4289:36 *6111:DIODE 32.2643 +*END + +*D_NET *4290 0.0220247 +*CONN +*I *8899:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41286:A I *D sky130_fd_sc_hd__buf_2 +*I *41287:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8899:DIODE 0.000516512 +2 *41286:A 0 +3 *41287:X 0.000975552 +4 *4290:26 0.0100368 +5 *4290:20 0.0104958 +6 *8899:DIODE *4408:15 0 +7 *8899:DIODE *4408:39 0 +8 *4290:26 *4292:22 0 +9 *4290:26 *4299:23 0 +10 *4290:26 *4299:33 0 +11 *41082:A *4290:20 0 +12 *41287:A *4290:20 0 +13 *1999:29 *4290:20 0 +14 *2017:14 *4290:20 0 +15 *2020:24 *4290:20 0 +16 *2023:15 *4290:20 0 +17 *2850:39 *4290:26 0 +18 *3157:10 *4290:20 0 +19 *3980:68 *8899:DIODE 0 +20 *3984:19 *8899:DIODE 0 +21 *3985:14 *4290:20 0 +22 *4058:18 *4290:26 0 +23 *4090:21 *4290:20 0 +24 *4107:23 *8899:DIODE 0 +25 *4110:28 *4290:26 0 +26 *4114:28 *8899:DIODE 0 +27 *4222:22 *4290:20 0 +28 *4234:43 *4290:26 0 +29 *4239:16 *4290:20 0 +30 *4269:22 *4290:26 0 +*RES +1 *41287:X *4290:20 40.7042 +2 *4290:20 *4290:26 42.8771 +3 *4290:26 *41286:A 9.3 +4 *4290:26 *8899:DIODE 29.1393 +*END + +*D_NET *4291 0.0194763 +*CONN +*I *38086:B I *D sky130_fd_sc_hd__and3b_1 +*I *6108:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41288:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38086:B 0.000180109 +2 *6108:DIODE 0.000754563 +3 *41288:X 0.00112448 +4 *4291:79 0.00131164 +5 *4291:75 0.00203923 +6 *4291:58 0.00400127 +7 *4291:37 0.00529541 +8 *4291:36 0.00330076 +9 *4291:24 0.00146884 +10 *4291:24 *38322:A 0 +11 *4291:24 *4408:15 0 +12 *4291:36 *4299:33 0 +13 *4291:58 *38074:B 0 +14 *4291:58 *38076:A_N 0 +15 *8667:DIODE *4291:37 0 +16 *8761:DIODE *4291:24 0 +17 *38080:C *4291:75 0 +18 *38092:C *6108:DIODE 0 +19 *40302:A *4291:75 0 +20 *1226:21 *4291:37 0 +21 *1439:17 *4291:75 0 +22 *2254:97 *4291:24 0 +23 *2413:98 *4291:75 0 +24 *2841:19 *4291:37 0 +25 *3183:67 *4291:75 0 +26 *3319:103 *6108:DIODE 0 +27 *3322:56 *4291:24 0 +28 *3322:69 *4291:24 0 +29 *3436:80 *4291:79 0 +30 *3443:75 *6108:DIODE 0 +31 *3445:31 *4291:36 0 +32 *3675:67 *6108:DIODE 0 +33 *3937:24 *38086:B 0 +34 *3937:24 *4291:79 0 +35 *3964:15 *4291:75 0 +36 *3977:74 *4291:58 0 +37 *3980:41 *4291:24 0 +38 *3980:68 *4291:24 0 +39 *3984:19 *4291:36 0 +40 *4008:48 *4291:58 0 +41 *4020:86 *4291:37 0 +42 *4020:86 *4291:58 0 +43 *4020:113 *4291:58 0 +44 *4024:30 *4291:37 0 +45 *4039:131 *4291:58 0 +46 *4049:43 *4291:58 0 +47 *4078:26 *4291:75 0 +48 *4096:44 *4291:36 0 +49 *4107:23 *4291:24 0 +50 *4107:23 *4291:37 0 +51 *4107:62 *4291:37 0 +52 *4120:74 *4291:37 0 +53 *4234:43 *4291:58 0 +54 *4234:45 *4291:58 0 +55 *4248:45 *4291:58 0 +56 *4279:55 *6108:DIODE 0 +57 *4289:21 *4291:58 0 +*RES +1 *41288:X *4291:24 46.9339 +2 *4291:24 *4291:36 15.9167 +3 *4291:36 *4291:37 61.7321 +4 *4291:37 *4291:58 46.8466 +5 *4291:58 *4291:75 48.3393 +6 *4291:75 *4291:79 12.5179 +7 *4291:79 *6108:DIODE 36.4683 +8 *4291:79 *38086:B 13.1214 +*END + +*D_NET *4292 0.0238425 +*CONN +*I *41288:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8901:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41289:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41288:A 0 +2 *8901:DIODE 0.000855146 +3 *41289:X 0.00107467 +4 *4292:29 0.00198247 +5 *4292:22 0.00999145 +6 *4292:16 0.0099388 +7 *8901:DIODE *4299:23 0 +8 *8901:DIODE *4299:33 0 +9 *4292:22 *4299:23 0 +10 *4292:29 *8984:DIODE 0 +11 *4292:29 *41393:A 0 +12 *4292:29 *4408:15 0 +13 *4292:29 *4409:15 0 +14 *6380:DIODE *8901:DIODE 0 +15 *37372:A *4292:16 0 +16 *1517:14 *4292:16 0 +17 *1815:42 *4292:16 0 +18 *2053:60 *4292:29 0 +19 *2210:21 *4292:16 0 +20 *2850:39 *4292:22 0 +21 *3132:15 *4292:16 0 +22 *3269:39 *4292:16 0 +23 *3330:37 *8901:DIODE 0 +24 *3526:40 *4292:29 0 +25 *3947:27 *4292:22 0 +26 *4006:12 *4292:22 0 +27 *4006:23 *4292:29 0 +28 *4020:39 *4292:29 0 +29 *4042:28 *8901:DIODE 0 +30 *4042:28 *4292:22 0 +31 *4086:38 *4292:22 0 +32 *4105:45 *8901:DIODE 0 +33 *4134:32 *4292:29 0 +34 *4231:26 *4292:22 0 +35 *4231:43 *4292:22 0 +36 *4290:26 *4292:22 0 +*RES +1 *41289:X *4292:16 33.9802 +2 *4292:16 *4292:22 41.2655 +3 *4292:22 *4292:29 32.6607 +4 *4292:29 *8901:DIODE 34.8615 +5 *4292:29 *41288:A 9.3 +*END + +*D_NET *4293 0.0010514 +*CONN +*I *40497:A I *D sky130_fd_sc_hd__buf_2 +*I *38608:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40497:A 0.0005257 +2 *38608:X 0.0005257 +3 *40497:A *5105:22 0 +4 *532:10 *40497:A 0 +5 *2860:20 *40497:A 0 +6 *3413:30 *40497:A 0 +*RES +1 *38608:X *40497:A 38.9036 +*END + +*D_NET *4294 0.0178627 +*CONN +*I *38084:B I *D sky130_fd_sc_hd__and3b_1 +*I *6105:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41290:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38084:B 0 +2 *6105:DIODE 0 +3 *41290:X 0.0008676 +4 *4294:103 0.00111387 +5 *4294:77 0.00219022 +6 *4294:67 0.00329884 +7 *4294:49 0.00496841 +8 *4294:47 0.00365106 +9 *4294:17 0.00177274 +10 *4294:17 *8984:DIODE 0 +11 *4294:17 *4305:19 0 +12 *4294:17 *4308:40 0 +13 *4294:47 *6072:DIODE 0 +14 *4294:49 *6078:DIODE 0 +15 *4294:49 *4514:15 0 +16 *4294:49 *4514:46 0 +17 *4294:103 *4297:122 0 +18 *5263:DIODE *4294:67 0 +19 *7601:DIODE *4294:103 0 +20 *8643:DIODE *4294:67 0 +21 *8647:DIODE *4294:77 0 +22 *8707:DIODE *4294:67 0 +23 *8879:DIODE *4294:47 0 +24 *8890:DIODE *4294:47 0 +25 *37672:A *4294:17 0 +26 *38084:A_N *4294:103 0 +27 *38320:B *4294:17 0 +28 *40122:A *4294:77 0 +29 *40523:A *4294:49 0 +30 *40523:A *4294:67 0 +31 *1235:15 *4294:47 0 +32 *1235:15 *4294:49 0 +33 *1235:19 *4294:49 0 +34 *1235:55 *4294:77 0 +35 *1432:52 *4294:77 0 +36 *1440:19 *4294:103 0 +37 *1979:77 *4294:17 0 +38 *1982:39 *4294:49 0 +39 *2253:65 *4294:17 0 +40 *2822:19 *4294:103 0 +41 *3263:52 *4294:77 0 +42 *3263:52 *4294:103 0 +43 *3280:10 *4294:103 0 +44 *3327:53 *4294:47 0 +45 *3327:61 *4294:47 0 +46 *3427:34 *4294:103 0 +47 *3441:10 *4294:67 0 +48 *3442:99 *4294:49 0 +49 *3534:105 *4294:47 0 +50 *3759:19 *4294:49 0 +51 *3933:64 *4294:77 0 +52 *3952:122 *4294:67 0 +53 *3980:41 *4294:17 0 +54 *4012:18 *4294:17 0 +55 *4012:18 *4294:47 0 +56 *4012:30 *4294:47 0 +57 *4012:30 *4294:49 0 +58 *4012:44 *4294:49 0 +59 *4012:68 *4294:49 0 +60 *4012:68 *4294:67 0 +61 *4012:82 *4294:67 0 +62 *4012:105 *4294:67 0 +63 *4012:105 *4294:77 0 +64 *4120:40 *4294:17 0 +65 *4269:45 *4294:49 0 +66 *4269:53 *4294:67 0 +67 *4269:61 *4294:67 0 +68 *4269:61 *4294:77 0 +69 *4269:63 *4294:103 0 +70 *4269:88 *4294:103 0 +71 *4279:23 *4294:47 0 +72 *4279:23 *4294:49 0 +73 *4279:23 *4294:67 0 +74 *4279:39 *4294:67 0 +75 *4286:65 *4294:77 0 +*RES +1 *41290:X *4294:17 45.9964 +2 *4294:17 *4294:47 38.1429 +3 *4294:47 *4294:49 57.2143 +4 *4294:49 *4294:67 47 +5 *4294:67 *4294:77 31.5714 +6 *4294:77 *6105:DIODE 9.3 +7 *4294:77 *4294:103 41.5714 +8 *4294:103 *38084:B 9.3 +*END + +*D_NET *4295 0.0201284 +*CONN +*I *38082:B I *D sky130_fd_sc_hd__and3b_1 +*I *6102:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41291:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38082:B 0 +2 *6102:DIODE 0.000204204 +3 *41291:X 0.00395283 +4 *4295:58 0.00235902 +5 *4295:53 0.00590716 +6 *4295:26 0.00770518 +7 *4295:26 *4301:56 0 +8 *4295:26 *4306:35 0 +9 *4295:26 *4306:62 0 +10 *4295:58 *4881:48 0 +11 *8651:DIODE *4295:26 0 +12 *1218:36 *4295:53 0 +13 *1218:36 *4295:58 0 +14 *1981:62 *4295:26 0 +15 *3084:34 *4295:58 0 +16 *3144:50 *4295:26 0 +17 *3154:97 *4295:53 0 +18 *3187:47 *4295:58 0 +19 *3256:23 *6102:DIODE 0 +20 *3317:29 *4295:53 0 +21 *3327:92 *4295:53 0 +22 *3334:19 *4295:26 0 +23 *3446:31 *4295:53 0 +24 *3530:62 *4295:26 0 +25 *3530:79 *4295:26 0 +26 *3530:79 *4295:53 0 +27 *3956:80 *4295:58 0 +28 *3965:96 *4295:58 0 +29 *3969:72 *4295:53 0 +30 *4012:44 *4295:53 0 +31 *4035:49 *4295:53 0 +32 *4046:70 *4295:26 0 +33 *4072:51 *4295:58 0 +34 *4083:63 *4295:26 0 +35 *4117:51 *4295:53 0 +36 *4117:51 *4295:58 0 +37 *4121:50 *4295:58 0 +38 *4135:96 *4295:53 0 +39 *4166:60 *4295:53 0 +40 *4246:27 *4295:26 0 +41 *4250:23 *4295:26 0 +42 *4275:26 *4295:26 0 +43 *4276:20 *4295:58 0 +44 *4277:50 *4295:53 0 +45 *4288:30 *4295:26 0 +46 *4288:52 *4295:26 0 +*RES +1 *41291:X *4295:26 49.006 +2 *4295:26 *4295:53 43.5716 +3 *4295:53 *4295:58 11.98 +4 *4295:58 *6102:DIODE 18.3179 +5 *4295:58 *38082:B 13.8 +*END + +*D_NET *4296 0.0206044 +*CONN +*I *8903:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41291:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *41292:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8903:DIODE 0.000219468 +2 *41291:A 8.57316e-05 +3 *41292:X 0.00149955 +4 *4296:53 0.000704777 +5 *4296:50 0.00207874 +6 *4296:35 0.00385074 +7 *4296:32 0.00226293 +8 *4296:23 0.00328391 +9 *4296:21 0.00415578 +10 *4296:14 0.00246277 +11 *8903:DIODE *4300:15 0 +12 *41291:A *4303:43 0 +13 *8089:DIODE *4296:23 0 +14 *8226:DIODE *4296:50 0 +15 *8537:DIODE *4296:35 0 +16 *8651:DIODE *41291:A 0 +17 *8911:DIODE *4296:32 0 +18 *41012:A *4296:23 0 +19 *41187:A *4296:21 0 +20 *1958:19 *4296:14 0 +21 *1961:26 *4296:23 0 +22 *1966:44 *4296:35 0 +23 *2003:7 *4296:14 0 +24 *2014:35 *4296:23 0 +25 *2037:13 *4296:14 0 +26 *2070:56 *4296:35 0 +27 *2126:13 *4296:14 0 +28 *2150:20 *4296:14 0 +29 *3147:55 *4296:23 0 +30 *3232:24 *4296:14 0 +31 *3247:17 *8903:DIODE 0 +32 *3247:17 *4296:53 0 +33 *3247:22 *4296:35 0 +34 *3247:22 *4296:50 0 +35 *3259:77 *4296:50 0 +36 *3270:118 *4296:50 0 +37 *3328:43 *4296:50 0 +38 *3934:41 *4296:35 0 +39 *3934:59 *4296:35 0 +40 *3992:14 *4296:50 0 +41 *4118:21 *4296:14 0 +42 *4122:56 *4296:35 0 +43 *4122:65 *4296:35 0 +44 *4155:11 *4296:23 0 +45 *4155:11 *4296:35 0 +46 *4155:40 *4296:14 0 +47 *4179:20 *4296:14 0 +48 *4265:19 *4296:14 0 +*RES +1 *41292:X *4296:14 38.4157 +2 *4296:14 *4296:21 20.4107 +3 *4296:21 *4296:23 66.6607 +4 *4296:23 *4296:32 11.2143 +5 *4296:32 *4296:35 45.3214 +6 *4296:35 *4296:50 48.9107 +7 *4296:50 *4296:53 12.8393 +8 *4296:53 *41291:A 20.2464 +9 *4296:53 *8903:DIODE 13.9429 +*END + +*D_NET *4297 0.0232307 +*CONN +*I *6099:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38080:B I *D sky130_fd_sc_hd__and3b_1 +*I *41293:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6099:DIODE 4.28461e-05 +2 *38080:B 0.000152709 +3 *41293:X 0.00109209 +4 *4297:122 0.0011478 +5 *4297:108 0.00259599 +6 *4297:91 0.00321264 +7 *4297:66 0.00349229 +8 *4297:55 0.00378353 +9 *4297:44 0.00329709 +10 *4297:38 0.00237931 +11 *4297:24 0.00203444 +12 *4297:24 *4300:15 0 +13 *4297:38 *5170:52 0 +14 *4297:55 *4300:34 0 +15 *4297:55 *4509:53 0 +16 *4297:91 *4875:17 0 +17 *4297:91 *4889:22 0 +18 *7601:DIODE *4297:122 0 +19 *8467:DIODE *4297:108 0 +20 *8628:DIODE *4297:108 0 +21 *38080:C *38080:B 0 +22 *38090:A_N *4297:108 0 +23 *38092:B *4297:122 0 +24 *40258:A *4297:55 0 +25 *40372:A *4297:91 0 +26 *40382:A *4297:66 0 +27 *40599:A *4297:38 0 +28 *41050:A *4297:122 0 +29 *1227:45 *4297:55 0 +30 *1227:45 *4297:66 0 +31 *1227:45 *4297:91 0 +32 *1227:67 *4297:91 0 +33 *1235:55 *4297:108 0 +34 *1238:38 *4297:91 0 +35 *1435:19 *4297:91 0 +36 *1440:19 *4297:122 0 +37 *2425:57 *4297:91 0 +38 *2671:14 *4297:91 0 +39 *2727:49 *4297:55 0 +40 *3134:59 *4297:55 0 +41 *3173:11 *4297:66 0 +42 *3183:61 *4297:122 0 +43 *3183:67 *38080:B 0 +44 *3206:25 *4297:24 0 +45 *3207:35 *4297:91 0 +46 *3217:69 *4297:55 0 +47 *3217:71 *4297:55 0 +48 *3224:9 *4297:91 0 +49 *3261:59 *4297:91 0 +50 *3263:52 *4297:122 0 +51 *3265:70 *4297:91 0 +52 *3270:12 *4297:66 0 +53 *3279:81 *4297:108 0 +54 *3312:27 *4297:44 0 +55 *3312:78 *4297:66 0 +56 *3321:90 *4297:91 0 +57 *3321:112 *4297:108 0 +58 *3436:64 *4297:122 0 +59 *3534:38 *4297:108 0 +60 *3702:125 *38080:B 0 +61 *3702:125 *4297:122 0 +62 *3939:141 *4297:108 0 +63 *3955:8 *4297:108 0 +64 *3973:56 *4297:122 0 +65 *4008:99 *4297:108 0 +66 *4012:105 *4297:122 0 +67 *4020:129 *4297:108 0 +68 *4029:16 *4297:24 0 +69 *4029:89 *4297:122 0 +70 *4045:43 *4297:108 0 +71 *4060:19 *4297:55 0 +72 *4060:19 *4297:66 0 +73 *4061:53 *4297:38 0 +74 *4112:47 *4297:24 0 +75 *4112:60 *4297:55 0 +76 *4135:45 *4297:24 0 +77 *4233:54 *4297:91 0 +78 *4250:42 *4297:24 0 +79 *4264:9 *4297:38 0 +80 *4264:9 *4297:44 0 +81 *4264:42 *4297:66 0 +82 *4284:94 *4297:66 0 +83 *4286:11 *4297:24 0 +84 *4286:38 *4297:55 0 +85 *4286:39 *4297:55 0 +86 *4286:61 *4297:91 0 +87 *4286:65 *4297:122 0 +88 *4294:103 *4297:122 0 +*RES +1 *41293:X *4297:24 46.1214 +2 *4297:24 *4297:38 42.2857 +3 *4297:38 *4297:44 39.1964 +4 *4297:44 *4297:55 39.0357 +5 *4297:55 *4297:66 49.8214 +6 *4297:66 *4297:91 47.8338 +7 *4297:91 *4297:108 49.8214 +8 *4297:108 *4297:122 30.125 +9 *4297:122 *38080:B 17.3357 +10 *4297:122 *6099:DIODE 14.8357 +*END + +*D_NET *4298 0.0202941 +*CONN +*I *6096:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38078:B I *D sky130_fd_sc_hd__and3b_1 +*I *41294:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6096:DIODE 6.18294e-05 +2 *38078:B 1.21519e-05 +3 *41294:X 0.00681721 +4 *4298:55 0.000903351 +5 *4298:40 0.00325588 +6 *4298:20 0.00924372 +7 *4298:20 *4376:49 0 +8 *4298:55 *4324:70 0 +9 *8629:DIODE *4298:20 0 +10 *38078:C *6096:DIODE 0 +11 *40271:A *4298:20 0 +12 *41106:A *4298:20 0 +13 *1438:30 *4298:55 0 +14 *1979:77 *4298:20 0 +15 *2080:12 *4298:20 0 +16 *2117:26 *4298:20 0 +17 *2123:24 *4298:20 0 +18 *2128:12 *4298:20 0 +19 *2133:72 *4298:20 0 +20 *2136:45 *4298:20 0 +21 *2325:78 *4298:55 0 +22 *3236:86 *4298:20 0 +23 *3255:27 *4298:20 0 +24 *3269:19 *4298:20 0 +25 *3320:82 *4298:20 0 +26 *3320:169 *4298:40 0 +27 *3322:131 *4298:55 0 +28 *3322:146 *4298:55 0 +29 *3355:69 *4298:20 0 +30 *3442:92 *4298:40 0 +31 *3702:64 *4298:40 0 +32 *3744:20 *4298:55 0 +33 *3943:50 *6096:DIODE 0 +34 *3965:20 *4298:20 0 +35 *3971:33 *4298:55 0 +36 *3980:80 *4298:40 0 +37 *3989:67 *4298:55 0 +38 *4039:83 *4298:40 0 +39 *4042:37 *4298:40 0 +40 *4046:36 *4298:20 0 +41 *4072:51 *4298:20 0 +42 *4072:51 *4298:40 0 +43 *4075:14 *4298:20 0 +44 *4075:126 *4298:55 0 +45 *4083:112 *4298:55 0 +46 *4090:49 *4298:20 0 +47 *4113:75 *4298:55 0 +48 *4117:18 *4298:20 0 +49 *4117:51 *4298:40 0 +50 *4125:15 *4298:20 0 +51 *4166:35 *4298:20 0 +52 *4221:21 *4298:20 0 +53 *4221:21 *4298:40 0 +54 *4269:22 *4298:20 0 +55 *4269:22 *4298:40 0 +*RES +1 *41294:X *4298:20 47.1942 +2 *4298:20 *4298:40 48.7183 +3 *4298:40 *4298:55 16.7921 +4 *4298:55 *38078:B 14.0768 +5 *4298:55 *6096:DIODE 15.2196 +*END + +*D_NET *4299 0.022938 +*CONN +*I *6093:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38076:B I *D sky130_fd_sc_hd__and3b_1 +*I *41295:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6093:DIODE 0.000735871 +2 *38076:B 0.000144749 +3 *41295:X 0.00543407 +4 *4299:33 0.00603493 +5 *4299:23 0.0105884 +6 *8901:DIODE *4299:23 0 +7 *8901:DIODE *4299:33 0 +8 *37668:B *38076:B 0 +9 *40978:A *4299:23 0 +10 *2102:16 *4299:23 0 +11 *2106:32 *4299:33 0 +12 *2154:16 *4299:23 0 +13 *2236:25 *4299:23 0 +14 *3143:25 *4299:23 0 +15 *3330:37 *4299:23 0 +16 *3358:23 *4299:23 0 +17 *3961:30 *4299:23 0 +18 *3992:38 *4299:33 0 +19 *4009:38 *4299:33 0 +20 *4020:113 *38076:B 0 +21 *4042:28 *4299:23 0 +22 *4058:18 *6093:DIODE 0 +23 *4058:18 *4299:33 0 +24 *4096:44 *4299:33 0 +25 *4105:45 *4299:23 0 +26 *4110:57 *4299:33 0 +27 *4234:11 *4299:23 0 +28 *4267:25 *6093:DIODE 0 +29 *4267:25 *4299:33 0 +30 *4269:22 *4299:33 0 +31 *4290:26 *4299:23 0 +32 *4290:26 *4299:33 0 +33 *4291:36 *4299:33 0 +34 *4292:22 *4299:23 0 +*RES +1 *41295:X *4299:23 46.2864 +2 *4299:23 *4299:33 18.858 +3 *4299:33 *38076:B 20.4511 +4 *4299:33 *6093:DIODE 22.4279 +*END + +*D_NET *4300 0.0212808 +*CONN +*I *38074:B I *D sky130_fd_sc_hd__and3b_1 +*I *6090:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41296:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38074:B 0.000346403 +2 *6090:DIODE 0 +3 *41296:X 0.000774172 +4 *4300:65 0.00127632 +5 *4300:56 0.00254042 +6 *4300:34 0.00363755 +7 *4300:15 0.00616422 +8 *4300:13 0.00495236 +9 *4300:10 0.00158937 +10 *4300:15 *4303:140 0 +11 *4300:34 *4303:140 0 +12 *4300:56 *4509:69 0 +13 *4300:56 *4516:58 0 +14 *4300:65 *4516:58 0 +15 *4300:65 *4521:41 0 +16 *8226:DIODE *4300:13 0 +17 *8226:DIODE *4300:15 0 +18 *8526:DIODE *4300:15 0 +19 *8903:DIODE *4300:15 0 +20 *37392:A *4300:15 0 +21 *40333:A *4300:34 0 +22 *41060:A *4300:13 0 +23 *41247:A *4300:13 0 +24 *41274:A *38074:B 0 +25 *41281:A *4300:10 0 +26 *1215:43 *4300:56 0 +27 *1996:41 *4300:10 0 +28 *2671:44 *38074:B 0 +29 *3192:66 *4300:65 0 +30 *3206:25 *4300:15 0 +31 *3229:26 *4300:56 0 +32 *3247:17 *4300:13 0 +33 *3247:17 *4300:15 0 +34 *3247:22 *4300:13 0 +35 *3270:62 *4300:15 0 +36 *3270:72 *4300:15 0 +37 *3270:93 *4300:15 0 +38 *3328:53 *4300:13 0 +39 *3530:62 *4300:15 0 +40 *3807:17 *4300:10 0 +41 *3814:17 *4300:10 0 +42 *3933:16 *4300:15 0 +43 *3939:117 *38074:B 0 +44 *3939:117 *4300:65 0 +45 *4029:16 *4300:15 0 +46 *4032:37 *4300:15 0 +47 *4032:81 *4300:56 0 +48 *4049:43 *38074:B 0 +49 *4112:47 *4300:15 0 +50 *4112:47 *4300:34 0 +51 *4112:60 *4300:34 0 +52 *4135:21 *4300:15 0 +53 *4275:56 *4300:56 0 +54 *4286:11 *4300:15 0 +55 *4286:20 *4300:15 0 +56 *4286:38 *4300:34 0 +57 *4291:58 *38074:B 0 +58 *4297:24 *4300:15 0 +59 *4297:55 *4300:34 0 +*RES +1 *41296:X *4300:10 34.6393 +2 *4300:10 *4300:13 16.9821 +3 *4300:13 *4300:15 86.375 +4 *4300:15 *4300:34 47.75 +5 *4300:34 *4300:56 47.3619 +6 *4300:56 *4300:65 24.8304 +7 *4300:65 *6090:DIODE 9.3 +8 *4300:65 *38074:B 25.9964 +*END + +*D_NET *4301 0.0192692 +*CONN +*I *6087:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38072:B I *D sky130_fd_sc_hd__and3b_1 +*I *41297:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6087:DIODE 0 +2 *38072:B 0.000350387 +3 *41297:X 0.00107014 +4 *4301:79 0.00109185 +5 *4301:67 0.00252448 +6 *4301:59 0.00393192 +7 *4301:56 0.00238225 +8 *4301:47 0.00207298 +9 *4301:36 0.00330734 +10 *4301:24 0.00253784 +11 *38072:B *4520:79 0 +12 *38094:A_N *4301:79 0 +13 *38094:B *4301:67 0 +14 *39627:A *4301:79 0 +15 *40336:A *4301:59 0 +16 *41053:A *38072:B 0 +17 *1235:38 *4301:67 0 +18 *1969:12 *4301:24 0 +19 *1979:57 *4301:24 0 +20 *1979:57 *4301:36 0 +21 *2431:15 *4301:67 0 +22 *3202:42 *4301:67 0 +23 *3202:48 *4301:59 0 +24 *3202:48 *4301:67 0 +25 *3219:12 *4301:56 0 +26 *3219:12 *4301:59 0 +27 *3219:66 *4301:36 0 +28 *3219:73 *4301:24 0 +29 *3236:22 *4301:59 0 +30 *3236:38 *4301:47 0 +31 *3236:38 *4301:59 0 +32 *3236:53 *4301:47 0 +33 *3236:86 *4301:24 0 +34 *3243:51 *4301:24 0 +35 *3255:13 *4301:47 0 +36 *3256:39 *4301:67 0 +37 *3317:44 *4301:59 0 +38 *3319:22 *4301:47 0 +39 *3327:31 *4301:24 0 +40 *3354:10 *4301:36 0 +41 *3355:69 *4301:24 0 +42 *3441:21 *38072:B 0 +43 *3443:36 *38072:B 0 +44 *3446:89 *4301:79 0 +45 *3530:62 *4301:56 0 +46 *3534:58 *4301:79 0 +47 *3675:53 *38072:B 0 +48 *3952:72 *4301:47 0 +49 *3952:108 *4301:67 0 +50 *3952:108 *4301:79 0 +51 *4029:49 *4301:56 0 +52 *4029:81 *4301:79 0 +53 *4046:24 *4301:24 0 +54 *4060:19 *4301:59 0 +55 *4083:63 *4301:56 0 +56 *4135:62 *4301:59 0 +57 *4135:62 *4301:67 0 +58 *4221:48 *4301:79 0 +59 *4295:26 *4301:56 0 +*RES +1 *41297:X *4301:24 46.1571 +2 *4301:24 *4301:36 44.6607 +3 *4301:36 *4301:47 47.5893 +4 *4301:47 *4301:56 14.6786 +5 *4301:56 *4301:59 44.9107 +6 *4301:59 *4301:67 46.3214 +7 *4301:67 *4301:79 28.8358 +8 *4301:79 *38072:B 26.2107 +9 *4301:79 *6087:DIODE 9.3 +*END + +*D_NET *4302 0.0218742 +*CONN +*I *8907:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41297:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *41298:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8907:DIODE 0.000177832 +2 *41297:A 0.000266102 +3 *41298:X 0.00600842 +4 *4302:51 0.0049287 +5 *4302:26 0.0104932 +6 *8907:DIODE *4306:35 0 +7 *4302:51 *4303:30 0 +8 *4302:51 *4306:35 0 +9 *4302:51 *4485:32 0 +10 *1959:17 *4302:26 0 +11 *1960:13 *4302:51 0 +12 *1998:51 *4302:26 0 +13 *2011:19 *4302:26 0 +14 *2014:35 *4302:26 0 +15 *2020:24 *4302:26 0 +16 *2110:23 *4302:51 0 +17 *2150:20 *4302:26 0 +18 *2240:25 *4302:51 0 +19 *3143:25 *4302:51 0 +20 *3232:24 *4302:26 0 +21 *3327:18 *41297:A 0 +22 *3355:42 *41297:A 0 +23 *3926:13 *4302:26 0 +24 *4047:33 *4302:26 0 +25 *4047:58 *4302:51 0 +26 *4064:24 *4302:26 0 +27 *4076:32 *4302:26 0 +28 *4076:63 *4302:51 0 +29 *4135:21 *8907:DIODE 0 +30 *4135:21 *4302:51 0 +31 *4243:21 *4302:51 0 +32 *4250:23 *4302:51 0 +33 *4265:19 *4302:51 0 +34 *4287:35 *4302:26 0 +35 *4287:49 *4302:51 0 +*RES +1 *41298:X *4302:26 49.2622 +2 *4302:26 *4302:51 43.1544 +3 *4302:51 *41297:A 23.2546 +4 *4302:51 *8907:DIODE 18.1103 +*END + +*D_NET *4303 0.0227282 +*CONN +*I *38070:B I *D sky130_fd_sc_hd__and3b_1 +*I *6084:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41299:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38070:B 0.000200644 +2 *6084:DIODE 0 +3 *41299:X 0.00110984 +4 *4303:167 0.00171928 +5 *4303:140 0.00353035 +6 *4303:112 0.00370594 +7 *4303:96 0.00301133 +8 *4303:81 0.00234773 +9 *4303:43 0.00250399 +10 *4303:30 0.00248131 +11 *4303:14 0.00211778 +12 *4303:112 *8221:DIODE 0 +13 *4303:140 *4510:30 0 +14 *4303:167 *4305:55 0 +15 *4303:167 *4514:27 0 +16 *4303:167 *4516:17 0 +17 *8702:DIODE *4303:96 0 +18 *8774:DIODE *4303:43 0 +19 *40438:A *4303:81 0 +20 *40845:A *4303:14 0 +21 *40982:A *4303:43 0 +22 *41043:A *4303:81 0 +23 *41285:A *4303:81 0 +24 *41291:A *4303:43 0 +25 *41295:A *4303:14 0 +26 *41296:A *4303:14 0 +27 *41296:A *4303:30 0 +28 *1432:30 *4303:167 0 +29 *2050:62 *4303:43 0 +30 *2093:43 *4303:14 0 +31 *2113:28 *4303:96 0 +32 *2115:70 *4303:112 0 +33 *2121:30 *4303:43 0 +34 *2123:24 *4303:30 0 +35 *2154:16 *4303:14 0 +36 *2240:25 *4303:14 0 +37 *2605:23 *4303:167 0 +38 *3133:12 *4303:140 0 +39 *3133:40 *4303:112 0 +40 *3139:10 *4303:14 0 +41 *3144:50 *4303:112 0 +42 *3153:24 *4303:112 0 +43 *3172:13 *4303:30 0 +44 *3173:51 *4303:112 0 +45 *3224:46 *4303:140 0 +46 *3270:42 *4303:140 0 +47 *3270:93 *4303:81 0 +48 *3327:18 *4303:43 0 +49 *3334:19 *4303:81 0 +50 *3530:62 *4303:140 0 +51 *3530:79 *4303:140 0 +52 *3531:10 *4303:112 0 +53 *3933:16 *4303:81 0 +54 *3934:77 *4303:30 0 +55 *3939:99 *38070:B 0 +56 *3939:99 *4303:167 0 +57 *3939:117 *38070:B 0 +58 *3952:26 *4303:43 0 +59 *3952:26 *4303:81 0 +60 *3965:66 *38070:B 0 +61 *3965:66 *4303:167 0 +62 *3969:72 *4303:167 0 +63 *3998:19 *4303:30 0 +64 *4006:12 *4303:96 0 +65 *4012:44 *4303:167 0 +66 *4020:17 *4303:96 0 +67 *4032:13 *4303:81 0 +68 *4032:37 *4303:81 0 +69 *4032:60 *4303:112 0 +70 *4035:49 *4303:167 0 +71 *4039:18 *4303:43 0 +72 *4053:92 *4303:167 0 +73 *4060:32 *4303:167 0 +74 *4061:24 *4303:43 0 +75 *4061:24 *4303:81 0 +76 *4061:51 *4303:81 0 +77 *4061:51 *4303:96 0 +78 *4061:53 *4303:96 0 +79 *4083:52 *4303:112 0 +80 *4083:63 *4303:112 0 +81 *4112:19 *4303:43 0 +82 *4112:19 *4303:81 0 +83 *4121:27 *4303:140 0 +84 *4221:21 *4303:140 0 +85 *4227:57 *4303:167 0 +86 *4250:23 *4303:30 0 +87 *4250:46 *4303:112 0 +88 *4255:13 *4303:167 0 +89 *4264:9 *4303:112 0 +90 *4269:36 *4303:167 0 +91 *4272:28 *4303:167 0 +92 *4277:50 *4303:140 0 +93 *4284:51 *4303:81 0 +94 *4284:51 *4303:96 0 +95 *4284:62 *4303:96 0 +96 *4286:20 *4303:140 0 +97 *4288:30 *4303:81 0 +98 *4300:15 *4303:140 0 +99 *4300:34 *4303:140 0 +100 *4302:51 *4303:30 0 +*RES +1 *41299:X *4303:14 46.0679 +2 *4303:14 *4303:30 38.2299 +3 *4303:30 *4303:43 49.4464 +4 *4303:43 *4303:81 49.8571 +5 *4303:81 *4303:96 45.7857 +6 *4303:96 *4303:112 49.4107 +7 *4303:112 *4303:140 49.9524 +8 *4303:140 *4303:167 43.891 +9 *4303:167 *6084:DIODE 9.3 +10 *4303:167 *38070:B 13.55 +*END + +*D_NET *4304 0.00101589 +*CONN +*I *40496:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38609:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40496:A 0.000507946 +2 *38609:X 0.000507946 +3 *40496:A *5165:35 0 +4 *40496:A *5182:142 0 +5 *2882:10 *40496:A 0 +6 *3135:39 *40496:A 0 +7 *3417:18 *40496:A 0 +8 *3571:10 *40496:A 0 +*RES +1 *38609:X *40496:A 38.6536 +*END + +*D_NET *4305 0.0202842 +*CONN +*I *38066:B I *D sky130_fd_sc_hd__and3b_1 +*I *6078:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41300:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38066:B 0.000108729 +2 *6078:DIODE 0.000117709 +3 *41300:X 0.000290859 +4 *4305:55 0.00143287 +5 *4305:50 0.00226005 +6 *4305:19 0.00379504 +7 *4305:18 0.00289153 +8 *4305:13 0.00462332 +9 *4305:12 0.00476407 +10 *38066:B *4514:27 0 +11 *4305:50 *4512:23 0 +12 *4305:50 *4512:44 0 +13 *4305:55 *4512:21 0 +14 *5651:DIODE *4305:50 0 +15 *8522:DIODE *4305:55 0 +16 *8874:DIODE *4305:55 0 +17 *8890:DIODE *4305:19 0 +18 *37672:A *4305:19 0 +19 *38212:B *4305:55 0 +20 *41005:A *4305:50 0 +21 *1235:15 *4305:19 0 +22 *1966:31 *4305:12 0 +23 *2065:18 *4305:13 0 +24 *2125:14 *4305:13 0 +25 *2129:29 *4305:50 0 +26 *2131:60 *4305:13 0 +27 *2131:78 *4305:19 0 +28 *2141:64 *4305:50 0 +29 *2145:24 *4305:13 0 +30 *2258:56 *4305:55 0 +31 *3133:61 *4305:19 0 +32 *3133:65 *4305:13 0 +33 *3133:70 *4305:13 0 +34 *3157:5 *4305:13 0 +35 *3157:5 *4305:19 0 +36 *3202:75 *4305:50 0 +37 *3210:87 *4305:55 0 +38 *3219:66 *4305:18 0 +39 *3243:41 *4305:19 0 +40 *3243:50 *4305:18 0 +41 *3327:31 *4305:13 0 +42 *3446:28 *4305:19 0 +43 *3969:72 *4305:50 0 +44 *4012:18 *4305:19 0 +45 *4025:61 *4305:50 0 +46 *4025:61 *4305:55 0 +47 *4035:49 *4305:50 0 +48 *4053:66 *4305:50 0 +49 *4053:66 *4305:55 0 +50 *4075:106 *4305:55 0 +51 *4227:23 *4305:50 0 +52 *4227:57 *4305:50 0 +53 *4236:30 *4305:50 0 +54 *4261:27 *4305:50 0 +55 *4269:36 *4305:55 0 +56 *4279:23 *6078:DIODE 0 +57 *4294:17 *4305:19 0 +58 *4294:49 *6078:DIODE 0 +59 *4303:167 *4305:55 0 +*RES +1 *41300:X *4305:12 24.675 +2 *4305:12 *4305:13 93.3571 +3 *4305:13 *4305:18 12.4107 +4 *4305:18 *4305:19 57.2143 +5 *4305:19 *4305:50 46.3058 +6 *4305:50 *4305:55 34.1786 +7 *4305:55 *6078:DIODE 16.3893 +8 *4305:55 *38066:B 16.2107 +*END + +*D_NET *4306 0.0225472 +*CONN +*I *6075:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38064:B I *D sky130_fd_sc_hd__and3b_1 +*I *41301:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6075:DIODE 0 +2 *38064:B 0.000373104 +3 *41301:X 0.00372529 +4 *4306:66 0.000770614 +5 *4306:62 0.00717521 +6 *4306:35 0.010503 +7 *4306:62 *4513:48 0 +8 *8779:DIODE *4306:35 0 +9 *8907:DIODE *4306:35 0 +10 *1433:15 *4306:66 0 +11 *1434:26 *38064:B 0 +12 *1996:41 *4306:35 0 +13 *2052:26 *4306:35 0 +14 *2053:54 *4306:62 0 +15 *2110:23 *4306:35 0 +16 *2139:67 *4306:35 0 +17 *2627:10 *4306:62 0 +18 *3154:97 *4306:62 0 +19 *3229:26 *4306:62 0 +20 *3318:13 *4306:62 0 +21 *3327:92 *38064:B 0 +22 *3744:36 *4306:62 0 +23 *3797:90 *4306:62 0 +24 *3939:93 *4306:62 0 +25 *4112:40 *4306:62 0 +26 *4135:21 *4306:35 0 +27 *4135:45 *4306:62 0 +28 *4236:65 *38064:B 0 +29 *4250:23 *4306:35 0 +30 *4265:19 *4306:35 0 +31 *4275:56 *4306:62 0 +32 *4287:49 *4306:35 0 +33 *4287:49 *4306:62 0 +34 *4288:30 *4306:62 0 +35 *4288:52 *4306:62 0 +36 *4295:26 *4306:35 0 +37 *4295:26 *4306:62 0 +38 *4302:51 *4306:35 0 +*RES +1 *41301:X *4306:35 48.9835 +2 *4306:35 *4306:62 48.5418 +3 *4306:62 *4306:66 13.0357 +4 *4306:66 *38064:B 22.3714 +5 *4306:66 *6075:DIODE 13.8 +*END + +*D_NET *4307 0.0200535 +*CONN +*I *38062:B I *D sky130_fd_sc_hd__and3b_1 +*I *6072:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41302:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38062:B 0.000121641 +2 *6072:DIODE 0.00015424 +3 *41302:X 0.00322831 +4 *4307:21 0.00679843 +5 *4307:11 0.00975086 +6 *41175:A *4307:11 0 +7 *41175:A *4307:21 0 +8 *1818:31 *4307:11 0 +9 *2039:14 *4307:11 0 +10 *2053:7 *4307:11 0 +11 *2056:17 *4307:11 0 +12 *2065:15 *4307:11 0 +13 *2114:26 *4307:11 0 +14 *3153:100 *4307:11 0 +15 *3202:75 *6072:DIODE 0 +16 *3202:75 *38062:B 0 +17 *3210:87 *38062:B 0 +18 *3969:72 *4307:21 0 +19 *4012:30 *6072:DIODE 0 +20 *4025:20 *4307:11 0 +21 *4056:17 *4307:11 0 +22 *4105:10 *4307:11 0 +23 *4116:43 *4307:21 0 +24 *4227:23 *4307:21 0 +25 *4258:19 *4307:11 0 +26 *4258:34 *4307:21 0 +27 *4280:20 *4307:11 0 +28 *4280:20 *4307:21 0 +29 *4294:47 *6072:DIODE 0 +*RES +1 *41302:X *4307:11 39.6105 +2 *4307:11 *4307:21 33.8976 +3 *4307:21 *6072:DIODE 17.1839 +4 *4307:21 *38062:B 16.5411 +*END + +*D_NET *4308 0.0205376 +*CONN +*I *38060:B I *D sky130_fd_sc_hd__and3b_1 +*I *6069:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41303:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38060:B 0.000220376 +2 *6069:DIODE 0 +3 *41303:X 0.000780486 +4 *4308:52 0.00177838 +5 *4308:40 0.00331876 +6 *4308:15 0.00770992 +7 *4308:14 0.00672965 +8 *4308:15 *4409:9 0 +9 *4308:40 *8984:DIODE 0 +10 *8722:DIODE *4308:40 0 +11 *8767:DIODE *4308:52 0 +12 *41005:A *4308:40 0 +13 *41027:A *4308:40 0 +14 *41063:A *4308:14 0 +15 *41260:A *4308:52 0 +16 *41268:A *4308:40 0 +17 *2047:10 *4308:15 0 +18 *2050:30 *4308:14 0 +19 *2095:17 *4308:14 0 +20 *3518:54 *4308:52 0 +21 *3977:14 *4308:40 0 +22 *3980:68 *4308:40 0 +23 *3980:80 *38060:B 0 +24 *3984:19 *4308:40 0 +25 *3989:21 *4308:15 0 +26 *3989:41 *4308:40 0 +27 *4001:23 *4308:40 0 +28 *4017:29 *4308:52 0 +29 *4017:53 *4308:52 0 +30 *4039:41 *4308:15 0 +31 *4039:41 *4308:40 0 +32 *4108:43 *4308:15 0 +33 *4108:43 *4308:40 0 +34 *4120:40 *4308:40 0 +35 *4248:24 *4308:40 0 +36 *4283:61 *4308:52 0 +37 *4294:17 *4308:40 0 +*RES +1 *41303:X *4308:14 35.8357 +2 *4308:14 *4308:15 124.161 +3 *4308:15 *4308:40 46.5714 +4 *4308:40 *4308:52 37.4643 +5 *4308:52 *6069:DIODE 13.8 +6 *4308:52 *38060:B 18.6393 +*END + +*D_NET *4309 0.0265741 +*CONN +*I *6066:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38058:B I *D sky130_fd_sc_hd__and3b_1 +*I *41304:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *6066:DIODE 0.000590627 +2 *38058:B 0.00011676 +3 *41304:X 0.0015178 +4 *4309:63 0.00292542 +5 *4309:40 0.0091739 +6 *4309:24 0.00884381 +7 *4309:14 0.00340575 +8 *4309:40 *4320:28 0 +9 *4309:40 *4328:24 0 +10 *4309:40 *4340:26 0 +11 *4309:40 *4344:17 0 +12 *4309:63 *4351:33 0 +13 *6067:DIODE *6066:DIODE 0 +14 *8415:DIODE *4309:63 0 +15 *1231:37 *4309:14 0 +16 *1418:25 *6066:DIODE 0 +17 *1436:25 *4309:24 0 +18 *1445:37 *4309:63 0 +19 *1546:12 *6066:DIODE 0 +20 *2365:71 *4309:40 0 +21 *2417:100 *4309:40 0 +22 *2420:34 *4309:14 0 +23 *2429:34 *4309:40 0 +24 *2920:19 *4309:40 0 +25 *2932:8 *4309:14 0 +26 *2946:13 *4309:24 0 +27 *2975:9 *4309:24 0 +28 *2998:11 *4309:24 0 +29 *3285:167 *38058:B 0 +30 *3318:66 *4309:40 0 +31 *3362:26 *4309:14 0 +32 *3388:60 *4309:40 0 +33 *3493:29 *38058:B 0 +34 *3647:63 *6066:DIODE 0 +35 *3653:56 *4309:63 0 +36 *3713:17 *4309:14 0 +37 *3717:31 *4309:40 0 +38 *3726:89 *4309:40 0 +39 *3733:44 *4309:14 0 +40 *3733:52 *4309:14 0 +41 *3733:131 *4309:40 0 +42 *3758:53 *4309:63 0 +43 *3765:22 *4309:63 0 +44 *3941:19 *4309:63 0 +45 *3942:70 *4309:24 0 +46 *3991:108 *4309:40 0 +47 *4034:88 *4309:63 0 +48 *4034:95 *4309:63 0 +*RES +1 *41304:X *4309:14 48.3357 +2 *4309:14 *4309:24 48.6339 +3 *4309:24 *4309:40 46.0757 +4 *4309:40 *4309:63 43.5868 +5 *4309:63 *38058:B 16.5143 +6 *4309:63 *6066:DIODE 26.9786 +*END + +*D_NET *4310 0.02117 +*CONN +*I *8912:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41304:A I *D sky130_fd_sc_hd__buf_2 +*I *41305:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8912:DIODE 0 +2 *41304:A 0.000253748 +3 *41305:X 0.00116296 +4 *4310:80 0.00179717 +5 *4310:59 0.00802405 +6 *4310:40 0.00762487 +7 *4310:20 0.00230721 +8 *4310:20 *4325:34 0 +9 *4310:20 *5149:9 0 +10 *4310:40 *4338:30 0 +11 *4310:40 *4345:42 0 +12 *4310:59 *4324:20 0 +13 *4310:59 *4324:43 0 +14 *4310:80 *4314:20 0 +15 *4310:80 *4316:34 0 +16 *4310:80 *4328:24 0 +17 *4310:80 *4352:19 0 +18 *4310:80 *4367:61 0 +19 *40664:A *4310:40 0 +20 *2258:51 *4310:59 0 +21 *2262:26 *4310:40 0 +22 *2271:37 *4310:20 0 +23 *2275:46 *4310:20 0 +24 *2297:14 *4310:40 0 +25 *2302:37 *4310:40 0 +26 *2303:24 *4310:40 0 +27 *2304:51 *4310:80 0 +28 *2309:21 *4310:20 0 +29 *2310:16 *4310:20 0 +30 *2320:19 *4310:40 0 +31 *2325:27 *4310:40 0 +32 *2332:17 *4310:40 0 +33 *2437:40 *4310:59 0 +34 *2928:76 *4310:80 0 +35 *2947:87 *4310:59 0 +36 *2948:16 *4310:59 0 +37 *2948:25 *4310:40 0 +38 *3085:78 *4310:40 0 +39 *3103:22 *4310:20 0 +40 *3176:25 *4310:20 0 +41 *3180:14 *4310:20 0 +42 *3180:14 *4310:59 0 +43 *3358:23 *4310:20 0 +44 *3372:76 *4310:59 0 +45 *3386:42 *4310:59 0 +46 *3526:97 *41304:A 0 +47 *3546:50 *4310:59 0 +48 *3653:36 *41304:A 0 +49 *3692:9 *4310:59 0 +50 *3698:48 *4310:59 0 +51 *3733:20 *4310:80 0 +52 *3803:24 *41304:A 0 +53 *3819:19 *41304:A 0 +54 *3820:11 *4310:80 0 +55 *3984:46 *4310:59 0 +56 *3984:68 *4310:59 0 +57 *4109:48 *4310:59 0 +58 *4218:24 *4310:59 0 +59 *4218:43 *4310:59 0 +*RES +1 *41305:X *4310:20 45.6437 +2 *4310:20 *4310:40 41.1332 +3 *4310:40 *4310:59 47.6331 +4 *4310:59 *4310:80 38.3622 +5 *4310:80 *41304:A 19.3714 +6 *4310:80 *8912:DIODE 13.8 +*END + +*D_NET *4311 0.0200786 +*CONN +*I *8913:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41305:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *41306:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8913:DIODE 0.000248469 +2 *41305:A 0 +3 *41306:X 0.000186529 +4 *4311:22 0.00985275 +5 *4311:14 0.00979081 +6 *4311:22 *4317:13 0 +7 *4311:22 *4350:13 0 +8 *4311:22 *4370:20 0 +9 *4311:22 *4370:32 0 +10 *4311:22 *4378:28 0 +11 *4311:22 *4378:50 0 +12 *37606:A *4311:22 0 +13 *1203:55 *8913:DIODE 0 +14 *1815:19 *4311:22 0 +15 *1905:34 *4311:22 0 +16 *1917:13 *4311:14 0 +17 *2174:25 *4311:22 0 +18 *2180:29 *4311:14 0 +19 *2196:23 *4311:22 0 +20 *2199:57 *4311:22 0 +21 *2287:20 *4311:22 0 +22 *2732:36 *4311:22 0 +23 *3085:93 *4311:22 0 +24 *3592:17 *4311:22 0 +25 *3598:18 *4311:14 0 +26 *3620:17 *4311:22 0 +27 *3620:33 *4311:22 0 +28 *3623:16 *4311:22 0 +29 *3640:43 *4311:22 0 +*RES +1 *41306:X *4311:14 21.2368 +2 *4311:14 *4311:22 39.2208 +3 *4311:22 *41305:A 13.8 +4 *4311:22 *8913:DIODE 19.425 +*END + +*D_NET *4312 0.0196782 +*CONN +*I *38056:B I *D sky130_fd_sc_hd__and3b_1 +*I *6062:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41307:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *38056:B 0.000285378 +2 *6062:DIODE 0 +3 *41307:X 0.00137974 +4 *4312:38 0.000491449 +5 *4312:33 0.00721942 +6 *4312:31 0.00796789 +7 *4312:19 0.00233428 +8 *38056:B *4636:8 0 +9 *4312:19 *4330:62 0 +10 *4312:31 *37866:B 0 +11 *4312:31 *4579:33 0 +12 *4312:33 *37752:B 0 +13 *4312:38 *4636:8 0 +14 *6492:DIODE *4312:19 0 +15 *37704:A *4312:31 0 +16 *37716:B *4312:33 0 +17 *38057:A *4312:33 0 +18 *40098:A *4312:33 0 +19 *40804:A *4312:31 0 +20 *267:15 *4312:38 0 +21 *1251:10 *4312:31 0 +22 *1257:13 *4312:33 0 +23 *1332:19 *4312:31 0 +24 *1421:17 *4312:19 0 +25 *1427:35 *4312:33 0 +26 *1460:30 *4312:33 0 +27 *1460:33 *4312:33 0 +28 *1464:18 *4312:33 0 +29 *1590:30 *38056:B 0 +30 *1591:24 *38056:B 0 +31 *1609:11 *4312:33 0 +32 *2802:61 *38056:B 0 +33 *2813:47 *4312:31 0 +34 *2813:47 *4312:33 0 +35 *3286:132 *38056:B 0 +36 *3297:106 *4312:19 0 +37 *3473:39 *4312:31 0 +38 *3476:58 *38056:B 0 +39 *3544:14 *4312:19 0 +40 *3739:57 *4312:19 0 +41 *3754:5 *4312:33 0 +42 *3754:11 *4312:33 0 +43 *3755:33 *4312:31 0 +44 *3761:55 *4312:19 0 +45 *3761:80 *4312:33 0 +46 *3773:55 *4312:19 0 +*RES +1 *41307:X *4312:19 47.2092 +2 *4312:19 *4312:31 27.9725 +3 *4312:31 *4312:33 146.339 +4 *4312:33 *4312:38 13.6786 +5 *4312:38 *6062:DIODE 9.3 +6 *4312:38 *38056:B 24.6393 +*END + +*D_NET *4313 0.0190049 +*CONN +*I *41307:A I *D sky130_fd_sc_hd__buf_6 +*I *8914:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41308:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *41307:A 0.000123424 +2 *8914:DIODE 2.41714e-05 +3 *41308:X 0.00327316 +4 *4313:24 0.00622928 +5 *4313:14 0.00935485 +6 *4313:14 *4354:27 0 +7 *4313:24 *4343:15 0 +8 *4313:24 *4354:27 0 +9 *38341:A *4313:24 0 +10 *1244:24 *4313:24 0 +11 *1696:16 *4313:24 0 +12 *2422:89 *4313:14 0 +13 *2837:67 *4313:14 0 +14 *3014:55 *4313:14 0 +15 *3020:37 *4313:24 0 +16 *3301:127 *4313:24 0 +17 *3542:56 *4313:24 0 +18 *3696:96 *4313:24 0 +19 *3748:20 *4313:14 0 +20 *3750:98 *4313:14 0 +21 *3758:53 *4313:14 0 +22 *3758:85 *4313:24 0 +23 *3773:55 *8914:DIODE 0 +24 *3773:55 *41307:A 0 +25 *3818:27 *4313:14 0 +26 *4080:29 *8914:DIODE 0 +27 *4080:29 *41307:A 0 +28 *4084:62 *4313:24 0 +29 *4087:26 *4313:14 0 +30 *4087:40 *4313:24 0 +31 *4097:94 *4313:24 0 +32 *4224:69 *41307:A 0 +*RES +1 *41308:X *4313:14 37.7588 +2 *4313:14 *4313:24 30.1985 +3 *4313:24 *8914:DIODE 9.83571 +4 *4313:24 *41307:A 11.9071 +*END + +*D_NET *4314 0.0306772 +*CONN +*I *8915:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41308:A I *D sky130_fd_sc_hd__buf_6 +*I *41309:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8915:DIODE 0.00105948 +2 *41308:A 0.000152429 +3 *41309:X 0.00117741 +4 *4314:28 0.00346048 +5 *4314:27 0.00224857 +6 *4314:25 0.00927499 +7 *4314:24 0.0107007 +8 *4314:20 0.00260314 +9 *4314:20 *4316:34 0 +10 *4314:24 *39859:A 0 +11 *4314:24 *4316:23 0 +12 *4314:24 *4708:20 0 +13 *4314:24 *4747:14 0 +14 *4314:25 *5003:10 0 +15 *8415:DIODE *4314:28 0 +16 *39575:A *8915:DIODE 0 +17 *39632:A *4314:28 0 +18 *1016:211 *4314:28 0 +19 *1208:41 *4314:28 0 +20 *1310:22 *4314:20 0 +21 *1419:23 *4314:20 0 +22 *1822:28 *4314:28 0 +23 *2300:38 *4314:24 0 +24 *2374:23 *8915:DIODE 0 +25 *2715:18 *4314:28 0 +26 *2834:18 *4314:28 0 +27 *2925:37 *4314:20 0 +28 *3074:45 *4314:24 0 +29 *3081:63 *4314:28 0 +30 *3323:44 *4314:24 0 +31 *3545:31 *4314:25 0 +32 *3654:15 *4314:25 0 +33 *3667:93 *4314:28 0 +34 *3712:10 *4314:28 0 +35 *3732:17 *8915:DIODE 0 +36 *3752:19 *4314:25 0 +37 *3780:49 *8915:DIODE 0 +38 *3946:43 *4314:25 0 +39 *3994:17 *4314:28 0 +40 *4034:95 *8915:DIODE 0 +41 *4081:62 *8915:DIODE 0 +42 *4257:27 *4314:25 0 +43 *4310:80 *4314:20 0 +*RES +1 *41309:X *4314:20 36.8402 +2 *4314:20 *4314:24 36.9196 +3 *4314:24 *4314:25 193.571 +4 *4314:25 *4314:27 4.5 +5 *4314:27 *4314:28 51.125 +6 *4314:28 *41308:A 17.2643 +7 *4314:28 *8915:DIODE 45.1571 +*END + +*D_NET *4315 0.00126377 +*CONN +*I *40494:A I *D sky130_fd_sc_hd__buf_2 +*I *38610:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40494:A 0 +2 *38610:X 0.000631884 +3 *4315:15 0.000631884 +4 *4315:15 *5105:39 0 +5 *4315:15 *5186:102 0 +6 *38547:A *4315:15 0 +7 *405:35 *4315:15 0 +8 *2893:17 *4315:15 0 +9 *3034:43 *4315:15 0 +10 *3412:7 *4315:15 0 +*RES +1 *38610:X *4315:15 41.05 +2 *4315:15 *40494:A 9.3 +*END + +*D_NET *4316 0.0285978 +*CONN +*I *41309:A I *D sky130_fd_sc_hd__buf_4 +*I *8916:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41310:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41309:A 0 +2 *8916:DIODE 0.000104386 +3 *41310:X 2.56688e-05 +4 *4316:34 0.00116481 +5 *4316:23 0.0028258 +6 *4316:17 0.00930858 +7 *4316:16 0.0095396 +8 *4316:10 0.00379985 +9 *4316:7 0.00182912 +10 *4316:10 *4347:14 0 +11 *4316:10 *4976:12 0 +12 *4316:23 *39859:A 0 +13 *4316:23 *4347:22 0 +14 *4316:23 *4347:38 0 +15 *4316:23 *4747:10 0 +16 *4316:23 *4747:14 0 +17 *4316:34 *4352:19 0 +18 *4316:34 *4365:67 0 +19 *38269:A *4316:23 0 +20 *346:10 *4316:17 0 +21 *349:9 *4316:23 0 +22 *1008:94 *4316:16 0 +23 *1014:55 *4316:23 0 +24 *1023:98 *4316:16 0 +25 *1026:88 *4316:23 0 +26 *1231:17 *8916:DIODE 0 +27 *1285:15 *4316:16 0 +28 *2270:21 *4316:10 0 +29 *2843:32 *4316:10 0 +30 *3161:54 *4316:16 0 +31 *3334:39 *4316:23 0 +32 *3581:8 *4316:7 0 +33 *3693:33 *4316:17 0 +34 *3720:35 *8916:DIODE 0 +35 *4114:44 *4316:23 0 +36 *4310:80 *4316:34 0 +37 *4314:20 *4316:34 0 +38 *4314:24 *4316:23 0 +*RES +1 *41310:X *4316:7 14.3357 +2 *4316:7 *4316:10 44.3654 +3 *4316:10 *4316:16 18.4347 +4 *4316:16 *4316:17 157.429 +5 *4316:17 *4316:23 47.199 +6 *4316:23 *4316:34 22.2608 +7 *4316:34 *8916:DIODE 11.4786 +8 *4316:34 *41309:A 9.3 +*END + +*D_NET *4317 0.0202655 +*CONN +*I *41310:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8917:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41311:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41310:A 0.000373594 +2 *8917:DIODE 0 +3 *41311:X 0.00744316 +4 *4317:31 0.00268957 +5 *4317:13 0.00975914 +6 *41310:A *4378:50 0 +7 *41310:A *5147:42 0 +8 *4317:13 *4378:28 0 +9 *4317:31 *4363:28 0 +10 *4317:31 *4370:32 0 +11 *4317:31 *5147:42 0 +12 *4317:31 *5149:28 0 +13 *5674:DIODE *4317:31 0 +14 *8117:DIODE *41310:A 0 +15 *8375:DIODE *4317:13 0 +16 *37605:A *41310:A 0 +17 *37606:A *4317:13 0 +18 *1524:47 *4317:13 0 +19 *1816:13 *41310:A 0 +20 *1905:34 *4317:13 0 +21 *1920:23 *4317:13 0 +22 *1928:58 *4317:13 0 +23 *1932:19 *4317:13 0 +24 *2196:23 *4317:13 0 +25 *2222:58 *41310:A 0 +26 *2226:33 *4317:31 0 +27 *2254:19 *4317:31 0 +28 *2272:26 *4317:31 0 +29 *2747:63 *4317:13 0 +30 *2843:19 *41310:A 0 +31 *3553:27 *4317:31 0 +32 *3577:27 *4317:13 0 +33 *3588:32 *41310:A 0 +34 *3588:32 *4317:31 0 +35 *3592:9 *4317:31 0 +36 *3602:23 *4317:13 0 +37 *3603:37 *4317:13 0 +38 *3612:28 *41310:A 0 +39 *3620:17 *4317:31 0 +40 *3623:16 *4317:13 0 +41 *3806:15 *41310:A 0 +42 *3833:19 *4317:13 0 +43 *4311:22 *4317:13 0 +*RES +1 *41311:X *4317:13 47.4092 +2 *4317:13 *4317:31 38.18 +3 *4317:31 *8917:DIODE 9.3 +4 *4317:31 *41310:A 26.367 +*END + +*D_NET *4318 0.0199979 +*CONN +*I *38054:B I *D sky130_fd_sc_hd__and3b_1 +*I *6058:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41312:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *38054:B 0.000163425 +2 *6058:DIODE 0 +3 *41312:X 0.000882304 +4 *4318:104 0.00161302 +5 *4318:97 0.0033051 +6 *4318:79 0.00401446 +7 *4318:63 0.00311154 +8 *4318:45 0.00272219 +9 *4318:38 0.00253658 +10 *4318:21 0.00164928 +11 *4318:38 *4406:28 0 +12 *4318:79 *4896:14 0 +13 *4318:104 *4914:19 0 +14 *6042:DIODE *4318:63 0 +15 *7991:DIODE *4318:79 0 +16 *8008:DIODE *4318:97 0 +17 *8622:DIODE *4318:79 0 +18 *38054:C *4318:104 0 +19 *38065:A *4318:63 0 +20 *39572:A *4318:79 0 +21 *39972:A *4318:21 0 +22 *40610:A *4318:97 0 +23 *1009:155 *4318:38 0 +24 *1018:73 *4318:104 0 +25 *1448:38 *4318:21 0 +26 *1461:15 *4318:104 0 +27 *1561:45 *4318:21 0 +28 *1561:57 *4318:21 0 +29 *1564:35 *4318:21 0 +30 *1574:17 *4318:97 0 +31 *1575:15 *4318:97 0 +32 *1581:29 *4318:63 0 +33 *1849:21 *4318:79 0 +34 *2375:27 *4318:63 0 +35 *2809:24 *4318:97 0 +36 *2815:43 *4318:63 0 +37 *2815:83 *4318:97 0 +38 *2815:94 *4318:97 0 +39 *2815:94 *4318:104 0 +40 *2821:49 *4318:97 0 +41 *3045:47 *4318:38 0 +42 *3288:84 *38054:B 0 +43 *3292:48 *4318:21 0 +44 *3292:48 *4318:38 0 +45 *3292:55 *4318:38 0 +46 *3292:84 *4318:38 0 +47 *3297:81 *4318:45 0 +48 *3297:81 *4318:63 0 +49 *3406:130 *4318:79 0 +50 *3428:58 *4318:79 0 +51 *3509:123 *4318:79 0 +52 *3539:11 *4318:104 0 +53 *3700:65 *4318:38 0 +54 *3700:82 *4318:97 0 +55 *3930:11 *4318:79 0 +56 *3930:11 *4318:97 0 +57 *3930:13 *4318:97 0 +58 *3930:13 *4318:104 0 +59 *3931:34 *4318:79 0 +60 *3931:43 *4318:79 0 +61 *3963:84 *4318:79 0 +62 *3963:88 *4318:79 0 +63 *3978:99 *4318:63 0 +64 *3978:120 *4318:79 0 +65 *4010:89 *4318:38 0 +66 *4010:96 *4318:45 0 +67 *4023:54 *4318:21 0 +68 *4065:45 *4318:63 0 +*RES +1 *41312:X *4318:21 46.3 +2 *4318:21 *4318:38 35.823 +3 *4318:38 *4318:45 46.0179 +4 *4318:45 *4318:63 38.1429 +5 *4318:63 *4318:79 49.7143 +6 *4318:79 *4318:97 45.161 +7 *4318:97 *4318:104 39.4107 +8 *4318:104 *6058:DIODE 9.3 +9 *4318:104 *38054:B 12.7107 +*END + +*D_NET *4319 0.0194447 +*CONN +*I *41312:A I *D sky130_fd_sc_hd__buf_6 +*I *8918:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41313:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *41312:A 0.000219202 +2 *8918:DIODE 0 +3 *41313:X 0.00115208 +4 *4319:47 0.000719529 +5 *4319:41 0.0027703 +6 *4319:37 0.00418808 +7 *4319:30 0.00204394 +8 *4319:25 0.00270983 +9 *4319:24 0.0035368 +10 *4319:16 0.00210489 +11 *4319:25 *4364:19 0 +12 *4319:37 *4357:45 0 +13 *4319:41 *41391:A 0 +14 *4319:41 *4407:39 0 +15 *6024:DIODE *4319:41 0 +16 *7885:DIODE *4319:41 0 +17 *8267:DIODE *4319:41 0 +18 *8482:DIODE *4319:37 0 +19 *8682:DIODE *4319:41 0 +20 *39567:A *4319:37 0 +21 *39584:A *4319:41 0 +22 *40509:A *4319:41 0 +23 *1448:22 *4319:41 0 +24 *1448:22 *4319:47 0 +25 *1561:45 *41312:A 0 +26 *1564:35 *41312:A 0 +27 *1564:35 *4319:47 0 +28 *2366:112 *4319:37 0 +29 *2366:112 *4319:41 0 +30 *2440:16 *4319:47 0 +31 *2919:42 *4319:25 0 +32 *3090:43 *4319:25 0 +33 *3107:83 *4319:41 0 +34 *3107:91 *4319:37 0 +35 *3107:101 *4319:25 0 +36 *3110:25 *4319:25 0 +37 *3308:39 *4319:30 0 +38 *3308:39 *4319:41 0 +39 *3313:47 *4319:37 0 +40 *3313:59 *4319:37 0 +41 *3428:21 *4319:37 0 +42 *3524:29 *4319:41 0 +43 *3626:45 *4319:16 0 +44 *3774:25 *4319:24 0 +45 *3941:34 *4319:25 0 +46 *3955:49 *4319:37 0 +47 *3959:43 *4319:37 0 +48 *4010:48 *4319:41 0 +49 *4010:48 *4319:47 0 +50 *4010:69 *41312:A 0 +51 *4010:69 *4319:47 0 +52 *4028:29 *4319:37 0 +53 *4054:48 *4319:37 0 +54 *4074:61 *4319:37 0 +55 *4078:89 *4319:24 0 +56 *4220:73 *4319:41 0 +57 *4235:41 *4319:30 0 +58 *4247:21 *4319:16 0 +59 *4247:23 *4319:24 0 +60 *4254:78 *4319:41 0 +*RES +1 *41313:X *4319:16 47.5143 +2 *4319:16 *4319:24 33.7143 +3 *4319:24 *4319:25 53.9286 +4 *4319:25 *4319:30 11.8571 +5 *4319:30 *4319:37 40.125 +6 *4319:37 *4319:41 47.375 +7 *4319:41 *4319:47 10.5357 +8 *4319:47 *8918:DIODE 9.3 +9 *4319:47 *41312:A 22.9964 +*END + +*D_NET *4320 0.0217669 +*CONN +*I *8919:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41313:A I *D sky130_fd_sc_hd__buf_6 +*I *41314:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8919:DIODE 0.00105442 +2 *41313:A 0 +3 *41314:X 0.00732377 +4 *4320:28 0.00355967 +5 *4320:23 0.00982903 +6 *4320:23 *4340:26 0 +7 *4320:23 *4345:111 0 +8 *4320:23 *4372:22 0 +9 *4320:23 *4372:39 0 +10 *4320:23 *4374:21 0 +11 *4320:28 *4328:24 0 +12 *4320:28 *4340:26 0 +13 *4320:28 *4372:39 0 +14 *5722:DIODE *8919:DIODE 0 +15 *5723:DIODE *8919:DIODE 0 +16 *7947:DIODE *8919:DIODE 0 +17 *8393:DIODE *4320:23 0 +18 *38288:A *8919:DIODE 0 +19 *1229:29 *4320:23 0 +20 *1407:24 *4320:23 0 +21 *1558:28 *4320:23 0 +22 *2325:78 *4320:23 0 +23 *2841:19 *4320:23 0 +24 *2937:14 *8919:DIODE 0 +25 *3029:46 *4320:23 0 +26 *3316:115 *4320:23 0 +27 *3318:66 *4320:28 0 +28 *3318:75 *8919:DIODE 0 +29 *3386:28 *4320:23 0 +30 *3626:29 *4320:23 0 +31 *3650:58 *8919:DIODE 0 +32 *3675:24 *4320:23 0 +33 *3730:44 *4320:23 0 +34 *3766:25 *4320:23 0 +35 *3942:107 *4320:23 0 +36 *4092:83 *8919:DIODE 0 +37 *4092:83 *4320:28 0 +38 *4098:42 *4320:23 0 +39 *4125:33 *4320:23 0 +40 *4125:58 *4320:23 0 +41 *4129:41 *4320:23 0 +42 *4241:45 *8919:DIODE 0 +43 *4309:40 *4320:28 0 +*RES +1 *41314:X *4320:23 44.5518 +2 *4320:23 *4320:28 15.8084 +3 *4320:28 *41313:A 13.8 +4 *4320:28 *8919:DIODE 46.5143 +*END + +*D_NET *4321 0.0203536 +*CONN +*I *41314:A I *D sky130_fd_sc_hd__buf_4 +*I *8920:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41315:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41314:A 6.50276e-05 +2 *8920:DIODE 9.80097e-05 +3 *41315:X 0.000393146 +4 *4321:26 0.00660882 +5 *4321:17 0.0096206 +6 *4321:8 0.00356796 +7 *4321:26 *4374:21 0 +8 *4321:26 *4379:47 0 +9 *4321:26 *5150:21 0 +10 *40287:A *4321:26 0 +11 *1531:43 *4321:26 0 +12 *1936:14 *4321:8 0 +13 *2052:21 *4321:17 0 +14 *2052:21 *4321:26 0 +15 *2216:11 *4321:8 0 +16 *2229:18 *4321:17 0 +17 *2238:9 *4321:8 0 +18 *2239:7 *4321:8 0 +19 *2240:25 *4321:17 0 +20 *2258:13 *4321:17 0 +21 *2260:10 *4321:17 0 +22 *2261:13 *4321:17 0 +23 *2295:28 *4321:26 0 +24 *2295:43 *4321:26 0 +25 *3123:67 *4321:17 0 +26 *3124:49 *4321:26 0 +27 *3196:37 *4321:17 0 +28 *3340:20 *4321:26 0 +29 *3363:15 *4321:17 0 +30 *3636:19 *4321:8 0 +31 *3797:90 *4321:26 0 +32 *3815:31 *41314:A 0 +33 *3817:63 *41314:A 0 +34 *3821:15 *4321:17 0 +35 *3943:17 *4321:26 0 +36 *3956:50 *4321:26 0 +37 *4125:33 *4321:26 0 +38 *4128:39 *8920:DIODE 0 +39 *4129:41 *4321:26 0 +*RES +1 *41315:X *4321:8 27.2286 +2 *4321:8 *4321:17 47.007 +3 *4321:17 *4321:26 27.7983 +4 *4321:26 *8920:DIODE 15.9786 +5 *4321:26 *41314:A 15.1571 +*END + +*D_NET *4322 0.0222094 +*CONN +*I *38052:B I *D sky130_fd_sc_hd__and3b_1 +*I *6054:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41316:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *38052:B 0.00019664 +2 *6054:DIODE 0.000144074 +3 *41316:X 0.00108308 +4 *4322:103 0.00208842 +5 *4322:93 0.00685132 +6 *4322:68 0.00651155 +7 *4322:40 0.00282956 +8 *4322:22 0.00250471 +9 *4322:22 *8922:DIODE 0 +10 *6126:DIODE *4322:40 0 +11 *6468:DIODE *4322:68 0 +12 *8261:DIODE *4322:40 0 +13 *8691:DIODE *4322:40 0 +14 *38052:A_N *38052:B 0 +15 *38346:B *4322:68 0 +16 *1458:18 *4322:93 0 +17 *1844:39 *4322:93 0 +18 *1864:72 *38052:B 0 +19 *2388:62 *4322:103 0 +20 *2398:60 *4322:22 0 +21 *2413:25 *4322:22 0 +22 *2806:50 *4322:93 0 +23 *2807:12 *4322:68 0 +24 *2968:53 *4322:93 0 +25 *3006:14 *4322:103 0 +26 *3014:78 *4322:22 0 +27 *3107:73 *4322:68 0 +28 *3109:24 *4322:103 0 +29 *3310:87 *4322:68 0 +30 *3402:157 *4322:93 0 +31 *3408:18 *4322:103 0 +32 *3408:24 *4322:103 0 +33 *3410:55 *4322:40 0 +34 *3434:56 *4322:68 0 +35 *3734:40 *4322:103 0 +36 *3793:80 *4322:40 0 +37 *3904:80 *4322:68 0 +38 *3904:86 *4322:93 0 +39 *3936:14 *4322:40 0 +40 *3936:23 *4322:68 0 +41 *3950:18 *4322:22 0 +42 *3950:51 *4322:93 0 +43 *3966:14 *4322:93 0 +44 *3970:34 *4322:103 0 +45 *3986:13 *6054:DIODE 0 +46 *3999:26 *4322:93 0 +47 *4003:42 *4322:93 0 +48 *4018:23 *4322:68 0 +49 *4026:45 *4322:68 0 +50 *4028:45 *4322:22 0 +51 *4030:18 *4322:93 0 +52 *4031:81 *4322:22 0 +53 *4228:22 *4322:93 0 +54 *4238:103 *4322:40 0 +55 *4240:21 *4322:93 0 +56 *4240:28 *4322:93 0 +57 *4244:11 *4322:40 0 +58 *4251:92 *4322:93 0 +59 *4256:77 *4322:68 0 +*RES +1 *41316:X *4322:22 47.05 +2 *4322:22 *4322:40 48.4018 +3 *4322:40 *4322:68 42.2371 +4 *4322:68 *4322:93 49.7383 +5 *4322:93 *4322:103 13.3013 +6 *4322:103 *6054:DIODE 16.9518 +7 *4322:103 *38052:B 18.4696 +*END + +*D_NET *4323 0.0263843 +*CONN +*I *8922:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41316:A I *D sky130_fd_sc_hd__buf_6 +*I *41317:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *8922:DIODE 0.000675945 +2 *41316:A 3.7209e-05 +3 *41317:X 0.00161594 +4 *4323:101 0.000863469 +5 *4323:93 0.00162891 +6 *4323:77 0.00426171 +7 *4323:43 0.00581974 +8 *4323:42 0.00335104 +9 *4323:34 0.00230158 +10 *4323:30 0.00309998 +11 *4323:14 0.00272876 +12 *4323:77 *4351:33 0 +13 *4323:93 *4327:15 0 +14 *37875:A *4323:14 0 +15 *1310:22 *4323:30 0 +16 *1329:20 *4323:30 0 +17 *1330:25 *4323:30 0 +18 *1337:26 *4323:77 0 +19 *1419:23 *4323:30 0 +20 *1445:53 *4323:77 0 +21 *1560:60 *4323:14 0 +22 *1824:88 *4323:77 0 +23 *1824:88 *4323:93 0 +24 *2391:59 *4323:77 0 +25 *2412:31 *4323:14 0 +26 *2419:49 *4323:43 0 +27 *2421:49 *4323:42 0 +28 *2421:51 *4323:30 0 +29 *2421:51 *4323:42 0 +30 *2422:66 *8922:DIODE 0 +31 *2682:45 *4323:77 0 +32 *2717:8 *4323:14 0 +33 *2905:28 *4323:77 0 +34 *2923:36 *4323:43 0 +35 *2923:43 *4323:34 0 +36 *2923:69 *4323:30 0 +37 *2939:31 *4323:30 0 +38 *2972:50 *4323:30 0 +39 *2981:39 *4323:30 0 +40 *3014:78 *8922:DIODE 0 +41 *3070:50 *4323:93 0 +42 *3073:53 *4323:43 0 +43 *3075:73 *4323:77 0 +44 *3290:21 *4323:77 0 +45 *3313:47 *4323:93 0 +46 *3313:59 *8922:DIODE 0 +47 *3313:59 *41316:A 0 +48 *3313:59 *4323:93 0 +49 *3313:73 *8922:DIODE 0 +50 *3317:176 *4323:30 0 +51 *3647:16 *4323:14 0 +52 *3709:27 *4323:14 0 +53 *3725:22 *4323:77 0 +54 *3730:78 *4323:14 0 +55 *3740:78 *4323:77 0 +56 *3941:34 *4323:93 0 +57 *4054:22 *4323:93 0 +58 *4247:51 *4323:93 0 +59 *4254:78 *8922:DIODE 0 +60 *4256:47 *4323:77 0 +61 *4256:47 *4323:93 0 +62 *4322:22 *8922:DIODE 0 +*RES +1 *41317:X *4323:14 49.8548 +2 *4323:14 *4323:30 39.7883 +3 *4323:30 *4323:34 46.1071 +4 *4323:34 *4323:42 20.1964 +5 *4323:42 *4323:43 63.375 +6 *4323:43 *4323:77 48.6118 +7 *4323:77 *4323:93 48.9904 +8 *4323:93 *4323:101 12.2321 +9 *4323:101 *41316:A 10.2464 +10 *4323:101 *8922:DIODE 23.5321 +*END + +*D_NET *4324 0.0229755 +*CONN +*I *8923:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41317:A I *D sky130_fd_sc_hd__buf_6 +*I *41318:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8923:DIODE 0.000271811 +2 *41317:A 7.12481e-05 +3 *41318:X 0.00394417 +4 *4324:101 0.000644239 +5 *4324:100 0.00364153 +6 *4324:70 0.00495824 +7 *4324:43 0.00355898 +8 *4324:20 0.00588526 +9 *4324:20 *5117:14 0 +10 *4324:43 *4358:68 0 +11 *4324:100 *4358:94 0 +12 *4324:100 *4379:66 0 +13 *5480:DIODE *4324:70 0 +14 *8005:DIODE *8923:DIODE 0 +15 *1222:49 *4324:100 0 +16 *1505:48 *4324:20 0 +17 *2331:10 *4324:20 0 +18 *2337:23 *4324:20 0 +19 *2347:33 *4324:20 0 +20 *2832:50 *4324:100 0 +21 *2947:87 *4324:20 0 +22 *2981:18 *8923:DIODE 0 +23 *3085:33 *4324:43 0 +24 *3181:46 *4324:43 0 +25 *3316:98 *4324:100 0 +26 *3317:119 *4324:100 0 +27 *3322:131 *4324:70 0 +28 *3323:30 *4324:70 0 +29 *3345:78 *4324:20 0 +30 *3372:76 *4324:20 0 +31 *3438:91 *4324:70 0 +32 *3659:14 *4324:20 0 +33 *3689:15 *4324:20 0 +34 *3689:15 *4324:43 0 +35 *3698:17 *4324:20 0 +36 *3698:48 *4324:20 0 +37 *3698:48 *4324:43 0 +38 *3726:28 *4324:70 0 +39 *3726:28 *4324:100 0 +40 *3766:25 *4324:100 0 +41 *3784:29 *4324:100 0 +42 *3811:14 *4324:100 0 +43 *3811:33 *8923:DIODE 0 +44 *3811:33 *41317:A 0 +45 *3811:33 *4324:100 0 +46 *3811:33 *4324:101 0 +47 *3812:65 *4324:43 0 +48 *3817:70 *4324:70 0 +49 *3943:50 *4324:70 0 +50 *4022:43 *4324:100 0 +51 *4041:29 *4324:100 0 +52 *4050:29 *4324:20 0 +53 *4050:29 *4324:43 0 +54 *4083:112 *4324:70 0 +55 *4085:22 *4324:100 0 +56 *4113:99 *41317:A 0 +57 *4128:50 *4324:43 0 +58 *4298:55 *4324:70 0 +59 *4310:59 *4324:20 0 +60 *4310:59 *4324:43 0 +*RES +1 *41318:X *4324:20 47.3912 +2 *4324:20 *4324:43 46.9381 +3 *4324:43 *4324:70 48.2172 +4 *4324:70 *4324:100 45.2898 +5 *4324:100 *4324:101 6.28571 +6 *4324:101 *41317:A 19.8714 +7 *4324:101 *8923:DIODE 24.1929 +*END + +*D_NET *4325 0.0211256 +*CONN +*I *8924:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41318:A I *D sky130_fd_sc_hd__buf_4 +*I *41319:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8924:DIODE 0.000685974 +2 *41318:A 0 +3 *41319:X 0.00386662 +4 *4325:34 0.00669619 +5 *4325:17 0.00987684 +6 *8924:DIODE *4332:17 0 +7 *8924:DIODE *5117:22 0 +8 *8924:DIODE *5142:8 0 +9 *4325:17 *4333:26 0 +10 *4325:34 *4333:50 0 +11 *4325:34 *4345:42 0 +12 *4325:34 *4379:22 0 +13 *8545:DIODE *8924:DIODE 0 +14 *37596:A *4325:17 0 +15 *37604:A *4325:34 0 +16 *37826:A *4325:34 0 +17 *1939:12 *4325:17 0 +18 *2175:19 *4325:17 0 +19 *2194:26 *4325:17 0 +20 *2217:84 *8924:DIODE 0 +21 *2254:19 *4325:34 0 +22 *2317:16 *4325:34 0 +23 *2321:36 *4325:34 0 +24 *2335:16 *8924:DIODE 0 +25 *2337:23 *4325:34 0 +26 *2338:18 *8924:DIODE 0 +27 *2732:36 *4325:34 0 +28 *2847:28 *4325:17 0 +29 *2847:28 *4325:34 0 +30 *3176:25 *4325:17 0 +31 *3176:25 *4325:34 0 +32 *3180:14 *4325:34 0 +33 *3200:11 *4325:17 0 +34 *3345:78 *4325:34 0 +35 *3372:24 *4325:34 0 +36 *3385:17 *4325:17 0 +37 *3386:42 *4325:34 0 +38 *3558:50 *4325:17 0 +39 *3592:9 *4325:34 0 +40 *3596:20 *4325:17 0 +41 *3689:15 *4325:34 0 +42 *4310:20 *4325:34 0 +*RES +1 *41319:X *4325:17 43.239 +2 *4325:17 *4325:34 38.3993 +3 *4325:34 *41318:A 9.3 +4 *4325:34 *8924:DIODE 33.2464 +*END + +*D_NET *4326 0.00113401 +*CONN +*I *40493:A I *D sky130_fd_sc_hd__buf_2 +*I *38611:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40493:A 0.000567004 +2 *38611:X 0.000567004 +3 *40493:A *5105:66 0 +4 *40493:A *5165:35 0 +5 *2382:110 *40493:A 0 +6 *3087:90 *40493:A 0 +*RES +1 *38611:X *40493:A 40.2205 +*END + +*D_NET *4327 0.0191926 +*CONN +*I *38050:B I *D sky130_fd_sc_hd__and3b_1 +*I *6050:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41320:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *38050:B 0.000180109 +2 *6050:DIODE 0 +3 *41320:X 0.000299549 +4 *4327:29 0.000815839 +5 *4327:26 0.0027962 +6 *4327:15 0.004802 +7 *4327:14 0.00267378 +8 *4327:9 0.00367893 +9 *4327:8 0.00394623 +10 *38050:B *4343:53 0 +11 *4327:9 *4364:19 0 +12 *4327:15 *4343:15 0 +13 *4327:15 *4360:42 0 +14 *4327:15 *4364:19 0 +15 *4327:26 *4334:27 0 +16 *4327:26 *4343:23 0 +17 *4327:26 *4343:32 0 +18 *4327:26 *4360:42 0 +19 *4327:29 *4343:53 0 +20 *37702:B *4327:26 0 +21 *38030:C *4327:15 0 +22 *38329:A *4327:26 0 +23 *1549:25 *4327:29 0 +24 *1824:42 *4327:26 0 +25 *3013:19 *4327:29 0 +26 *3013:47 *4327:29 0 +27 *3045:47 *38050:B 0 +28 *3073:29 *4327:15 0 +29 *3098:19 *4327:26 0 +30 *3432:67 *4327:26 0 +31 *3941:34 *4327:15 0 +32 *4059:53 *4327:9 0 +33 *4323:93 *4327:15 0 +*RES +1 *41320:X *4327:8 25.1036 +2 *4327:8 *4327:9 76.1071 +3 *4327:9 *4327:14 9.73214 +4 *4327:14 *4327:15 55.1607 +5 *4327:15 *4327:26 49.7679 +6 *4327:26 *4327:29 17.7679 +7 *4327:29 *6050:DIODE 9.3 +8 *4327:29 *38050:B 13.1214 +*END + +*D_NET *4328 0.0221792 +*CONN +*I *8926:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41320:A I *D sky130_fd_sc_hd__buf_6 +*I *41321:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8926:DIODE 0.000855027 +2 *41320:A 6.50276e-05 +3 *41321:X 0.000328828 +4 *4328:30 0.00149756 +5 *4328:24 0.00984074 +6 *4328:14 0.00959206 +7 *4328:24 *4352:19 0 +8 *4328:24 *4365:34 0 +9 *4328:24 *4372:39 0 +10 *1204:25 *4328:24 0 +11 *1210:38 *4328:24 0 +12 *1413:35 *4328:24 0 +13 *1442:46 *41320:A 0 +14 *2840:27 *4328:24 0 +15 *2928:76 *4328:24 0 +16 *3074:56 *4328:24 0 +17 *3274:79 *4328:30 0 +18 *3305:168 *4328:24 0 +19 *3519:10 *8926:DIODE 0 +20 *3546:50 *4328:24 0 +21 *3677:17 *4328:24 0 +22 *3685:40 *4328:24 0 +23 *3726:89 *4328:24 0 +24 *3733:20 *4328:24 0 +25 *3756:25 *8926:DIODE 0 +26 *3756:25 *41320:A 0 +27 *3763:36 *4328:24 0 +28 *3768:14 *8926:DIODE 0 +29 *3772:20 *4328:24 0 +30 *3799:42 *4328:14 0 +31 *3812:65 *4328:14 0 +32 *3819:49 *4328:24 0 +33 *3822:111 *8926:DIODE 0 +34 *3942:61 *4328:24 0 +35 *3984:125 *4328:24 0 +36 *4041:86 *8926:DIODE 0 +37 *4048:40 *8926:DIODE 0 +38 *4078:89 *4328:30 0 +39 *4171:26 *4328:24 0 +40 *4267:62 *4328:24 0 +41 *4309:40 *4328:24 0 +42 *4310:80 *4328:24 0 +43 *4320:28 *4328:24 0 +*RES +1 *41321:X *4328:14 24.9689 +2 *4328:14 *4328:24 49.2272 +3 *4328:24 *4328:30 21.4107 +4 *4328:30 *41320:A 15.1571 +5 *4328:30 *8926:DIODE 41.9071 +*END + +*D_NET *4329 0.0211673 +*CONN +*I *8927:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41321:A I *D sky130_fd_sc_hd__buf_4 +*I *41322:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8927:DIODE 0.000223318 +2 *41321:A 0 +3 *41322:X 0.00499359 +4 *4329:51 0.000514811 +5 *4329:47 0.00296122 +6 *4329:28 0.00476831 +7 *4329:24 0.00240551 +8 *4329:12 0.00530052 +9 *4329:28 *4353:9 0 +10 *4329:28 *4356:15 0 +11 *4329:28 *4359:38 0 +12 *4329:47 *8962:DIODE 0 +13 *4329:47 *4365:34 0 +14 *4329:47 *4375:19 0 +15 *37604:A *4329:12 0 +16 *40283:A *8927:DIODE 0 +17 *40866:A *4329:12 0 +18 *1237:27 *8927:DIODE 0 +19 *2236:25 *4329:12 0 +20 *2249:16 *4329:12 0 +21 *2294:17 *4329:28 0 +22 *2303:39 *4329:47 0 +23 *2327:19 *4329:24 0 +24 *2858:8 *4329:12 0 +25 *2858:30 *4329:51 0 +26 *3103:22 *4329:12 0 +27 *3103:22 *4329:47 0 +28 *3196:35 *4329:28 0 +29 *3354:12 *4329:28 0 +30 *3372:48 *4329:12 0 +31 *3372:48 *4329:47 0 +32 *3386:48 *4329:12 0 +33 *3546:26 *4329:47 0 +34 *3677:17 *4329:47 0 +35 *3720:23 *8927:DIODE 0 +36 *3720:23 *4329:51 0 +37 *3727:23 *4329:12 0 +38 *3730:17 *4329:47 0 +39 *3742:19 *4329:47 0 +40 *3806:53 *4329:47 0 +41 *3812:21 *4329:24 0 +42 *3812:38 *4329:47 0 +43 *3817:34 *4329:24 0 +44 *3819:19 *8927:DIODE 0 +45 *3821:15 *4329:12 0 +46 *3821:29 *4329:28 0 +47 *3823:35 *4329:28 0 +48 *3823:66 *4329:47 0 +49 *4024:30 *4329:51 0 +*RES +1 *41322:X *4329:12 46.0644 +2 *4329:12 *4329:24 20.2321 +3 *4329:24 *4329:28 48.4196 +4 *4329:28 *4329:47 45.5316 +5 *4329:47 *4329:51 11.0357 +6 *4329:51 *41321:A 9.3 +7 *4329:51 *8927:DIODE 14.0857 +*END + +*D_NET *4330 0.0201141 +*CONN +*I *38048:B I *D sky130_fd_sc_hd__and3b_1 +*I *6047:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41323:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *38048:B 0.0002843 +2 *6047:DIODE 0 +3 *41323:X 0.00122932 +4 *4330:63 0.000979068 +5 *4330:62 0.00206905 +6 *4330:46 0.00299473 +7 *4330:36 0.00378156 +8 *4330:28 0.00286845 +9 *4330:20 0.0026928 +10 *4330:11 0.00321478 +11 *38048:B *4907:7 0 +12 *4330:11 *41323:A 0 +13 *4330:36 *4339:35 0 +14 *4330:36 *4339:49 0 +15 *4330:63 *4907:7 0 +16 *5462:DIODE *4330:62 0 +17 *5585:DIODE *4330:46 0 +18 *6441:DIODE *4330:62 0 +19 *7975:DIODE *4330:11 0 +20 *38087:A *4330:46 0 +21 *38361:A *4330:63 0 +22 *39630:A *4330:11 0 +23 *40115:A *4330:11 0 +24 *40182:A *4330:20 0 +25 *40393:A *4330:11 0 +26 *40813:A *4330:36 0 +27 *363:45 *4330:62 0 +28 *368:65 *4330:62 0 +29 *1250:21 *4330:36 0 +30 *1250:57 *4330:46 0 +31 *1423:21 *38048:B 0 +32 *2817:54 *4330:36 0 +33 *2929:44 *4330:11 0 +34 *3009:30 *4330:62 0 +35 *3013:64 *4330:46 0 +36 *3013:72 *38048:B 0 +37 *3020:11 *4330:28 0 +38 *3285:139 *4330:11 0 +39 *3297:100 *4330:62 0 +40 *3487:59 *4330:28 0 +41 *3487:73 *4330:36 0 +42 *3657:19 *4330:11 0 +43 *3725:48 *4330:20 0 +44 *3732:35 *4330:11 0 +45 *3732:35 *4330:20 0 +46 *3732:39 *4330:20 0 +47 *3739:21 *4330:20 0 +48 *3756:37 *4330:11 0 +49 *3761:55 *4330:62 0 +50 *3764:8 *4330:36 0 +51 *3764:8 *4330:46 0 +52 *3764:45 *38048:B 0 +53 *3773:15 *4330:28 0 +54 *3773:33 *4330:46 0 +55 *3779:20 *4330:36 0 +56 *3779:20 *4330:46 0 +57 *3779:31 *4330:46 0 +58 *3779:71 *4330:63 0 +59 *3779:75 *4330:63 0 +60 *4080:29 *4330:62 0 +61 *4087:26 *4330:11 0 +62 *4087:40 *4330:11 0 +63 *4087:93 *4330:62 0 +64 *4312:19 *4330:62 0 +*RES +1 *41323:X *4330:11 35.05 +2 *4330:11 *4330:20 46.4107 +3 *4330:20 *4330:28 28.3214 +4 *4330:28 *4330:36 49.75 +5 *4330:36 *4330:46 47.4286 +6 *4330:46 *4330:62 47.6071 +7 *4330:62 *4330:63 14.5 +8 *4330:63 *6047:DIODE 9.3 +9 *4330:63 *38048:B 33.5143 +*END + +*D_NET *4331 0.0329472 +*CONN +*I *8929:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41323:A I *D sky130_fd_sc_hd__buf_6 +*I *41324:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *8929:DIODE 0.000626803 +2 *41323:A 0.000543386 +3 *41324:X 0 +4 *4331:46 0.00300806 +5 *4331:37 0.0031604 +6 *4331:21 0.0025807 +7 *4331:9 0.00941142 +8 *4331:8 0.00815326 +9 *4331:6 0.00273157 +10 *4331:5 0.00273157 +11 *4331:6 *4719:8 0 +12 *4331:37 *5002:13 0 +13 *4331:37 *5024:13 0 +14 *4331:37 *5024:28 0 +15 *5692:DIODE *41323:A 0 +16 *357:23 *4331:21 0 +17 *359:8 *4331:21 0 +18 *359:19 *4331:37 0 +19 *360:8 *4331:9 0 +20 *361:8 *4331:9 0 +21 *369:26 *4331:21 0 +22 *373:22 *4331:37 0 +23 *1028:55 *4331:46 0 +24 *1542:17 *4331:46 0 +25 *1824:69 *8929:DIODE 0 +26 *2448:36 *4331:21 0 +27 *2474:31 *4331:37 0 +28 *2476:16 *4331:21 0 +29 *2477:17 *4331:37 0 +30 *2477:33 *4331:21 0 +31 *2481:21 *4331:21 0 +32 *2837:67 *4331:46 0 +33 *2919:24 *41323:A 0 +34 *3424:25 *4331:37 0 +35 *3469:27 *4331:37 0 +36 *3492:17 *4331:37 0 +37 *3647:16 *4331:6 0 +38 *3651:26 *4331:6 0 +39 *3668:34 *4331:6 0 +40 *3725:48 *8929:DIODE 0 +41 *3750:98 *41323:A 0 +42 *3756:37 *41323:A 0 +43 *3758:53 *4331:46 0 +44 *3983:21 *4331:9 0 +45 *4084:35 *8929:DIODE 0 +46 *4084:35 *41323:A 0 +47 *4084:43 *8929:DIODE 0 +48 *4087:26 *41323:A 0 +49 *4241:110 *4331:37 0 +50 *4245:16 *4331:6 0 +51 *4330:11 *41323:A 0 +*RES +1 *41324:X *4331:5 13.8 +2 *4331:5 *4331:6 62.0536 +3 *4331:6 *4331:8 4.5 +4 *4331:8 *4331:9 170.161 +5 *4331:9 *4331:21 40.125 +6 *4331:21 *4331:37 42.7908 +7 *4331:37 *4331:46 19.0432 +8 *4331:46 *41323:A 29.8536 +9 *4331:46 *8929:DIODE 31.4964 +*END + +*D_NET *4332 0.0215643 +*CONN +*I *41324:A I *D sky130_fd_sc_hd__buf_6 +*I *8930:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41325:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *41324:A 0 +2 *8930:DIODE 0.000190852 +3 *41325:X 5.78968e-05 +4 *4332:79 0.000787224 +5 *4332:76 0.00269486 +6 *4332:66 0.00249877 +7 *4332:58 0.00231908 +8 *4332:33 0.00428225 +9 *4332:25 0.00351429 +10 *4332:17 0.00315599 +11 *4332:10 0.00206306 +12 *8930:DIODE *4336:54 0 +13 *4332:17 *4338:41 0 +14 *4332:17 *5117:22 0 +15 *4332:25 *5116:16 0 +16 *4332:33 *4377:72 0 +17 *4332:33 *5125:22 0 +18 *4332:58 *4377:72 0 +19 *4332:66 *4746:27 0 +20 *8113:DIODE *4332:25 0 +21 *8924:DIODE *4332:17 0 +22 *37851:A *4332:33 0 +23 *37867:A *4332:58 0 +24 *38246:B *4332:25 0 +25 *39488:A *4332:58 0 +26 *39488:B *4332:58 0 +27 *39915:A *4332:25 0 +28 *40724:A *4332:25 0 +29 *1231:37 *4332:79 0 +30 *1324:51 *4332:66 0 +31 *1324:63 *4332:33 0 +32 *1505:49 *4332:25 0 +33 *1505:49 *4332:33 0 +34 *1820:18 *4332:58 0 +35 *2232:63 *4332:17 0 +36 *2269:46 *4332:17 0 +37 *2272:78 *4332:17 0 +38 *2275:69 *4332:10 0 +39 *2302:37 *4332:17 0 +40 *2325:32 *4332:25 0 +41 *2335:16 *4332:17 0 +42 *2336:14 *4332:25 0 +43 *2346:25 *4332:17 0 +44 *2752:10 *4332:25 0 +45 *2840:34 *4332:76 0 +46 *2928:55 *8930:DIODE 0 +47 *2928:91 *4332:58 0 +48 *2940:14 *4332:66 0 +49 *2947:24 *4332:76 0 +50 *2962:30 *4332:58 0 +51 *2962:46 *4332:33 0 +52 *2962:56 *4332:25 0 +53 *2962:56 *4332:33 0 +54 *2992:22 *4332:79 0 +55 *3181:29 *4332:66 0 +56 *3191:40 *4332:58 0 +57 *3191:83 *4332:10 0 +58 *3191:83 *4332:17 0 +59 *3252:55 *4332:25 0 +60 *3372:48 *4332:17 0 +61 *3659:14 *4332:17 0 +62 *3681:14 *4332:25 0 +63 *3730:67 *4332:76 0 +64 *3741:22 *4332:25 0 +65 *3741:23 *4332:25 0 +66 *3741:47 *4332:33 0 +67 *3741:47 *4332:58 0 +68 *3742:40 *4332:79 0 +69 *3819:49 *4332:79 0 +70 *3819:71 *4332:79 0 +71 *3984:46 *4332:58 0 +72 *3984:68 *4332:58 0 +73 *4070:43 *4332:76 0 +*RES +1 *41325:X *4332:10 19.5679 +2 *4332:10 *4332:17 47.5954 +3 *4332:17 *4332:25 32.4725 +4 *4332:25 *4332:33 49.4643 +5 *4332:33 *4332:58 45.5893 +6 *4332:58 *4332:66 22.0357 +7 *4332:66 *4332:76 49.1607 +8 *4332:76 *4332:79 16.9464 +9 *4332:79 *8930:DIODE 22.5143 +10 *4332:79 *41324:A 9.3 +*END + +*D_NET *4333 0.0219388 +*CONN +*I *8931:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41325:A I *D sky130_fd_sc_hd__buf_4 +*I *41326:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8931:DIODE 0.000636328 +2 *41325:A 0.00017157 +3 *41326:X 0.00275274 +4 *4333:59 0.00505108 +5 *4333:50 0.00740876 +6 *4333:26 0.00591832 +7 *4333:59 *4346:115 0 +8 *4333:59 *4379:22 0 +9 *37810:A *4333:26 0 +10 *40707:A *4333:50 0 +11 *41355:A *4333:26 0 +12 *1299:21 *4333:26 0 +13 *1687:21 *4333:50 0 +14 *1812:13 *4333:26 0 +15 *2178:19 *4333:26 0 +16 *2194:26 *4333:26 0 +17 *2203:19 *4333:26 0 +18 *2215:20 *4333:50 0 +19 *2342:22 *4333:50 0 +20 *2847:24 *4333:26 0 +21 *3176:25 *4333:50 0 +22 *3176:25 *4333:59 0 +23 *3176:37 *4333:26 0 +24 *3180:14 *8931:DIODE 0 +25 *3180:14 *4333:26 0 +26 *3180:14 *4333:59 0 +27 *3190:35 *4333:26 0 +28 *3200:11 *4333:26 0 +29 *3386:42 *8931:DIODE 0 +30 *3386:42 *4333:59 0 +31 *3558:50 *4333:26 0 +32 *3558:50 *4333:50 0 +33 *3558:50 *4333:59 0 +34 *3578:22 *4333:59 0 +35 *3581:34 *4333:50 0 +36 *3592:9 *4333:50 0 +37 *3592:9 *4333:59 0 +38 *3612:78 *4333:26 0 +39 *3624:71 *4333:26 0 +40 *3861:10 *4333:26 0 +41 *4325:17 *4333:26 0 +42 *4325:34 *4333:50 0 +*RES +1 *41326:X *4333:26 48.0194 +2 *4333:26 *4333:50 48.83 +3 *4333:50 *4333:59 28.2584 +4 *4333:59 *41325:A 21.0582 +5 *4333:59 *8931:DIODE 22.0676 +*END + +*D_NET *4334 0.0207848 +*CONN +*I *38046:B I *D sky130_fd_sc_hd__and3b_1 +*I *6044:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41327:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *38046:B 0.000386626 +2 *6044:DIODE 0 +3 *41327:X 0.000675659 +4 *4334:86 0.00311639 +5 *4334:58 0.00482152 +6 *4334:42 0.00258894 +7 *4334:27 0.002534 +8 *4334:20 0.00401143 +9 *4334:16 0.00265027 +10 *4334:16 *8932:DIODE 0 +11 *4334:27 *4339:49 0 +12 *4334:27 *4343:32 0 +13 *4334:58 *37748:B 0 +14 *4334:58 *4343:53 0 +15 *5585:DIODE *4334:58 0 +16 *6043:DIODE *38046:B 0 +17 *7992:DIODE *4334:16 0 +18 *38050:A_N *4334:42 0 +19 *38292:B *4334:27 0 +20 *38339:A *4334:58 0 +21 *1009:127 *4334:42 0 +22 *1009:155 *4334:42 0 +23 *1273:21 *4334:58 0 +24 *1549:25 *4334:42 0 +25 *1568:32 *4334:58 0 +26 *2370:19 *4334:86 0 +27 *2375:27 *4334:86 0 +28 *2422:26 *4334:86 0 +29 *2800:48 *4334:86 0 +30 *2809:24 *4334:86 0 +31 *2811:35 *4334:58 0 +32 *2815:43 *4334:86 0 +33 *2820:38 *4334:42 0 +34 *2829:42 *4334:42 0 +35 *3013:47 *4334:27 0 +36 *3020:11 *4334:20 0 +37 *3090:17 *4334:20 0 +38 *3090:25 *4334:16 0 +39 *3098:19 *4334:27 0 +40 *3098:41 *4334:16 0 +41 *3098:41 *4334:20 0 +42 *3098:43 *4334:16 0 +43 *3109:24 *4334:86 0 +44 *3117:12 *38046:B 0 +45 *3118:6 *4334:58 0 +46 *3286:114 *4334:86 0 +47 *3292:84 *4334:42 0 +48 *3297:123 *38046:B 0 +49 *3401:27 *4334:86 0 +50 *3408:18 *4334:86 0 +51 *3409:66 *4334:16 0 +52 *3479:73 *4334:42 0 +53 *3487:73 *4334:42 0 +54 *3495:19 *4334:86 0 +55 *3734:40 *4334:86 0 +56 *3764:34 *4334:58 0 +57 *3765:41 *4334:27 0 +58 *3773:15 *4334:20 0 +59 *3776:71 *4334:58 0 +60 *3931:43 *38046:B 0 +61 *3978:120 *38046:B 0 +62 *4040:38 *4334:58 0 +63 *4069:68 *4334:86 0 +64 *4220:53 *4334:16 0 +65 *4241:124 *4334:20 0 +66 *4327:26 *4334:27 0 +*RES +1 *41327:X *4334:16 32.4607 +2 *4334:16 *4334:20 45.8929 +3 *4334:20 *4334:27 47.0714 +4 *4334:27 *4334:42 29.1964 +5 *4334:42 *4334:58 49.1696 +6 *4334:58 *4334:86 28.6593 +7 *4334:86 *6044:DIODE 9.3 +8 *4334:86 *38046:B 26.7286 +*END + +*D_NET *4335 0.0203926 +*CONN +*I *8932:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41327:A I *D sky130_fd_sc_hd__buf_6 +*I *41328:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *8932:DIODE 0.00068686 +2 *41327:A 0 +3 *41328:X 0.000963221 +4 *4335:15 0.000705898 +5 *4335:13 0.00854621 +6 *4335:11 0.00949039 +7 *8932:DIODE *4343:15 0 +8 *4335:11 *8933:DIODE 0 +9 *4335:11 *4374:38 0 +10 *4335:13 *4343:15 0 +11 *5420:DIODE *4335:11 0 +12 *5438:DIODE *4335:13 0 +13 *6003:DIODE *4335:13 0 +14 *7992:DIODE *8932:DIODE 0 +15 *37613:A *4335:11 0 +16 *1442:16 *4335:13 0 +17 *2434:47 *4335:11 0 +18 *2919:42 *4335:13 0 +19 *2928:39 *4335:11 0 +20 *2928:39 *4335:13 0 +21 *2928:55 *4335:11 0 +22 *2929:51 *4335:13 0 +23 *3053:71 *4335:13 0 +24 *3070:69 *4335:13 0 +25 *3070:108 *4335:13 0 +26 *3090:25 *8932:DIODE 0 +27 *3098:43 *8932:DIODE 0 +28 *3110:25 *4335:13 0 +29 *3317:129 *4335:11 0 +30 *3317:135 *4335:11 0 +31 *3317:135 *4335:13 0 +32 *3317:176 *4335:13 0 +33 *3321:152 *4335:11 0 +34 *3546:115 *4335:13 0 +35 *3546:128 *4335:13 0 +36 *3658:64 *4335:13 0 +37 *3667:45 *4335:13 0 +38 *3733:115 *4335:13 0 +39 *3825:75 *4335:11 0 +40 *3941:34 *4335:13 0 +41 *4085:70 *4335:13 0 +42 *4088:36 *8932:DIODE 0 +43 *4088:36 *4335:13 0 +44 *4334:16 *8932:DIODE 0 +*RES +1 *41328:X *4335:11 29.7107 +2 *4335:11 *4335:13 177.964 +3 *4335:13 *4335:15 0.428571 +4 *4335:15 *41327:A 9.3 +5 *4335:15 *8932:DIODE 42.5857 +*END + +*D_NET *4336 0.0207124 +*CONN +*I *8933:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41328:A I *D sky130_fd_sc_hd__buf_6 +*I *41329:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8933:DIODE 0.000281501 +2 *41328:A 0 +3 *41329:X 7.23213e-05 +4 *4336:54 0.000732986 +5 *4336:46 0.00194027 +6 *4336:28 0.00324695 +7 *4336:22 0.00333159 +8 *4336:9 0.00630397 +9 *4336:8 0.00480286 +10 *8933:DIODE *4374:38 0 +11 *5678:DIODE *4336:9 0 +12 *5712:DIODE *4336:9 0 +13 *8930:DIODE *4336:54 0 +14 *37830:B *4336:9 0 +15 *37853:A *4336:9 0 +16 *38256:B *4336:54 0 +17 *40739:A *4336:9 0 +18 *1314:7 *4336:9 0 +19 *1324:51 *4336:22 0 +20 *1324:62 *4336:9 0 +21 *1324:62 *4336:22 0 +22 *1437:30 *4336:46 0 +23 *2340:14 *4336:9 0 +24 *2347:33 *4336:9 0 +25 *2928:55 *8933:DIODE 0 +26 *2928:55 *4336:54 0 +27 *2928:83 *4336:22 0 +28 *3085:46 *4336:9 0 +29 *3181:46 *4336:9 0 +30 *3191:54 *4336:22 0 +31 *3191:57 *4336:9 0 +32 *3191:59 *4336:9 0 +33 *3197:55 *4336:9 0 +34 *3283:21 *4336:54 0 +35 *3546:67 *4336:46 0 +36 *3648:17 *4336:28 0 +37 *3670:31 *4336:46 0 +38 *3672:25 *4336:22 0 +39 *3672:25 *4336:28 0 +40 *3672:27 *4336:28 0 +41 *3772:42 *4336:46 0 +42 *3787:54 *4336:9 0 +43 *3787:67 *4336:9 0 +44 *4335:11 *8933:DIODE 0 +*RES +1 *41329:X *4336:8 19.9429 +2 *4336:8 *4336:9 98.6964 +3 *4336:9 *4336:22 42.0536 +4 *4336:22 *4336:28 45.875 +5 *4336:28 *4336:46 46.5357 +6 *4336:46 *4336:54 22.9821 +7 *4336:54 *41328:A 9.3 +8 *4336:54 *8933:DIODE 15.175 +*END + +*D_NET *4337 0.000171306 +*CONN +*I *40492:A I *D sky130_fd_sc_hd__buf_2 +*I *38612:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40492:A 8.56529e-05 +2 *38612:X 8.56529e-05 +*RES +1 *38612:X *40492:A 29.5464 +*END + +*D_NET *4338 0.0209382 +*CONN +*I *41329:A I *D sky130_fd_sc_hd__buf_4 +*I *8934:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41330:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41329:A 0 +2 *8934:DIODE 0.000432787 +3 *41330:X 0.000488962 +4 *4338:41 0.000997331 +5 *4338:30 0.00212582 +6 *4338:21 0.00623129 +7 *4338:20 0.00528171 +8 *4338:13 0.00275152 +9 *4338:10 0.00262878 +10 *4338:21 *4346:95 0 +11 *4338:30 *4345:42 0 +12 *40641:A *4338:10 0 +13 *40664:A *4338:30 0 +14 *2170:9 *4338:10 0 +15 *2174:25 *4338:10 0 +16 *2175:19 *4338:10 0 +17 *2206:10 *4338:13 0 +18 *2206:12 *4338:13 0 +19 *2215:13 *4338:20 0 +20 *2216:11 *4338:20 0 +21 *2221:89 *8934:DIODE 0 +22 *2246:40 *4338:30 0 +23 *2249:16 *4338:21 0 +24 *2262:17 *4338:21 0 +25 *2269:15 *4338:21 0 +26 *2269:25 *4338:30 0 +27 *2269:46 *8934:DIODE 0 +28 *2269:46 *4338:41 0 +29 *2297:14 *4338:30 0 +30 *2302:37 *4338:41 0 +31 *2303:24 *4338:30 0 +32 *2320:27 *4338:30 0 +33 *2327:20 *4338:21 0 +34 *2334:20 *4338:41 0 +35 *2346:52 *4338:30 0 +36 *2346:54 *4338:30 0 +37 *2732:14 *4338:20 0 +38 *2732:15 *4338:20 0 +39 *2732:36 *4338:21 0 +40 *2948:25 *4338:41 0 +41 *3190:19 *4338:21 0 +42 *3191:83 *4338:41 0 +43 *3550:19 *4338:13 0 +44 *3550:19 *4338:20 0 +45 *3581:35 *4338:20 0 +46 *3581:35 *4338:21 0 +47 *3581:43 *4338:20 0 +48 *3812:11 *4338:21 0 +49 *4310:40 *4338:30 0 +50 *4332:17 *4338:41 0 +*RES +1 *41330:X *4338:10 29.0321 +2 *4338:10 *4338:13 44.7054 +3 *4338:13 *4338:20 21.8661 +4 *4338:20 *4338:21 97.4643 +5 *4338:21 *4338:30 46.375 +6 *4338:30 *4338:41 25.4643 +7 *4338:41 *8934:DIODE 28.1929 +8 *4338:41 *41329:A 9.3 +*END + +*D_NET *4339 0.0218482 +*CONN +*I *38044:B I *D sky130_fd_sc_hd__and3b_1 +*I *6041:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41331:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *38044:B 0.000259851 +2 *6041:DIODE 0.000111361 +3 *41331:X 0.00107824 +4 *4339:78 0.00225695 +5 *4339:66 0.00336908 +6 *4339:49 0.00273562 +7 *4339:35 0.00318401 +8 *4339:23 0.0048533 +9 *4339:14 0.00399982 +10 *38044:B *38042:B 0 +11 *4339:23 *4343:15 0 +12 *5430:DIODE *4339:35 0 +13 *37858:A *4339:23 0 +14 *39970:A *4339:66 0 +15 *266:23 *4339:66 0 +16 *1250:57 *4339:78 0 +17 *1581:44 *4339:66 0 +18 *1696:16 *4339:35 0 +19 *1824:69 *4339:14 0 +20 *2375:48 *4339:23 0 +21 *2819:20 *4339:66 0 +22 *2821:23 *4339:23 0 +23 *2830:33 *38044:B 0 +24 *3013:72 *38044:B 0 +25 *3299:75 *6041:DIODE 0 +26 *3299:75 *38044:B 0 +27 *3388:55 *4339:23 0 +28 *3474:18 *4339:78 0 +29 *3487:73 *4339:35 0 +30 *3487:75 *4339:66 0 +31 *3512:50 *38044:B 0 +32 *3544:14 *4339:78 0 +33 *3666:45 *4339:23 0 +34 *3666:45 *4339:35 0 +35 *3666:60 *4339:35 0 +36 *3696:96 *4339:23 0 +37 *3696:121 *4339:66 0 +38 *3718:23 *4339:66 0 +39 *3725:55 *4339:23 0 +40 *3728:24 *4339:78 0 +41 *3748:20 *4339:78 0 +42 *3764:8 *4339:49 0 +43 *3773:14 *4339:23 0 +44 *3773:15 *4339:35 0 +45 *3773:33 *4339:66 0 +46 *3774:25 *4339:14 0 +47 *3779:20 *4339:49 0 +48 *3779:31 *4339:78 0 +49 *3818:27 *4339:23 0 +50 *4087:78 *4339:78 0 +51 *4097:106 *4339:35 0 +52 *4247:23 *4339:14 0 +53 *4247:51 *4339:23 0 +54 *4330:36 *4339:35 0 +55 *4330:36 *4339:49 0 +56 *4334:27 *4339:49 0 +*RES +1 *41331:X *4339:14 49.2368 +2 *4339:14 *4339:23 37.9519 +3 *4339:23 *4339:35 49.5357 +4 *4339:35 *4339:49 48.9286 +5 *4339:49 *4339:66 49.5179 +6 *4339:66 *4339:78 39.7191 +7 *4339:78 *6041:DIODE 16.2821 +8 *4339:78 *38044:B 28.3804 +*END + +*D_NET *4340 0.0219433 +*CONN +*I *8936:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41331:A I *D sky130_fd_sc_hd__buf_6 +*I *41332:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8936:DIODE 0.000834825 +2 *41331:A 2.56688e-05 +3 *41332:X 0.00346314 +4 *4340:40 0.00750851 +5 *4340:26 0.0101112 +6 *4340:26 *4344:17 0 +7 *4340:40 *4372:39 0 +8 *6424:DIODE *8936:DIODE 0 +9 *8676:DIODE *8936:DIODE 0 +10 *1444:32 *4340:26 0 +11 *2822:123 *8936:DIODE 0 +12 *2832:50 *4340:40 0 +13 *2987:101 *41331:A 0 +14 *3008:33 *4340:40 0 +15 *3029:46 *4340:26 0 +16 *3036:33 *8936:DIODE 0 +17 *3090:43 *4340:40 0 +18 *3100:31 *4340:40 0 +19 *3306:68 *8936:DIODE 0 +20 *3308:15 *4340:40 0 +21 *3316:115 *4340:26 0 +22 *3388:55 *4340:40 0 +23 *3388:60 *4340:40 0 +24 *3520:61 *4340:40 0 +25 *3626:45 *4340:40 0 +26 *3684:53 *8936:DIODE 0 +27 *3684:75 *8936:DIODE 0 +28 *3702:125 *4340:26 0 +29 *3726:89 *4340:40 0 +30 *3730:44 *4340:26 0 +31 *3763:21 *4340:26 0 +32 *3776:17 *4340:40 0 +33 *3815:106 *4340:26 0 +34 *3942:107 *4340:26 0 +35 *4034:95 *41331:A 0 +36 *4078:89 *4340:40 0 +37 *4256:47 *4340:40 0 +38 *4309:40 *4340:26 0 +39 *4320:23 *4340:26 0 +40 *4320:28 *4340:26 0 +*RES +1 *41332:X *4340:26 44.7694 +2 *4340:26 *4340:40 43.6369 +3 *4340:40 *41331:A 14.3357 +4 *4340:40 *8936:DIODE 31.9071 +*END + +*D_NET *4341 0.0251075 +*CONN +*I *8937:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41332:A I *D sky130_fd_sc_hd__buf_4 +*I *41333:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8937:DIODE 0.000438936 +2 *41332:A 0 +3 *41333:X 0.00552693 +4 *4341:44 0.000504611 +5 *4341:39 0.00658789 +6 *4341:22 0.0120491 +7 *4341:22 *4363:53 0 +8 *4341:22 *4378:50 0 +9 *37848:B *4341:44 0 +10 *40623:A *4341:22 0 +11 *355:21 *4341:39 0 +12 *1027:64 *4341:39 0 +13 *1204:25 *4341:39 0 +14 *1310:22 *4341:39 0 +15 *2059:53 *4341:22 0 +16 *2264:69 *4341:39 0 +17 *2412:31 *4341:22 0 +18 *2832:38 *4341:39 0 +19 *2835:31 *4341:39 0 +20 *2848:22 *4341:39 0 +21 *2918:20 *4341:39 0 +22 *2920:19 *4341:39 0 +23 *2928:55 *8937:DIODE 0 +24 *2930:40 *4341:39 0 +25 *2939:59 *4341:22 0 +26 *2941:33 *4341:39 0 +27 *2941:47 *4341:22 0 +28 *2941:47 *4341:39 0 +29 *3120:50 *4341:22 0 +30 *3307:21 *4341:22 0 +31 *3553:27 *4341:22 0 +32 *3751:23 *4341:22 0 +33 *3789:22 *4341:22 0 +34 *3815:70 *8937:DIODE 0 +35 *3942:61 *4341:39 0 +36 *3946:31 *4341:39 0 +37 *4128:83 *8937:DIODE 0 +*RES +1 *41333:X *4341:22 47.3168 +2 *4341:22 *4341:39 49.4283 +3 *4341:39 *4341:44 9.40107 +4 *4341:44 *41332:A 9.3 +5 *4341:44 *8937:DIODE 18.4607 +*END + +*D_NET *4342 0.0210743 +*CONN +*I *8938:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41333:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *41334:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8938:DIODE 0.000104386 +2 *41333:A 7.23016e-05 +3 *41334:X 0.00398269 +4 *4342:38 0.00655446 +5 *4342:28 0.0103605 +6 *4342:28 *4350:13 0 +7 *4342:28 *4368:42 0 +8 *4342:38 *4368:42 0 +9 *4342:38 *5147:25 0 +10 *40852:A *4342:38 0 +11 *1199:10 *4342:28 0 +12 *1203:55 *8938:DIODE 0 +13 *1524:47 *4342:28 0 +14 *1917:13 *4342:28 0 +15 *2059:39 *4342:38 0 +16 *2204:31 *4342:28 0 +17 *2221:27 *4342:38 0 +18 *2226:33 *4342:38 0 +19 *2227:48 *4342:38 0 +20 *2235:25 *4342:38 0 +21 *2235:38 *4342:38 0 +22 *2267:57 *4342:38 0 +23 *2862:33 *4342:28 0 +24 *2876:20 *4342:28 0 +25 *2879:20 *4342:38 0 +26 *2885:51 *4342:38 0 +27 *3562:49 *4342:38 0 +28 *3588:32 *4342:38 0 +29 *3598:18 *4342:28 0 +30 *3786:44 *4342:38 0 +*RES +1 *41334:X *4342:28 48.3426 +2 *4342:28 *4342:38 34.9446 +3 *4342:38 *41333:A 15.4429 +4 *4342:38 *8938:DIODE 15.9786 +*END + +*D_NET *4343 0.0203465 +*CONN +*I *38042:B I *D sky130_fd_sc_hd__and3b_1 +*I *6038:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41335:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *38042:B 0.000388014 +2 *6038:DIODE 0 +3 *41335:X 0.000232694 +4 *4343:71 0.00085287 +5 *4343:65 0.00189288 +6 *4343:53 0.00306113 +7 *4343:32 0.00367949 +8 *4343:23 0.00278946 +9 *4343:15 0.00398017 +10 *4343:8 0.00346979 +11 *4343:15 *4406:22 0 +12 *4343:53 *37748:B 0 +13 *8932:DIODE *4343:15 0 +14 *37702:B *4343:23 0 +15 *38044:B *38042:B 0 +16 *38050:A_N *4343:32 0 +17 *38050:A_N *4343:53 0 +18 *38050:B *4343:53 0 +19 *38292:B *4343:32 0 +20 *38329:A *4343:23 0 +21 *38339:A *4343:53 0 +22 *1009:155 *4343:53 0 +23 *1273:21 *4343:53 0 +24 *1416:17 *4343:15 0 +25 *1424:15 *4343:53 0 +26 *1549:25 *4343:32 0 +27 *1824:42 *4343:15 0 +28 *2375:48 *4343:15 0 +29 *2422:48 *4343:15 0 +30 *2811:35 *4343:65 0 +31 *2811:59 *38042:B 0 +32 *2821:23 *4343:15 0 +33 *3013:19 *4343:23 0 +34 *3013:19 *4343:32 0 +35 *3013:47 *4343:32 0 +36 *3013:47 *4343:53 0 +37 *3013:54 *4343:53 0 +38 *3013:54 *4343:65 0 +39 *3014:58 *4343:15 0 +40 *3040:26 *4343:71 0 +41 *3045:47 *4343:53 0 +42 *3094:8 *4343:71 0 +43 *3301:127 *38042:B 0 +44 *3308:69 *4343:32 0 +45 *3388:36 *4343:32 0 +46 *3406:112 *4343:71 0 +47 *3409:138 *4343:23 0 +48 *3420:55 *4343:32 0 +49 *3432:67 *4343:23 0 +50 *3764:45 *38042:B 0 +51 *3764:45 *4343:71 0 +52 *3773:57 *38042:B 0 +53 *3776:71 *4343:53 0 +54 *3941:34 *4343:15 0 +55 *3945:52 *38042:B 0 +56 *3978:99 *4343:65 0 +57 *4010:27 *4343:23 0 +58 *4010:96 *4343:65 0 +59 *4040:38 *4343:53 0 +60 *4087:78 *38042:B 0 +61 *4241:124 *4343:15 0 +62 *4247:51 *4343:15 0 +63 *4313:24 *4343:15 0 +64 *4327:15 *4343:15 0 +65 *4327:26 *4343:23 0 +66 *4327:26 *4343:32 0 +67 *4327:29 *4343:53 0 +68 *4334:27 *4343:32 0 +69 *4334:58 *4343:53 0 +70 *4335:13 *4343:15 0 +71 *4339:23 *4343:15 0 +*RES +1 *41335:X *4343:8 23.5857 +2 *4343:8 *4343:15 46.876 +3 *4343:15 *4343:23 23.6868 +4 *4343:23 *4343:32 47.3929 +5 *4343:32 *4343:53 47.7679 +6 *4343:53 *4343:65 47.9464 +7 *4343:65 *4343:71 18.7857 +8 *4343:71 *6038:DIODE 9.3 +9 *4343:71 *38042:B 27.1036 +*END + +*D_NET *4344 0.020867 +*CONN +*I *41335:A I *D sky130_fd_sc_hd__buf_6 +*I *8939:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41336:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *41335:A 4.23535e-05 +2 *8939:DIODE 0.000776105 +3 *41336:X 0.0073622 +4 *4344:25 0.00307127 +5 *4344:17 0.00961502 +6 *4344:17 *4352:58 0 +7 *8732:DIODE *8939:DIODE 0 +8 *1231:37 *4344:17 0 +9 *1414:38 *4344:25 0 +10 *1449:24 *8939:DIODE 0 +11 *1824:88 *4344:25 0 +12 *2417:63 *8939:DIODE 0 +13 *2419:68 *4344:17 0 +14 *2835:31 *4344:25 0 +15 *2919:62 *4344:17 0 +16 *3070:68 *41335:A 0 +17 *3070:68 *4344:25 0 +18 *3112:82 *4344:17 0 +19 *3275:32 *4344:25 0 +20 *3316:115 *4344:17 0 +21 *3493:29 *4344:25 0 +22 *3526:97 *4344:17 0 +23 *3685:40 *4344:17 0 +24 *3725:22 *4344:25 0 +25 *3730:67 *4344:17 0 +26 *3756:25 *41335:A 0 +27 *3758:53 *4344:17 0 +28 *3763:21 *4344:17 0 +29 *3763:36 *4344:17 0 +30 *3815:106 *4344:17 0 +31 *3942:107 *4344:17 0 +32 *3988:25 *4344:17 0 +33 *3991:108 *4344:17 0 +34 *3991:108 *4344:25 0 +35 *4037:95 *8939:DIODE 0 +36 *4041:86 *4344:17 0 +37 *4048:52 *8939:DIODE 0 +38 *4078:89 *4344:25 0 +39 *4267:62 *4344:17 0 +40 *4309:40 *4344:17 0 +41 *4340:26 *4344:17 0 +*RES +1 *41336:X *4344:17 46.2059 +2 *4344:17 *4344:25 19.1398 +3 *4344:25 *8939:DIODE 35.8357 +4 *4344:25 *41335:A 10.2464 +*END + +*D_NET *4345 0.0230363 +*CONN +*I *41336:A I *D sky130_fd_sc_hd__buf_4 +*I *8940:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41337:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41336:A 0 +2 *8940:DIODE 0.000260151 +3 *41337:X 0.000550895 +4 *4345:117 0.000358705 +5 *4345:111 0.00214344 +6 *4345:89 0.00411293 +7 *4345:84 0.00405761 +8 *4345:75 0.00392135 +9 *4345:72 0.00300614 +10 *4345:42 0.00257428 +11 *4345:20 0.00205082 +12 *4345:72 *4359:32 0 +13 *4345:75 *41354:A 0 +14 *4345:75 *4365:34 0 +15 *4345:75 *4366:11 0 +16 *4345:84 *4358:51 0 +17 *4345:111 *4367:61 0 +18 *4345:111 *4367:69 0 +19 *8100:DIODE *4345:84 0 +20 *8503:DIODE *4345:84 0 +21 *37676:A *4345:84 0 +22 *37834:B *4345:111 0 +23 *39633:A *4345:89 0 +24 *40287:A *4345:84 0 +25 *40664:A *4345:42 0 +26 *40715:A *4345:84 0 +27 *40734:A *4345:111 0 +28 *40829:A *4345:84 0 +29 *40863:A *4345:89 0 +30 *1203:48 *4345:72 0 +31 *1203:48 *4345:75 0 +32 *1203:54 *4345:42 0 +33 *1203:54 *4345:72 0 +34 *1231:37 *8940:DIODE 0 +35 *1231:37 *4345:117 0 +36 *1316:12 *4345:111 0 +37 *1407:44 *4345:84 0 +38 *1436:22 *4345:111 0 +39 *2156:26 *4345:75 0 +40 *2226:36 *4345:20 0 +41 *2226:36 *4345:42 0 +42 *2250:44 *4345:20 0 +43 *2281:62 *4345:84 0 +44 *2299:19 *4345:72 0 +45 *2301:38 *4345:75 0 +46 *2306:18 *4345:72 0 +47 *2306:40 *4345:84 0 +48 *2311:16 *4345:72 0 +49 *2313:27 *4345:42 0 +50 *2314:10 *4345:75 0 +51 *2315:21 *4345:42 0 +52 *2322:27 *4345:42 0 +53 *2324:37 *4345:72 0 +54 *2325:27 *4345:72 0 +55 *2327:19 *4345:72 0 +56 *2343:22 *4345:72 0 +57 *2347:16 *4345:75 0 +58 *2420:26 *4345:117 0 +59 *2726:14 *4345:84 0 +60 *2928:55 *8940:DIODE 0 +61 *3165:14 *4345:75 0 +62 *3165:14 *4345:84 0 +63 *3165:21 *4345:75 0 +64 *3176:25 *4345:42 0 +65 *3305:105 *8940:DIODE 0 +66 *3526:90 *4345:89 0 +67 *3526:97 *8940:DIODE 0 +68 *3546:56 *4345:111 0 +69 *3588:13 *4345:20 0 +70 *3588:13 *4345:42 0 +71 *3626:29 *4345:111 0 +72 *3655:18 *4345:84 0 +73 *3674:14 *4345:84 0 +74 *3680:16 *4345:84 0 +75 *3680:42 *4345:89 0 +76 *3680:48 *4345:111 0 +77 *3720:23 *4345:84 0 +78 *3730:44 *4345:111 0 +79 *3781:24 *4345:84 0 +80 *3801:21 *4345:75 0 +81 *3801:21 *4345:84 0 +82 *3812:65 *4345:89 0 +83 *3819:13 *4345:84 0 +84 *3819:17 *4345:84 0 +85 *3819:19 *4345:84 0 +86 *3819:49 *8940:DIODE 0 +87 *3819:49 *4345:117 0 +88 *3822:21 *4345:89 0 +89 *3822:21 *4345:111 0 +90 *3942:61 *4345:111 0 +91 *4101:36 *4345:42 0 +92 *4134:50 *4345:84 0 +93 *4310:40 *4345:42 0 +94 *4320:23 *4345:111 0 +95 *4325:34 *4345:42 0 +96 *4338:30 *4345:42 0 +*RES +1 *41337:X *4345:20 48.2464 +2 *4345:20 *4345:42 49.7745 +3 *4345:42 *4345:72 45.9196 +4 *4345:72 *4345:75 40.2857 +5 *4345:75 *4345:84 46.25 +6 *4345:84 *4345:89 47.6607 +7 *4345:89 *4345:111 49.4774 +8 *4345:111 *4345:117 6.75893 +9 *4345:117 *8940:DIODE 23.925 +10 *4345:117 *41336:A 9.3 +*END + +*D_NET *4346 0.0230029 +*CONN +*I *41337:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8941:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41338:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41337:A 8.25679e-05 +2 *8941:DIODE 0.000219186 +3 *41338:X 0.000926946 +4 *4346:115 0.00146121 +5 *4346:95 0.00343243 +6 *4346:90 0.00328187 +7 *4346:84 0.00204255 +8 *4346:63 0.00332075 +9 *4346:50 0.00303339 +10 *4346:37 0.00251067 +11 *4346:21 0.00269131 +12 *4346:63 *4480:36 0 +13 *4346:90 *4370:32 0 +14 *5412:DIODE *4346:63 0 +15 *8340:DIODE *4346:63 0 +16 *8372:DIODE *4346:21 0 +17 *8959:DIODE *4346:37 0 +18 *37596:B *4346:37 0 +19 *37812:A *4346:63 0 +20 *37814:A *4346:84 0 +21 *39481:A *4346:63 0 +22 *39481:B *4346:63 0 +23 *40625:A *4346:63 0 +24 *40679:A *4346:63 0 +25 *40698:A *4346:84 0 +26 *40873:A *4346:50 0 +27 *40890:A *4346:37 0 +28 *41315:A *4346:84 0 +29 *1406:19 *4346:84 0 +30 *1685:26 *4346:50 0 +31 *1905:34 *4346:21 0 +32 *1928:45 *4346:21 0 +33 *1928:58 *4346:37 0 +34 *1936:14 *4346:84 0 +35 *2204:31 *4346:21 0 +36 *2216:11 *4346:84 0 +37 *2218:23 *4346:63 0 +38 *2220:18 *4346:63 0 +39 *2227:13 *4346:84 0 +40 *2249:16 *4346:95 0 +41 *2250:44 *4346:115 0 +42 *2253:17 *4346:90 0 +43 *2291:16 *4346:115 0 +44 *2319:29 *4346:115 0 +45 *2327:20 *4346:95 0 +46 *2327:38 *4346:95 0 +47 *2331:29 *4346:115 0 +48 *2338:29 *41337:A 0 +49 *2338:29 *4346:115 0 +50 *2736:15 *4346:21 0 +51 *2847:28 *4346:90 0 +52 *2852:21 *4346:21 0 +53 *2948:37 *4346:95 0 +54 *3555:19 *4346:63 0 +55 *3578:37 *4346:63 0 +56 *3578:52 *4346:63 0 +57 *3578:58 *4346:37 0 +58 *3578:58 *4346:50 0 +59 *3588:45 *4346:50 0 +60 *3599:14 *4346:115 0 +61 *3608:24 *4346:84 0 +62 *3608:42 *4346:63 0 +63 *3612:67 *4346:37 0 +64 *3620:17 *4346:90 0 +65 *3624:19 *4346:115 0 +66 *3624:51 *4346:84 0 +67 *3671:27 *4346:37 0 +68 *3831:14 *4346:37 0 +69 *4333:59 *4346:115 0 +70 *4338:21 *4346:95 0 +*RES +1 *41338:X *4346:21 47.3 +2 *4346:21 *4346:37 46.0893 +3 *4346:37 *4346:50 33.9464 +4 *4346:50 *4346:63 48.1964 +5 *4346:63 *4346:84 48.0082 +6 *4346:84 *4346:90 17.6662 +7 *4346:90 *4346:95 47.5 +8 *4346:95 *4346:115 43.1964 +9 *4346:115 *8941:DIODE 23.2821 +10 *4346:115 *41337:A 11.0857 +*END + +*D_NET *4347 0.0312262 +*CONN +*I *38040:B I *D sky130_fd_sc_hd__and3b_2 +*I *6034:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41339:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38040:B 0.00027364 +2 *6034:DIODE 0 +3 *41339:X 0.000359684 +4 *4347:41 0.000378027 +5 *4347:38 0.0021636 +6 *4347:22 0.00349871 +7 *4347:19 0.0107452 +8 *4347:14 0.0113767 +9 *4347:13 0.00243065 +10 *4347:14 *4976:12 0 +11 *4347:19 *4971:35 0 +12 *4347:19 *4976:24 0 +13 *4347:19 *4994:14 0 +14 *4347:38 *4365:67 0 +15 *4347:38 *4747:10 0 +16 *5990:DIODE *4347:38 0 +17 *37774:B *38040:B 0 +18 *38040:C *4347:38 0 +19 *38040:C *4347:41 0 +20 *38269:A *4347:22 0 +21 *349:9 *4347:22 0 +22 *351:23 *4347:14 0 +23 *1001:30 *4347:19 0 +24 *1011:207 *4347:14 0 +25 *1013:74 *4347:19 0 +26 *1024:105 *4347:14 0 +27 *1429:43 *4347:38 0 +28 *1522:27 *4347:19 0 +29 *1532:36 *4347:38 0 +30 *2250:44 *4347:13 0 +31 *2273:26 *4347:14 0 +32 *2286:39 *4347:14 0 +33 *2290:25 *4347:13 0 +34 *2290:25 *4347:14 0 +35 *2466:8 *4347:22 0 +36 *2466:8 *4347:38 0 +37 *2732:36 *4347:13 0 +38 *2746:8 *4347:22 0 +39 *2746:44 *4347:19 0 +40 *2841:41 *4347:38 0 +41 *2961:36 *4347:38 0 +42 *3074:56 *4347:38 0 +43 *3769:51 *4347:38 0 +44 *3795:28 *4347:14 0 +45 *3808:19 *4347:13 0 +46 *4092:19 *38040:B 0 +47 *4114:44 *4347:38 0 +48 *4119:70 *38040:B 0 +49 *4125:58 *4347:38 0 +50 *4316:10 *4347:14 0 +51 *4316:23 *4347:22 0 +52 *4316:23 *4347:38 0 +*RES +1 *41339:X *4347:13 30.8893 +2 *4347:13 *4347:14 47.0268 +3 *4347:14 *4347:19 40.5221 +4 *4347:19 *4347:22 32.7321 +5 *4347:22 *4347:38 47.0446 +6 *4347:38 *4347:41 6.67857 +7 *4347:41 *6034:DIODE 9.3 +8 *4347:41 *38040:B 24.5143 +*END + +*D_NET *4348 0.00727572 +*CONN +*I *40491:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38613:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40491:A 0 +2 *38613:X 0.0011743 +3 *4348:43 0.00172742 +4 *4348:34 0.00246356 +5 *4348:14 0.00191044 +6 *4348:14 *5179:115 0 +7 *4348:34 *5102:19 0 +8 *4348:34 *5169:57 0 +9 *4348:34 *5171:107 0 +10 *4348:43 *39046:A 0 +11 *4348:43 *4780:120 0 +12 *4348:43 *5166:78 0 +13 *4348:43 *5171:107 0 +14 *40502:A *4348:14 0 +15 *412:43 *4348:14 0 +16 *1726:25 *4348:43 0 +17 *1829:51 *4348:34 0 +18 *1862:69 *4348:43 0 +19 *2882:46 *4348:34 0 +20 *2938:53 *4348:43 0 +21 *2971:16 *4348:43 0 +22 *3266:20 *4348:43 0 +23 *3571:24 *4348:14 0 +24 *3571:48 *4348:34 0 +*RES +1 *38613:X *4348:14 47.675 +2 *4348:14 *4348:34 47.5357 +3 *4348:34 *4348:43 46.0714 +4 *4348:43 *40491:A 9.3 +*END + +*D_NET *4349 0.00029348 +*CONN +*I *40490:A I *D sky130_fd_sc_hd__buf_2 +*I *38614:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40490:A 0.00014674 +2 *38614:X 0.00014674 +3 *40490:A *4756:19 0 +4 *40490:A *5072:17 0 +5 *537:22 *40490:A 0 +6 *2893:17 *40490:A 0 +*RES +1 *38614:X *40490:A 21.9393 +*END + +*D_NET *4350 0.0222959 +*CONN +*I *8942:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41339:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *41340:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8942:DIODE 0.000350289 +2 *41339:A 5.79164e-05 +3 *41340:X 0.00149778 +4 *4350:85 0.000532271 +5 *4350:80 0.00163176 +6 *4350:51 0.0042688 +7 *4350:50 0.00406956 +8 *4350:33 0.00302908 +9 *4350:23 0.00354065 +10 *4350:13 0.00331781 +11 *4350:13 *4458:74 0 +12 *4350:33 *4965:8 0 +13 *4350:33 *5140:47 0 +14 *4350:50 *4368:42 0 +15 *4350:80 *4363:53 0 +16 *4350:80 *5136:40 0 +17 *5414:DIODE *4350:80 0 +18 *37597:A *4350:23 0 +19 *37824:A *4350:80 0 +20 *39481:A *4350:50 0 +21 *40028:A *4350:13 0 +22 *40628:A *4350:80 0 +23 *40672:A *4350:85 0 +24 *40833:A *4350:80 0 +25 *40850:A *4350:80 0 +26 *41326:A *4350:13 0 +27 *41334:A *4350:13 0 +28 *1288:28 *4350:23 0 +29 *1302:18 *4350:13 0 +30 *1308:14 *4350:51 0 +31 *1309:21 *4350:80 0 +32 *1816:13 *8942:DIODE 0 +33 *1816:13 *41339:A 0 +34 *1943:26 *4350:23 0 +35 *1943:28 *4350:23 0 +36 *1949:62 *4350:13 0 +37 *1951:52 *4350:13 0 +38 *2174:41 *4350:23 0 +39 *2182:29 *4350:33 0 +40 *2197:38 *4350:33 0 +41 *2218:23 *4350:50 0 +42 *2221:15 *4350:50 0 +43 *2222:43 *4350:51 0 +44 *2250:44 *4350:85 0 +45 *2290:25 *8942:DIODE 0 +46 *2290:25 *41339:A 0 +47 *2740:32 *4350:33 0 +48 *2886:69 *4350:33 0 +49 *3120:50 *4350:80 0 +50 *3185:25 *4350:13 0 +51 *3195:26 *4350:33 0 +52 *3558:25 *4350:80 0 +53 *3569:21 *4350:51 0 +54 *3575:49 *4350:33 0 +55 *3578:35 *4350:51 0 +56 *3578:37 *4350:51 0 +57 *3588:35 *4350:50 0 +58 *3599:14 *41339:A 0 +59 *3612:34 *4350:51 0 +60 *3612:34 *4350:80 0 +61 *3612:35 *4350:51 0 +62 *3612:56 *4350:50 0 +63 *3640:43 *4350:13 0 +64 *3806:15 *4350:80 0 +65 *4311:22 *4350:13 0 +66 *4342:28 *4350:13 0 +*RES +1 *41340:X *4350:13 37.7557 +2 *4350:13 *4350:23 48.2589 +3 *4350:23 *4350:33 49.9464 +4 *4350:33 *4350:50 46.5536 +5 *4350:50 *4350:51 57.625 +6 *4350:51 *4350:80 46.5765 +7 *4350:80 *4350:85 11.5893 +8 *4350:85 *41339:A 15.0679 +9 *4350:85 *8942:DIODE 22.3714 +*END + +*D_NET *4351 0.0188 +*CONN +*I *38038:B I *D sky130_fd_sc_hd__and3b_1 +*I *6030:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41341:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *38038:B 0.000143745 +2 *6030:DIODE 0.000135244 +3 *41341:X 0.000222461 +4 *4351:33 0.00917754 +5 *4351:18 0.00912102 +6 *8669:DIODE *4351:33 0 +7 *8706:DIODE *4351:33 0 +8 *8875:DIODE *4351:33 0 +9 *40476:A *4351:33 0 +10 *1210:89 *4351:18 0 +11 *1336:38 *4351:33 0 +12 *1445:53 *4351:33 0 +13 *1823:18 *4351:33 0 +14 *1824:42 *4351:33 0 +15 *2403:52 *4351:33 0 +16 *2816:61 *4351:33 0 +17 *2991:30 *4351:33 0 +18 *3008:54 *4351:33 0 +19 *3303:56 *6030:DIODE 0 +20 *3432:67 *4351:33 0 +21 *3532:30 *4351:33 0 +22 *3661:25 *4351:33 0 +23 *3663:16 *4351:33 0 +24 *3667:76 *4351:33 0 +25 *3670:68 *4351:33 0 +26 *3725:48 *4351:33 0 +27 *3748:14 *4351:33 0 +28 *3748:20 *4351:33 0 +29 *3765:22 *4351:33 0 +30 *3765:34 *4351:33 0 +31 *3780:83 *4351:33 0 +32 *3942:125 *4351:18 0 +33 *3942:151 *4351:33 0 +34 *3951:29 *4351:33 0 +35 *3988:25 *4351:33 0 +36 *3994:17 *4351:33 0 +37 *4041:86 *4351:33 0 +38 *4052:53 *4351:33 0 +39 *4070:95 *4351:33 0 +40 *4084:62 *6030:DIODE 0 +41 *4097:94 *4351:33 0 +42 *4309:63 *4351:33 0 +43 *4323:77 *4351:33 0 +*RES +1 *41341:X *4351:18 22.1296 +2 *4351:18 *4351:33 42.5409 +3 *4351:33 *6030:DIODE 16.8089 +4 *4351:33 *38038:B 16.8 +*END + +*D_NET *4352 0.0218737 +*CONN +*I *8943:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41341:A I *D sky130_fd_sc_hd__buf_6 +*I *41342:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8943:DIODE 0.00071323 +2 *41341:A 1.21519e-05 +3 *41342:X 0.00652947 +4 *4352:67 0.00160272 +5 *4352:58 0.00368202 +6 *4352:19 0.00933415 +7 *4352:19 *4365:34 0 +8 *37860:B *8943:DIODE 0 +9 *38018:A_N *4352:58 0 +10 *38018:C *4352:58 0 +11 *1210:69 *4352:58 0 +12 *1210:89 *8943:DIODE 0 +13 *1411:15 *8943:DIODE 0 +14 *1413:35 *4352:19 0 +15 *1444:32 *4352:19 0 +16 *2419:68 *4352:58 0 +17 *2840:27 *4352:19 0 +18 *2928:76 *4352:19 0 +19 *3054:78 *4352:58 0 +20 *3074:56 *4352:19 0 +21 *3526:67 *4352:19 0 +22 *3670:68 *4352:67 0 +23 *3685:22 *4352:19 0 +24 *3685:40 *4352:19 0 +25 *3685:40 *4352:58 0 +26 *3689:28 *4352:19 0 +27 *3733:20 *4352:19 0 +28 *3740:46 *8943:DIODE 0 +29 *3763:21 *4352:19 0 +30 *3763:36 *4352:58 0 +31 *3766:48 *4352:19 0 +32 *3766:81 *4352:58 0 +33 *3772:20 *4352:19 0 +34 *3812:65 *4352:19 0 +35 *3812:77 *4352:19 0 +36 *3819:49 *4352:19 0 +37 *3822:76 *4352:58 0 +38 *3822:86 *4352:58 0 +39 *3942:61 *4352:19 0 +40 *3942:107 *4352:58 0 +41 *4022:68 *4352:19 0 +42 *4037:74 *8943:DIODE 0 +43 *4109:65 *4352:67 0 +44 *4248:69 *4352:58 0 +45 *4267:62 *4352:58 0 +46 *4310:80 *4352:19 0 +47 *4316:34 *4352:19 0 +48 *4328:24 *4352:19 0 +49 *4344:17 *4352:58 0 +*RES +1 *41342:X *4352:19 48.2639 +2 *4352:19 *4352:58 49.0428 +3 *4352:58 *4352:67 10.0223 +4 *4352:67 *41341:A 14.0768 +5 *4352:67 *8943:DIODE 38.8625 +*END + +*D_NET *4353 0.0202001 +*CONN +*I *41342:A I *D sky130_fd_sc_hd__buf_4 +*I *8944:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41343:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41342:A 2.26741e-05 +2 *8944:DIODE 0.00017038 +3 *41343:X 0.000539951 +4 *4353:33 0.0011013 +5 *4353:31 0.00176482 +6 *4353:9 0.00845881 +7 *4353:8 0.00814219 +8 *4353:9 *4356:15 0 +9 *4353:31 *4356:30 0 +10 *4353:31 *4356:37 0 +11 *4353:33 *4356:37 0 +12 *8436:DIODE *4353:31 0 +13 *40735:A *4353:33 0 +14 *40748:A *4353:33 0 +15 *40829:A *8944:DIODE 0 +16 *1407:44 *4353:33 0 +17 *2216:11 *4353:8 0 +18 *2234:24 *4353:8 0 +19 *2235:25 *4353:8 0 +20 *2236:25 *4353:8 0 +21 *2251:11 *4353:9 0 +22 *2275:26 *4353:9 0 +23 *2281:62 *4353:33 0 +24 *2286:36 *4353:9 0 +25 *2288:19 *4353:9 0 +26 *2294:10 *4353:9 0 +27 *2294:17 *4353:9 0 +28 *2301:38 *4353:9 0 +29 *2301:38 *4353:31 0 +30 *2328:13 *4353:9 0 +31 *2342:13 *4353:9 0 +32 *2343:50 *4353:9 0 +33 *2858:15 *41342:A 0 +34 *2858:15 *4353:33 0 +35 *3123:39 *4353:9 0 +36 *3123:67 *4353:9 0 +37 *3599:35 *4353:9 0 +38 *3603:21 *4353:9 0 +39 *3636:19 *4353:8 0 +40 *3655:18 *8944:DIODE 0 +41 *3680:16 *4353:31 0 +42 *3819:13 *4353:31 0 +43 *3823:25 *4353:9 0 +44 *3824:21 *8944:DIODE 0 +45 *4134:50 *4353:33 0 +46 *4329:28 *4353:9 0 +*RES +1 *41343:X *4353:8 30.5679 +2 *4353:8 *4353:9 158.661 +3 *4353:9 *4353:31 36.4464 +4 *4353:31 *4353:33 19.0179 +5 *4353:33 *8944:DIODE 22.0143 +6 *4353:33 *41342:A 9.83571 +*END + +*D_NET *4354 0.0192662 +*CONN +*I *38036:B I *D sky130_fd_sc_hd__and3b_1 +*I *6026:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41344:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *38036:B 0.000138036 +2 *6026:DIODE 3.786e-05 +3 *41344:X 0.0074524 +4 *4354:35 0.00218071 +5 *4354:27 0.00945722 +6 *4354:27 *4355:50 0 +7 *7572:DIODE *4354:27 0 +8 *38341:A *4354:27 0 +9 *40722:A *4354:27 0 +10 *1009:127 *4354:35 0 +11 *1414:16 *4354:27 0 +12 *1696:16 *4354:27 0 +13 *2380:55 *4354:27 0 +14 *2929:50 *4354:27 0 +15 *3285:139 *4354:27 0 +16 *3298:59 *4354:27 0 +17 *3469:30 *4354:35 0 +18 *3469:49 *4354:35 0 +19 *3544:14 *4354:35 0 +20 *3584:37 *4354:27 0 +21 *3663:17 *4354:27 0 +22 *3725:22 *4354:27 0 +23 *3728:24 *4354:35 0 +24 *3739:33 *6026:DIODE 0 +25 *3748:20 *38036:B 0 +26 *3748:20 *4354:27 0 +27 *3748:20 *4354:35 0 +28 *3750:98 *4354:27 0 +29 *3758:53 *4354:27 0 +30 *3758:85 *4354:27 0 +31 *4034:88 *4354:27 0 +32 *4084:62 *4354:27 0 +33 *4087:40 *4354:27 0 +34 *4097:94 *4354:27 0 +35 *4313:14 *4354:27 0 +36 *4313:24 *4354:27 0 +*RES +1 *41344:X *4354:27 48.2786 +2 *4354:27 *4354:35 12.8412 +3 *4354:35 *6026:DIODE 18.0225 +4 *4354:35 *38036:B 17.9662 +*END + +*D_NET *4355 0.0288892 +*CONN +*I *41344:A I *D sky130_fd_sc_hd__buf_6 +*I *8946:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41345:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *41344:A 0 +2 *8946:DIODE 0.000714448 +3 *41345:X 0 +4 *4355:50 0.00144409 +5 *4355:27 0.00170754 +6 *4355:23 0.00197087 +7 *4355:17 0.00198279 +8 *4355:9 0.00933987 +9 *4355:8 0.0100398 +10 *4355:5 0.00168976 +11 *4355:8 *4365:46 0 +12 *4355:9 *4747:15 0 +13 *8669:DIODE *4355:27 0 +14 *37854:B *4355:27 0 +15 *370:9 *4355:23 0 +16 *370:19 *4355:23 0 +17 *1009:104 *4355:17 0 +18 *1207:43 *8946:DIODE 0 +19 *1286:12 *4355:8 0 +20 *1316:12 *4355:8 0 +21 *2305:47 *4355:8 0 +22 *2832:50 *4355:50 0 +23 *2919:43 *4355:50 0 +24 *2921:19 *4355:23 0 +25 *2934:6 *4355:8 0 +26 *2940:14 *4355:8 0 +27 *2953:9 *4355:9 0 +28 *3011:24 *4355:8 0 +29 *3070:68 *8946:DIODE 0 +30 *3079:51 *4355:9 0 +31 *3108:40 *4355:23 0 +32 *3108:40 *4355:27 0 +33 *3274:79 *4355:50 0 +34 *3278:10 *4355:27 0 +35 *3756:25 *4355:27 0 +36 *3758:53 *4355:50 0 +37 *3774:25 *4355:50 0 +38 *3778:24 *4355:8 0 +39 *3789:75 *4355:8 0 +40 *3987:19 *4355:27 0 +41 *3991:108 *4355:27 0 +42 *4034:88 *8946:DIODE 0 +43 *4225:66 *4355:27 0 +44 *4247:23 *4355:50 0 +45 *4354:27 *4355:50 0 +*RES +1 *41345:X *4355:5 13.8 +2 *4355:5 *4355:8 42.875 +3 *4355:8 *4355:9 174.268 +4 *4355:9 *4355:17 34.2679 +5 *4355:17 *4355:23 31.4286 +6 *4355:23 *4355:27 26.4464 +7 *4355:27 *4355:50 47.3708 +8 *4355:50 *8946:DIODE 24.2107 +9 *4355:27 *41344:A 9.3 +*END + +*D_NET *4356 0.0207982 +*CONN +*I *41345:A I *D sky130_fd_sc_hd__buf_4 +*I *8947:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41346:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41345:A 0.000226423 +2 *8947:DIODE 0 +3 *41346:X 0.00367429 +4 *4356:45 0.00199463 +5 *4356:37 0.00402685 +6 *4356:30 0.00252008 +7 *4356:15 0.00247152 +8 *4356:14 0.00588437 +9 *4356:14 *4375:19 0 +10 *8436:DIODE *4356:37 0 +11 *8519:DIODE *4356:37 0 +12 *39633:A *4356:37 0 +13 *39633:A *4356:45 0 +14 *40729:A *4356:37 0 +15 *40829:A *4356:37 0 +16 *40863:A *4356:45 0 +17 *1293:20 *4356:14 0 +18 *1407:44 *4356:37 0 +19 *1816:13 *4356:14 0 +20 *2236:25 *4356:14 0 +21 *2242:65 *4356:37 0 +22 *2251:33 *4356:14 0 +23 *2277:21 *4356:14 0 +24 *2287:20 *4356:14 0 +25 *2301:38 *4356:15 0 +26 *2301:38 *4356:30 0 +27 *2301:38 *4356:37 0 +28 *2343:54 *4356:14 0 +29 *2858:15 *4356:37 0 +30 *3372:76 *4356:45 0 +31 *3603:35 *4356:14 0 +32 *3674:58 *4356:45 0 +33 *3685:22 *4356:37 0 +34 *3733:20 *4356:45 0 +35 *3798:77 *4356:37 0 +36 *3806:53 *4356:14 0 +37 *3812:65 *4356:45 0 +38 *3821:15 *4356:14 0 +39 *3821:29 *4356:15 0 +40 *3821:29 *4356:37 0 +41 *3821:38 *4356:37 0 +42 *3822:21 *4356:45 0 +43 *3824:23 *41345:A 0 +44 *3824:23 *4356:45 0 +45 *4329:28 *4356:15 0 +46 *4353:9 *4356:15 0 +47 *4353:31 *4356:30 0 +48 *4353:31 *4356:37 0 +49 *4353:33 *4356:37 0 +*RES +1 *41346:X *4356:14 46.8061 +2 *4356:14 *4356:15 46.125 +3 *4356:15 *4356:30 24.0357 +4 *4356:30 *4356:37 47.1071 +5 *4356:37 *4356:45 46.0179 +6 *4356:45 *8947:DIODE 9.3 +7 *4356:45 *41345:A 23.2464 +*END + +*D_NET *4357 0.0200621 +*CONN +*I *38034:B I *D sky130_fd_sc_hd__and3b_1 +*I *6023:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41347:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *38034:B 0.000202997 +2 *6023:DIODE 0 +3 *41347:X 0.0017591 +4 *4357:45 0.00324924 +5 *4357:21 0.00806898 +6 *4357:20 0.00678183 +7 *4357:20 *4981:39 0 +8 *1437:59 *4357:20 0 +9 *2374:52 *4357:21 0 +10 *2832:50 *4357:20 0 +11 *3163:47 *4357:21 0 +12 *3166:17 *4357:20 0 +13 *3509:52 *4357:45 0 +14 *3658:64 *4357:20 0 +15 *3931:7 *38034:B 0 +16 *3959:43 *4357:21 0 +17 *3976:60 *4357:45 0 +18 *3991:87 *4357:21 0 +19 *4022:130 *4357:20 0 +20 *4028:29 *4357:21 0 +21 *4028:29 *4357:45 0 +22 *4037:42 *4357:20 0 +23 *4041:54 *4357:20 0 +24 *4052:27 *4357:20 0 +25 *4054:66 *4357:45 0 +26 *4092:69 *4357:21 0 +27 *4094:9 *4357:21 0 +28 *4094:9 *4357:45 0 +29 *4094:40 *4357:45 0 +30 *4094:60 *4357:45 0 +31 *4106:47 *4357:45 0 +32 *4107:101 *4357:20 0 +33 *4225:59 *4357:21 0 +34 *4229:28 *4357:20 0 +35 *4241:14 *4357:20 0 +36 *4254:19 *4357:45 0 +37 *4319:37 *4357:45 0 +*RES +1 *41347:X *4357:20 36.3584 +2 *4357:20 *4357:21 104.857 +3 *4357:21 *4357:45 34.9575 +4 *4357:45 *6023:DIODE 9.3 +5 *4357:45 *38034:B 13.5679 +*END + +*D_NET *4358 0.0218777 +*CONN +*I *41347:A I *D sky130_fd_sc_hd__buf_6 +*I *8949:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41348:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *41347:A 2.26741e-05 +2 *8949:DIODE 0.000799058 +3 *41348:X 0.00111952 +4 *4358:135 0.00177621 +5 *4358:120 0.00206319 +6 *4358:94 0.00342071 +7 *4358:77 0.00383845 +8 *4358:68 0.00275152 +9 *4358:51 0.00309597 +10 *4358:21 0.00299041 +11 *4358:21 *8955:DIODE 0 +12 *4358:135 *4374:68 0 +13 *4358:135 *4408:108 0 +14 *5961:DIODE *4358:68 0 +15 *8005:DIODE *4358:120 0 +16 *8736:DIODE *4358:94 0 +17 *39634:A *4358:21 0 +18 *40689:A *4358:77 0 +19 *40859:A *4358:21 0 +20 *1211:26 *8949:DIODE 0 +21 *1224:20 *4358:68 0 +22 *1224:71 *4358:94 0 +23 *1224:71 *4358:120 0 +24 *1229:29 *4358:77 0 +25 *1444:32 *4358:120 0 +26 *1531:43 *4358:51 0 +27 *1539:14 *4358:120 0 +28 *1539:14 *4358:135 0 +29 *2295:43 *4358:21 0 +30 *2726:14 *4358:51 0 +31 *2832:50 *4358:135 0 +32 *2835:31 *4358:94 0 +33 *2992:32 *8949:DIODE 0 +34 *3105:123 *4358:77 0 +35 *3166:17 *4358:135 0 +36 *3317:119 *4358:94 0 +37 *3320:156 *4358:51 0 +38 *3323:30 *4358:51 0 +39 *3340:61 *4358:51 0 +40 *3447:60 *4358:21 0 +41 *3447:102 *4358:77 0 +42 *3518:90 *4358:68 0 +43 *3526:90 *4358:77 0 +44 *3648:17 *8949:DIODE 0 +45 *3670:50 *8949:DIODE 0 +46 *3691:52 *8949:DIODE 0 +47 *3746:5 *41347:A 0 +48 *3746:5 *4358:135 0 +49 *3747:83 *4358:120 0 +50 *3747:97 *4358:120 0 +51 *3747:97 *4358:135 0 +52 *3763:21 *4358:51 0 +53 *3769:18 *4358:68 0 +54 *3784:29 *4358:94 0 +55 *3787:92 *4358:51 0 +56 *3795:65 *4358:68 0 +57 *3801:60 *4358:51 0 +58 *3815:31 *4358:51 0 +59 *3817:52 *4358:21 0 +60 *3826:34 *4358:21 0 +61 *3826:40 *4358:51 0 +62 *3826:41 *4358:77 0 +63 *3942:61 *4358:94 0 +64 *3951:8 *4358:94 0 +65 *3956:50 *4358:51 0 +66 *3991:77 *4358:135 0 +67 *4085:40 *4358:120 0 +68 *4085:58 *4358:135 0 +69 *4098:42 *4358:51 0 +70 *4128:50 *4358:68 0 +71 *4134:97 *4358:120 0 +72 *4229:28 *8949:DIODE 0 +73 *4324:43 *4358:68 0 +74 *4324:100 *4358:94 0 +75 *4345:84 *4358:51 0 +*RES +1 *41348:X *4358:21 41.9607 +2 *4358:21 *4358:51 49.8948 +3 *4358:51 *4358:68 47.5796 +4 *4358:68 *4358:77 45.5 +5 *4358:77 *4358:94 48.9837 +6 *4358:94 *4358:120 46.125 +7 *4358:120 *4358:135 32.6846 +8 *4358:135 *8949:DIODE 36.425 +9 *4358:135 *41347:A 9.83571 +*END + +*D_NET *4359 0.0207405 +*CONN +*I *8950:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41348:A I *D sky130_fd_sc_hd__buf_4 +*I *41349:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8950:DIODE 0.000471627 +2 *41348:A 0 +3 *41349:X 0.000326114 +4 *4359:39 0.00140255 +5 *4359:38 0.00254794 +6 *4359:32 0.00194462 +7 *4359:9 0.00702459 +8 *4359:8 0.0070231 +9 *4359:9 *4375:9 0 +10 *6323:DIODE *8950:DIODE 0 +11 *6324:DIODE *8950:DIODE 0 +12 *8538:DIODE *8950:DIODE 0 +13 *37602:B *4359:8 0 +14 *1203:48 *4359:32 0 +15 *1203:48 *4359:38 0 +16 *1511:7 *4359:39 0 +17 *2211:9 *4359:8 0 +18 *2232:63 *4359:38 0 +19 *2294:17 *4359:38 0 +20 *2299:19 *4359:9 0 +21 *2306:40 *8950:DIODE 0 +22 *2327:19 *4359:38 0 +23 *3123:59 *4359:32 0 +24 *3195:14 *4359:8 0 +25 *3196:35 *4359:9 0 +26 *3196:35 *4359:32 0 +27 *3196:35 *4359:38 0 +28 *3196:37 *4359:9 0 +29 *3252:62 *4359:38 0 +30 *3363:15 *4359:9 0 +31 *3531:17 *8950:DIODE 0 +32 *3817:18 *4359:9 0 +33 *3817:18 *4359:32 0 +34 *3817:52 *8950:DIODE 0 +35 *3817:52 *4359:39 0 +36 *3826:21 *8950:DIODE 0 +37 *3826:21 *4359:39 0 +38 *4101:25 *4359:9 0 +39 *4101:36 *4359:9 0 +40 *4329:28 *4359:38 0 +41 *4345:72 *4359:32 0 +*RES +1 *41349:X *4359:8 25.7107 +2 *4359:8 *4359:9 139.768 +3 *4359:9 *4359:32 25.5536 +4 *4359:32 *4359:38 43.25 +5 *4359:38 *4359:39 19.4286 +6 *4359:39 *41348:A 9.3 +7 *4359:39 *8950:DIODE 28.5143 +*END + +*D_NET *4360 0.0193701 +*CONN +*I *8259:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40489:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6168:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38120:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *38615:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8259:DIODE 0.000206169 +2 *40489:A 0 +3 *6168:DIODE 0 +4 *38120:A_N 0 +5 *38615:X 0.00323018 +6 *4360:120 0.000243706 +7 *4360:117 0.00235545 +8 *4360:104 0.00312469 +9 *4360:77 0.00122638 +10 *4360:66 0.00174597 +11 *4360:42 0.00266687 +12 *4360:25 0.00457068 +13 *4360:25 *4590:93 0 +14 *4360:25 *5172:73 0 +15 *4360:25 *5175:74 0 +16 *4360:42 *4364:19 0 +17 *6170:DIODE *4360:77 0 +18 *6471:DIODE *4360:66 0 +19 *8013:DIODE *4360:25 0 +20 *38030:A_N *4360:42 0 +21 *38030:C *4360:42 0 +22 *38328:A *4360:66 0 +23 *38332:B *4360:77 0 +24 *40238:A *4360:25 0 +25 *375:41 *8259:DIODE 0 +26 *537:22 *4360:25 0 +27 *1459:21 *4360:104 0 +28 *1462:54 *4360:117 0 +29 *1561:31 *4360:42 0 +30 *1565:35 *4360:77 0 +31 *2392:34 *4360:104 0 +32 *2414:126 *4360:66 0 +33 *2682:61 *4360:25 0 +34 *3045:21 *4360:25 0 +35 *3107:73 *4360:77 0 +36 *3130:26 *4360:25 0 +37 *3308:39 *4360:66 0 +38 *3310:87 *4360:66 0 +39 *3313:73 *4360:42 0 +40 *3388:24 *4360:104 0 +41 *3388:36 *4360:42 0 +42 *3400:52 *4360:25 0 +43 *3402:56 *4360:25 0 +44 *3411:138 *4360:117 0 +45 *3413:60 *4360:25 0 +46 *3414:6 *4360:42 0 +47 *3434:56 *4360:66 0 +48 *3434:56 *4360:104 0 +49 *3481:43 *4360:66 0 +50 *3501:64 *4360:77 0 +51 *3501:64 *4360:104 0 +52 *3509:25 *4360:25 0 +53 *3509:52 *4360:42 0 +54 *3509:86 *4360:117 0 +55 *3700:18 *4360:66 0 +56 *3743:32 *4360:25 0 +57 *3936:40 *4360:77 0 +58 *3936:40 *4360:104 0 +59 *3963:34 *4360:66 0 +60 *3970:19 *4360:66 0 +61 *3970:19 *4360:104 0 +62 *3970:19 *4360:117 0 +63 *3970:34 *4360:117 0 +64 *3978:33 *4360:42 0 +65 *4003:42 *4360:117 0 +66 *4010:27 *4360:42 0 +67 *4015:55 *4360:77 0 +68 *4018:57 *4360:66 0 +69 *4026:62 *4360:77 0 +70 *4051:70 *8259:DIODE 0 +71 *4057:32 *4360:66 0 +72 *4073:25 *4360:117 0 +73 *4106:47 *4360:66 0 +74 *4106:55 *4360:66 0 +75 *4220:73 *4360:42 0 +76 *4238:98 *4360:25 0 +77 *4327:15 *4360:42 0 +78 *4327:26 *4360:42 0 +*RES +1 *38615:X *4360:25 49.5917 +2 *4360:25 *4360:42 47.3125 +3 *4360:42 *4360:66 45.2477 +4 *4360:66 *38120:A_N 9.3 +5 *4360:66 *4360:77 17.9643 +6 *4360:77 *6168:DIODE 9.3 +7 *4360:77 *4360:104 39.9072 +8 *4360:104 *4360:117 48.4258 +9 *4360:117 *4360:120 4.29393 +10 *4360:120 *40489:A 13.8 +11 *4360:120 *8259:DIODE 18.5143 +*END + +*D_NET *4361 0.019007 +*CONN +*I *5604:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37762:A I *D sky130_fd_sc_hd__and2_2 +*I *41350:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5604:DIODE 0 +2 *37762:A 0.000124066 +3 *41350:X 0.00418112 +4 *4361:30 0.00532239 +5 *4361:17 0.00937945 +6 *4361:17 *4384:28 0 +7 *1192:26 *4361:30 0 +8 *1195:28 *4361:17 0 +9 *1300:18 *4361:17 0 +10 *1387:70 *4361:17 0 +11 *1677:33 *4361:30 0 +12 *1805:15 *4361:17 0 +13 *1897:26 *4361:17 0 +14 *1897:26 *4361:30 0 +15 *1914:47 *4361:17 0 +16 *1914:47 *4361:30 0 +17 *1923:25 *4361:17 0 +18 *2189:23 *4361:17 0 +19 *2206:32 *4361:17 0 +20 *2762:19 *4361:30 0 +21 *2764:26 *4361:30 0 +22 *2884:10 *4361:30 0 +23 *3151:24 *4361:17 0 +24 *3151:50 *4361:30 0 +25 *3169:29 *37762:A 0 +26 *3200:16 *4361:17 0 +27 *3267:40 *4361:30 0 +28 *3564:16 *4361:30 0 +29 *3564:20 *4361:30 0 +30 *3580:81 *4361:17 0 +31 *3586:20 *4361:30 0 +32 *3586:29 *37762:A 0 +33 *3598:50 *4361:30 0 +34 *3837:23 *4361:17 0 +35 *3837:43 *4361:30 0 +*RES +1 *41350:X *4361:17 36.4744 +2 *4361:17 *4361:30 38.223 +3 *4361:30 *37762:A 11.8893 +4 *4361:30 *5604:DIODE 9.3 +*END + +*D_NET *4362 0.0212037 +*CONN +*I *6020:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38032:B I *D sky130_fd_sc_hd__and3b_1 +*I *41351:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6020:DIODE 0.00018605 +2 *38032:B 2.56688e-05 +3 *41351:X 0.00127039 +4 *4362:78 0.00151917 +5 *4362:68 0.0020679 +6 *4362:54 0.00291774 +7 *4362:50 0.0026581 +8 *4362:40 0.00256968 +9 *4362:30 0.00257791 +10 *4362:23 0.0023249 +11 *4362:18 0.00308625 +12 *38032:B *4365:100 0 +13 *4362:18 *8958:DIODE 0 +14 *4362:18 *4369:16 0 +15 *4362:18 *4377:16 0 +16 *4362:23 *4369:22 0 +17 *4362:68 *4369:47 0 +18 *4362:78 *4369:67 0 +19 *6353:DIODE *4362:18 0 +20 *8109:DIODE *4362:18 0 +21 *37828:A *4362:18 0 +22 *37865:A *4362:23 0 +23 *40776:A *4362:18 0 +24 *2217:84 *4362:18 0 +25 *2309:43 *4362:54 0 +26 *2318:37 *4362:68 0 +27 *2338:18 *4362:18 0 +28 *2341:14 *4362:18 0 +29 *2374:94 *4362:54 0 +30 *2840:34 *4362:54 0 +31 *2928:39 *6020:DIODE 0 +32 *2950:11 *4362:18 0 +33 *2987:30 *4362:78 0 +34 *3076:52 *4362:68 0 +35 *3083:44 *4362:54 0 +36 *3083:45 *4362:23 0 +37 *3083:45 *4362:30 0 +38 *3083:45 *4362:40 0 +39 *3112:59 *4362:68 0 +40 *3112:82 *4362:68 0 +41 *3186:32 *4362:40 0 +42 *3526:106 *4362:78 0 +43 *3651:26 *4362:68 0 +44 *3659:17 *4362:23 0 +45 *3665:27 *4362:68 0 +46 *3723:24 *4362:18 0 +47 *3723:24 *4362:23 0 +48 *3730:78 *4362:78 0 +49 *3741:65 *4362:54 0 +50 *3751:28 *4362:40 0 +51 *3790:11 *4362:18 0 +52 *3790:18 *4362:23 0 +53 *3790:49 *4362:54 0 +54 *3825:75 *4362:78 0 +55 *4050:44 *4362:50 0 +56 *4055:30 *4362:23 0 +57 *4055:31 *4362:30 0 +58 *4098:59 *4362:54 0 +59 *4100:11 *4362:18 0 +60 *4100:13 *4362:18 0 +*RES +1 *41351:X *4362:18 49.7643 +2 *4362:18 *4362:23 42.4286 +3 *4362:23 *4362:30 19.7143 +4 *4362:30 *4362:40 49.3767 +5 *4362:40 *4362:50 14.0636 +6 *4362:50 *4362:54 49.6071 +7 *4362:54 *4362:68 38.6607 +8 *4362:68 *4362:78 37.625 +9 *4362:78 *38032:B 9.83571 +10 *4362:78 *6020:DIODE 22.3536 +*END + +*D_NET *4363 0.0208439 +*CONN +*I *41351:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8952:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41352:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41351:A 0 +2 *8952:DIODE 0.00031026 +3 *41352:X 0.00678456 +4 *4363:61 0.000520139 +5 *4363:53 0.00332711 +6 *4363:28 0.00990179 +7 *8952:DIODE *5117:22 0 +8 *4363:28 *5149:28 0 +9 *4363:53 *4370:32 0 +10 *4363:53 *4378:50 0 +11 *4363:53 *4986:7 0 +12 *8484:DIODE *8952:DIODE 0 +13 *37606:A *4363:28 0 +14 *40687:A *4363:53 0 +15 *40935:A *4363:61 0 +16 *1940:47 *4363:28 0 +17 *2198:22 *4363:28 0 +18 *2222:83 *8952:DIODE 0 +19 *2226:33 *4363:53 0 +20 *2296:14 *4363:53 0 +21 *3083:67 *4363:53 0 +22 *3120:50 *4363:28 0 +23 *3186:46 *4363:53 0 +24 *3553:27 *4363:28 0 +25 *3553:27 *4363:53 0 +26 *3553:35 *4363:28 0 +27 *3562:22 *4363:28 0 +28 *3603:37 *4363:28 0 +29 *3612:28 *4363:28 0 +30 *3757:11 *4363:53 0 +31 *3790:11 *4363:61 0 +32 *4101:36 *4363:53 0 +33 *4317:31 *4363:28 0 +34 *4341:22 *4363:53 0 +35 *4350:80 *4363:53 0 +*RES +1 *41352:X *4363:28 49.9095 +2 *4363:28 *4363:53 45.8916 +3 *4363:53 *4363:61 13.4643 +4 *4363:61 *8952:DIODE 25.1571 +5 *4363:61 *41351:A 9.3 +*END + +*D_NET *4364 0.0192179 +*CONN +*I *6016:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38030:B I *D sky130_fd_sc_hd__and3b_1 +*I *41353:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *6016:DIODE 0 +2 *38030:B 0.000314115 +3 *41353:X 5.89503e-05 +4 *4364:19 0.00656446 +5 *4364:18 0.007407 +6 *4364:11 0.00298555 +7 *4364:8 0.00188785 +8 *6465:DIODE *4364:19 0 +9 *8009:DIODE *4364:19 0 +10 *40151:A *4364:19 0 +11 *3013:11 *4364:19 0 +12 *3020:10 *4364:19 0 +13 *3021:33 *4364:19 0 +14 *3070:84 *4364:19 0 +15 *3090:43 *4364:19 0 +16 *3098:52 *4364:19 0 +17 *3107:101 *4364:19 0 +18 *3283:81 *4364:19 0 +19 *3388:55 *4364:19 0 +20 *3658:71 *4364:18 0 +21 *3730:110 *4364:11 0 +22 *3766:81 *4364:11 0 +23 *3774:15 *4364:11 0 +24 *3774:15 *4364:18 0 +25 *3774:25 *4364:18 0 +26 *3820:77 *4364:11 0 +27 *3820:89 *4364:11 0 +28 *4059:53 *4364:19 0 +29 *4220:73 *38030:B 0 +30 *4235:41 *4364:19 0 +31 *4247:21 *4364:18 0 +32 *4256:47 *4364:19 0 +33 *4319:25 *4364:19 0 +34 *4327:9 *4364:19 0 +35 *4327:15 *4364:19 0 +36 *4360:42 *4364:19 0 +*RES +1 *41353:X *4364:8 19.6393 +2 *4364:8 *4364:11 38.2321 +3 *4364:11 *4364:18 33.5179 +4 *4364:18 *4364:19 130.321 +5 *4364:19 *38030:B 34.1214 +6 *4364:19 *6016:DIODE 9.3 +*END + +*D_NET *4365 0.0213631 +*CONN +*I *41353:A I *D sky130_fd_sc_hd__buf_6 +*I *8954:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41354:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *41353:A 0.000301394 +2 *8954:DIODE 0 +3 *41354:X 0.00371994 +4 *4365:101 0.00111274 +5 *4365:100 0.00211907 +6 *4365:82 0.00291732 +7 *4365:67 0.00280158 +8 *4365:46 0.00293155 +9 *4365:34 0.00545951 +10 *4365:46 *4369:45 0 +11 *4365:46 *4369:47 0 +12 *4365:46 *4377:93 0 +13 *4365:67 *4367:61 0 +14 *4365:67 *4367:69 0 +15 *4365:82 *4367:69 0 +16 *4365:82 *4377:105 0 +17 *8483:DIODE *4365:100 0 +18 *38032:A_N *4365:100 0 +19 *38032:B *4365:100 0 +20 *38230:B *4365:82 0 +21 *40092:A *4365:67 0 +22 *40287:A *4365:34 0 +23 *40723:A *4365:101 0 +24 *40800:A *4365:82 0 +25 *355:21 *4365:46 0 +26 *1324:51 *4365:67 0 +27 *1408:73 *4365:34 0 +28 *1410:18 *41353:A 0 +29 *1514:21 *4365:67 0 +30 *1514:21 *4365:82 0 +31 *1557:30 *4365:34 0 +32 *2101:27 *4365:34 0 +33 *2282:41 *4365:34 0 +34 *2312:38 *4365:100 0 +35 *2848:22 *4365:34 0 +36 *2851:58 *4365:46 0 +37 *2858:15 *4365:34 0 +38 *2858:30 *4365:34 0 +39 *2940:14 *4365:46 0 +40 *2992:22 *4365:100 0 +41 *3177:46 *4365:46 0 +42 *3307:85 *4365:82 0 +43 *3321:152 *4365:100 0 +44 *3340:38 *4365:34 0 +45 *3447:40 *4365:34 0 +46 *3526:106 *4365:100 0 +47 *3546:26 *4365:34 0 +48 *3658:50 *4365:100 0 +49 *3662:14 *4365:100 0 +50 *3667:19 *4365:100 0 +51 *3672:25 *4365:67 0 +52 *3674:14 *4365:34 0 +53 *3677:17 *4365:34 0 +54 *3680:48 *4365:82 0 +55 *3685:22 *4365:34 0 +56 *3714:23 *4365:46 0 +57 *3741:79 *4365:100 0 +58 *3742:40 *4365:100 0 +59 *3750:27 *4365:82 0 +60 *3750:27 *4365:101 0 +61 *3750:45 *41353:A 0 +62 *3750:45 *4365:101 0 +63 *3775:48 *4365:46 0 +64 *3775:49 *4365:46 0 +65 *3778:24 *4365:67 0 +66 *3801:21 *4365:34 0 +67 *3806:53 *4365:34 0 +68 *3812:65 *4365:34 0 +69 *3820:11 *4365:67 0 +70 *3820:11 *4365:82 0 +71 *3820:34 *4365:82 0 +72 *3822:86 *41353:A 0 +73 *3824:23 *4365:67 0 +74 *3956:50 *4365:34 0 +75 *4022:94 *4365:82 0 +76 *4109:48 *4365:67 0 +77 *4316:34 *4365:67 0 +78 *4328:24 *4365:34 0 +79 *4329:47 *4365:34 0 +80 *4345:75 *4365:34 0 +81 *4347:38 *4365:67 0 +82 *4352:19 *4365:34 0 +83 *4355:8 *4365:46 0 +*RES +1 *41354:X *4365:34 48.2891 +2 *4365:34 *4365:46 46.5714 +3 *4365:46 *4365:67 48.1429 +4 *4365:67 *4365:82 42.875 +5 *4365:82 *4365:100 45.7321 +6 *4365:100 *4365:101 16.9643 +7 *4365:101 *8954:DIODE 9.3 +8 *4365:101 *41353:A 15.6214 +*END + +*D_NET *4366 0.0216732 +*CONN +*I *41354:A I *D sky130_fd_sc_hd__buf_4 +*I *8955:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41355:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41354:A 4.23535e-05 +2 *8955:DIODE 0.000572601 +3 *41355:X 0.000690838 +4 *4366:11 0.0101458 +5 *4366:10 0.0102217 +6 *37602:A *4366:10 0 +7 *2203:19 *4366:10 0 +8 *2204:7 *4366:10 0 +9 *2205:10 *4366:11 0 +10 *2247:15 *4366:11 0 +11 *2283:18 *4366:11 0 +12 *2301:23 *4366:11 0 +13 *2333:10 *4366:11 0 +14 *2866:33 *4366:11 0 +15 *3165:20 *4366:11 0 +16 *3165:21 *4366:11 0 +17 *3640:19 *4366:10 0 +18 *3801:11 *4366:11 0 +19 *3801:15 *4366:11 0 +20 *3801:21 *41354:A 0 +21 *3801:21 *4366:11 0 +22 *3817:52 *8955:DIODE 0 +23 *4345:75 *41354:A 0 +24 *4345:75 *4366:11 0 +25 *4358:21 *8955:DIODE 0 +*RES +1 *41355:X *4366:10 33.7643 +2 *4366:10 *4366:11 198.911 +3 *4366:11 *8955:DIODE 30.5321 +4 *4366:11 *41354:A 10.2464 +*END + +*D_NET *4367 0.0214526 +*CONN +*I *38028:B I *D sky130_fd_sc_hd__and3b_1 +*I *6012:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41356:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38028:B 0.000199789 +2 *6012:DIODE 0 +3 *41356:X 0.00107325 +4 *4367:69 0.00108017 +5 *4367:61 0.00253618 +6 *4367:51 0.00335421 +7 *4367:46 0.00372213 +8 *4367:35 0.00465465 +9 *4367:34 0.00319496 +10 *4367:26 0.00163727 +11 *4367:26 *5127:41 0 +12 *4367:34 *4986:10 0 +13 *4367:35 *5125:31 0 +14 *4367:46 *5111:15 0 +15 *37834:B *4367:61 0 +16 *37843:A *4367:46 0 +17 *37847:A *4367:26 0 +18 *40713:A *4367:69 0 +19 *41116:A *4367:26 0 +20 *1224:66 *38028:B 0 +21 *1224:66 *4367:69 0 +22 *1316:12 *4367:61 0 +23 *1514:21 *4367:69 0 +24 *1515:6 *4367:61 0 +25 *2221:89 *4367:26 0 +26 *2222:58 *4367:26 0 +27 *2222:73 *4367:26 0 +28 *2227:75 *4367:26 0 +29 *2227:75 *4367:34 0 +30 *2312:15 *4367:26 0 +31 *2315:21 *4367:26 0 +32 *2865:43 *4367:35 0 +33 *2865:43 *4367:46 0 +34 *2915:17 *4367:51 0 +35 *2939:46 *4367:51 0 +36 *2944:43 *4367:46 0 +37 *3316:77 *38028:B 0 +38 *3575:18 *4367:26 0 +39 *3588:13 *4367:26 0 +40 *3651:14 *4367:34 0 +41 *3653:36 *4367:69 0 +42 *3680:48 *4367:69 0 +43 *3710:17 *4367:34 0 +44 *3710:23 *4367:46 0 +45 *3787:38 *4367:26 0 +46 *3789:65 *4367:51 0 +47 *3820:11 *4367:61 0 +48 *3822:21 *4367:61 0 +49 *3968:22 *4367:61 0 +50 *4134:69 *38028:B 0 +51 *4310:80 *4367:61 0 +52 *4345:111 *4367:61 0 +53 *4345:111 *4367:69 0 +54 *4365:67 *4367:61 0 +55 *4365:67 *4367:69 0 +56 *4365:82 *4367:69 0 +*RES +1 *41356:X *4367:26 45.9607 +2 *4367:26 *4367:34 25.4286 +3 *4367:34 *4367:35 54.9554 +4 *4367:35 *4367:46 46.8839 +5 *4367:46 *4367:51 44.4464 +6 *4367:51 *4367:61 40.7679 +7 *4367:61 *4367:69 28.0357 +8 *4367:69 *6012:DIODE 9.3 +9 *4367:69 *38028:B 13.5321 +*END + +*D_NET *4368 0.0202288 +*CONN +*I *8957:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41356:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *41357:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8957:DIODE 6.28436e-05 +2 *41356:A 1.21912e-05 +3 *41357:X 0.00128871 +4 *4368:47 0.00159998 +5 *4368:42 0.00875064 +6 *4368:22 0.00851441 +7 *4368:47 *5147:25 0 +8 *1685:26 *4368:22 0 +9 *1908:9 *4368:22 0 +10 *1934:54 *4368:42 0 +11 *2173:16 *4368:42 0 +12 *2204:31 *4368:22 0 +13 *2227:48 *4368:42 0 +14 *2227:48 *4368:47 0 +15 *2235:25 *4368:42 0 +16 *2235:38 *4368:42 0 +17 *2281:15 *4368:42 0 +18 *2282:23 *4368:42 0 +19 *2304:32 *4368:47 0 +20 *2852:21 *4368:22 0 +21 *2862:33 *4368:22 0 +22 *2862:33 *4368:42 0 +23 *2876:20 *4368:42 0 +24 *2885:51 *4368:22 0 +25 *2885:51 *4368:42 0 +26 *3195:33 *4368:22 0 +27 *3553:27 *4368:42 0 +28 *3553:27 *4368:47 0 +29 *3553:35 *4368:42 0 +30 *3603:37 *4368:42 0 +31 *3786:44 *4368:47 0 +32 *4342:28 *4368:42 0 +33 *4342:38 *4368:42 0 +34 *4350:50 *4368:42 0 +*RES +1 *41357:X *4368:22 45.827 +2 *4368:22 *4368:42 48.1458 +3 *4368:42 *4368:47 12.3441 +4 *4368:47 *41356:A 14.0768 +5 *4368:47 *8957:DIODE 15.2911 +*END + +*D_NET *4369 0.0220552 +*CONN +*I *6009:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38026:B I *D sky130_fd_sc_hd__and3b_1 +*I *41358:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6009:DIODE 0.000284758 +2 *38026:B 0.000211545 +3 *41358:X 0.000529835 +4 *4369:67 0.00261152 +5 *4369:47 0.00503076 +6 *4369:45 0.00416262 +7 *4369:25 0.00331726 +8 *4369:22 0.00372362 +9 *4369:16 0.00218327 +10 *6009:DIODE *4374:68 0 +11 *4369:16 *8958:DIODE 0 +12 *4369:22 *4709:10 0 +13 *4369:25 *4377:45 0 +14 *4369:47 *4377:93 0 +15 *4369:67 *4374:68 0 +16 *8514:DIODE *4369:16 0 +17 *37869:A *4369:45 0 +18 *39489:A *4369:45 0 +19 *39489:B *4369:45 0 +20 *39910:A *4369:47 0 +21 *40093:A *4369:22 0 +22 *1270:19 *4369:67 0 +23 *1505:34 *4369:25 0 +24 *1505:34 *4369:45 0 +25 *1693:37 *4369:45 0 +26 *1693:37 *4369:47 0 +27 *2289:30 *4369:16 0 +28 *2412:31 *4369:67 0 +29 *2434:47 *4369:67 0 +30 *2746:8 *4369:47 0 +31 *2832:50 *6009:DIODE 0 +32 *2832:50 *4369:67 0 +33 *2841:41 *4369:47 0 +34 *2925:37 *4369:45 0 +35 *3083:30 *4369:47 0 +36 *3651:26 *4369:67 0 +37 *3659:17 *4369:22 0 +38 *3665:38 *4369:47 0 +39 *3691:37 *4369:67 0 +40 *3714:23 *4369:45 0 +41 *3723:24 *4369:22 0 +42 *3723:42 *4369:25 0 +43 *3723:52 *4369:45 0 +44 *3730:78 *4369:67 0 +45 *3775:48 *4369:45 0 +46 *3775:49 *4369:47 0 +47 *3775:61 *4369:47 0 +48 *3790:49 *4369:47 0 +49 *3820:61 *4369:67 0 +50 *3979:18 *4369:67 0 +51 *4081:24 *4369:67 0 +52 *4100:11 *4369:16 0 +53 *4362:18 *4369:16 0 +54 *4362:23 *4369:22 0 +55 *4362:68 *4369:47 0 +56 *4362:78 *4369:67 0 +57 *4365:46 *4369:45 0 +58 *4365:46 *4369:47 0 +*RES +1 *41358:X *4369:16 38.55 +2 *4369:16 *4369:22 43.7143 +3 *4369:22 *4369:25 43.2679 +4 *4369:25 *4369:45 26.5536 +5 *4369:45 *4369:47 60.9107 +6 *4369:47 *4369:67 49.3985 +7 *4369:67 *38026:B 21.9689 +8 *4369:67 *6009:DIODE 20.5389 +*END + +*D_NET *4370 0.0207917 +*CONN +*I *8958:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41358:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *41359:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8958:DIODE 0.000380868 +2 *41358:A 0 +3 *41359:X 0.00338642 +4 *4370:32 0.00700945 +5 *4370:20 0.010015 +6 *4370:20 *4378:28 0 +7 *4370:32 *4378:58 0 +8 *5688:DIODE *8958:DIODE 0 +9 *1289:28 *4370:20 0 +10 *1305:19 *4370:20 0 +11 *1815:19 *4370:32 0 +12 *2199:14 *4370:20 0 +13 *2208:22 *4370:20 0 +14 *2287:20 *4370:32 0 +15 *2321:36 *4370:32 0 +16 *2323:29 *4370:20 0 +17 *2324:37 *4370:32 0 +18 *2327:45 *4370:32 0 +19 *2341:14 *8958:DIODE 0 +20 *2732:67 *4370:32 0 +21 *2962:87 *4370:32 0 +22 *3120:50 *4370:32 0 +23 *3186:46 *4370:32 0 +24 *3358:23 *4370:32 0 +25 *3592:9 *4370:20 0 +26 *3592:9 *4370:32 0 +27 *3620:17 *4370:20 0 +28 *3620:17 *4370:32 0 +29 *3757:11 *4370:32 0 +30 *4311:22 *4370:20 0 +31 *4311:22 *4370:32 0 +32 *4317:31 *4370:32 0 +33 *4346:90 *4370:32 0 +34 *4362:18 *8958:DIODE 0 +35 *4363:53 *4370:32 0 +36 *4369:16 *8958:DIODE 0 +*RES +1 *41359:X *4370:20 46.8758 +2 *4370:20 *4370:32 28.4672 +3 *4370:32 *41358:A 13.8 +4 *4370:32 *8958:DIODE 21.9786 +*END + +*D_NET *4371 0.0190039 +*CONN +*I *6235:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *5792:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37904:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *38160:A I *D sky130_fd_sc_hd__and2_1 +*I *38616:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6235:DIODE 2.41857e-05 +2 *5792:DIODE 0 +3 *37904:A_N 0.000380754 +4 *38160:A 6.58832e-05 +5 *38616:X 0.000598585 +6 *4371:48 0.00123681 +7 *4371:46 0.00294506 +8 *4371:43 0.00242639 +9 *4371:22 0.000622273 +10 *4371:18 0.00211926 +11 *4371:17 0.00345341 +12 *4371:13 0.00305501 +13 *4371:10 0.00207625 +14 *38160:A *4420:83 0 +15 *4371:10 *39030:A 0 +16 *4371:10 *4571:32 0 +17 *4371:10 *4571:36 0 +18 *4371:10 *4789:32 0 +19 *4371:13 *4879:28 0 +20 *4371:17 *4787:10 0 +21 *4371:18 *4787:10 0 +22 *4371:43 *4511:52 0 +23 *4371:46 *37898:A_N 0 +24 *6702:DIODE *4371:13 0 +25 *6702:DIODE *4371:17 0 +26 *6856:DIODE *4371:13 0 +27 *7401:DIODE *4371:18 0 +28 *37473:A *4371:18 0 +29 *37475:A *4371:13 0 +30 *37898:B *4371:43 0 +31 *37904:B *37904:A_N 0 +32 *37904:C *37904:A_N 0 +33 *37918:B *4371:46 0 +34 *37918:C *4371:46 0 +35 *38138:B *4371:18 0 +36 *39443:B *4371:13 0 +37 *39443:B *4371:17 0 +38 *299:35 *4371:22 0 +39 *299:40 *4371:18 0 +40 *306:11 *4371:18 0 +41 *343:72 *4371:17 0 +42 *1138:11 *4371:18 0 +43 *1350:14 *37904:A_N 0 +44 *1350:46 *4371:43 0 +45 *1351:7 *37904:A_N 0 +46 *1351:7 *4371:46 0 +47 *1351:7 *4371:48 0 +48 *1353:11 *6235:DIODE 0 +49 *1353:11 *4371:18 0 +50 *1468:14 *4371:18 0 +51 *1479:10 *4371:22 0 +52 *1760:13 *4371:17 0 +53 *1768:10 *4371:18 0 +54 *1775:10 *4371:17 0 +55 *2359:15 *4371:13 0 +56 *2797:26 *4371:46 0 +57 *3138:10 *4371:18 0 +58 *3390:62 *4371:46 0 +59 *3570:37 *4371:46 0 +60 *3589:45 *4371:46 0 +61 *3604:44 *6235:DIODE 0 +62 *3604:44 *4371:22 0 +63 *3609:34 *4371:43 0 +64 *3631:61 *38160:A 0 +65 *3871:14 *4371:13 0 +66 *3871:14 *4371:17 0 +67 *3891:20 *4371:43 0 +68 *4203:30 *4371:46 0 +69 *4203:39 *37904:A_N 0 +70 *4203:39 *4371:46 0 +71 *4203:39 *4371:48 0 +72 *4213:26 *4371:18 0 +*RES +1 *38616:X *4371:10 31.1214 +2 *4371:10 *4371:13 30.8393 +3 *4371:13 *4371:17 37.4821 +4 *4371:17 *4371:18 42.625 +5 *4371:18 *4371:22 5.125 +6 *4371:22 *38160:A 15.175 +7 *4371:22 *4371:43 14.9984 +8 *4371:43 *4371:46 43.4732 +9 *4371:46 *4371:48 17.9911 +10 *4371:48 *37904:A_N 17.2464 +11 *4371:48 *5792:DIODE 9.3 +12 *4371:18 *6235:DIODE 14.5321 +*END + +*D_NET *4372 0.0220826 +*CONN +*I *6005:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38024:B I *D sky130_fd_sc_hd__and3b_1 +*I *41360:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *6005:DIODE 0.00104309 +2 *38024:B 0 +3 *41360:X 0.00314482 +4 *4372:39 0.00789649 +5 *4372:22 0.00999822 +6 *38024:A_N *6005:DIODE 0 +7 *40476:A *6005:DIODE 0 +8 *1429:21 *4372:22 0 +9 *1543:19 *6005:DIODE 0 +10 *3626:29 *4372:22 0 +11 *3626:29 *4372:39 0 +12 *3626:45 *4372:39 0 +13 *3726:89 *4372:39 0 +14 *3766:25 *4372:22 0 +15 *3766:95 *4372:39 0 +16 *3784:29 *4372:22 0 +17 *3801:60 *4372:22 0 +18 *3801:69 *4372:22 0 +19 *3803:66 *6005:DIODE 0 +20 *3942:61 *4372:22 0 +21 *3942:125 *6005:DIODE 0 +22 *3942:125 *4372:39 0 +23 *3943:50 *4372:22 0 +24 *4041:95 *6005:DIODE 0 +25 *4113:75 *4372:22 0 +26 *4125:33 *4372:22 0 +27 *4129:41 *4372:22 0 +28 *4320:23 *4372:22 0 +29 *4320:23 *4372:39 0 +30 *4320:28 *4372:39 0 +31 *4328:24 *4372:39 0 +32 *4340:40 *4372:39 0 +*RES +1 *41360:X *4372:22 45.0919 +2 *4372:22 *4372:39 40.8728 +3 *4372:39 *38024:B 9.3 +4 *4372:39 *6005:DIODE 42.8003 +*END + +*D_NET *4373 0.0211277 +*CONN +*I *8960:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41360:A I *D sky130_fd_sc_hd__buf_2 +*I *41361:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8960:DIODE 0 +2 *41360:A 0.000279729 +3 *41361:X 0.00103182 +4 *4373:20 0.00953204 +5 *4373:16 0.0102841 +6 *4373:20 *4379:47 0 +7 *4373:20 *4512:44 0 +8 *40017:A *4373:16 0 +9 *40843:A *4373:16 0 +10 *1558:28 *41360:A 0 +11 *2059:39 *4373:16 0 +12 *2101:27 *4373:16 0 +13 *2228:22 *4373:20 0 +14 *2229:18 *4373:16 0 +15 *2236:25 *4373:16 0 +16 *2245:18 *4373:16 0 +17 *2859:24 *4373:16 0 +18 *3340:61 *4373:20 0 +19 *3798:77 *41360:A 0 +20 *3943:17 *4373:20 0 +21 *3943:50 *4373:20 0 +22 *4063:22 *4373:20 0 +23 *4098:42 *4373:20 0 +24 *4103:25 *41360:A 0 +25 *4105:45 *4373:20 0 +26 *4113:37 *4373:20 0 +*RES +1 *41361:X *4373:16 40.1463 +2 *4373:16 *4373:20 38.4383 +3 *4373:20 *41360:A 20.4607 +4 *4373:20 *8960:DIODE 13.8 +*END + +*D_NET *4374 0.018725 +*CONN +*I *38022:B I *D sky130_fd_sc_hd__and3b_1 +*I *6002:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41362:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38022:B 0.000473101 +2 *6002:DIODE 0 +3 *41362:X 0.00596073 +4 *4374:68 0.00221502 +5 *4374:38 0.00292868 +6 *4374:21 0.00714749 +7 *4374:68 *4408:108 0 +8 *4374:68 *4408:124 0 +9 *6003:DIODE *38022:B 0 +10 *6009:DIODE *4374:68 0 +11 *8933:DIODE *4374:38 0 +12 *38284:A *4374:68 0 +13 *1204:25 *4374:21 0 +14 *1211:26 *38022:B 0 +15 *1211:26 *4374:68 0 +16 *1222:49 *4374:68 0 +17 *1531:43 *4374:21 0 +18 *2295:43 *4374:21 0 +19 *2421:63 *4374:21 0 +20 *2832:50 *4374:68 0 +21 *3124:49 *4374:21 0 +22 *3307:66 *4374:21 0 +23 *3318:66 *4374:68 0 +24 *3319:115 *38022:B 0 +25 *3319:115 *4374:68 0 +26 *3321:128 *4374:38 0 +27 *3526:106 *4374:38 0 +28 *3667:44 *38022:B 0 +29 *3685:40 *4374:21 0 +30 *3763:21 *4374:21 0 +31 *3766:25 *4374:21 0 +32 *3797:90 *4374:21 0 +33 *3811:33 *38022:B 0 +34 *3815:106 *4374:38 0 +35 *3817:83 *4374:21 0 +36 *3817:96 *4374:21 0 +37 *3819:17 *4374:21 0 +38 *3956:50 *4374:21 0 +39 *4034:48 *4374:68 0 +40 *4041:54 *4374:68 0 +41 *4067:39 *4374:68 0 +42 *4098:42 *4374:21 0 +43 *4099:29 *4374:38 0 +44 *4125:58 *4374:21 0 +45 *4129:41 *4374:21 0 +46 *4229:28 *38022:B 0 +47 *4320:23 *4374:21 0 +48 *4321:26 *4374:21 0 +49 *4335:11 *4374:38 0 +50 *4358:135 *4374:68 0 +51 *4369:67 *4374:68 0 +*RES +1 *41362:X *4374:21 49.5689 +2 *4374:21 *4374:38 46.8921 +3 *4374:38 *4374:68 27.3125 +4 *4374:68 *6002:DIODE 9.3 +5 *4374:68 *38022:B 37.7464 +*END + +*D_NET *4375 0.021519 +*CONN +*I *8962:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41362:A I *D sky130_fd_sc_hd__buf_2 +*I *41363:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8962:DIODE 0.000183623 +2 *41362:A 0.000172466 +3 *41363:X 0.000339446 +4 *4375:19 0.0052384 +5 *4375:9 0.010064 +6 *4375:8 0.00552112 +7 *2208:22 *4375:8 0 +8 *2209:16 *4375:8 0 +9 *2272:46 *4375:19 0 +10 *2272:78 *4375:19 0 +11 *3363:15 *4375:9 0 +12 *3372:48 *4375:19 0 +13 *3447:25 *4375:19 0 +14 *3806:53 *8962:DIODE 0 +15 *3806:53 *4375:19 0 +16 *3821:15 *4375:19 0 +17 *3956:24 *4375:19 0 +18 *4101:25 *4375:9 0 +19 *4329:47 *8962:DIODE 0 +20 *4329:47 *4375:19 0 +21 *4356:14 *4375:19 0 +22 *4359:9 *4375:9 0 +*RES +1 *41363:X *4375:8 26.0143 +2 *4375:8 *4375:9 108.143 +3 *4375:9 *4375:19 26.106 +4 *4375:19 *41362:A 21.1296 +5 *4375:19 *8962:DIODE 18.574 +*END + +*D_NET *4376 0.0246183 +*CONN +*I *38020:B I *D sky130_fd_sc_hd__and3b_1 +*I *5999:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41364:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38020:B 0.000367691 +2 *5999:DIODE 0.000279493 +3 *41364:X 0.00654504 +4 *4376:49 0.00576412 +5 *4376:19 0.011662 +6 *4376:49 *5150:21 0 +7 *1290:25 *4376:49 0 +8 *1517:14 *4376:19 0 +9 *1997:21 *38020:B 0 +10 *1999:29 *4376:19 0 +11 *1999:29 *4376:49 0 +12 *2052:21 *4376:19 0 +13 *2052:21 *4376:49 0 +14 *3320:96 *5999:DIODE 0 +15 *3330:33 *4376:49 0 +16 *3355:69 *4376:49 0 +17 *3526:18 *38020:B 0 +18 *3636:19 *4376:19 0 +19 *4025:44 *38020:B 0 +20 *4035:34 *38020:B 0 +21 *4042:28 *4376:19 0 +22 *4042:28 *4376:49 0 +23 *4053:57 *38020:B 0 +24 *4063:22 *4376:19 0 +25 *4064:24 *4376:19 0 +26 *4086:38 *4376:49 0 +27 *4116:43 *4376:49 0 +28 *4125:15 *4376:49 0 +29 *4129:24 *4376:19 0 +30 *4227:23 *4376:49 0 +31 *4231:26 *4376:19 0 +32 *4231:43 *4376:49 0 +33 *4253:39 *5999:DIODE 0 +34 *4298:20 *4376:49 0 +*RES +1 *41364:X *4376:19 49.7461 +2 *4376:19 *4376:49 36.1367 +3 *4376:49 *5999:DIODE 20.1482 +4 *4376:49 *38020:B 22.3982 +*END + +*D_NET *4377 0.0231079 +*CONN +*I *38018:B I *D sky130_fd_sc_hd__and3b_1 +*I *5995:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41365:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38018:B 0.000286772 +2 *5995:DIODE 0 +3 *41365:X 0.000738988 +4 *4377:129 0.0010346 +5 *4377:105 0.00277644 +6 *4377:93 0.00396318 +7 *4377:90 0.00218362 +8 *4377:79 0.00204139 +9 *4377:72 0.00231506 +10 *4377:45 0.00216735 +11 *4377:32 0.00325306 +12 *4377:16 0.00234742 +13 *4377:105 *4713:13 0 +14 *5680:DIODE *4377:105 0 +15 *6353:DIODE *4377:16 0 +16 *8109:DIODE *4377:16 0 +17 *8113:DIODE *4377:16 0 +18 *37867:A *4377:72 0 +19 *38018:A_N *38018:B 0 +20 *39910:A *4377:93 0 +21 *40093:A *4377:32 0 +22 *40724:A *4377:16 0 +23 *40800:A *4377:105 0 +24 *40806:A *4377:105 0 +25 *1408:29 *38018:B 0 +26 *1415:20 *4377:105 0 +27 *1820:18 *4377:79 0 +28 *2290:55 *4377:72 0 +29 *2437:20 *4377:45 0 +30 *2841:41 *4377:93 0 +31 *2950:11 *4377:32 0 +32 *3054:46 *4377:32 0 +33 *3078:31 *4377:72 0 +34 *3080:95 *4377:32 0 +35 *3083:30 *4377:105 0 +36 *3186:32 *4377:32 0 +37 *3186:46 *4377:16 0 +38 *3312:125 *4377:129 0 +39 *3316:115 *4377:105 0 +40 *3659:14 *4377:16 0 +41 *3667:19 *38018:B 0 +42 *3667:19 *4377:105 0 +43 *3678:19 *4377:129 0 +44 *3680:92 *4377:129 0 +45 *3681:23 *4377:79 0 +46 *3688:7 *4377:105 0 +47 *3689:15 *4377:16 0 +48 *3689:15 *4377:72 0 +49 *3714:42 *4377:90 0 +50 *3723:24 *4377:16 0 +51 *3723:42 *4377:45 0 +52 *3741:23 *4377:45 0 +53 *3741:47 *4377:79 0 +54 *3750:27 *4377:105 0 +55 *3756:10 *4377:105 0 +56 *3775:49 *4377:93 0 +57 *3786:59 *4377:32 0 +58 *3790:11 *4377:16 0 +59 *3790:18 *4377:32 0 +60 *3790:49 *4377:93 0 +61 *3820:34 *4377:105 0 +62 *3824:39 *4377:129 0 +63 *3984:46 *4377:72 0 +64 *3984:68 *4377:79 0 +65 *4022:94 *4377:105 0 +66 *4055:24 *4377:32 0 +67 *4055:31 *4377:79 0 +68 *4098:59 *4377:90 0 +69 *4332:33 *4377:72 0 +70 *4332:58 *4377:72 0 +71 *4362:18 *4377:16 0 +72 *4365:46 *4377:93 0 +73 *4365:82 *4377:105 0 +74 *4369:25 *4377:45 0 +75 *4369:47 *4377:93 0 +*RES +1 *41365:X *4377:16 38.1364 +2 *4377:16 *4377:32 48.2446 +3 *4377:32 *4377:45 48.375 +4 *4377:45 *4377:72 31.0647 +5 *4377:72 *4377:79 46.7857 +6 *4377:79 *4377:90 23.4643 +7 *4377:90 *4377:93 44.875 +8 *4377:93 *4377:105 48.0893 +9 *4377:105 *4377:129 34.1607 +10 *4377:129 *5995:DIODE 9.3 +11 *4377:129 *38018:B 24.6036 +*END + +*D_NET *4378 0.0201894 +*CONN +*I *41365:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8965:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41366:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41365:A 0 +2 *8965:DIODE 0.000269584 +3 *41366:X 0.00410809 +4 *4378:58 0.00144074 +5 *4378:50 0.00571704 +6 *4378:28 0.00865397 +7 *37606:A *4378:28 0 +8 *37816:A *4378:50 0 +9 *37816:B *4378:50 0 +10 *41310:A *4378:50 0 +11 *1307:10 *4378:50 0 +12 *2196:23 *4378:28 0 +13 *2208:22 *4378:28 0 +14 *2344:7 *8965:DIODE 0 +15 *3085:93 *4378:50 0 +16 *3120:50 *4378:50 0 +17 *3120:50 *4378:58 0 +18 *3558:25 *4378:50 0 +19 *3603:35 *4378:50 0 +20 *3608:24 *4378:50 0 +21 *3615:10 *4378:28 0 +22 *3723:24 *8965:DIODE 0 +23 *3751:23 *4378:50 0 +24 *3757:11 *4378:58 0 +25 *3786:59 *8965:DIODE 0 +26 *3786:59 *4378:58 0 +27 *3789:22 *4378:50 0 +28 *3790:11 *8965:DIODE 0 +29 *4311:22 *4378:28 0 +30 *4311:22 *4378:50 0 +31 *4317:13 *4378:28 0 +32 *4341:22 *4378:50 0 +33 *4363:53 *4378:50 0 +34 *4370:20 *4378:28 0 +35 *4370:32 *4378:58 0 +*RES +1 *41366:X *4378:28 46.4999 +2 *4378:28 *4378:50 47.4267 +3 *4378:50 *4378:58 16.0552 +4 *4378:58 *8965:DIODE 24.3357 +5 *4378:58 *41365:A 9.3 +*END + +*D_NET *4379 0.0218063 +*CONN +*I *38016:B I *D sky130_fd_sc_hd__and3b_1 +*I *5991:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41367:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38016:B 8.17123e-05 +2 *5991:DIODE 6.50276e-05 +3 *41367:X 0.0013866 +4 *4379:66 0.00293364 +5 *4379:47 0.00936982 +6 *4379:22 0.00796953 +7 *4379:22 *5136:18 0 +8 *4379:22 *5150:21 0 +9 *40768:A *4379:22 0 +10 *2101:27 *4379:47 0 +11 *2232:63 *4379:47 0 +12 *2275:26 *4379:22 0 +13 *2296:14 *4379:22 0 +14 *3176:25 *4379:22 0 +15 *3322:146 *5991:DIODE 0 +16 *3322:146 *38016:B 0 +17 *3323:30 *4379:66 0 +18 *3358:23 *4379:22 0 +19 *3592:9 *4379:22 0 +20 *3726:28 *4379:66 0 +21 *3784:29 *4379:66 0 +22 *3801:60 *4379:66 0 +23 *3801:69 *4379:66 0 +24 *3811:14 *4379:66 0 +25 *3943:17 *4379:47 0 +26 *3943:50 *4379:47 0 +27 *3943:50 *4379:66 0 +28 *4022:43 *4379:66 0 +29 *4105:45 *4379:47 0 +30 *4125:33 *4379:47 0 +31 *4129:41 *4379:47 0 +32 *4321:26 *4379:47 0 +33 *4324:100 *4379:66 0 +34 *4325:34 *4379:22 0 +35 *4333:59 *4379:22 0 +36 *4373:20 *4379:47 0 +*RES +1 *41367:X *4379:22 44.7238 +2 *4379:22 *4379:47 47.2081 +3 *4379:47 *4379:66 25.6578 +4 *4379:66 *5991:DIODE 10.6571 +5 *4379:66 *38016:B 11.0679 +*END + +*D_NET *4380 0.0208189 +*CONN +*I *5967:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38002:B I *D sky130_fd_sc_hd__and3b_1 +*I *41368:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5967:DIODE 0 +2 *38002:B 0.000186653 +3 *41368:X 0.000668127 +4 *4380:99 0.00102003 +5 *4380:87 0.00420948 +6 *4380:68 0.00419185 +7 *4380:43 0.00302003 +8 *4380:21 0.00452945 +9 *4380:19 0.00299329 +10 *38002:B *4387:118 0 +11 *38002:B *4398:43 0 +12 *4380:19 *4395:20 0 +13 *4380:21 *4397:20 0 +14 *4380:21 *4464:26 0 +15 *4380:87 *4390:20 0 +16 *4380:87 *4985:20 0 +17 *4380:99 *4387:118 0 +18 *5948:DIODE *4380:68 0 +19 *8358:DIODE *4380:68 0 +20 *8590:DIODE *4380:21 0 +21 *8972:DIODE *4380:43 0 +22 *8974:DIODE *4380:68 0 +23 *37570:B *4380:99 0 +24 *37584:A *4380:87 0 +25 *37984:A_N *4380:68 0 +26 *37984:B *4380:68 0 +27 *37992:C *4380:68 0 +28 *40008:A *38002:B 0 +29 *40008:A *4380:99 0 +30 *40040:A *4380:21 0 +31 *40643:A *4380:87 0 +32 *41383:A *4380:21 0 +33 *1176:20 *4380:87 0 +34 *1387:29 *4380:68 0 +35 *1387:29 *4380:87 0 +36 *1387:58 *4380:21 0 +37 *1387:70 *4380:21 0 +38 *1395:13 *4380:43 0 +39 *1513:15 *4380:68 0 +40 *1675:32 *4380:68 0 +41 *1675:66 *4380:43 0 +42 *1890:19 *4380:19 0 +43 *1890:19 *4380:21 0 +44 *1890:42 *4380:21 0 +45 *1903:44 *4380:43 0 +46 *2161:63 *4380:21 0 +47 *2733:20 *4380:21 0 +48 *2792:43 *4380:87 0 +49 *2890:20 *4380:21 0 +50 *3456:36 *4380:99 0 +51 *3565:92 *4380:43 0 +52 *3568:22 *4380:43 0 +53 *3596:72 *4380:21 0 +54 *3629:45 *4380:43 0 +55 *3629:68 *4380:68 0 +56 *3629:68 *4380:87 0 +57 *3635:40 *4380:68 0 +58 *3642:21 *4380:19 0 +59 *3642:21 *4380:21 0 +60 *3645:13 *4380:21 0 +61 *3645:29 *4380:21 0 +62 *3645:68 *4380:43 0 +63 *3645:68 *4380:68 0 +64 *3645:115 *4380:99 0 +65 *3839:49 *4380:43 0 +66 *3841:9 *4380:21 0 +67 *3843:15 *4380:87 0 +68 *3850:32 *4380:68 0 +69 *3852:37 *4380:87 0 +70 *3854:17 *4380:43 0 +71 *3858:40 *4380:87 0 +72 *3865:38 *4380:43 0 +73 *3865:38 *4380:87 0 +74 *3895:18 *4380:19 0 +*RES +1 *41368:X *4380:19 41.4607 +2 *4380:19 *4380:21 48.5893 +3 *4380:21 *4380:43 46.8581 +4 *4380:43 *4380:68 40.14 +5 *4380:68 *4380:87 45.8606 +6 *4380:87 *4380:99 22.8304 +7 *4380:99 *38002:B 22.3714 +8 *4380:99 *5967:DIODE 9.3 +*END + +*D_NET *4381 0.0211543 +*CONN +*I *37758:A I *D sky130_fd_sc_hd__and2_1 +*I *5598:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41369:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37758:A 0.000143103 +2 *5598:DIODE 0 +3 *41369:X 0.000892268 +4 *4381:39 0.00191123 +5 *4381:32 0.00319956 +6 *4381:21 0.00571085 +7 *4381:20 0.0063422 +8 *4381:11 0.00295505 +9 *4381:11 *4403:43 0 +10 *4381:21 *4385:47 0 +11 *4381:21 *4385:64 0 +12 *4381:21 *4400:55 0 +13 *4381:32 *37986:B 0 +14 *4381:32 *4400:55 0 +15 *5397:DIODE *4381:21 0 +16 *8565:DIODE *4381:21 0 +17 *8968:DIODE *4381:11 0 +18 *39923:A *4381:39 0 +19 *40892:A *4381:21 0 +20 *41369:A *4381:11 0 +21 *1176:34 *4381:21 0 +22 *1189:37 *4381:11 0 +23 *1189:37 *4381:20 0 +24 *1277:38 *4381:20 0 +25 *1300:60 *4381:20 0 +26 *1666:13 *4381:21 0 +27 *1903:44 *4381:11 0 +28 *1922:47 *4381:20 0 +29 *2768:20 *4381:20 0 +30 *3350:56 *4381:32 0 +31 *3577:88 *4381:20 0 +32 *3598:50 *4381:20 0 +33 *3601:31 *4381:39 0 +34 *3601:35 *37758:A 0 +35 *3601:35 *4381:39 0 +36 *3614:30 *4381:20 0 +37 *3634:16 *4381:21 0 +38 *3635:70 *4381:21 0 +39 *3635:80 *4381:21 0 +40 *3635:87 *4381:21 0 +41 *3832:83 *4381:20 0 +42 *3835:56 *4381:20 0 +43 *3837:43 *4381:20 0 +44 *3848:31 *4381:21 0 +45 *3848:40 *4381:21 0 +46 *3848:40 *4381:32 0 +47 *3848:56 *4381:39 0 +48 *3852:37 *4381:21 0 +49 *3852:55 *4381:32 0 +50 *3852:71 *4381:32 0 +51 *3852:71 *4381:39 0 +52 *3852:75 *37758:A 0 +53 *3855:24 *4381:21 0 +54 *3855:36 *4381:21 0 +55 *3863:74 *4381:20 0 +56 *3866:49 *4381:32 0 +57 *3892:33 *4381:11 0 +58 *3892:33 *4381:20 0 +*RES +1 *41369:X *4381:11 41.6036 +2 *4381:11 *4381:20 41.9652 +3 *4381:20 *4381:21 89.25 +4 *4381:21 *4381:32 48.0357 +5 *4381:32 *4381:39 46.0179 +6 *4381:39 *5598:DIODE 9.3 +7 *4381:39 *37758:A 12.3179 +*END + +*D_NET *4382 0.0013641 +*CONN +*I *40488:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38617:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40488:A 0 +2 *38617:X 0.000682052 +3 *4382:17 0.000682052 +4 *4382:17 *4774:57 0 +5 *540:55 *4382:17 0 +6 *2960:20 *4382:17 0 +7 *3106:51 *4382:17 0 +8 *3571:24 *4382:17 0 +*RES +1 *38617:X *4382:17 41.925 +2 *4382:17 *40488:A 9.3 +*END + +*D_NET *4383 0.0211907 +*CONN +*I *37990:B I *D sky130_fd_sc_hd__and3b_1 +*I *5943:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41370:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37990:B 0.000332183 +2 *5943:DIODE 6.50276e-05 +3 *41370:X 0.00320748 +4 *4383:90 0.00141237 +5 *4383:85 0.00200983 +6 *4383:63 0.0019482 +7 *4383:41 0.00261393 +8 *4383:24 0.00377458 +9 *4383:20 0.00236688 +10 *4383:15 0.00346019 +11 *4383:15 *4390:20 0 +12 *4383:15 *4400:17 0 +13 *4383:24 *4398:25 0 +14 *4383:63 *37968:B 0 +15 *4383:63 *4387:125 0 +16 *4383:85 *4397:72 0 +17 *4383:85 *4398:55 0 +18 *4383:85 *4398:63 0 +19 *4383:90 *4398:63 0 +20 *5337:DIODE *5943:DIODE 0 +21 *5345:DIODE *4383:41 0 +22 *5370:DIODE *4383:85 0 +23 *8354:DIODE *4383:15 0 +24 *8969:DIODE *4383:15 0 +25 *37564:A *4383:24 0 +26 *37564:B *4383:24 0 +27 *37968:C *4383:85 0 +28 *38002:A_N *4383:24 0 +29 *38002:A_N *4383:41 0 +30 *38002:C *4383:41 0 +31 *39913:A *4383:41 0 +32 *40652:A *4383:15 0 +33 *41370:A *4383:15 0 +34 *334:15 *4383:85 0 +35 *1168:17 *37990:B 0 +36 *1168:17 *4383:63 0 +37 *1181:24 *4383:24 0 +38 *1372:24 *4383:63 0 +39 *1383:15 *4383:63 0 +40 *1383:15 *4383:85 0 +41 *2750:12 *4383:41 0 +42 *2792:47 *4383:20 0 +43 *3344:28 *4383:90 0 +44 *3576:60 *4383:90 0 +45 *3580:143 *4383:15 0 +46 *3586:20 *4383:15 0 +47 *3606:10 *4383:24 0 +48 *3606:32 *4383:24 0 +49 *3606:32 *4383:41 0 +50 *3606:46 *4383:63 0 +51 *3610:25 *4383:41 0 +52 *3614:30 *4383:15 0 +53 *3619:57 *4383:15 0 +54 *3629:131 *4383:41 0 +55 *3629:133 *4383:41 0 +56 *3629:133 *4383:63 0 +57 *3639:52 *4383:15 0 +58 *3639:67 *4383:15 0 +59 *3644:16 *4383:85 0 +60 *3644:22 *4383:85 0 +61 *3644:22 *4383:90 0 +62 *3645:115 *4383:24 0 +63 *3832:84 *4383:20 0 +64 *3839:81 *4383:24 0 +65 *3841:47 *4383:24 0 +66 *3841:47 *4383:41 0 +67 *3843:15 *4383:15 0 +68 *3845:90 *4383:15 0 +69 *3845:121 *4383:63 0 +70 *3884:54 *4383:15 0 +71 *4146:32 *4383:90 0 +72 *4153:50 *4383:63 0 +*RES +1 *41370:X *4383:15 49.1346 +2 *4383:15 *4383:20 13.6511 +3 *4383:20 *4383:24 48.7411 +4 *4383:24 *4383:41 48.5982 +5 *4383:41 *4383:63 48.125 +6 *4383:63 *4383:85 39.4286 +7 *4383:85 *4383:90 25.875 +8 *4383:90 *5943:DIODE 15.1571 +9 *4383:90 *37990:B 20.9964 +*END + +*D_NET *4384 0.0214745 +*CONN +*I *37988:B I *D sky130_fd_sc_hd__and3b_1 +*I *5939:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41371:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37988:B 0.000104386 +2 *5939:DIODE 4.5599e-05 +3 *41371:X 0.00376232 +4 *4384:56 0.00697492 +5 *4384:28 0.0105873 +6 *4384:56 *4392:80 0 +7 *4384:56 *4395:53 0 +8 *4384:56 *4457:109 0 +9 *5410:DIODE *4384:28 0 +10 *37988:A_N *4384:56 0 +11 *39916:A *4384:56 0 +12 *282:35 *4384:56 0 +13 *1300:18 *4384:28 0 +14 *1898:36 *4384:56 0 +15 *1914:29 *4384:28 0 +16 *1923:25 *4384:28 0 +17 *1937:19 *4384:28 0 +18 *2166:39 *4384:56 0 +19 *2202:39 *4384:28 0 +20 *3200:11 *4384:28 0 +21 *3200:16 *4384:28 0 +22 *3267:19 *4384:56 0 +23 *3325:37 *4384:56 0 +24 *3325:44 *4384:56 0 +25 *3361:36 *4384:56 0 +26 *3558:66 *4384:28 0 +27 *3619:18 *4384:28 0 +28 *3620:33 *4384:28 0 +29 *3876:93 *4384:56 0 +30 *3895:76 *4384:56 0 +31 *4123:28 *4384:56 0 +32 *4140:63 *4384:56 0 +33 *4162:35 *4384:56 0 +34 *4168:54 *4384:56 0 +35 *4178:25 *4384:56 0 +36 *4191:19 *4384:56 0 +37 *4206:27 *4384:56 0 +38 *4212:43 *4384:56 0 +39 *4214:63 *4384:56 0 +40 *4214:73 *37988:B 0 +41 *4361:17 *4384:28 0 +*RES +1 *41371:X *4384:28 49.8366 +2 *4384:28 *4384:56 38.3566 +3 *4384:56 *5939:DIODE 14.8357 +4 *4384:56 *37988:B 15.9786 +*END + +*D_NET *4385 0.0206824 +*CONN +*I *5935:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37986:B I *D sky130_fd_sc_hd__and3b_1 +*I *41372:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5935:DIODE 0 +2 *37986:B 0.000300734 +3 *41372:X 0.00113757 +4 *4385:73 0.0015038 +5 *4385:64 0.0028806 +6 *4385:47 0.00487009 +7 *4385:45 0.00423385 +8 *4385:38 0.00282974 +9 *4385:21 0.00292601 +10 *4385:38 *4403:35 0 +11 *4385:45 *37966:A_N 0 +12 *4385:45 *4403:43 0 +13 *4385:45 *4403:51 0 +14 *4385:45 *4454:39 0 +15 *4385:47 *37966:A_N 0 +16 *4385:47 *4403:51 0 +17 *6222:DIODE *4385:47 0 +18 *7424:DIODE *4385:73 0 +19 *7833:DIODE *4385:21 0 +20 *37570:A *4385:47 0 +21 *37577:A *4385:47 0 +22 *37581:A *4385:47 0 +23 *1192:14 *4385:21 0 +24 *1382:19 *4385:45 0 +25 *1382:39 *4385:21 0 +26 *1397:27 *4385:21 0 +27 *1401:12 *4385:38 0 +28 *1502:28 *4385:21 0 +29 *1666:13 *4385:73 0 +30 *1805:15 *4385:21 0 +31 *1897:26 *4385:21 0 +32 *1902:43 *4385:38 0 +33 *1919:47 *4385:21 0 +34 *1919:56 *4385:38 0 +35 *2792:47 *4385:47 0 +36 *3169:29 *4385:73 0 +37 *3574:20 *4385:38 0 +38 *3598:31 *4385:21 0 +39 *3633:85 *4385:21 0 +40 *3633:85 *4385:38 0 +41 *3635:33 *4385:21 0 +42 *3635:80 *4385:47 0 +43 *3639:34 *4385:38 0 +44 *3639:52 *4385:38 0 +45 *3639:67 *4385:47 0 +46 *3829:20 *4385:21 0 +47 *3829:20 *4385:38 0 +48 *3831:30 *4385:38 0 +49 *3843:15 *4385:38 0 +50 *3848:19 *4385:47 0 +51 *3848:19 *4385:64 0 +52 *3848:31 *4385:64 0 +53 *3852:55 *4385:64 0 +54 *3852:55 *4385:73 0 +55 *3852:71 *37986:B 0 +56 *3852:71 *4385:73 0 +57 *3855:24 *4385:47 0 +58 *3856:76 *4385:38 0 +59 *3856:94 *4385:45 0 +60 *3881:21 *4385:38 0 +61 *4381:21 *4385:47 0 +62 *4381:21 *4385:64 0 +63 *4381:32 *37986:B 0 +*RES +1 *41372:X *4385:21 48.4311 +2 *4385:21 *4385:38 41.8752 +3 *4385:38 *4385:45 21.9464 +4 *4385:45 *4385:47 66.6607 +5 *4385:47 *4385:64 49.0357 +6 *4385:64 *4385:73 38.8036 +7 *4385:73 *37986:B 24.7107 +8 *4385:73 *5935:DIODE 9.3 +*END + +*D_NET *4386 0.021293 +*CONN +*I *37976:B I *D sky130_fd_sc_hd__and3b_2 +*I *5917:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41373:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37976:B 0.000183104 +2 *5917:DIODE 0.000138024 +3 *41373:X 0.00152636 +4 *4386:38 0.00912013 +5 *4386:18 0.0103254 +6 *8555:DIODE *4386:18 0 +7 *40902:A *4386:18 0 +8 *1183:41 *4386:38 0 +9 *1277:32 *4386:38 0 +10 *1387:29 *37976:B 0 +11 *1500:34 *4386:38 0 +12 *1673:8 *4386:38 0 +13 *1678:18 *4386:38 0 +14 *1914:29 *4386:38 0 +15 *1946:38 *4386:18 0 +16 *1950:12 *4386:18 0 +17 *1955:32 *4386:18 0 +18 *2174:92 *4386:38 0 +19 *2204:58 *4386:18 0 +20 *2204:92 *4386:18 0 +21 *3557:29 *4386:38 0 +22 *3557:42 *4386:38 0 +23 *3561:28 *4386:38 0 +24 *3580:43 *4386:38 0 +25 *3598:50 *4386:38 0 +26 *3623:20 *4386:38 0 +27 *3640:43 *4386:38 0 +28 *3645:89 *5917:DIODE 0 +29 *3832:83 *4386:38 0 +30 *3836:22 *4386:38 0 +31 *3839:75 *37976:B 0 +32 *3840:17 *4386:18 0 +33 *3853:11 *4386:18 0 +34 *3853:52 *4386:38 0 +35 *3863:22 *4386:18 0 +36 *3870:53 *4386:38 0 +*RES +1 *41373:X *4386:18 49.5582 +2 *4386:18 *4386:38 47.8619 +3 *4386:38 *5917:DIODE 16.8893 +4 *4386:38 *37976:B 17.6214 +*END + +*D_NET *4387 0.0208631 +*CONN +*I *5903:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37968:B I *D sky130_fd_sc_hd__and3b_1 +*I *41374:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5903:DIODE 0 +2 *37968:B 0.000203639 +3 *41374:X 0.00104494 +4 *4387:125 0.00135189 +5 *4387:118 0.00311427 +6 *4387:83 0.00427087 +7 *4387:79 0.00311854 +8 *4387:75 0.00199867 +9 *4387:50 0.00295017 +10 *4387:27 0.00281014 +11 *37968:B *4398:55 0 +12 *4387:50 *5920:DIODE 0 +13 *4387:50 *37978:C 0 +14 *4387:50 *4389:23 0 +15 *4387:79 *4397:37 0 +16 *4387:83 *4397:37 0 +17 *4387:83 *4397:59 0 +18 *4387:118 *4389:55 0 +19 *4387:118 *4397:59 0 +20 *4387:125 *4398:43 0 +21 *4387:125 *4398:55 0 +22 *5960:DIODE *4387:79 0 +23 *6293:DIODE *4387:118 0 +24 *37564:B *4387:118 0 +25 *37576:A *4387:79 0 +26 *37576:B *4387:79 0 +27 *37577:A *4387:83 0 +28 *37984:A_N *4387:79 0 +29 *37992:C *4387:75 0 +30 *38002:B *4387:118 0 +31 *38004:B *4387:75 0 +32 *38004:C *4387:75 0 +33 *40008:A *4387:118 0 +34 *40636:A *4387:83 0 +35 *41163:A *4387:83 0 +36 *1387:37 *4387:50 0 +37 *1395:13 *4387:75 0 +38 *1398:20 *4387:79 0 +39 *1887:15 *4387:27 0 +40 *1887:34 *4387:27 0 +41 *1887:63 *4387:75 0 +42 *1887:82 *4387:79 0 +43 *1903:44 *4387:50 0 +44 *2890:20 *4387:27 0 +45 *2951:21 *4387:118 0 +46 *3062:24 *4387:75 0 +47 *3169:9 *4387:50 0 +48 *3338:37 *4387:79 0 +49 *3352:41 *4387:79 0 +50 *3565:66 *4387:27 0 +51 *3567:25 *4387:118 0 +52 *3580:122 *4387:27 0 +53 *3590:10 *4387:79 0 +54 *3591:17 *4387:27 0 +55 *3591:56 *4387:75 0 +56 *3629:77 *4387:83 0 +57 *3629:95 *4387:83 0 +58 *3645:52 *4387:75 0 +59 *3645:68 *4387:75 0 +60 *3645:68 *4387:79 0 +61 *3645:89 *4387:83 0 +62 *3645:97 *4387:83 0 +63 *3645:97 *4387:118 0 +64 *3645:115 *4387:118 0 +65 *3839:49 *4387:27 0 +66 *3839:49 *4387:50 0 +67 *3841:23 *4387:75 0 +68 *3841:23 *4387:79 0 +69 *3841:23 *4387:83 0 +70 *3841:64 *4387:125 0 +71 *3845:23 *4387:27 0 +72 *3850:32 *4387:27 0 +73 *3850:32 *4387:50 0 +74 *3862:26 *4387:83 0 +75 *3865:20 *4387:50 0 +76 *3884:23 *4387:27 0 +77 *4133:76 *4387:118 0 +78 *4142:15 *4387:118 0 +79 *4380:99 *4387:118 0 +80 *4383:63 *37968:B 0 +81 *4383:63 *4387:125 0 +*RES +1 *41374:X *4387:27 48.0097 +2 *4387:27 *4387:50 47.6908 +3 *4387:50 *4387:75 43.7679 +4 *4387:75 *4387:79 16.9821 +5 *4387:79 *4387:83 48.1964 +6 *4387:83 *4387:118 45.6476 +7 *4387:118 *4387:125 28.5893 +8 *4387:125 *37968:B 13.55 +9 *4387:125 *5903:DIODE 9.3 +*END + +*D_NET *4388 0.0194811 +*CONN +*I *37964:B I *D sky130_fd_sc_hd__and3b_1 +*I *5895:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41375:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37964:B 9.09275e-05 +2 *5895:DIODE 2.35958e-05 +3 *41375:X 0.00371367 +4 *4388:30 0.00602688 +5 *4388:26 0.00962603 +6 *4388:30 *37930:C 0 +7 *4388:30 *4389:55 0 +8 *4388:30 *4432:24 0 +9 *4388:30 *4436:15 0 +10 *5336:DIODE *4388:30 0 +11 *282:18 *4388:26 0 +12 *1185:28 *4388:30 0 +13 *1381:48 *4388:30 0 +14 *1792:29 *4388:30 0 +15 *2762:10 *4388:30 0 +16 *3551:20 *4388:30 0 +17 *3568:34 *4388:26 0 +18 *3590:20 *4388:26 0 +19 *3622:28 *4388:26 0 +20 *3644:37 *37964:B 0 +21 *3644:37 *4388:30 0 +22 *3645:115 *4388:30 0 +23 *3854:17 *4388:26 0 +24 *3854:25 *4388:26 0 +25 *3854:25 *4388:30 0 +26 *3864:14 *4388:30 0 +27 *3865:38 *4388:26 0 +28 *4146:32 *4388:30 0 +29 *4174:37 *37964:B 0 +*RES +1 *41375:X *4388:26 47.6931 +2 *4388:26 *4388:30 25.8321 +3 *4388:30 *5895:DIODE 14.3357 +4 *4388:30 *37964:B 15.7821 +*END + +*D_NET *4389 0.0204051 +*CONN +*I *5891:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37962:B I *D sky130_fd_sc_hd__and3b_1 +*I *41376:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5891:DIODE 0 +2 *37962:B 7.12481e-05 +3 *41376:X 0.00221704 +4 *4389:58 0.000147482 +5 *4389:55 0.00791426 +6 *4389:23 0.0100551 +7 *4389:55 *4394:42 0 +8 *4389:55 *4397:59 0 +9 *4389:55 *4397:72 0 +10 *1185:28 *4389:55 0 +11 *1380:16 *37962:B 0 +12 *1380:16 *4389:58 0 +13 *1383:15 *4389:55 0 +14 *1675:66 *4389:23 0 +15 *1887:34 *4389:23 0 +16 *3551:20 *4389:55 0 +17 *3568:22 *4389:23 0 +18 *3595:30 *4389:23 0 +19 *3595:30 *4389:55 0 +20 *3595:49 *4389:55 0 +21 *3645:29 *4389:23 0 +22 *3850:32 *4389:23 0 +23 *3864:14 *4389:55 0 +24 *3865:20 *4389:23 0 +25 *3868:25 *4389:23 0 +26 *4133:76 *4389:55 0 +27 *4142:15 *4389:23 0 +28 *4142:15 *4389:55 0 +29 *4146:23 *37962:B 0 +30 *4387:50 *4389:23 0 +31 *4387:118 *4389:55 0 +32 *4388:30 *4389:55 0 +*RES +1 *41376:X *4389:23 39.1487 +2 *4389:23 *4389:55 47.5667 +3 *4389:55 *4389:58 5.20464 +4 *4389:58 *37962:B 15.3714 +5 *4389:58 *5891:DIODE 13.8 +*END + +*D_NET *4390 0.0188764 +*CONN +*I *5593:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37754:A I *D sky130_fd_sc_hd__and2_1 +*I *41377:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5593:DIODE 0 +2 *37754:A 0.000124066 +3 *41377:X 0.000388148 +4 *4390:20 0.00905003 +5 *4390:14 0.00931412 +6 *4390:20 *4403:64 0 +7 *4390:20 *4985:26 0 +8 *8567:DIODE *4390:14 0 +9 *37556:A *4390:20 0 +10 *37584:A *4390:20 0 +11 *1165:11 *4390:20 0 +12 *1394:39 *4390:20 0 +13 *1675:32 *4390:20 0 +14 *2792:43 *4390:20 0 +15 *3384:87 *4390:20 0 +16 *3619:57 *4390:20 0 +17 *3632:41 *4390:14 0 +18 *3639:52 *4390:20 0 +19 *3842:30 *4390:20 0 +20 *3843:19 *4390:20 0 +21 *3845:77 *4390:14 0 +22 *3848:63 *37754:A 0 +23 *3852:37 *4390:20 0 +24 *3852:75 *37754:A 0 +25 *3855:36 *4390:20 0 +26 *3856:97 *4390:14 0 +27 *3866:32 *4390:20 0 +28 *3869:13 *4390:20 0 +29 *3869:20 *4390:20 0 +30 *3880:13 *4390:20 0 +31 *3892:56 *4390:20 0 +32 *4380:87 *4390:20 0 +33 *4383:15 *4390:20 0 +*RES +1 *41377:X *4390:14 25.4332 +2 *4390:14 *4390:20 40.4476 +3 *4390:20 *37754:A 11.8893 +4 *4390:20 *5593:DIODE 9.3 +*END + +*D_NET *4391 0.0199877 +*CONN +*I *5870:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37948:B I *D sky130_fd_sc_hd__and3b_1 +*I *41378:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5870:DIODE 0 +2 *37948:B 0.000262527 +3 *41378:X 0.000791628 +4 *4391:47 0.000755368 +5 *4391:43 0.00474808 +6 *4391:41 0.00614981 +7 *4391:38 0.00318446 +8 *4391:27 0.00229702 +9 *4391:18 0.00179876 +10 *37948:B *37948:A_N 0 +11 *37948:B *4737:18 0 +12 *4391:27 *4748:23 0 +13 *4391:43 *4443:19 0 +14 *4391:43 *4443:23 0 +15 *4391:47 *37948:A_N 0 +16 *4391:47 *4443:23 0 +17 *6371:DIODE *4391:18 0 +18 *37790:A *4391:41 0 +19 *37790:B *4391:41 0 +20 *38206:B *4391:18 0 +21 *38244:B *4391:18 0 +22 *1164:17 *4391:43 0 +23 *1164:19 *4391:43 0 +24 *1164:19 *4391:47 0 +25 *1294:5 *4391:41 0 +26 *1294:5 *4391:43 0 +27 *1498:16 *4391:18 0 +28 *1521:23 *4391:18 0 +29 *1783:10 *37948:B 0 +30 *1795:21 *4391:38 0 +31 *2907:10 *37948:B 0 +32 *2907:11 *4391:38 0 +33 *3064:25 *4391:27 0 +34 *3136:32 *4391:38 0 +35 *3136:35 *4391:41 0 +36 *3149:19 *4391:47 0 +37 *3213:22 *4391:18 0 +38 *3333:30 *4391:18 0 +39 *3347:41 *4391:18 0 +40 *3374:25 *4391:18 0 +41 *3454:48 *4391:18 0 +42 *3887:10 *4391:38 0 +43 *3889:15 *4391:27 0 +44 *4068:45 *4391:27 0 +45 *4068:45 *4391:38 0 +46 *4068:45 *4391:41 0 +47 *4132:58 *4391:27 0 +48 *4132:79 *4391:41 0 +49 *4169:22 *4391:18 0 +50 *4173:19 *4391:27 0 +51 *4173:34 *4391:27 0 +52 *4183:21 *4391:18 0 +*RES +1 *41378:X *4391:18 39.5471 +2 *4391:18 *4391:27 30.2143 +3 *4391:27 *4391:38 36.375 +4 *4391:38 *4391:41 39.5714 +5 *4391:41 *4391:43 88.8393 +6 *4391:43 *4391:47 10.4107 +7 *4391:47 *37948:B 23.9607 +8 *4391:47 *5870:DIODE 9.3 +*END + +*D_NET *4392 0.0209108 +*CONN +*I *5867:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37946:B I *D sky130_fd_sc_hd__and3b_1 +*I *41379:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5867:DIODE 0 +2 *37946:B 0.000202783 +3 *41379:X 0.00113797 +4 *4392:106 0.00213953 +5 *4392:100 0.00279589 +6 *4392:89 0.00313383 +7 *4392:80 0.00381847 +8 *4392:49 0.00404409 +9 *4392:26 0.00363827 +10 *37946:B *37946:A_N 0 +11 *4392:49 *4461:64 0 +12 *4392:49 *4470:77 0 +13 *4392:49 *4915:35 0 +14 *4392:89 *4395:71 0 +15 *4392:106 *4726:20 0 +16 *8822:DIODE *4392:89 0 +17 *37770:B *4392:89 0 +18 *37988:A_N *4392:89 0 +19 *40040:A *4392:26 0 +20 *41148:A *4392:26 0 +21 *41177:A *4392:26 0 +22 *41195:A *4392:49 0 +23 *41200:A *4392:89 0 +24 *41202:A *4392:89 0 +25 *41208:A *4392:89 0 +26 *41282:A *4392:80 0 +27 *282:35 *4392:80 0 +28 *1393:29 *4392:49 0 +29 *1393:29 *4392:80 0 +30 *1393:45 *4392:49 0 +31 *1393:64 *4392:26 0 +32 *1398:37 *4392:26 0 +33 *1504:27 *4392:80 0 +34 *1898:36 *4392:49 0 +35 *1985:16 *4392:89 0 +36 *2045:45 *4392:26 0 +37 *2061:56 *4392:49 0 +38 *2166:61 *4392:26 0 +39 *2907:20 *4392:80 0 +40 *3136:14 *4392:80 0 +41 *3136:39 *4392:106 0 +42 *3325:44 *4392:49 0 +43 *3876:93 *4392:49 0 +44 *3895:49 *4392:26 0 +45 *3895:76 *4392:49 0 +46 *4123:32 *4392:80 0 +47 *4136:25 *4392:26 0 +48 *4140:15 *4392:26 0 +49 *4140:88 *4392:89 0 +50 *4156:52 *4392:80 0 +51 *4157:13 *4392:26 0 +52 *4169:59 *37946:B 0 +53 *4183:39 *4392:100 0 +54 *4183:41 *4392:100 0 +55 *4188:14 *4392:80 0 +56 *4194:9 *4392:89 0 +57 *4194:9 *4392:106 0 +58 *4196:41 *4392:106 0 +59 *4211:11 *4392:49 0 +60 *4211:11 *4392:80 0 +61 *4211:23 *4392:89 0 +62 *4211:25 *4392:89 0 +63 *4212:43 *4392:49 0 +64 *4214:40 *4392:49 0 +65 *4214:63 *4392:80 0 +66 *4214:72 *4392:80 0 +67 *4214:73 *4392:89 0 +68 *4384:56 *4392:80 0 +*RES +1 *41379:X *4392:26 47.0411 +2 *4392:26 *4392:49 49.125 +3 *4392:49 *4392:80 44.2241 +4 *4392:80 *4392:89 47.5357 +5 *4392:89 *4392:100 36.1964 +6 *4392:100 *4392:106 49.75 +7 *4392:106 *37946:B 13.5321 +8 *4392:106 *5867:DIODE 9.3 +*END + +*D_NET *4393 0.00510945 +*CONN +*I *40486:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *38618:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40486:A 0.000399577 +2 *38618:X 0.000923598 +3 *4393:28 0.00163113 +4 *4393:16 0.00215515 +5 *40486:A *40269:A 0 +6 *40486:A *4780:120 0 +7 *4393:16 *39044:A 0 +8 *4393:16 *5072:32 0 +9 *4393:16 *5102:19 0 +10 *4393:28 *39044:A 0 +11 *4393:28 *40249:A 0 +12 *4393:28 *4412:17 0 +13 *4393:28 *4427:42 0 +14 *4393:28 *4561:49 0 +15 *4393:28 *4755:41 0 +16 *4393:28 *5100:19 0 +17 *4393:28 *5100:31 0 +18 *4393:28 *5168:49 0 +19 la_data_in_mprj[123] *4393:16 0 +20 *38621:A *4393:28 0 +21 *1856:16 *40486:A 0 +22 *1862:22 *4393:16 0 +23 *1862:69 *40486:A 0 +24 *2960:20 *4393:16 0 +25 *2982:29 *4393:16 0 +26 *3077:70 *40486:A 0 +27 *3087:34 *4393:16 0 +28 *3087:49 *4393:16 0 +29 *3478:17 *40486:A 0 +*RES +1 *38618:X *4393:16 37.8714 +2 *4393:16 *4393:28 44.1786 +3 *4393:28 *40486:A 17.6393 +*END + +*D_NET *4394 0.0198673 +*CONN +*I *37944:B I *D sky130_fd_sc_hd__and3b_1 +*I *5864:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41380:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37944:B 4.28265e-05 +2 *5864:DIODE 0.000151002 +3 *41380:X 0.00290038 +4 *4394:45 0.000593406 +5 *4394:42 0.00683945 +6 *4394:20 0.00934025 +7 *5864:DIODE *4715:18 0 +8 *4394:20 *38000:C 0 +9 *4394:20 *4457:48 0 +10 *4394:20 *4926:46 0 +11 *5968:DIODE *4394:45 0 +12 *38000:B *4394:20 0 +13 *1396:20 *4394:42 0 +14 *1396:43 *4394:20 0 +15 *1509:30 *4394:45 0 +16 *1523:21 *4394:20 0 +17 *1523:21 *4394:42 0 +18 *1524:24 *4394:20 0 +19 *2161:63 *4394:20 0 +20 *3595:30 *4394:42 0 +21 *3875:53 *5864:DIODE 0 +22 *3886:76 *4394:45 0 +23 *3897:60 *5864:DIODE 0 +24 *4133:76 *4394:45 0 +25 *4142:15 *4394:42 0 +26 *4175:17 *4394:20 0 +27 *4175:17 *4394:42 0 +28 *4185:21 *4394:42 0 +29 *4205:8 *4394:45 0 +30 *4389:55 *4394:42 0 +*RES +1 *41380:X *4394:20 49.0082 +2 *4394:20 *4394:42 49.5428 +3 *4394:42 *4394:45 12.8393 +4 *4394:45 *5864:DIODE 17.2464 +5 *4394:45 *37944:B 14.8357 +*END + +*D_NET *4395 0.0212069 +*CONN +*I *5862:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37942:B I *D sky130_fd_sc_hd__and3b_2 +*I *41381:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5862:DIODE 0.00014586 +2 *37942:B 0.000107105 +3 *41381:X 0.00105529 +4 *4395:83 0.00230176 +5 *4395:71 0.00335726 +6 *4395:53 0.00582942 +7 *4395:37 0.00593794 +8 *4395:20 0.00247228 +9 *5862:DIODE *4440:44 0 +10 *37942:B *4440:44 0 +11 *4395:37 *4871:11 0 +12 *4395:37 *4926:46 0 +13 *4395:53 *38216:A 0 +14 *4395:83 *41430:A 0 +15 *4395:83 *4401:20 0 +16 *4395:83 *4726:19 0 +17 *37796:A *5862:DIODE 0 +18 *38190:A *4395:37 0 +19 *41282:A *4395:71 0 +20 *1196:14 *4395:20 0 +21 *1379:25 *4395:53 0 +22 *1399:25 *4395:37 0 +23 *1492:17 *4395:71 0 +24 *1524:24 *4395:37 0 +25 *1524:35 *4395:20 0 +26 *1795:21 *4395:83 0 +27 *1801:22 *4395:53 0 +28 *1889:25 *4395:20 0 +29 *1889:34 *4395:20 0 +30 *1889:34 *4395:37 0 +31 *1894:48 *4395:37 0 +32 *2043:40 *4395:53 0 +33 *2045:45 *4395:53 0 +34 *3058:28 *4395:53 0 +35 *3213:18 *4395:53 0 +36 *3213:22 *4395:53 0 +37 *3862:37 *5862:DIODE 0 +38 *3876:93 *4395:53 0 +39 *3886:12 *4395:20 0 +40 *3895:18 *4395:20 0 +41 *3895:81 *4395:83 0 +42 *3895:93 *4395:83 0 +43 *4068:37 *4395:53 0 +44 *4123:28 *4395:53 0 +45 *4123:32 *4395:83 0 +46 *4152:33 *4395:53 0 +47 *4152:91 *4395:83 0 +48 *4159:51 *4395:71 0 +49 *4178:25 *4395:53 0 +50 *4188:17 *4395:83 0 +51 *4191:19 *4395:53 0 +52 *4211:23 *4395:71 0 +53 *4214:73 *4395:71 0 +54 *4380:19 *4395:20 0 +55 *4384:56 *4395:53 0 +56 *4392:89 *4395:71 0 +*RES +1 *41381:X *4395:20 49.925 +2 *4395:20 *4395:37 48 +3 *4395:37 *4395:53 48.6085 +4 *4395:53 *4395:71 49.0618 +5 *4395:71 *4395:83 43.4576 +6 *4395:83 *37942:B 16.2018 +7 *4395:83 *5862:DIODE 16.9875 +*END + +*D_NET *4396 0.0188377 +*CONN +*I *5858:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37940:B I *D sky130_fd_sc_hd__and3b_2 +*I *41382:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5858:DIODE 2.56688e-05 +2 *37940:B 0.000248402 +3 *41382:X 0.000139058 +4 *4396:36 0.000346353 +5 *4396:35 0.00218397 +6 *4396:32 0.00425189 +7 *4396:19 0.0045351 +8 *4396:11 0.00468156 +9 *4396:8 0.00242572 +10 *37940:B *5059:11 0 +11 *4396:11 *4824:23 0 +12 *4396:19 *37934:C 0 +13 *4396:32 *4420:54 0 +14 *4396:32 *4429:36 0 +15 *4396:36 *5059:11 0 +16 *5759:DIODE *4396:32 0 +17 *7422:DIODE *4396:19 0 +18 *37884:B *4396:32 0 +19 *41201:A *4396:19 0 +20 *41204:A *4396:32 0 +21 *1381:13 *4396:11 0 +22 *1468:15 *4396:32 0 +23 *1473:24 *4396:32 0 +24 *1487:15 *4396:19 0 +25 *1487:15 *4396:32 0 +26 *1487:25 *4396:19 0 +27 *1792:11 *4396:19 0 +28 *3381:40 *37940:B 0 +29 *3381:40 *4396:36 0 +30 *3381:55 *4396:36 0 +31 *3383:33 *4396:19 0 +32 *4158:20 *4396:11 0 +33 *4161:27 *4396:32 0 +34 *4163:9 *4396:11 0 +35 *4163:9 *4396:19 0 +36 *4163:33 *4396:35 0 +37 *4163:35 *4396:35 0 +38 *4184:70 *4396:32 0 +39 *4194:19 *4396:19 0 +40 *4196:41 *4396:11 0 +41 *4196:41 *4396:19 0 +42 *4196:53 *4396:19 0 +43 *4198:17 *37940:B 0 +44 *4211:37 *4396:11 0 +45 *4214:78 *4396:8 0 +*RES +1 *41382:X *4396:8 21.4607 +2 *4396:8 *4396:11 47.7857 +3 *4396:11 *4396:19 49.9821 +4 *4396:19 *4396:32 49.7796 +5 *4396:32 *4396:35 48.5714 +6 *4396:35 *4396:36 1.64286 +7 *4396:36 *37940:B 19.0679 +8 *4396:36 *5858:DIODE 14.3357 +*END + +*D_NET *4397 0.0220351 +*CONN +*I *8976:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41382:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *41383:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8976:DIODE 0.000257903 +2 *41382:A 0.00023675 +3 *41383:X 0.00139646 +4 *4397:72 0.0020512 +5 *4397:59 0.00442817 +6 *4397:37 0.00531486 +7 *4397:36 0.00469827 +8 *4397:20 0.00365149 +9 *8976:DIODE *5892:DIODE 0 +10 *8976:DIODE *4398:55 0 +11 *41382:A *5892:DIODE 0 +12 *4397:20 *37980:A_N 0 +13 *4397:37 *4985:20 0 +14 *8801:DIODE *41382:A 0 +15 *8979:DIODE *4397:37 0 +16 *37564:B *4397:59 0 +17 *37576:A *4397:36 0 +18 *37576:A *4397:37 0 +19 *39479:A *4397:20 0 +20 *40008:A *4397:59 0 +21 *40629:A *4397:59 0 +22 *1185:16 *4397:59 0 +23 *1523:21 *4397:36 0 +24 *1672:43 *4397:36 0 +25 *1790:8 *4397:59 0 +26 *1795:14 *4397:37 0 +27 *1887:82 *4397:37 0 +28 *1887:89 *4397:37 0 +29 *2733:20 *4397:20 0 +30 *3226:21 *4397:72 0 +31 *3338:55 *4397:36 0 +32 *3369:21 *41382:A 0 +33 *3583:55 *4397:20 0 +34 *3591:32 *4397:20 0 +35 *3595:30 *4397:20 0 +36 *3595:49 *4397:72 0 +37 *3622:37 *4397:59 0 +38 *3629:95 *4397:37 0 +39 *3645:29 *4397:20 0 +40 *3854:17 *4397:20 0 +41 *3868:25 *4397:20 0 +42 *3875:65 *41382:A 0 +43 *4132:80 *4397:59 0 +44 *4133:76 *4397:59 0 +45 *4136:41 *4397:36 0 +46 *4142:15 *4397:36 0 +47 *4153:22 *4397:37 0 +48 *4167:11 *41382:A 0 +49 *4174:37 *4397:72 0 +50 *4185:21 *4397:36 0 +51 *4185:21 *4397:59 0 +52 *4185:21 *4397:72 0 +53 *4205:15 *4397:72 0 +54 *4380:21 *4397:20 0 +55 *4383:85 *4397:72 0 +56 *4387:79 *4397:37 0 +57 *4387:83 *4397:37 0 +58 *4387:83 *4397:59 0 +59 *4387:118 *4397:59 0 +60 *4389:55 *4397:59 0 +61 *4389:55 *4397:72 0 +*RES +1 *41383:X *4397:20 48.2761 +2 *4397:20 *4397:36 45.212 +3 *4397:36 *4397:37 51.0536 +4 *4397:37 *4397:59 45.468 +5 *4397:59 *4397:72 21.7504 +6 *4397:72 *41382:A 19.4339 +7 *4397:72 *8976:DIODE 19.4161 +*END + +*D_NET *4398 0.0203686 +*CONN +*I *37938:B I *D sky130_fd_sc_hd__and3b_1 +*I *5854:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41384:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37938:B 0.000233032 +2 *5854:DIODE 0.000295925 +3 *41384:X 0.000803147 +4 *4398:73 0.00100725 +5 *4398:70 0.00215419 +6 *4398:63 0.00313003 +7 *4398:55 0.00342336 +8 *4398:43 0.00329632 +9 *4398:25 0.00327465 +10 *4398:18 0.0027507 +11 *5854:DIODE *4526:18 0 +12 *37938:B *4671:46 0 +13 *4398:43 *6264:DIODE 0 +14 *4398:70 *37906:C 0 +15 *4398:70 *4431:50 0 +16 *5336:DIODE *4398:63 0 +17 *5346:DIODE *4398:43 0 +18 *5384:DIODE *4398:55 0 +19 *5894:DIODE *4398:70 0 +20 *8347:DIODE *4398:70 0 +21 *8976:DIODE *4398:55 0 +22 *37538:A *4398:43 0 +23 *37538:B *4398:43 0 +24 *37930:B *4398:63 0 +25 *37968:A_N *4398:55 0 +26 *37968:B *4398:55 0 +27 *38002:A_N *4398:43 0 +28 *38002:B *4398:43 0 +29 *38002:C *4398:43 0 +30 *38180:B *4398:43 0 +31 *38238:A *4398:55 0 +32 *39952:A *5854:DIODE 0 +33 *40008:A *4398:25 0 +34 *40008:A *4398:43 0 +35 *40655:A *4398:70 0 +36 *40705:A *4398:55 0 +37 *41197:A *4398:63 0 +38 *1168:16 *4398:43 0 +39 *1354:49 *4398:70 0 +40 *1371:10 *4398:25 0 +41 *1784:12 *5854:DIODE 0 +42 *1791:6 *4398:25 0 +43 *2855:16 *4398:25 0 +44 *3353:45 *4398:43 0 +45 *3353:45 *4398:55 0 +46 *3378:37 *4398:70 0 +47 *3378:51 *4398:70 0 +48 *3576:51 *4398:43 0 +49 *3576:51 *4398:55 0 +50 *3576:60 *4398:63 0 +51 *3589:13 *37938:B 0 +52 *3589:13 *4398:70 0 +53 *3593:5 *4398:63 0 +54 *3593:5 *4398:70 0 +55 *3644:22 *4398:55 0 +56 *3644:37 *37938:B 0 +57 *3644:37 *4398:63 0 +58 *3644:37 *4398:70 0 +59 *3645:115 *4398:25 0 +60 *3839:75 *4398:18 0 +61 *3839:81 *4398:18 0 +62 *3839:81 *4398:25 0 +63 *3841:36 *4398:25 0 +64 *3841:47 *4398:43 0 +65 *3841:47 *4398:55 0 +66 *4157:81 *4398:43 0 +67 *4158:45 *5854:DIODE 0 +68 *4181:31 *4398:73 0 +69 *4184:48 *5854:DIODE 0 +70 *4190:16 *4398:63 0 +71 *4190:16 *4398:70 0 +72 *4190:40 *5854:DIODE 0 +73 *4205:40 *4398:73 0 +74 *4383:24 *4398:25 0 +75 *4383:85 *4398:55 0 +76 *4383:85 *4398:63 0 +77 *4383:90 *4398:63 0 +78 *4387:125 *4398:43 0 +79 *4387:125 *4398:55 0 +*RES +1 *41384:X *4398:18 35.4607 +2 *4398:18 *4398:25 49.8036 +3 *4398:25 *4398:43 37.2857 +4 *4398:43 *4398:55 41.5 +5 *4398:55 *4398:63 30.4732 +6 *4398:63 *4398:70 44.1339 +7 *4398:70 *4398:73 14.4821 +8 *4398:73 *5854:DIODE 29.3893 +9 *4398:73 *37938:B 18.8536 +*END + +*D_NET *4399 0.020438 +*CONN +*I *5850:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37936:B I *D sky130_fd_sc_hd__and3b_1 +*I *41385:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5850:DIODE 0 +2 *37936:B 0.000219202 +3 *41385:X 0.00182419 +4 *4399:52 0.00158098 +5 *4399:48 0.0024564 +6 *4399:40 0.00525808 +7 *4399:26 0.00571921 +8 *4399:13 0.00337994 +9 *37936:B *4420:54 0 +10 *4399:13 *8978:DIODE 0 +11 *4399:40 *39649:A 0 +12 *4399:52 *4420:54 0 +13 *5599:DIODE *4399:26 0 +14 *5942:DIODE *4399:26 0 +15 *6318:DIODE *4399:13 0 +16 *7402:DIODE *4399:40 0 +17 *40055:A *4399:48 0 +18 *40693:A *4399:13 0 +19 *40928:A *4399:13 0 +20 *1161:11 *4399:40 0 +21 *1165:11 *4399:40 0 +22 *1168:17 *4399:13 0 +23 *1342:8 *4399:40 0 +24 *1353:19 *4399:52 0 +25 *1364:16 *4399:26 0 +26 *1367:7 *37936:B 0 +27 *1649:8 *4399:40 0 +28 *1781:13 *4399:40 0 +29 *2572:12 *37936:B 0 +30 *2572:12 *4399:52 0 +31 *2797:57 *4399:40 0 +32 *2908:10 *4399:48 0 +33 *3563:39 *4399:48 0 +34 *3563:39 *4399:52 0 +35 *3563:44 *4399:52 0 +36 *3585:32 *4399:52 0 +37 *3589:25 *37936:B 0 +38 *3604:28 *4399:48 0 +39 *3609:17 *4399:40 0 +40 *3613:16 *4399:40 0 +41 *3628:26 *4399:48 0 +42 *3631:57 *4399:52 0 +43 *3631:61 *4399:52 0 +44 *3637:40 *4399:40 0 +45 *3644:72 *4399:52 0 +46 *3852:75 *4399:26 0 +47 *3869:20 *4399:40 0 +48 *3883:12 *4399:13 0 +49 *3883:25 *4399:13 0 +50 *3892:57 *4399:13 0 +*RES +1 *41385:X *4399:13 47.4964 +2 *4399:13 *4399:26 49.7404 +3 *4399:26 *4399:40 48.3317 +4 *4399:40 *4399:48 36.4286 +5 *4399:48 *4399:52 33.1607 +6 *4399:52 *37936:B 18.4964 +7 *4399:52 *5850:DIODE 13.8 +*END + +*D_NET *4400 0.0202867 +*CONN +*I *41385:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8978:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41386:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41385:A 0 +2 *8978:DIODE 0.000143745 +3 *41386:X 0.000821968 +4 *4400:55 0.00194861 +5 *4400:48 0.00309028 +6 *4400:29 0.00487007 +7 *4400:27 0.00370165 +8 *4400:17 0.00250271 +9 *4400:16 0.00320767 +10 *5367:DIODE *4400:48 0 +11 *5390:DIODE *4400:29 0 +12 *8358:DIODE *4400:29 0 +13 *8563:DIODE *4400:29 0 +14 *8974:DIODE *4400:17 0 +15 *37552:A *4400:55 0 +16 *37554:A *4400:29 0 +17 *37554:B *4400:29 0 +18 *37559:A *4400:48 0 +19 *37578:B *4400:29 0 +20 *38151:A *4400:29 0 +21 *38242:B *4400:48 0 +22 *40652:A *4400:17 0 +23 *40694:A *4400:17 0 +24 *1168:17 *8978:DIODE 0 +25 *1168:17 *4400:55 0 +26 *1176:20 *4400:29 0 +27 *1383:33 *4400:55 0 +28 *1499:12 *4400:48 0 +29 *1785:10 *4400:48 0 +30 *1790:8 *4400:48 0 +31 *1887:43 *4400:17 0 +32 *3556:14 *4400:29 0 +33 *3559:26 *4400:48 0 +34 *3561:61 *4400:29 0 +35 *3565:66 *4400:16 0 +36 *3565:102 *4400:17 0 +37 *3576:29 *4400:29 0 +38 *3580:143 *4400:17 0 +39 *3580:143 *4400:27 0 +40 *3580:143 *4400:29 0 +41 *3597:10 *4400:29 0 +42 *3610:10 *4400:29 0 +43 *3614:30 *4400:17 0 +44 *3618:20 *4400:29 0 +45 *3629:24 *4400:17 0 +46 *3629:77 *4400:29 0 +47 *3632:41 *4400:29 0 +48 *3632:49 *4400:29 0 +49 *3645:29 *4400:16 0 +50 *3839:35 *4400:16 0 +51 *3844:51 *4400:29 0 +52 *3845:46 *4400:17 0 +53 *3845:103 *4400:29 0 +54 *3845:121 *4400:55 0 +55 *3848:11 *4400:29 0 +56 *3848:40 *4400:55 0 +57 *3865:20 *4400:16 0 +58 *3866:32 *4400:29 0 +59 *3883:12 *8978:DIODE 0 +60 *3883:12 *4400:55 0 +61 *3884:40 *4400:17 0 +62 *3884:54 *4400:29 0 +63 *3884:71 *4400:29 0 +64 *3884:87 *4400:29 0 +65 *3884:108 *4400:29 0 +66 *3884:108 *4400:48 0 +67 *3892:56 *4400:55 0 +68 *4153:50 *4400:48 0 +69 *4381:21 *4400:55 0 +70 *4381:32 *4400:55 0 +71 *4383:15 *4400:17 0 +72 *4399:13 *8978:DIODE 0 +*RES +1 *41386:X *4400:16 39.2799 +2 *4400:16 *4400:17 49.8214 +3 *4400:17 *4400:27 2.875 +4 *4400:27 *4400:29 74.875 +5 *4400:29 *4400:48 45.3929 +6 *4400:48 *4400:55 47 +7 *4400:55 *8978:DIODE 12.3 +8 *4400:55 *41385:A 9.3 +*END + +*D_NET *4401 0.0200856 +*CONN +*I *5846:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37934:B I *D sky130_fd_sc_hd__and3b_1 +*I *41387:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5846:DIODE 0 +2 *37934:B 0.000275898 +3 *41387:X 0.00139614 +4 *4401:43 0.000970666 +5 *4401:42 0.00253584 +6 *4401:25 0.00587834 +7 *4401:23 0.00583494 +8 *4401:20 0.00319381 +9 *37934:B *4417:12 0 +10 *4401:23 *37942:C 0 +11 *4401:42 *4526:18 0 +12 *4401:42 *4671:46 0 +13 *7858:DIODE *4401:42 0 +14 *8816:DIODE *4401:42 0 +15 *40930:A *4401:23 0 +16 *41180:A *4401:20 0 +17 *1792:11 *4401:42 0 +18 *1795:14 *4401:20 0 +19 *1799:29 *4401:23 0 +20 *2792:11 *4401:42 0 +21 *3136:48 *4401:42 0 +22 *3241:51 *4401:23 0 +23 *3383:29 *4401:23 0 +24 *3383:29 *4401:25 0 +25 *3465:32 *4401:42 0 +26 *3859:37 *4401:23 0 +27 *3885:13 *4401:23 0 +28 *3885:15 *4401:23 0 +29 *3885:15 *4401:25 0 +30 *3895:93 *4401:20 0 +31 *3897:60 *4401:20 0 +32 *4123:32 *4401:20 0 +33 *4133:53 *4401:20 0 +34 *4156:52 *4401:20 0 +35 *4159:51 *4401:23 0 +36 *4159:63 *4401:23 0 +37 *4161:16 *4401:23 0 +38 *4163:9 *4401:42 0 +39 *4172:24 *4401:23 0 +40 *4172:35 *4401:25 0 +41 *4172:41 *4401:43 0 +42 *4180:27 *4401:20 0 +43 *4187:32 *4401:42 0 +44 *4188:17 *4401:20 0 +45 *4194:19 *37934:B 0 +46 *4196:32 *4401:23 0 +47 *4196:53 *4401:42 0 +48 *4199:13 *4401:42 0 +49 *4208:21 *4401:20 0 +50 *4395:83 *4401:20 0 +*RES +1 *41387:X *4401:20 44.3118 +2 *4401:20 *4401:23 37.5179 +3 *4401:23 *4401:25 84.3214 +4 *4401:25 *4401:42 42.5316 +5 *4401:42 *4401:43 14.5 +6 *4401:43 *37934:B 24.2643 +7 *4401:43 *5846:DIODE 9.3 +*END + +*D_NET *4402 0.0194385 +*CONN +*I *5569:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37734:B I *D sky130_fd_sc_hd__and2b_1 +*I *41388:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5569:DIODE 0 +2 *37734:B 0.000242094 +3 *41388:X 0.0012555 +4 *4402:37 0.0019334 +5 *4402:31 0.00392192 +6 *4402:27 0.00565783 +7 *4402:26 0.00429973 +8 *4402:17 0.00212802 +9 *4402:27 *39648:A 0 +10 *5791:DIODE *4402:17 0 +11 *37545:A *4402:27 0 +12 *37557:A *4402:27 0 +13 *39457:A *4402:27 0 +14 *39457:B *4402:27 0 +15 *329:17 *4402:26 0 +16 *354:17 *4402:37 0 +17 *1169:11 *4402:37 0 +18 *1383:33 *4402:17 0 +19 *1482:13 *37734:B 0 +20 *1482:15 *4402:27 0 +21 *1482:15 *4402:31 0 +22 *1483:10 *37734:B 0 +23 *1655:10 *4402:27 0 +24 *1662:16 *4402:27 0 +25 *1666:13 *4402:17 0 +26 *1789:16 *4402:31 0 +27 *1790:7 *4402:17 0 +28 *2764:9 *4402:27 0 +29 *2764:21 *4402:27 0 +30 *2908:23 *4402:27 0 +31 *2908:31 *4402:27 0 +32 *2911:17 *4402:27 0 +33 *2911:17 *4402:31 0 +34 *2911:17 *4402:37 0 +35 *2911:34 *4402:27 0 +36 *2952:11 *37734:B 0 +37 *2952:11 *4402:31 0 +38 *3051:37 *4402:27 0 +39 *3169:29 *4402:17 0 +40 *3169:53 *4402:27 0 +41 *3579:35 *4402:26 0 +42 *3848:19 *4402:17 0 +43 *4207:16 *37734:B 0 +*RES +1 *41388:X *4402:17 49.175 +2 *4402:17 *4402:26 32 +3 *4402:26 *4402:27 71.5893 +4 *4402:27 *4402:31 46.5536 +5 *4402:31 *4402:37 44.3571 +6 *4402:37 *37734:B 23.4607 +7 *4402:37 *5569:DIODE 9.3 +*END + +*D_NET *4403 0.0186192 +*CONN +*I *41388:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8980:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41389:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41388:A 0 +2 *8980:DIODE 0.000197915 +3 *41389:X 0.00160216 +4 *4403:64 0.00177868 +5 *4403:51 0.00351419 +6 *4403:43 0.00346799 +7 *4403:35 0.00267982 +8 *4403:31 0.00246078 +9 *4403:19 0.00291768 +10 *5395:DIODE *4403:35 0 +11 *5395:DIODE *4403:43 0 +12 *5400:DIODE *4403:31 0 +13 *5402:DIODE *4403:35 0 +14 *5405:DIODE *4403:31 0 +15 *5607:DIODE *4403:31 0 +16 *7433:DIODE *4403:19 0 +17 *7434:DIODE *4403:31 0 +18 *37548:A *4403:64 0 +19 *37581:A *4403:51 0 +20 *37592:A *4403:31 0 +21 *39471:A *4403:19 0 +22 *39471:B *4403:19 0 +23 *39916:A *4403:35 0 +24 *40016:A *4403:19 0 +25 *40886:A *4403:31 0 +26 *40904:A *4403:19 0 +27 *1171:17 *4403:19 0 +28 *1171:34 *4403:31 0 +29 *1189:27 *4403:35 0 +30 *1189:37 *4403:43 0 +31 *1189:41 *4403:51 0 +32 *1195:28 *4403:31 0 +33 *1396:43 *4403:19 0 +34 *1803:7 *4403:19 0 +35 *1905:74 *4403:31 0 +36 *1952:59 *4403:31 0 +37 *2162:58 *4403:19 0 +38 *2164:50 *4403:19 0 +39 *2172:65 *4403:19 0 +40 *2172:68 *4403:19 0 +41 *2206:48 *4403:19 0 +42 *2769:12 *4403:64 0 +43 *2887:20 *8980:DIODE 0 +44 *2887:20 *4403:64 0 +45 *3450:49 *4403:31 0 +46 *3580:81 *4403:19 0 +47 *3580:122 *4403:31 0 +48 *3580:122 *4403:35 0 +49 *3633:61 *4403:19 0 +50 *3639:34 *4403:31 0 +51 *3639:34 *4403:35 0 +52 *3639:52 *4403:35 0 +53 *3639:67 *4403:51 0 +54 *3845:23 *4403:31 0 +55 *3848:19 *4403:51 0 +56 *3856:76 *4403:35 0 +57 *3856:94 *4403:43 0 +58 *3869:13 *4403:64 0 +59 *3892:23 *4403:43 0 +60 *3892:33 *4403:43 0 +61 *4381:11 *4403:43 0 +62 *4385:38 *4403:35 0 +63 *4385:45 *4403:43 0 +64 *4385:45 *4403:51 0 +65 *4385:47 *4403:51 0 +66 *4390:20 *4403:64 0 +*RES +1 *41389:X *4403:19 43.1393 +2 *4403:19 *4403:31 27.7321 +3 *4403:31 *4403:35 23.9643 +4 *4403:35 *4403:43 32.2143 +5 *4403:43 *4403:51 49.4107 +6 *4403:51 *4403:64 19.9129 +7 *4403:64 *8980:DIODE 18.2107 +8 *4403:64 *41388:A 13.8 +*END + +*D_NET *4404 0.0200208 +*CONN +*I *6180:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *8257:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40485:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38126:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *38619:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6180:DIODE 2.26741e-05 +2 *8257:DIODE 0.000241629 +3 *40485:A 2.37436e-05 +4 *38126:A_N 5.12113e-05 +5 *38619:X 0.0018148 +6 *4404:130 0.000783454 +7 *4404:129 0.00173826 +8 *4404:113 0.00399807 +9 *4404:91 0.00417079 +10 *4404:67 0.00290188 +11 *4404:44 0.00196995 +12 *4404:25 0.00230431 +13 *4404:25 *5175:74 0 +14 *4404:25 *5179:115 0 +15 *4404:67 *5189:34 0 +16 *4404:91 *4427:93 0 +17 *4404:91 *5084:82 0 +18 *6140:DIODE *4404:67 0 +19 *7575:DIODE *8257:DIODE 0 +20 *8135:DIODE *4404:25 0 +21 *38108:B *4404:91 0 +22 *39579:A *4404:130 0 +23 *39962:A *4404:113 0 +24 *41064:A *4404:129 0 +25 *541:59 *4404:25 0 +26 *1006:38 *4404:67 0 +27 *1008:109 *4404:67 0 +28 *1010:169 *4404:25 0 +29 *1457:42 *4404:113 0 +30 *1580:16 *4404:130 0 +31 *1840:20 *4404:113 0 +32 *1879:21 *4404:25 0 +33 *2804:10 *4404:113 0 +34 *3015:79 *38126:A_N 0 +35 *3072:23 *4404:25 0 +36 *3209:17 *4404:25 0 +37 *3288:24 *4404:113 0 +38 *3348:121 *4404:91 0 +39 *3398:40 *4404:113 0 +40 *3406:49 *4404:67 0 +41 *3406:51 *4404:67 0 +42 *3406:51 *4404:91 0 +43 *3412:119 *4404:129 0 +44 *3412:136 *4404:129 0 +45 *3416:88 *4404:113 0 +46 *3423:37 *4404:44 0 +47 *3478:48 *4404:91 0 +48 *3767:39 *4404:129 0 +49 *3936:50 *4404:113 0 +50 *3958:19 *4404:44 0 +51 *3958:19 *4404:67 0 +52 *3958:25 *4404:91 0 +53 *3975:87 *4404:113 0 +54 *3975:87 *4404:129 0 +55 *3975:106 *8257:DIODE 0 +56 *3975:106 *40485:A 0 +57 *3975:106 *4404:130 0 +58 *3976:60 *4404:67 0 +59 *4014:66 *4404:44 0 +60 *4044:25 *4404:25 0 +61 *4044:49 *4404:129 0 +62 *4219:76 *4404:129 0 +63 *4219:85 *4404:129 0 +64 *4232:40 *4404:25 0 +65 *4232:40 *4404:44 0 +66 *4240:21 *4404:67 0 +67 *4240:21 *4404:91 0 +68 *4270:66 *4404:67 0 +*RES +1 *38619:X *4404:25 37.757 +2 *4404:25 *38126:A_N 14.9161 +3 *4404:25 *4404:44 18.2018 +4 *4404:44 *4404:67 48.8886 +5 *4404:67 *4404:91 48.5564 +6 *4404:91 *4404:113 49.5448 +7 *4404:113 *4404:129 39.375 +8 *4404:129 *4404:130 10.8125 +9 *4404:130 *40485:A 9.8625 +10 *4404:130 *8257:DIODE 23.8089 +11 *4404:44 *6180:DIODE 9.83571 +*END + +*D_NET *4405 0.0177115 +*CONN +*I *39381:A I *D sky130_fd_sc_hd__buf_12 +*I *7342:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41390:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *39381:A 0.000141606 +2 *7342:DIODE 0 +3 *41390:X 0.00193842 +4 *4405:37 0.00152515 +5 *4405:35 0.00354272 +6 *4405:23 0.00424369 +7 *4405:19 0.00323298 +8 *4405:9 0.00308688 +9 *7355:DIODE *4405:9 0 +10 *37677:A *4405:37 0 +11 *37710:B *4405:37 0 +12 *38056:C *4405:35 0 +13 *38117:A *4405:23 0 +14 *38135:A *4405:35 0 +15 *38381:A *4405:23 0 +16 *39397:A *4405:9 0 +17 *39636:A *4405:9 0 +18 *1466:57 *4405:35 0 +19 *1589:25 *4405:23 0 +20 *2376:15 *4405:35 0 +21 *2441:10 *4405:9 0 +22 *2803:67 *4405:23 0 +23 *2811:69 *4405:9 0 +24 *2811:69 *4405:19 0 +25 *2830:56 *4405:9 0 +26 *2830:66 *4405:9 0 +27 *2830:66 *4405:19 0 +28 *2830:66 *4405:23 0 +29 *2973:37 *4405:19 0 +30 *2973:37 *4405:23 0 +31 *2973:37 *4405:35 0 +32 *2973:37 *4405:37 0 +33 *3009:52 *4405:9 0 +34 *3286:132 *4405:19 0 +35 *3476:59 *4405:37 0 +36 *3480:28 *4405:9 0 +37 *3495:37 *4405:23 0 +38 *3495:37 *4405:35 0 +*RES +1 *41390:X *4405:9 49.8179 +2 *4405:9 *4405:19 24.125 +3 *4405:19 *4405:23 43.4732 +4 *4405:23 *4405:35 45.4018 +5 *4405:35 *4405:37 28.875 +6 *4405:37 *7342:DIODE 9.3 +7 *4405:37 *39381:A 12.3179 +*END + +*D_NET *4406 0.0196054 +*CONN +*I *41390:A I *D sky130_fd_sc_hd__buf_6 +*I *8981:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41391:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *41390:A 0.000143745 +2 *8981:DIODE 0 +3 *41391:X 0.00165535 +4 *4406:28 0.00814737 +5 *4406:22 0.00965897 +6 *4406:28 *4600:57 0 +7 *4406:28 *4600:69 0 +8 *1005:91 *4406:28 0 +9 *1456:22 *4406:28 0 +10 *2375:27 *4406:28 0 +11 *2376:24 *4406:28 0 +12 *2422:26 *4406:28 0 +13 *2422:48 *4406:22 0 +14 *2802:21 *4406:28 0 +15 *2811:20 *4406:28 0 +16 *2811:35 *4406:28 0 +17 *2973:23 *4406:28 0 +18 *3009:52 *41390:A 0 +19 *3045:21 *4406:28 0 +20 *3045:47 *4406:28 0 +21 *3102:52 *4406:28 0 +22 *3480:28 *41390:A 0 +23 *3512:50 *4406:28 0 +24 *3700:65 *4406:28 0 +25 *3700:82 *4406:28 0 +26 *3724:29 *4406:28 0 +27 *3724:53 *4406:28 0 +28 *3725:80 *4406:22 0 +29 *3764:34 *4406:28 0 +30 *3764:62 *4406:28 0 +31 *3776:50 *4406:28 0 +32 *3776:71 *4406:28 0 +33 *3779:82 *4406:28 0 +34 *4010:139 *4406:28 0 +35 *4057:24 *4406:22 0 +36 *4247:51 *4406:22 0 +37 *4318:38 *4406:28 0 +38 *4343:15 *4406:22 0 +*RES +1 *41391:X *4406:22 39.1593 +2 *4406:22 *4406:28 38.6657 +3 *4406:28 *8981:DIODE 9.3 +4 *4406:28 *41390:A 12.3 +*END + +*D_NET *4407 0.0196679 +*CONN +*I *41391:A I *D sky130_fd_sc_hd__buf_4 +*I *8982:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41392:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *41391:A 0.000121071 +2 *8982:DIODE 0 +3 *41392:X 0.000153921 +4 *4407:39 0.000724892 +5 *4407:30 0.00331458 +6 *4407:13 0.00895512 +7 *4407:12 0.00639828 +8 *2366:112 *4407:39 0 +9 *2821:23 *4407:30 0 +10 *3025:68 *4407:30 0 +11 *3107:83 *41391:A 0 +12 *3107:83 *4407:39 0 +13 *3163:40 *4407:30 0 +14 *3932:11 *4407:12 0 +15 *3932:11 *4407:30 0 +16 *3964:27 *4407:13 0 +17 *4005:39 *4407:30 0 +18 *4014:13 *4407:12 0 +19 *4026:30 *4407:30 0 +20 *4066:31 *4407:13 0 +21 *4106:47 *4407:30 0 +22 *4215:74 *4407:30 0 +23 *4215:82 *4407:30 0 +24 *4254:19 *4407:30 0 +25 *4256:77 *4407:30 0 +26 *4319:41 *41391:A 0 +27 *4319:41 *4407:39 0 +*RES +1 *41392:X *4407:12 21.6393 +2 *4407:12 *4407:13 130.321 +3 *4407:13 *4407:30 48.5099 +4 *4407:30 *4407:39 26.3571 +5 *4407:39 *8982:DIODE 9.3 +6 *4407:39 *41391:A 11.8893 +*END + +*D_NET *4408 0.0233036 +*CONN +*I *41392:A I *D sky130_fd_sc_hd__buf_6 +*I *8983:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41393:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *41392:A 0.000216732 +2 *8983:DIODE 0.00100599 +3 *41393:X 0.00148134 +4 *4408:124 0.00203254 +5 *4408:108 0.00349842 +6 *4408:78 0.00437308 +7 *4408:59 0.00335894 +8 *4408:56 0.00248809 +9 *4408:39 0.00209036 +10 *4408:15 0.00275806 +11 *4408:108 *8192:DIODE 0 +12 *4408:108 *4516:83 0 +13 *4408:108 *4516:85 0 +14 *4408:108 *4520:107 0 +15 *8447:DIODE *4408:108 0 +16 *8450:DIODE *4408:124 0 +17 *8718:DIODE *4408:15 0 +18 *8739:DIODE *4408:78 0 +19 *8767:DIODE *4408:39 0 +20 *8856:DIODE *4408:108 0 +21 *8899:DIODE *4408:15 0 +22 *8899:DIODE *4408:39 0 +23 *37624:A *4408:124 0 +24 *37654:B *4408:39 0 +25 *37666:B *4408:108 0 +26 *37668:A *4408:78 0 +27 *37668:B *4408:78 0 +28 *38284:A *4408:124 0 +29 *38284:B *4408:124 0 +30 *38320:B *4408:15 0 +31 *40320:A *4408:15 0 +32 *40985:A *4408:108 0 +33 *41089:A *4408:78 0 +34 *41114:A *4408:39 0 +35 *41242:A *8983:DIODE 0 +36 *41266:A *4408:39 0 +37 *41268:A *4408:15 0 +38 *1228:19 *4408:78 0 +39 *1444:32 *4408:108 0 +40 *2106:32 *4408:15 0 +41 *2413:98 *4408:108 0 +42 *2835:21 *4408:78 0 +43 *3029:46 *4408:108 0 +44 *3167:59 *8983:DIODE 0 +45 *3279:51 *4408:108 0 +46 *3285:29 *8983:DIODE 0 +47 *3317:81 *4408:78 0 +48 *3318:66 *4408:108 0 +49 *3322:56 *4408:15 0 +50 *3322:69 *4408:15 0 +51 *3526:40 *4408:15 0 +52 *3530:79 *4408:39 0 +53 *3546:115 *4408:124 0 +54 *3726:51 *4408:108 0 +55 *3811:33 *4408:124 0 +56 *3815:109 *4408:124 0 +57 *3932:11 *41392:A 0 +58 *3939:140 *4408:108 0 +59 *3977:21 *4408:15 0 +60 *3977:74 *4408:78 0 +61 *3980:41 *4408:15 0 +62 *3980:80 *4408:59 0 +63 *3980:89 *4408:78 0 +64 *4001:28 *4408:39 0 +65 *4001:28 *4408:56 0 +66 *4020:39 *4408:15 0 +67 *4020:64 *4408:39 0 +68 *4020:113 *4408:78 0 +69 *4039:131 *4408:78 0 +70 *4041:54 *4408:108 0 +71 *4050:13 *4408:15 0 +72 *4052:27 *4408:108 0 +73 *4054:10 *41392:A 0 +74 *4075:38 *4408:15 0 +75 *4078:7 *4408:78 0 +76 *4081:24 *4408:108 0 +77 *4083:124 *4408:59 0 +78 *4083:124 *4408:78 0 +79 *4107:23 *4408:15 0 +80 *4107:43 *4408:56 0 +81 *4107:63 *4408:108 0 +82 *4114:28 *4408:39 0 +83 *4117:51 *4408:108 0 +84 *4120:40 *4408:15 0 +85 *4267:62 *4408:108 0 +86 *4269:22 *4408:15 0 +87 *4272:38 *4408:108 0 +88 *4278:23 *8983:DIODE 0 +89 *4291:24 *4408:15 0 +90 *4292:29 *4408:15 0 +91 *4358:135 *4408:108 0 +92 *4374:68 *4408:108 0 +93 *4374:68 *4408:124 0 +*RES +1 *41393:X *4408:15 49.3 +2 *4408:15 *4408:39 45.1607 +3 *4408:39 *4408:56 35.8929 +4 *4408:56 *4408:59 34.9464 +5 *4408:59 *4408:78 49.2321 +6 *4408:78 *4408:108 46.1647 +7 *4408:108 *4408:124 35.6518 +8 *4408:124 *8983:DIODE 36.9875 +9 *4408:124 *41392:A 18.7196 +*END + +*D_NET *4409 0.0222629 +*CONN +*I *8984:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41393:A I *D sky130_fd_sc_hd__buf_6 +*I *41394:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8984:DIODE 0.00089486 +2 *41393:A 0.000124712 +3 *41394:X 0.000473175 +4 *4409:15 0.00158007 +5 *4409:9 0.00963869 +6 *4409:8 0.00955137 +7 *4409:8 *8985:DIODE 0 +8 *8778:DIODE *8984:DIODE 0 +9 *8854:DIODE *8984:DIODE 0 +10 *38320:B *8984:DIODE 0 +11 *41268:A *8984:DIODE 0 +12 *1957:20 *4409:8 0 +13 *2027:16 *4409:8 0 +14 *2047:10 *4409:9 0 +15 *2053:60 *4409:15 0 +16 *2077:68 *4409:15 0 +17 *2112:10 *4409:9 0 +18 *2112:30 *4409:9 0 +19 *3961:30 *4409:15 0 +20 *3989:21 *4409:9 0 +21 *4001:20 *8984:DIODE 0 +22 *4020:39 *41393:A 0 +23 *4053:38 *4409:9 0 +24 *4075:21 *4409:9 0 +25 *4075:38 *41393:A 0 +26 *4105:45 *41393:A 0 +27 *4120:40 *8984:DIODE 0 +28 *4134:32 *8984:DIODE 0 +29 *4292:29 *8984:DIODE 0 +30 *4292:29 *41393:A 0 +31 *4292:29 *4409:15 0 +32 *4294:17 *8984:DIODE 0 +33 *4308:15 *4409:9 0 +34 *4308:40 *8984:DIODE 0 +*RES +1 *41394:X *4409:8 29.05 +2 *4409:8 *4409:9 189.464 +3 *4409:9 *4409:15 21.1786 +4 *4409:15 *41393:A 21.0857 +5 *4409:15 *8984:DIODE 37.7821 +*END + +*D_NET *4410 0.0219163 +*CONN +*I *8985:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41394:A I *D sky130_fd_sc_hd__buf_4 +*I *41395:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8985:DIODE 0.000459756 +2 *41394:A 0.000165957 +3 *41395:X 0.00177714 +4 *4410:32 0.000868888 +5 *4410:31 0.00173289 +6 *4410:23 0.00669106 +7 *4410:22 0.00564108 +8 *4410:19 0.00162104 +9 *4410:5 0.00295845 +10 *4410:5 *4448:16 0 +11 *37800:A *41394:A 0 +12 *37800:A *4410:32 0 +13 *1395:32 *4410:22 0 +14 *1899:41 *4410:5 0 +15 *2005:14 *4410:23 0 +16 *2005:21 *4410:23 0 +17 *2006:25 *4410:31 0 +18 *2009:16 *4410:19 0 +19 *2016:14 *4410:23 0 +20 *2024:51 *4410:23 0 +21 *2026:8 *4410:31 0 +22 *2027:16 *41394:A 0 +23 *2027:16 *4410:32 0 +24 *2043:27 *4410:19 0 +25 *2055:10 *4410:31 0 +26 *2067:29 *4410:19 0 +27 *2083:17 *4410:31 0 +28 *2107:19 *4410:31 0 +29 *2114:10 *8985:DIODE 0 +30 *2132:28 *8985:DIODE 0 +31 *2142:25 *4410:19 0 +32 *3131:10 *4410:22 0 +33 *3152:9 *4410:23 0 +34 *3242:27 *4410:23 0 +35 *3373:66 *8985:DIODE 0 +36 *3919:30 *4410:19 0 +37 *4068:9 *4410:5 0 +38 *4122:31 *8985:DIODE 0 +39 *4144:12 *4410:19 0 +40 *4151:7 *4410:19 0 +41 *4165:20 *4410:19 0 +42 *4173:12 *4410:5 0 +43 *4176:15 *4410:31 0 +44 *4239:10 *8985:DIODE 0 +45 *4409:8 *8985:DIODE 0 +*RES +1 *41395:X *4410:5 46.3893 +2 *4410:5 *4410:19 43.2197 +3 *4410:19 *4410:22 14.4911 +4 *4410:22 *4410:23 108.554 +5 *4410:23 *4410:31 44.8214 +6 *4410:31 *4410:32 5.58929 +7 *4410:32 *41394:A 17.5679 +8 *4410:32 *8985:DIODE 32.675 +*END + +*D_NET *4411 0.0191479 +*CONN +*I *8256:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40484:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6183:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38128:A_N I *D sky130_fd_sc_hd__and3b_2 +*I *38620:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8256:DIODE 0.000278506 +2 *40484:A 0 +3 *6183:DIODE 0 +4 *38128:A_N 0 +5 *38620:X 0.0014169 +6 *4411:145 0.00128155 +7 *4411:132 0.00111776 +8 *4411:121 0.00103018 +9 *4411:102 0.00250847 +10 *4411:89 0.00287343 +11 *4411:65 0.00298692 +12 *4411:42 0.00297188 +13 *4411:21 0.0026823 +14 *4411:21 *5102:19 0 +15 *4411:65 *40236:A 0 +16 *4411:65 *4427:93 0 +17 *4411:65 *4596:48 0 +18 *4411:65 *5084:43 0 +19 *4411:89 *4427:113 0 +20 *4411:89 *4572:47 0 +21 *4411:102 *37748:B 0 +22 *4411:102 *4427:131 0 +23 *4411:102 *4600:57 0 +24 *4411:121 *4427:131 0 +25 *4411:121 *4427:139 0 +26 *8659:DIODE *4411:42 0 +27 *38104:C *4411:89 0 +28 *39547:A *4411:21 0 +29 *39570:A *4411:145 0 +30 *40477:A *4411:65 0 +31 *1006:38 *4411:21 0 +32 *1450:25 *4411:65 0 +33 *1451:24 *4411:89 0 +34 *1462:15 *4411:42 0 +35 *1840:52 *4411:65 0 +36 *1850:43 *4411:145 0 +37 *1860:28 *4411:21 0 +38 *2982:51 *4411:21 0 +39 *3089:58 *4411:121 0 +40 *3101:55 *4411:42 0 +41 *3107:73 *4411:65 0 +42 *3281:33 *4411:42 0 +43 *3389:17 *4411:121 0 +44 *3410:141 *4411:121 0 +45 *3412:53 *4411:42 0 +46 *3412:71 *4411:42 0 +47 *3412:71 *4411:65 0 +48 *3412:95 *4411:89 0 +49 *3416:88 *4411:102 0 +50 *3478:68 *4411:102 0 +51 *3478:68 *4411:121 0 +52 *3478:75 *4411:121 0 +53 *3491:13 *4411:121 0 +54 *3767:39 *8256:DIODE 0 +55 *3767:39 *4411:145 0 +56 *3767:51 *8256:DIODE 0 +57 *3793:95 *4411:42 0 +58 *3954:86 *4411:102 0 +59 *3954:99 *4411:145 0 +60 *3975:77 *4411:89 0 +61 *3975:87 *4411:89 0 +62 *3975:87 *4411:102 0 +63 *3975:95 *4411:121 0 +64 *3981:59 *4411:121 0 +65 *4219:58 *4411:89 0 +66 *4219:76 *4411:102 0 +67 *4219:85 *8256:DIODE 0 +68 *4219:85 *4411:145 0 +69 *4232:40 *4411:65 0 +*RES +1 *38620:X *4411:21 48.2447 +2 *4411:21 *4411:42 48.3386 +3 *4411:42 *4411:65 48.462 +4 *4411:65 *4411:89 45.2857 +5 *4411:89 *4411:102 47.4821 +6 *4411:102 *4411:121 42.1964 +7 *4411:121 *38128:A_N 9.3 +8 *4411:121 *4411:132 11.5893 +9 *4411:132 *6183:DIODE 9.3 +10 *4411:132 *4411:145 30.0179 +11 *4411:145 *40484:A 9.3 +12 *4411:145 *8256:DIODE 15.175 +*END + +*D_NET *4412 0.00272229 +*CONN +*I *40483:A I *D sky130_fd_sc_hd__buf_2 +*I *38621:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40483:A 0 +2 *38621:X 0.00136114 +3 *4412:17 0.00136114 +4 *4412:17 *40481:A 0 +5 *4412:17 *4460:27 0 +6 *4412:17 *4561:27 0 +7 *4412:17 *5100:31 0 +8 *40549:A *4412:17 0 +9 *1240:24 *4412:17 0 +10 *1862:46 *4412:17 0 +11 *3027:20 *4412:17 0 +12 *4393:28 *4412:17 0 +*RES +1 *38621:X *4412:17 46.8536 +2 *4412:17 *40483:A 9.3 +*END + +*D_NET *4413 0.011206 +*CONN +*I *40482:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8255:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38622:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40482:A 0.000265289 +2 *8255:DIODE 8.4707e-05 +3 *38622:X 0.00113496 +4 *4413:36 0.00046245 +5 *4413:33 0.00411802 +6 *4413:18 0.00514053 +7 *40482:A *4449:51 0 +8 *40482:A *5171:27 0 +9 *4413:18 *4524:13 0 +10 *4413:18 *4550:35 0 +11 *4413:33 *4563:58 0 +12 *4413:33 *4590:14 0 +13 *4413:33 *5163:22 0 +14 *1248:51 *4413:33 0 +15 *2983:26 *4413:33 0 +16 *3004:24 *4413:18 0 +17 *3254:33 *4413:33 0 +18 *3486:5 *8255:DIODE 0 +19 *3486:5 *40482:A 0 +20 *3695:18 *4413:33 0 +*RES +1 *38622:X *4413:18 47.1304 +2 *4413:18 *4413:33 47.5982 +3 *4413:33 *4413:36 7.05357 +4 *4413:36 *8255:DIODE 15.5679 +5 *4413:36 *40482:A 19.4786 +*END + +*D_NET *4414 0.00171363 +*CONN +*I *40481:A I *D sky130_fd_sc_hd__clkbuf_4 +*I *38623:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40481:A 0.000856815 +2 *38623:X 0.000856815 +3 *40481:A *5100:31 0 +4 *40481:A *5102:19 0 +5 *40481:A *5191:27 0 +6 *3030:21 *40481:A 0 +7 *3142:40 *40481:A 0 +8 *4412:17 *40481:A 0 +*RES +1 *38623:X *40481:A 45.7071 +*END + +*D_NET *4415 0.00418147 +*CONN +*I *38126:C I *D sky130_fd_sc_hd__and3b_1 +*I *38624:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *38126:C 0 +2 *38624:X 0.0010194 +3 *4415:46 0.00107133 +4 *4415:32 0.00209073 +5 *4415:32 *4591:34 0 +6 *4415:32 *5172:65 0 +7 *6754:DIODE *4415:46 0 +8 *7517:DIODE *4415:32 0 +9 *40317:A *4415:32 0 +10 *1008:109 *4415:46 0 +11 *1840:72 *4415:46 0 +12 *2982:29 *4415:32 0 +13 *2982:51 *4415:46 0 +14 *3184:56 *4415:46 0 +15 *3184:57 *4415:46 0 +16 *3194:63 *4415:32 0 +17 *3199:81 *4415:46 0 +18 *3235:42 *4415:32 0 +19 *3348:95 *4415:46 0 +20 *3417:80 *4415:46 0 +*RES +1 *38624:X *4415:32 46.3357 +2 *4415:32 *4415:46 45.5714 +3 *4415:46 *38126:C 9.3 +*END + +*D_NET *4416 0.00476219 +*CONN +*I *40480:A I *D sky130_fd_sc_hd__buf_2 +*I *38625:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40480:A 0.0006089 +2 *38625:X 0.000771142 +3 *4416:32 0.00160995 +4 *4416:20 0.00177219 +5 *40480:A *40443:A 0 +6 *40480:A *4592:50 0 +7 *40480:A *4595:33 0 +8 *4416:20 *9003:DIODE 0 +9 *4416:20 *4761:86 0 +10 *4416:32 *4784:45 0 +11 *4416:32 *5102:39 0 +12 *6943:DIODE *4416:32 0 +13 *37448:A *40480:A 0 +14 *913:12 *4416:32 0 +15 *1097:8 *4416:32 0 +16 *1107:8 *4416:20 0 +17 *1728:42 *4416:32 0 +18 *1828:23 *4416:32 0 +19 *1862:69 *4416:20 0 +20 *1862:91 *40480:A 0 +21 *2713:169 *4416:20 0 +22 *3030:21 *4416:20 0 +23 *3033:16 *4416:32 0 +24 *3142:40 *4416:20 0 +25 *3392:18 *4416:20 0 +*RES +1 *38625:X *4416:20 44.7107 +2 *4416:20 *4416:32 39.625 +3 *4416:32 *40480:A 31.0679 +*END + +*D_NET *4417 0.0103059 +*CONN +*I *37906:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *5796:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38162:A I *D sky130_fd_sc_hd__and2_1 +*I *6238:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38626:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *37906:A_N 0.000531746 +2 *5796:DIODE 0.000104386 +3 *38162:A 0.000104386 +4 *6238:DIODE 0 +5 *38626:X 0.00144256 +6 *4417:34 0.00162972 +7 *4417:19 0.00112747 +8 *4417:12 0.00197629 +9 *4417:10 0.00338936 +10 *37906:A_N *4431:50 0 +11 *37906:A_N *4436:23 0 +12 *4417:10 *39041:A 0 +13 *4417:10 *39053:A 0 +14 *4417:10 *4593:7 0 +15 *4417:12 *5847:DIODE 0 +16 *4417:12 *37934:C 0 +17 *4417:12 *4649:38 0 +18 *4417:12 *4810:12 0 +19 *4417:12 *5161:30 0 +20 *4417:34 *4431:50 0 +21 *7404:DIODE *4417:12 0 +22 *37916:B *37906:A_N 0 +23 *37934:B *4417:12 0 +24 *38139:A *4417:12 0 +25 *309:19 *4417:12 0 +26 *309:19 *4417:19 0 +27 *309:19 *4417:34 0 +28 *422:7 *4417:10 0 +29 *1168:49 *5796:DIODE 0 +30 *1366:8 *4417:12 0 +31 *1368:8 *4417:34 0 +32 *1468:24 *4417:12 0 +33 *1782:14 *4417:12 0 +34 *1784:12 *4417:34 0 +35 *3082:10 *4417:12 0 +36 *3149:24 *4417:12 0 +37 *3378:51 *4417:34 0 +38 *3381:19 *4417:10 0 +39 *3381:19 *4417:12 0 +40 *3383:48 *4417:12 0 +41 *3383:48 *4417:19 0 +42 *3383:48 *4417:34 0 +43 *3563:19 *37906:A_N 0 +44 *3563:30 *5796:DIODE 0 +45 *3563:30 *38162:A 0 +46 *3589:24 *4417:34 0 +47 *3593:31 *38162:A 0 +48 *3604:16 *38162:A 0 +49 *3628:17 *37906:A_N 0 +50 *3864:14 *37906:A_N 0 +51 *3864:14 *4417:34 0 +*RES +1 *38626:X *4417:10 44.425 +2 *4417:10 *4417:12 44.1161 +3 *4417:12 *6238:DIODE 13.8 +4 *4417:12 *4417:19 0.732143 +5 *4417:19 *38162:A 15.9786 +6 *4417:19 *4417:34 12.1814 +7 *4417:34 *5796:DIODE 15.9786 +8 *4417:34 *37906:A_N 24.0828 +*END + +*D_NET *4418 0.0149483 +*CONN +*I *6241:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *5799:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37908:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *38164:A I *D sky130_fd_sc_hd__and2_1 +*I *38627:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *6241:DIODE 0 +2 *5799:DIODE 0.000101392 +3 *37908:A_N 0.00031728 +4 *38164:A 7.23409e-05 +5 *38627:X 0.00123854 +6 *4418:39 0.00063536 +7 *4418:29 0.000413095 +8 *4418:26 0.00113239 +9 *4418:21 0.00465201 +10 *4418:19 0.00439551 +11 *4418:11 0.00199037 +12 *5799:DIODE *4419:51 0 +13 *37908:A_N *37908:C 0 +14 *37908:A_N *4419:51 0 +15 *37908:A_N *4425:44 0 +16 *38164:A *4795:46 0 +17 *4418:11 *39041:A 0 +18 *4418:11 *4429:33 0 +19 *4418:11 *4504:21 0 +20 *4418:11 *4787:29 0 +21 *4418:11 *4789:32 0 +22 *4418:21 *4815:31 0 +23 *4418:26 *4451:11 0 +24 *4418:26 *4511:26 0 +25 *4418:26 *4511:52 0 +26 *4418:26 *4937:8 0 +27 *4418:39 *4493:38 0 +28 *6621:DIODE *4418:11 0 +29 *38164:B *38164:A 0 +30 *39415:A *4418:19 0 +31 *282:64 *4418:11 0 +32 *282:64 *4418:19 0 +33 *548:8 *4418:11 0 +34 *1747:5 *4418:19 0 +35 *1771:10 *4418:26 0 +36 *2356:9 *4418:11 0 +37 *2356:9 *4418:19 0 +38 *2356:11 *4418:11 0 +39 *2904:11 *4418:21 0 +40 *3082:30 *38164:A 0 +41 *3082:30 *4418:39 0 +42 *3381:64 *37908:A_N 0 +43 *3390:62 *37908:A_N 0 +44 *4163:35 *4418:29 0 +45 *4249:57 *37908:A_N 0 +*RES +1 *38627:X *4418:11 45.5321 +2 *4418:11 *4418:19 24.75 +3 *4418:19 *4418:21 76.1071 +4 *4418:21 *4418:26 31.8929 +5 *4418:26 *4418:29 7.08929 +6 *4418:29 *38164:A 15.4429 +7 *4418:29 *4418:39 9.48214 +8 *4418:39 *37908:A_N 25.0679 +9 *4418:39 *5799:DIODE 11.4786 +10 *4418:26 *6241:DIODE 9.3 +*END + +*D_NET *4419 0.0190619 +*CONN +*I *6244:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38166:A I *D sky130_fd_sc_hd__and2_1 +*I *5803:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37910:A_N I *D sky130_fd_sc_hd__and3b_2 +*I *38628:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6244:DIODE 0 +2 *38166:A 0 +3 *5803:DIODE 0 +4 *37910:A_N 0.000321565 +5 *38628:X 0.00105174 +6 *4419:62 0.00191169 +7 *4419:51 0.00396662 +8 *4419:49 0.00389866 +9 *4419:46 0.00174107 +10 *4419:35 0.00174838 +11 *4419:30 0.00244996 +12 *4419:20 0.00197224 +13 *4419:20 *4420:17 0 +14 *4419:20 *4429:33 0 +15 *4419:20 *4515:10 0 +16 *4419:20 *4637:42 0 +17 *4419:20 *4693:37 0 +18 *4419:20 *4775:30 0 +19 *4419:20 *4891:49 0 +20 *4419:30 *4493:15 0 +21 *4419:30 *4787:25 0 +22 *4419:35 *38176:A 0 +23 *4419:35 *39561:A 0 +24 *4419:35 *4797:24 0 +25 *4419:51 *37882:C 0 +26 *4419:51 *37892:A_N 0 +27 *4419:51 *4475:45 0 +28 *4419:51 *4537:23 0 +29 *4419:51 *5059:11 0 +30 *5799:DIODE *4419:51 0 +31 *6764:DIODE *4419:20 0 +32 *37332:A *4419:30 0 +33 *37480:A *4419:20 0 +34 *37481:A *4419:20 0 +35 *37481:A *4419:30 0 +36 *37526:B *4419:49 0 +37 *37882:A_N *4419:51 0 +38 *37884:B *4419:35 0 +39 *37908:A_N *4419:51 0 +40 *37918:A_N *4419:62 0 +41 *38172:B *4419:51 0 +42 *38486:A *4419:20 0 +43 *39415:B *4419:35 0 +44 *39933:A *4419:51 0 +45 *40059:A *4419:62 0 +46 *304:23 *4419:35 0 +47 *304:23 *4419:46 0 +48 *1345:11 *4419:51 0 +49 *1349:14 *37910:A_N 0 +50 *1354:11 *37910:A_N 0 +51 *1486:10 *4419:62 0 +52 *1680:8 *4419:30 0 +53 *1819:11 *4419:20 0 +54 *2358:16 *4419:35 0 +55 *2791:14 *4419:62 0 +56 *3381:64 *4419:51 0 +57 *3467:21 *4419:30 0 +58 *3467:25 *4419:49 0 +59 *3593:40 *4419:46 0 +60 *3593:54 *4419:62 0 +61 *3609:34 *4419:62 0 +62 *3617:31 *37910:A_N 0 +63 *4126:14 *4419:35 0 +64 *4184:83 *4419:49 0 +65 *4184:83 *4419:51 0 +66 *4190:41 *4419:49 0 +67 *4190:41 *4419:51 0 +68 *4190:56 *4419:51 0 +69 *4201:21 *4419:35 0 +70 *4201:21 *4419:46 0 +71 *4210:15 *4419:35 0 +72 *4210:15 *4419:46 0 +73 *4249:37 *4419:51 0 +74 *4249:53 *4419:51 0 +*RES +1 *38628:X *4419:20 48.4489 +2 *4419:20 *4419:30 24.5804 +3 *4419:30 *4419:35 39.3125 +4 *4419:35 *4419:46 13.9821 +5 *4419:46 *4419:49 31.7679 +6 *4419:49 *4419:51 49.4107 +7 *4419:51 *4419:62 23.5749 +8 *4419:62 *37910:A_N 25.1929 +9 *4419:62 *5803:DIODE 9.3 +10 *4419:46 *38166:A 9.3 +11 *4419:35 *6244:DIODE 9.3 +*END + +*D_NET *4420 0.0202319 +*CONN +*I *38168:A I *D sky130_fd_sc_hd__and2_2 +*I *5807:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37912:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *6247:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38629:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38168:A 7.90461e-05 +2 *5807:DIODE 0 +3 *37912:A_N 0.000400433 +4 *6247:DIODE 0 +5 *38629:X 0.00153483 +6 *4420:84 0.00148579 +7 *4420:83 0.00224813 +8 *4420:54 0.00498031 +9 *4420:38 0.00425387 +10 *4420:28 0.00203596 +11 *4420:17 0.00321351 +12 *38168:A *4429:36 0 +13 *4420:17 *39051:A 0 +14 *4420:17 *4429:33 0 +15 *4420:17 *4482:20 0 +16 *4420:17 *4593:20 0 +17 *4420:17 *4649:33 0 +18 *4420:17 *4693:37 0 +19 *4420:17 *4789:45 0 +20 *4420:17 *4891:40 0 +21 *4420:17 *4891:51 0 +22 *4420:28 *39051:A 0 +23 *4420:28 *39560:A 0 +24 *4420:28 *4593:20 0 +25 *4420:28 *4807:12 0 +26 *4420:28 *4811:16 0 +27 *4420:54 *4537:23 0 +28 *4420:54 *4800:28 0 +29 *4420:54 *4805:15 0 +30 *4420:83 *37890:C 0 +31 *37498:B *4420:28 0 +32 *37500:A *4420:83 0 +33 *37500:B *4420:83 0 +34 *37912:B *37912:A_N 0 +35 *37936:A_N *4420:54 0 +36 *37936:B *4420:54 0 +37 *38160:A *4420:83 0 +38 *38160:B *4420:83 0 +39 *40059:A *4420:83 0 +40 *282:64 *4420:28 0 +41 *313:39 *4420:54 0 +42 *1148:8 *4420:28 0 +43 *1179:38 *38168:A 0 +44 *1345:11 *4420:83 0 +45 *1350:36 *4420:83 0 +46 *1354:32 *4420:54 0 +47 *1355:17 *4420:84 0 +48 *1473:24 *4420:38 0 +49 *1473:24 *4420:54 0 +50 *1478:10 *4420:54 0 +51 *1772:14 *4420:28 0 +52 *1772:14 *4420:38 0 +53 *1773:8 *4420:83 0 +54 *1774:16 *4420:38 0 +55 *1774:16 *4420:54 0 +56 *2356:9 *4420:28 0 +57 *2357:8 *4420:28 0 +58 *2360:14 *4420:28 0 +59 *2364:20 *4420:54 0 +60 *2572:18 *4420:54 0 +61 *2789:16 *4420:83 0 +62 *2789:20 *4420:83 0 +63 *3060:14 *4420:28 0 +64 *3082:30 *4420:83 0 +65 *3378:60 *4420:54 0 +66 *3563:44 *4420:83 0 +67 *3585:44 *4420:54 0 +68 *3585:44 *4420:83 0 +69 *3589:25 *4420:54 0 +70 *3604:56 *37912:A_N 0 +71 *3604:56 *4420:84 0 +72 *3609:34 *4420:83 0 +73 *3617:25 *37912:A_N 0 +74 *3617:25 *4420:84 0 +75 *3617:31 *37912:A_N 0 +76 *3631:61 *4420:83 0 +77 *3631:63 *4420:83 0 +78 *4181:46 *4420:54 0 +79 *4181:47 *4420:83 0 +80 *4249:37 *4420:54 0 +81 *4396:32 *4420:54 0 +82 *4399:52 *4420:54 0 +83 *4419:20 *4420:17 0 +*RES +1 *38629:X *4420:17 38.7276 +2 *4420:17 *4420:28 47.0179 +3 *4420:28 *6247:DIODE 13.8 +4 *4420:28 *4420:38 5.7255 +5 *4420:38 *4420:54 49.3457 +6 *4420:54 *4420:83 47.9911 +7 *4420:83 *4420:84 22.7143 +8 *4420:84 *37912:A_N 17.6571 +9 *4420:84 *5807:DIODE 9.3 +10 *4420:38 *38168:A 19.0046 +*END + +*D_NET *4421 0.00746757 +*CONN +*I *38170:A I *D sky130_fd_sc_hd__and2_1 +*I *37914:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *38630:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *38170:A 0.000180109 +2 *37914:A_N 0.000419888 +3 *38630:X 0.00133544 +4 *4421:29 0.00239834 +5 *4421:12 0.00313379 +6 *4421:12 *4422:11 0 +7 *4421:12 *4424:21 0 +8 *4421:12 *4538:8 0 +9 *4421:12 *4891:40 0 +10 *4421:29 *4422:11 0 +11 *4421:29 *4482:22 0 +12 *5814:DIODE *4421:29 0 +13 *6767:DIODE *4421:12 0 +14 *38192:B *4421:29 0 +15 *291:35 *4421:12 0 +16 *1170:26 *4421:29 0 +17 *2355:9 *4421:29 0 +18 *2360:36 *4421:29 0 +19 *2827:43 *4421:12 0 +20 *2907:10 *37914:A_N 0 +21 *3052:15 *4421:29 0 +22 *3304:48 *37914:A_N 0 +23 *4150:27 *4421:29 0 +24 *4167:19 *4421:29 0 +25 *4180:99 *38170:A 0 +26 *4180:99 *4421:29 0 +27 *4190:40 *4421:29 0 +28 *4210:7 *38170:A 0 +29 *4210:7 *4421:29 0 +*RES +1 *38630:X *4421:12 47.925 +2 *4421:12 *4421:29 48.4821 +3 *4421:29 *37914:A_N 27.8357 +4 *4421:29 *38170:A 13.1214 +*END + +*D_NET *4422 0.0166422 +*CONN +*I *37916:A_N I *D sky130_fd_sc_hd__and3b_2 +*I *6252:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38172:A I *D sky130_fd_sc_hd__and2_1 +*I *5813:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38631:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37916:A_N 0.000101883 +2 *6252:DIODE 8.29787e-05 +3 *38172:A 0 +4 *5813:DIODE 2.56688e-05 +5 *38631:X 4.53482e-05 +6 *4422:45 0.001752 +7 *4422:36 0.00439077 +8 *4422:35 0.00421288 +9 *4422:27 0.00166931 +10 *4422:18 0.000240765 +11 *4422:11 0.00210702 +12 *4422:7 0.00201357 +13 *37916:A_N *37916:C 0 +14 *4422:7 *4424:21 0 +15 *4422:11 *4791:35 0 +16 *4422:35 *4433:56 0 +17 *6767:DIODE *4422:11 0 +18 *41207:A *4422:18 0 +19 *291:35 *4422:11 0 +20 *293:27 *6252:DIODE 0 +21 *293:27 *4422:45 0 +22 *297:13 *4422:45 0 +23 *310:27 *4422:45 0 +24 *1784:12 *37916:A_N 0 +25 *1784:12 *4422:18 0 +26 *1784:12 *4422:27 0 +27 *2360:36 *4422:11 0 +28 *3149:44 *6252:DIODE 0 +29 *3149:44 *4422:45 0 +30 *3378:51 *4422:35 0 +31 *3466:29 *4422:11 0 +32 *3644:37 *4422:35 0 +33 *4150:27 *4422:11 0 +34 *4174:50 *4422:27 0 +35 *4174:75 *4422:36 0 +36 *4180:108 *4422:35 0 +37 *4181:33 *4422:36 0 +38 *4190:41 *4422:36 0 +39 *4205:46 *4422:35 0 +40 *4210:15 *4422:45 0 +41 *4421:12 *4422:11 0 +42 *4421:29 *4422:11 0 +*RES +1 *38631:X *4422:7 14.7464 +2 *4422:7 *4422:11 49 +3 *4422:11 *4422:18 7.71429 +4 *4422:18 *5813:DIODE 14.3357 +5 *4422:18 *4422:27 1.79464 +6 *4422:27 *4422:35 17.2082 +7 *4422:35 *4422:36 56.8036 +8 *4422:36 *4422:45 48.8929 +9 *4422:45 *38172:A 13.8 +10 *4422:45 *6252:DIODE 15.7464 +11 *4422:27 *37916:A_N 16.1304 +*END + +*D_NET *4423 0.000576794 +*CONN +*I *40479:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38632:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40479:A 0.000288397 +2 *38632:X 0.000288397 +3 *40479:A *4891:19 0 +4 *6916:DIODE *40479:A 0 +5 *38632:A *40479:A 0 +6 *39057:A *40479:A 0 +*RES +1 *38632:X *40479:A 33.7786 +*END + +*D_NET *4424 0.0134442 +*CONN +*I *37920:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *6258:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38176:A I *D sky130_fd_sc_hd__and2_1 +*I *5820:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38633:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *37920:A_N 3.78403e-05 +2 *6258:DIODE 0 +3 *38176:A 0.000268361 +4 *5820:DIODE 6.50276e-05 +5 *38633:X 0.00190099 +6 *4424:63 0.00129346 +7 *4424:55 0.0016807 +8 *4424:37 0.000855484 +9 *4424:33 0.00226091 +10 *4424:27 0.00263432 +11 *4424:21 0.00244709 +12 *38176:A *5758:DIODE 0 +13 *38176:A *4571:36 0 +14 *4424:21 *39056:A 0 +15 *4424:21 *4582:13 0 +16 *4424:21 *4593:7 0 +17 *4424:21 *4891:40 0 +18 *4424:27 *4593:7 0 +19 *4424:33 *37934:C 0 +20 *4424:33 *4433:40 0 +21 *4424:33 *4649:38 0 +22 *4424:33 *4775:30 0 +23 *4424:37 *4430:50 0 +24 *4424:55 *4433:46 0 +25 *4424:55 *4604:30 0 +26 *4424:55 *4637:47 0 +27 *4424:63 *4429:36 0 +28 *4424:63 *4593:29 0 +29 la_data_in_mprj[18] *4424:21 0 +30 *5759:DIODE *4424:63 0 +31 *5845:DIODE *4424:33 0 +32 *6630:DIODE *4424:21 0 +33 *6764:DIODE *4424:33 0 +34 *37920:B *37920:A_N 0 +35 *38487:A *4424:27 0 +36 *38629:A *4424:27 0 +37 *38630:A *4424:21 0 +38 *38631:A *4424:21 0 +39 *40514:A *4424:27 0 +40 *317:20 *4424:37 0 +41 *317:20 *4424:55 0 +42 *1294:20 *4424:33 0 +43 *1341:14 *4424:63 0 +44 *1473:24 *38176:A 0 +45 *1664:18 *4424:33 0 +46 *3378:51 *4424:55 0 +47 *3378:51 *4424:63 0 +48 *3380:52 *4424:33 0 +49 *3390:17 *4424:21 0 +50 *3390:22 *4424:33 0 +51 *3431:17 *4424:21 0 +52 *3604:16 *4424:63 0 +53 *3641:39 *4424:33 0 +54 *3641:39 *4424:55 0 +55 *3641:39 *4424:63 0 +56 *4158:58 *4424:55 0 +57 *4167:30 *4424:63 0 +58 *4177:19 *38176:A 0 +59 *4201:18 *4424:55 0 +60 *4205:46 *4424:55 0 +61 *4210:13 *5820:DIODE 0 +62 *4210:13 *4424:37 0 +63 *4210:13 *4424:55 0 +64 *4419:35 *38176:A 0 +65 *4421:12 *4424:21 0 +66 *4422:7 *4424:21 0 +*RES +1 *38633:X *4424:21 49.3179 +2 *4424:21 *4424:27 15.9286 +3 *4424:27 *4424:33 48.2962 +4 *4424:33 *4424:37 8.36607 +5 *4424:37 *5820:DIODE 10.6571 +6 *4424:37 *4424:55 23.3531 +7 *4424:55 *4424:63 19.0036 +8 *4424:63 *38176:A 24.3 +9 *4424:63 *6258:DIODE 9.3 +10 *4424:55 *37920:A_N 18.0225 +*END + +*D_NET *4425 0.0143548 +*CONN +*I *37884:A_N I *D sky130_fd_sc_hd__and3b_2 +*I *5758:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6205:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38140:A I *D sky130_fd_sc_hd__and2_2 +*I *38634:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *37884:A_N 8.4707e-05 +2 *5758:DIODE 9.90829e-05 +3 *6205:DIODE 0 +4 *38140:A 6.50276e-05 +5 *38634:X 0.000189357 +6 *4425:48 0.000240027 +7 *4425:45 0.00382099 +8 *4425:44 0.00492607 +9 *4425:23 0.00127065 +10 *4425:10 0.00182193 +11 *4425:8 0.00183694 +12 *5758:DIODE *4571:36 0 +13 *37884:A_N *37884:C 0 +14 *4425:10 *4523:22 0 +15 *4425:10 *4792:12 0 +16 *4425:44 *5801:DIODE 0 +17 *4425:44 *37908:C 0 +18 *4425:44 *4463:51 0 +19 *6206:DIODE *4425:10 0 +20 *6770:DIODE *4425:8 0 +21 *6927:DIODE *4425:8 0 +22 *6962:DIODE *4425:8 0 +23 *37908:A_N *4425:44 0 +24 *38140:B *38140:A 0 +25 *38176:A *5758:DIODE 0 +26 *39955:A *4425:44 0 +27 *1477:10 *4425:10 0 +28 *1757:14 *4425:10 0 +29 *1777:10 *4425:48 0 +30 *1786:42 *4425:10 0 +31 *2795:5 *4425:45 0 +32 *3175:38 *4425:10 0 +33 *3175:38 *4425:23 0 +34 *3175:38 *4425:44 0 +35 *3390:62 *4425:44 0 +36 *4163:33 *37884:A_N 0 +37 *4195:5 *38140:A 0 +38 *4201:23 *4425:44 0 +39 *4210:18 *4425:23 0 +40 *4210:18 *4425:44 0 +41 *4249:57 *4425:44 0 +*RES +1 *38634:X *4425:8 18.1304 +2 *4425:8 *4425:10 37.4375 +3 *4425:10 *38140:A 15.1571 +4 *4425:10 *4425:23 2.60714 +5 *4425:23 *6205:DIODE 13.8 +6 *4425:23 *4425:44 47.5357 +7 *4425:44 *4425:45 78.5714 +8 *4425:45 *4425:48 5.83929 +9 *4425:48 *5758:DIODE 16.05 +10 *4425:48 *37884:A_N 15.5679 +*END + +*D_NET *4426 0.015343 +*CONN +*I *8254:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40478:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38635:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *8254:DIODE 0 +2 *40478:A 0.00016428 +3 *38635:X 9.91025e-05 +4 *4426:15 0.000580218 +5 *4426:9 0.00740811 +6 *4426:8 0.00709128 +7 *4426:9 *4764:9 0 +8 *7470:DIODE *4426:9 0 +9 *37657:A *4426:9 0 +10 *39500:A *4426:9 0 +11 *1018:73 *4426:15 0 +12 *1707:15 *4426:9 0 +13 *1717:5 *4426:9 0 +14 *1832:14 *4426:9 0 +15 *3002:5 *4426:9 0 +16 *3002:9 *4426:9 0 +17 *3002:15 *4426:9 0 +18 *3342:36 *4426:15 0 +19 *3370:17 *4426:9 0 +20 *3459:8 *4426:8 0 +21 *4043:19 *40478:A 0 +22 *4043:19 *4426:15 0 +*RES +1 *38635:X *4426:8 20.55 +2 *4426:8 *4426:9 145.929 +3 *4426:9 *4426:15 18.4643 +4 *4426:15 *40478:A 12.7286 +5 *4426:15 *8254:DIODE 9.3 +*END + +*D_NET *4427 0.016713 +*CONN +*I *6185:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38128:C I *D sky130_fd_sc_hd__and3b_2 +*I *38636:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *6185:DIODE 0.000158505 +2 *38128:C 0 +3 *38636:X 0.0021339 +4 *4427:139 0.00059958 +5 *4427:131 0.00213133 +6 *4427:113 0.00309518 +7 *4427:93 0.00262164 +8 *4427:70 0.00252786 +9 *4427:42 0.00344504 +10 *4427:42 *39045:A 0 +11 *4427:42 *40252:A 0 +12 *4427:42 *4774:57 0 +13 *4427:42 *5169:40 0 +14 *4427:42 *5179:91 0 +15 *4427:42 *5186:65 0 +16 *4427:42 *5188:20 0 +17 *4427:70 *5188:20 0 +18 *4427:93 *4758:27 0 +19 *4427:93 *5084:82 0 +20 *4427:113 *4572:47 0 +21 *4427:113 *4758:5 0 +22 *4427:113 *4758:27 0 +23 *4427:131 *4599:18 0 +24 *4427:131 *4600:57 0 +25 *38108:B *4427:93 0 +26 *40573:A *4427:93 0 +27 *797:54 *4427:42 0 +28 *1453:30 *4427:131 0 +29 *1457:17 *4427:93 0 +30 *1457:42 *4427:113 0 +31 *1580:16 *6185:DIODE 0 +32 *1726:43 *4427:42 0 +33 *1828:47 *4427:42 0 +34 *1836:26 *4427:42 0 +35 *1840:52 *4427:93 0 +36 *2373:86 *4427:42 0 +37 *2808:17 *4427:131 0 +38 *2993:64 *4427:70 0 +39 *3101:55 *4427:70 0 +40 *3107:28 *4427:113 0 +41 *3108:27 *4427:93 0 +42 *3113:35 *4427:70 0 +43 *3251:35 *4427:70 0 +44 *3264:66 *4427:70 0 +45 *3266:62 *4427:42 0 +46 *3348:112 *4427:70 0 +47 *3398:20 *4427:42 0 +48 *3402:102 *4427:93 0 +49 *3407:52 *4427:70 0 +50 *3410:141 *4427:131 0 +51 *3412:71 *4427:93 0 +52 *3412:95 *4427:113 0 +53 *3412:119 *4427:131 0 +54 *3416:73 *4427:93 0 +55 *3419:23 *4427:70 0 +56 *3477:53 *4427:131 0 +57 *3478:68 *4427:131 0 +58 *3478:75 *4427:131 0 +59 *3490:25 *4427:131 0 +60 *3491:11 *4427:113 0 +61 *3491:13 *4427:113 0 +62 *3498:25 *4427:93 0 +63 *3499:79 *4427:93 0 +64 *3536:44 *4427:70 0 +65 *3767:36 *4427:131 0 +66 *3793:95 *4427:93 0 +67 *3954:86 *4427:131 0 +68 *3975:77 *4427:113 0 +69 *3975:87 *4427:131 0 +70 *3975:95 *6185:DIODE 0 +71 *3975:95 *4427:139 0 +72 *3975:106 *6185:DIODE 0 +73 *4219:42 *4427:113 0 +74 *4219:58 *4427:113 0 +75 *4393:28 *4427:42 0 +76 *4404:91 *4427:93 0 +77 *4411:65 *4427:93 0 +78 *4411:89 *4427:113 0 +79 *4411:102 *4427:131 0 +80 *4411:121 *4427:131 0 +81 *4411:121 *4427:139 0 +*RES +1 *38636:X *4427:42 49.1908 +2 *4427:42 *4427:70 48.2515 +3 *4427:70 *4427:93 48.5893 +4 *4427:93 *4427:113 47.5714 +5 *4427:113 *4427:131 49.6368 +6 *4427:131 *4427:139 9.36161 +7 *4427:139 *38128:C 9.3 +8 *4427:139 *6185:DIODE 12.6705 +*END + +*D_NET *4428 0.000251689 +*CONN +*I *40471:A I *D sky130_fd_sc_hd__buf_2 +*I *38637:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40471:A 0.000125844 +2 *38637:X 0.000125844 +3 *1782:36 *40471:A 0 +4 *3378:20 *40471:A 0 +*RES +1 *38637:X *40471:A 30.4571 +*END + +*D_NET *4429 0.0272823 +*CONN +*I *38180:A I *D sky130_fd_sc_hd__and2_1 +*I *6264:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *5827:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37924:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *38638:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38180:A 2.26741e-05 +2 *6264:DIODE 8.4707e-05 +3 *5827:DIODE 2.26741e-05 +4 *37924:A_N 0.00032086 +5 *38638:X 1.21715e-05 +6 *4429:67 0.00614446 +7 *4429:36 0.00223407 +8 *4429:33 0.00388982 +9 *4429:15 0.00525044 +10 *4429:6 0.00930041 +11 *4429:15 *4430:36 0 +12 *4429:15 *4436:14 0 +13 *4429:15 *4593:7 0 +14 *4429:15 *4637:18 0 +15 *4429:15 *4637:30 0 +16 *4429:15 *4649:33 0 +17 *4429:15 *4891:40 0 +18 *4429:33 *4604:21 0 +19 *4429:33 *4637:42 0 +20 *4429:33 *4693:38 0 +21 *4429:33 *4789:45 0 +22 *4429:33 *4891:40 0 +23 *4429:33 *5162:30 0 +24 *4429:36 *39560:A 0 +25 *4429:36 *4593:29 0 +26 *4429:36 *5161:23 0 +27 *4429:67 *4436:14 0 +28 *4429:67 *4799:37 0 +29 *4429:67 *4826:15 0 +30 *5759:DIODE *4429:36 0 +31 *6621:DIODE *4429:33 0 +32 *6774:DIODE *4429:67 0 +33 *6849:DIODE *4429:33 0 +34 *37561:A *4429:36 0 +35 *38168:A *4429:36 0 +36 *304:23 *4429:36 0 +37 *422:7 *4429:33 0 +38 *425:13 *4429:15 0 +39 *430:11 *4429:67 0 +40 *1148:8 *4429:36 0 +41 *1179:38 *4429:36 0 +42 *1341:14 *4429:36 0 +43 *1359:14 *4429:36 0 +44 *1482:13 *4429:36 0 +45 *1483:10 *4429:36 0 +46 *2358:22 *4429:67 0 +47 *3063:14 *4429:67 0 +48 *3066:11 *4429:67 0 +49 *3067:15 *4429:67 0 +50 *3160:26 *4429:15 0 +51 *3226:21 *4429:67 0 +52 *3304:34 *4429:15 0 +53 *3350:41 *4429:67 0 +54 *3381:19 *4429:33 0 +55 *3390:17 *4429:15 0 +56 *3467:21 *4429:36 0 +57 *3563:39 *5827:DIODE 0 +58 *3563:39 *37924:A_N 0 +59 *3585:32 *5827:DIODE 0 +60 *3585:32 *37924:A_N 0 +61 *3749:17 *4429:33 0 +62 *3841:47 *6264:DIODE 0 +63 *3841:47 *38180:A 0 +64 *3872:24 *4429:67 0 +65 *3872:37 *4429:15 0 +66 *3877:50 *4429:36 0 +67 *3922:33 *4429:67 0 +68 *4174:75 *4429:36 0 +69 *4396:32 *4429:36 0 +70 *4398:43 *6264:DIODE 0 +71 *4418:11 *4429:33 0 +72 *4419:20 *4429:33 0 +73 *4420:17 *4429:33 0 +74 *4424:63 *4429:36 0 +*RES +1 *38638:X *4429:6 14.0768 +2 *4429:6 *4429:15 49.823 +3 *4429:15 *4429:33 45.6511 +4 *4429:33 *4429:36 47.4286 +5 *4429:36 *37924:A_N 15.9964 +6 *4429:36 *5827:DIODE 9.83571 +7 *4429:6 *4429:67 46.753 +8 *4429:67 *6264:DIODE 11.0679 +9 *4429:67 *38180:A 9.83571 +*END + +*D_NET *4430 0.0273917 +*CONN +*I *38182:A I *D sky130_fd_sc_hd__and2_1 +*I *6267:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *5831:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37926:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *38639:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38182:A 0.000136423 +2 *6267:DIODE 2.56688e-05 +3 *5831:DIODE 0.000111058 +4 *37926:A_N 0.000202783 +5 *38639:X 0 +6 *4430:97 0.00193465 +7 *4430:96 0.00307739 +8 *4430:91 0.0033799 +9 *4430:82 0.00357453 +10 *4430:50 0.00247388 +11 *4430:42 0.00302061 +12 *4430:36 0.00302413 +13 *4430:20 0.00354741 +14 *4430:4 0.00288331 +15 *4430:20 *4433:25 0 +16 *4430:20 *4649:33 0 +17 *4430:20 *4671:30 0 +18 *4430:36 *7149:DIODE 0 +19 *4430:36 *4482:20 0 +20 *4430:36 *4549:15 0 +21 *4430:36 *4582:22 0 +22 *4430:36 *4637:30 0 +23 *4430:36 *4637:42 0 +24 *4430:36 *4775:16 0 +25 *4430:36 *4775:30 0 +26 *4430:42 *4604:21 0 +27 *4430:42 *4637:42 0 +28 *4430:50 *4433:40 0 +29 *4430:50 *4433:46 0 +30 *4430:50 *4433:56 0 +31 *4430:50 *4604:30 0 +32 *4430:50 *4811:26 0 +33 *4430:82 *4433:11 0 +34 *4430:82 *4433:25 0 +35 *4430:82 *4637:18 0 +36 *4430:91 *41397:A 0 +37 *4430:91 *4441:9 0 +38 *4430:91 *4660:16 0 +39 *4430:91 *5162:17 0 +40 *4430:96 *41397:A 0 +41 *4430:96 *4704:8 0 +42 *4430:96 *4823:9 0 +43 *4430:97 *4442:8 0 +44 la_data_in_mprj[32] *4430:96 0 +45 *6622:DIODE *4430:50 0 +46 *6633:DIODE *4430:82 0 +47 *6639:DIODE *4430:91 0 +48 *6773:DIODE *4430:20 0 +49 *6774:DIODE *4430:20 0 +50 *6787:DIODE *4430:97 0 +51 *6963:DIODE *4430:91 0 +52 *8826:DIODE *4430:50 0 +53 *38188:B *4430:50 0 +54 *38645:A *4430:91 0 +55 *38791:A *4430:20 0 +56 *38819:A *4430:82 0 +57 *39070:A *4430:91 0 +58 *41204:A *4430:50 0 +59 *317:15 *5831:DIODE 0 +60 *317:20 *5831:DIODE 0 +61 *317:20 *4430:50 0 +62 *343:19 *4430:97 0 +63 *428:7 *4430:20 0 +64 *564:8 *4430:91 0 +65 *812:5 *4430:20 0 +66 *825:5 *4430:97 0 +67 *1736:11 *4430:96 0 +68 *1789:22 *4430:50 0 +69 *2007:19 *4430:97 0 +70 *2360:36 *4430:36 0 +71 *3160:26 *4430:20 0 +72 *3215:16 *4430:91 0 +73 *3238:12 *4430:82 0 +74 *3238:12 *4430:91 0 +75 *3271:14 *4430:96 0 +76 *3304:9 *4430:91 0 +77 *3304:22 *4430:82 0 +78 *3315:12 *4430:96 0 +79 *3378:5 *4430:20 0 +80 *3380:38 *4430:36 0 +81 *3452:24 *4430:97 0 +82 *3563:39 *37926:A_N 0 +83 *3585:25 *37926:A_N 0 +84 *3617:23 *37926:A_N 0 +85 *3628:17 *37926:A_N 0 +86 *3631:52 *5831:DIODE 0 +87 *3631:52 *4430:50 0 +88 *3872:37 *4430:36 0 +89 *3914:18 *4430:50 0 +90 *4161:27 *4430:50 0 +91 *4177:16 *4430:50 0 +92 *4424:37 *4430:50 0 +93 *4429:15 *4430:36 0 +*RES +1 *38639:X *4430:4 9.3 +2 *4430:4 *4430:20 46.2046 +3 *4430:20 *4430:36 40.4347 +4 *4430:36 *4430:42 22.5804 +5 *4430:42 *4430:50 49.2411 +6 *4430:50 *37926:A_N 18.0321 +7 *4430:50 *5831:DIODE 16.3536 +8 *4430:4 *4430:82 40.8125 +9 *4430:82 *4430:91 48.0446 +10 *4430:91 *4430:96 36.2321 +11 *4430:96 *4430:97 40.1964 +12 *4430:97 *6267:DIODE 14.3357 +13 *4430:97 *38182:A 16.9607 +*END + +*D_NET *4431 0.0147036 +*CONN +*I *37928:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *5835:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38184:A I *D sky130_fd_sc_hd__and2_1 +*I *6270:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38640:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *37928:A_N 0.000121927 +2 *5835:DIODE 0 +3 *38184:A 0.000350378 +4 *6270:DIODE 0 +5 *38640:X 0.00226227 +6 *4431:70 0.000302036 +7 *4431:50 0.00252783 +8 *4431:40 0.00332706 +9 *4431:34 0.00215395 +10 *4431:22 0.00129016 +11 *4431:17 0.00236798 +12 *4431:17 *39063:A 0 +13 *4431:17 *4615:17 0 +14 *4431:17 *4626:14 0 +15 *4431:17 *4820:10 0 +16 *4431:50 *4436:23 0 +17 *5337:DIODE *4431:34 0 +18 *6633:DIODE *4431:17 0 +19 *7481:DIODE *4431:17 0 +20 *8347:DIODE *4431:40 0 +21 *37482:A *4431:17 0 +22 *37486:A *4431:17 0 +23 *37906:A_N *4431:50 0 +24 *37916:B *4431:50 0 +25 *38162:B *4431:50 0 +26 *815:8 *4431:17 0 +27 *1366:12 *38184:A 0 +28 *1484:10 *4431:40 0 +29 *1788:19 *4431:17 0 +30 *1841:17 *4431:17 0 +31 *2360:59 *4431:17 0 +32 *3063:22 *4431:34 0 +33 *3182:10 *4431:17 0 +34 *3226:26 *4431:22 0 +35 *3378:51 *4431:50 0 +36 *3564:20 *4431:40 0 +37 *3576:60 *4431:34 0 +38 *3576:69 *4431:50 0 +39 *3589:24 *38184:A 0 +40 *3590:20 *4431:40 0 +41 *3593:5 *4431:34 0 +42 *3864:14 *38184:A 0 +43 *3900:24 *4431:17 0 +44 *4146:32 *4431:34 0 +45 *4153:76 *37928:A_N 0 +46 *4153:76 *4431:34 0 +47 *4153:76 *4431:70 0 +48 *4153:83 *4431:40 0 +49 *4398:70 *4431:50 0 +50 *4417:34 *4431:50 0 +*RES +1 *38640:X *4431:17 47.522 +2 *4431:17 *4431:22 10.3118 +3 *4431:22 *4431:34 39.375 +4 *4431:34 *4431:40 33.3214 +5 *4431:40 *4431:50 35.478 +6 *4431:50 *6270:DIODE 13.8 +7 *4431:50 *38184:A 22.6319 +8 *4431:22 *4431:70 3.82143 +9 *4431:70 *5835:DIODE 9.3 +10 *4431:70 *37928:A_N 11.9071 +*END + +*D_NET *4432 0.0156124 +*CONN +*I *38186:A I *D sky130_fd_sc_hd__and2_1 +*I *6273:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37930:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *5838:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38641:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38186:A 0.000153279 +2 *6273:DIODE 0 +3 *37930:A_N 0 +4 *5838:DIODE 0.000104386 +5 *38641:X 0.00197634 +6 *4432:63 0.000277345 +7 *4432:62 0.00154292 +8 *4432:43 0.00305759 +9 *4432:40 0.0025251 +10 *4432:24 0.00160857 +11 *4432:14 0.00239054 +12 *4432:12 0.00197634 +13 *4432:12 *4826:24 0 +14 *4432:12 *4827:30 0 +15 *4432:12 *5201:10 0 +16 *4432:24 *37930:C 0 +17 *4432:62 *4726:19 0 +18 *5336:DIODE *4432:24 0 +19 *6777:DIODE *4432:12 0 +20 *816:5 *4432:12 0 +21 *1170:10 *4432:12 0 +22 *1794:18 *4432:12 0 +23 *3052:14 *4432:12 0 +24 *3063:22 *4432:24 0 +25 *3067:24 *4432:12 0 +26 *3215:22 *4432:12 0 +27 *3226:21 *4432:40 0 +28 *3271:30 *4432:12 0 +29 *3367:21 *4432:40 0 +30 *3367:40 *4432:24 0 +31 *3367:40 *4432:40 0 +32 *3458:16 *4432:62 0 +33 *3567:33 *4432:43 0 +34 *3885:13 *4432:43 0 +35 *3885:15 *4432:43 0 +36 *4159:51 *4432:62 0 +37 *4159:63 *4432:43 0 +38 *4161:21 *4432:43 0 +39 *4172:24 *4432:62 0 +40 *4172:34 *4432:43 0 +41 *4172:34 *4432:62 0 +42 *4180:82 *4432:24 0 +43 *4181:27 *5838:DIODE 0 +44 *4183:57 *4432:24 0 +45 *4183:57 *4432:40 0 +46 *4184:17 *4432:24 0 +47 *4194:9 *4432:62 0 +48 *4196:39 *4432:62 0 +49 *4205:25 *5838:DIODE 0 +50 *4208:33 *4432:24 0 +51 *4211:25 *4432:62 0 +52 *4214:73 *38186:A 0 +53 *4214:73 *4432:63 0 +54 *4388:30 *4432:24 0 +*RES +1 *38641:X *4432:12 47.3263 +2 *4432:12 *4432:14 3.41 +3 *4432:14 *4432:24 15.6553 +4 *4432:24 *5838:DIODE 11.4786 +5 *4432:24 *37930:A_N 9.3 +6 *4432:14 *4432:40 17.5013 +7 *4432:40 *4432:43 34.2321 +8 *4432:43 *4432:62 48.1964 +9 *4432:62 *4432:63 2.58929 +10 *4432:63 *6273:DIODE 9.3 +11 *4432:63 *38186:A 21.6214 +*END + +*D_NET *4433 0.0174892 +*CONN +*I *6276:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37932:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *5841:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38188:A I *D sky130_fd_sc_hd__and2_1 +*I *38642:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6276:DIODE 0 +2 *37932:A_N 0.000409845 +3 *5841:DIODE 0 +4 *38188:A 0 +5 *38642:X 0.00125392 +6 *4433:56 0.000724348 +7 *4433:46 0.0005726 +8 *4433:40 0.00224481 +9 *4433:37 0.00368513 +10 *4433:34 0.00215337 +11 *4433:25 0.00282311 +12 *4433:11 0.00362208 +13 *4433:11 *4637:18 0 +14 *4433:25 *4582:13 0 +15 *4433:34 *4549:15 0 +16 *4433:34 *4582:13 0 +17 *4433:37 *39055:A 0 +18 *4433:37 *4515:11 0 +19 *4433:37 *4789:45 0 +20 *4433:40 *4515:10 0 +21 *4433:40 *4789:45 0 +22 la_data_in_mprj[16] *4433:37 0 +23 la_data_in_mprj[17] *4433:37 0 +24 *6626:DIODE *4433:34 0 +25 *6628:DIODE *4433:25 0 +26 *6631:DIODE *4433:25 0 +27 *6633:DIODE *4433:11 0 +28 *6764:DIODE *4433:40 0 +29 *6769:DIODE *4433:25 0 +30 *6953:DIODE *4433:25 0 +31 *37518:B *4433:34 0 +32 *37932:B *37932:A_N 0 +33 *38403:A *4433:25 0 +34 *38403:A *4433:34 0 +35 *38492:A *4433:25 0 +36 *38637:A *4433:25 0 +37 *38639:A *4433:25 0 +38 *38747:A *4433:37 0 +39 *38758:A *4433:34 0 +40 *38813:A *4433:25 0 +41 *38817:A *4433:25 0 +42 *40564:A *4433:25 0 +43 *317:20 *4433:56 0 +44 *549:5 *4433:40 0 +45 *805:11 *4433:37 0 +46 *805:11 *4433:40 0 +47 *814:8 *4433:25 0 +48 *1365:10 *37932:A_N 0 +49 *1774:16 *4433:40 0 +50 *1789:22 *4433:40 0 +51 *1830:13 *4433:25 0 +52 *1830:13 *4433:34 0 +53 *2360:51 *4433:25 0 +54 *2827:32 *4433:37 0 +55 *3127:17 *4433:25 0 +56 *3304:22 *4433:11 0 +57 *3304:34 *4433:11 0 +58 *3304:34 *4433:25 0 +59 *3380:38 *4433:37 0 +60 *3380:52 *4433:40 0 +61 *3390:17 *4433:34 0 +62 *3488:13 *4433:25 0 +63 *3547:49 *37932:A_N 0 +64 *3563:30 *4433:56 0 +65 *3589:25 *37932:A_N 0 +66 *3593:31 *37932:A_N 0 +67 *3593:31 *4433:56 0 +68 *3604:16 *4433:56 0 +69 *4190:40 *4433:46 0 +70 *4210:13 *4433:46 0 +71 *4422:35 *4433:56 0 +72 *4424:33 *4433:40 0 +73 *4424:55 *4433:46 0 +74 *4430:20 *4433:25 0 +75 *4430:50 *4433:40 0 +76 *4430:50 *4433:46 0 +77 *4430:50 *4433:56 0 +78 *4430:82 *4433:11 0 +79 *4430:82 *4433:25 0 +*RES +1 *38642:X *4433:11 35.6571 +2 *4433:11 *4433:25 49.7321 +3 *4433:25 *4433:34 18.8571 +4 *4433:34 *4433:37 39.9464 +5 *4433:37 *4433:40 49.5536 +6 *4433:40 *4433:46 10.2679 +7 *4433:46 *38188:A 13.8 +8 *4433:46 *4433:56 11.1964 +9 *4433:56 *5841:DIODE 9.3 +10 *4433:56 *37932:A_N 17.8536 +11 *4433:40 *6276:DIODE 9.3 +*END + +*D_NET *4434 0.00617831 +*CONN +*I *40470:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38886:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38643:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40470:A 0.00114703 +2 *38886:A 8.4707e-05 +3 *38643:X 0.000526137 +4 *4434:29 0.00247831 +5 *4434:8 0.00194213 +6 *38886:A *4671:11 0 +7 *40470:A *4715:18 0 +8 *40470:A *4817:29 0 +9 *4434:8 *4796:18 0 +10 *4434:8 *4891:9 0 +11 *4434:29 *4682:16 0 +12 *4434:29 *4817:26 0 +13 la_data_in_mprj[27] *4434:8 0 +14 *6780:DIODE *4434:29 0 +15 *38822:A *4434:29 0 +16 *343:20 *4434:29 0 +17 *435:5 *4434:8 0 +18 *435:5 *4434:29 0 +19 *563:12 *4434:8 0 +20 *818:8 *4434:8 0 +21 *3249:18 *4434:29 0 +22 *3337:20 *4434:29 0 +23 *3378:5 *38886:A 0 +24 *3465:5 *40470:A 0 +25 *3901:40 *40470:A 0 +*RES +1 *38643:X *4434:8 25.0857 +2 *4434:8 *38886:A 15.5679 +3 *4434:8 *4434:29 42.3036 +4 *4434:29 *40470:A 42.3714 +*END + +*D_NET *4435 0.000343962 +*CONN +*I *40469:A I *D sky130_fd_sc_hd__buf_2 +*I *38644:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40469:A 0.000171981 +2 *38644:X 0.000171981 +3 *40469:A *4671:9 0 +4 *40469:A *4671:11 0 +5 *819:8 *40469:A 0 +6 *3378:5 *40469:A 0 +*RES +1 *38644:X *40469:A 22.4571 +*END + +*D_NET *4436 0.0167287 +*CONN +*I *6284:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38194:A I *D sky130_fd_sc_hd__and2_1 +*I *5853:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37938:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *38645:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6284:DIODE 2.91802e-05 +2 *38194:A 0.000162792 +3 *5853:DIODE 0 +4 *37938:A_N 0.000125785 +5 *38645:X 0.00564964 +6 *4436:23 0.00240683 +7 *4436:15 0.00255975 +8 *4436:14 0.00579474 +9 *4436:14 *4649:13 0 +10 *4436:14 *4826:15 0 +11 *37512:B *4436:14 0 +12 *37906:A_N *4436:23 0 +13 *1357:14 *4436:23 0 +14 *2762:10 *38194:A 0 +15 *3160:26 *4436:14 0 +16 *3204:22 *37938:A_N 0 +17 *3271:30 *4436:14 0 +18 *3378:51 *4436:23 0 +19 *3466:44 *37938:A_N 0 +20 *3576:69 *4436:23 0 +21 *3590:20 *4436:23 0 +22 *3628:17 *4436:23 0 +23 *3644:37 *4436:15 0 +24 *3644:37 *4436:23 0 +25 *3864:14 *4436:15 0 +26 *3864:14 *4436:23 0 +27 *3922:33 *4436:14 0 +28 *4146:32 *6284:DIODE 0 +29 *4146:32 *38194:A 0 +30 *4153:97 *4436:23 0 +31 *4388:30 *4436:15 0 +32 *4429:15 *4436:14 0 +33 *4429:67 *4436:14 0 +34 *4431:50 *4436:23 0 +*RES +1 *38645:X *4436:14 46.1814 +2 *4436:14 *4436:15 0.443367 +3 *4436:15 *4436:23 26.8403 +4 *4436:23 *37938:A_N 21.1571 +5 *4436:23 *5853:DIODE 9.3 +6 *4436:15 *38194:A 21.1296 +7 *4436:14 *6284:DIODE 18.0939 +*END + +*D_NET *4437 0.00929818 +*CONN +*I *38885:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7019:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *8247:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40468:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38646:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38885:A 8.25679e-05 +2 *7019:DIODE 0 +3 *8247:DIODE 0.000173842 +4 *40468:A 0 +5 *38646:X 0.000104386 +6 *4437:32 0.000232792 +7 *4437:31 0.00174395 +8 *4437:23 0.00401128 +9 *4437:10 0.00254435 +10 *4437:5 0.000405019 +11 *4437:23 *4817:20 0 +12 *4437:31 *40200:A 0 +13 *4437:31 *40201:A 0 +14 *6782:DIODE *4437:10 0 +15 *38646:A *4437:5 0 +16 *39070:A *4437:10 0 +17 *1790:8 *4437:23 0 +18 *2356:20 *4437:23 0 +19 *3171:26 *4437:31 0 +20 *3271:14 *38885:A 0 +21 *3271:14 *4437:5 0 +22 *3337:9 *4437:23 0 +23 *3367:21 *4437:23 0 +24 *3462:17 *4437:23 0 +25 *3465:25 *8247:DIODE 0 +26 *3851:17 *4437:23 0 +27 *3877:38 *4437:31 0 +28 *3900:9 *4437:31 0 +29 *3903:36 *8247:DIODE 0 +*RES +1 *38646:X *4437:5 11.4786 +2 *4437:5 *4437:10 13.9821 +3 *4437:10 *4437:23 47.8447 +4 *4437:23 *4437:31 48.75 +5 *4437:31 *4437:32 1.33929 +6 *4437:32 *40468:A 13.8 +7 *4437:32 *8247:DIODE 21.725 +8 *4437:10 *7019:DIODE 9.3 +9 *4437:5 *38885:A 11.0857 +*END + +*D_NET *4438 0.0029245 +*CONN +*I *40467:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38647:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40467:A 0 +2 *38647:X 0.00146225 +3 *4438:19 0.00146225 +4 *4438:19 *7138:DIODE 0 +5 *4438:19 *4561:27 0 +6 *4438:19 *5171:77 0 +7 la_data_in_mprj[126] *4438:19 0 +8 la_data_in_mprj[127] *4438:19 0 +9 *40549:A *4438:19 0 +10 *544:49 *4438:19 0 +11 *1857:28 *4438:19 0 +*RES +1 *38647:X *4438:19 49.5857 +2 *4438:19 *40467:A 9.3 +*END + +*D_NET *4439 0.0257776 +*CONN +*I *37886:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *5762:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6208:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38142:A I *D sky130_fd_sc_hd__and2_1 +*I *38648:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *37886:A_N 0.000395183 +2 *5762:DIODE 2.56688e-05 +3 *6208:DIODE 0.000187365 +4 *38142:A 0 +5 *38648:X 0 +6 *4439:49 0.000730469 +7 *4439:45 0.00241442 +8 *4439:42 0.00408212 +9 *4439:24 0.00491254 +10 *4439:8 0.00277347 +11 *4439:7 0.0025861 +12 *4439:5 0.00236752 +13 *4439:4 0.00530274 +14 *4439:5 *4504:57 0 +15 *4439:5 *4504:65 0 +16 *4439:5 *4537:5 0 +17 *4439:8 *4523:40 0 +18 *4439:8 *4792:8 0 +19 *4439:24 *39091:A 0 +20 *4439:24 *4463:23 0 +21 *4439:24 *4504:43 0 +22 *4439:24 *4504:57 0 +23 *4439:24 *4511:24 0 +24 *4439:24 *4537:5 0 +25 *4439:24 *4571:19 0 +26 *4439:24 *5181:10 0 +27 *4439:42 *39041:A 0 +28 *4439:42 *4593:20 0 +29 *4439:42 *4693:37 0 +30 *4439:42 *4789:32 0 +31 *4439:45 *5822:DIODE 0 +32 *4439:45 *4560:12 0 +33 *4439:45 *4693:38 0 +34 *4439:49 *4693:38 0 +35 la_data_in_mprj[4] *4439:24 0 +36 *6849:DIODE *4439:45 0 +37 *6927:DIODE *4439:5 0 +38 *6957:DIODE *4439:5 0 +39 *7402:DIODE *37886:A_N 0 +40 *37332:A *4439:42 0 +41 *37886:B *37886:A_N 0 +42 *38634:A *4439:5 0 +43 *38672:A *4439:24 0 +44 *38684:A *4439:24 0 +45 *38746:A *4439:5 0 +46 *38825:A *4439:5 0 +47 *38873:A *4439:24 0 +48 *420:5 *4439:45 0 +49 *427:8 *4439:5 0 +50 *493:12 *4439:24 0 +51 *515:5 *4439:42 0 +52 *577:8 *4439:24 0 +53 *803:9 *4439:42 0 +54 *844:8 *4439:24 0 +55 *1153:15 *6208:DIODE 0 +56 *1757:8 *4439:8 0 +57 *1763:10 *4439:8 0 +58 *1780:29 *4439:45 0 +59 *1780:29 *4439:49 0 +60 *1781:13 *4439:49 0 +61 *2449:10 *4439:24 0 +62 *2694:22 *4439:8 0 +63 *3060:10 *4439:42 0 +64 *3609:17 *37886:A_N 0 +65 *3617:23 *4439:49 0 +66 *3631:52 *4439:49 0 +67 *3883:41 *37886:A_N 0 +68 *3883:47 *37886:A_N 0 +69 *3993:14 *4439:24 0 +*RES +1 *38648:X *4439:4 9.3 +2 *4439:4 *4439:5 49.4107 +3 *4439:5 *4439:7 4.5 +4 *4439:7 *4439:8 58.7143 +5 *4439:8 *38142:A 13.8 +6 *4439:8 *6208:DIODE 17.925 +7 *4439:4 *4439:24 61.3214 +8 *4439:24 *4439:42 31.4232 +9 *4439:42 *4439:45 47.7589 +10 *4439:45 *4439:49 11.5625 +11 *4439:49 *5762:DIODE 9.83571 +12 *4439:49 *37886:A_N 27.0143 +*END + +*D_NET *4440 0.00883302 +*CONN +*I *5861:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37942:A_N I *D sky130_fd_sc_hd__and3b_2 +*I *38198:A I *D sky130_fd_sc_hd__and2_1 +*I *6290:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38649:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5861:DIODE 0.000203258 +2 *37942:A_N 0 +3 *38198:A 0.000223492 +4 *6290:DIODE 0 +5 *38649:X 0.00131227 +6 *4440:44 0.000513013 +7 *4440:20 0.000918927 +8 *4440:16 0.00236774 +9 *4440:8 0.00329433 +10 *4440:8 *4715:7 0 +11 *5862:DIODE *4440:44 0 +12 *6643:DIODE *4440:8 0 +13 *37942:B *4440:44 0 +14 *39071:A *4440:8 0 +15 *376:33 *4440:16 0 +16 *376:59 *4440:8 0 +17 *1608:31 *4440:8 0 +18 *1736:11 *4440:8 0 +19 *2355:26 *4440:8 0 +20 *2907:20 *4440:20 0 +21 *3059:32 *4440:44 0 +22 *3136:32 *4440:20 0 +23 *3213:22 *4440:44 0 +24 *3231:23 *4440:16 0 +25 *3241:25 *38198:A 0 +26 *3282:6 *4440:8 0 +27 *3282:6 *4440:44 0 +28 *3315:22 *4440:8 0 +29 *3315:22 *4440:44 0 +30 *3367:21 *4440:8 0 +31 *3437:59 *4440:8 0 +32 *3453:32 *38198:A 0 +33 *3453:32 *4440:20 0 +34 *3455:58 *4440:16 0 +35 *3642:98 *4440:16 0 +36 *3859:36 *38198:A 0 +37 *3862:26 *38198:A 0 +38 *4132:74 *4440:16 0 +39 *4132:74 *4440:20 0 +40 *4169:38 *4440:44 0 +41 *4173:42 *4440:16 0 +42 *4197:36 *4440:20 0 +*RES +1 *38649:X *4440:8 43.3357 +2 *4440:8 *4440:16 48.5357 +3 *4440:16 *4440:20 19.1964 +4 *4440:20 *6290:DIODE 13.8 +5 *4440:20 *38198:A 18.6929 +6 *4440:8 *4440:44 7.16071 +7 *4440:44 *37942:A_N 13.8 +8 *4440:44 *5861:DIODE 18.4786 +*END + +*D_NET *4441 0.0062566 +*CONN +*I *37944:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *38200:A I *D sky130_fd_sc_hd__and2_1 +*I *38650:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *37944:A_N 0.000161876 +2 *38200:A 0.000366419 +3 *38650:X 0.0008137 +4 *4441:10 0.0023146 +5 *4441:9 0.0026 +6 *4441:9 *41397:A 0 +7 *4441:9 *4715:7 0 +8 *4441:10 *4704:8 0 +9 *6785:DIODE *4441:9 0 +10 *6963:DIODE *4441:10 0 +11 *38650:A *4441:9 0 +12 *38827:A *4441:9 0 +13 *39070:A *4441:10 0 +14 *1381:48 *37944:A_N 0 +15 *1608:31 *4441:10 0 +16 *1785:14 *4441:10 0 +17 *1790:8 *4441:10 0 +18 *2356:20 *4441:10 0 +19 *3304:9 *4441:9 0 +20 *3367:21 *4441:9 0 +21 *3879:33 *4441:10 0 +22 *3887:14 *4441:10 0 +23 *4150:16 *4441:10 0 +24 *4157:78 *37944:A_N 0 +25 *4169:44 *4441:10 0 +26 *4169:59 *38200:A 0 +27 *4430:91 *4441:9 0 +*RES +1 *38650:X *4441:9 30.7821 +2 *4441:9 *4441:10 40.5 +3 *4441:10 *38200:A 30.5321 +4 *4441:10 *37944:A_N 17.4964 +*END + +*D_NET *4442 0.0121635 +*CONN +*I *6295:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38202:A I *D sky130_fd_sc_hd__and2_1 +*I *5866:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37946:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *38651:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6295:DIODE 0.000125969 +2 *38202:A 0.000113907 +3 *5866:DIODE 0 +4 *37946:A_N 0.000186464 +5 *38651:X 0.00134884 +6 *4442:48 0.00141751 +7 *4442:21 0.000586041 +8 *4442:20 0.00312893 +9 *4442:8 0.00525582 +10 *37946:A_N *5868:DIODE 0 +11 *4442:20 *4443:11 0 +12 *4442:20 *4443:40 0 +13 *4442:48 *4443:11 0 +14 *6787:DIODE *4442:8 0 +15 *37946:B *37946:A_N 0 +16 *39073:A *4442:8 0 +17 *322:27 *38202:A 0 +18 *322:35 *6295:DIODE 0 +19 *322:35 *4442:48 0 +20 *343:19 *4442:8 0 +21 *1292:14 *4442:20 0 +22 *2354:16 *4442:8 0 +23 *2796:24 *4442:20 0 +24 *2796:24 *4442:48 0 +25 *3063:22 *4442:20 0 +26 *3136:38 *4442:20 0 +27 *3213:22 *4442:48 0 +28 *3383:20 *4442:20 0 +29 *3452:24 *4442:8 0 +30 *3456:35 *4442:8 0 +31 *3457:36 *4442:20 0 +32 *3862:26 *4442:48 0 +33 *3862:35 *4442:48 0 +34 *3897:60 *4442:20 0 +35 *4123:32 *4442:20 0 +36 *4123:32 *4442:48 0 +37 *4136:65 *4442:20 0 +38 *4140:88 *6295:DIODE 0 +39 *4164:20 *6295:DIODE 0 +40 *4168:65 *4442:20 0 +41 *4169:59 *37946:A_N 0 +42 *4178:25 *6295:DIODE 0 +43 *4205:15 *4442:21 0 +44 *4214:73 *6295:DIODE 0 +45 *4430:97 *4442:8 0 +*RES +1 *38651:X *4442:8 47.8439 +2 *4442:8 *4442:20 43.0425 +3 *4442:20 *4442:21 8.33929 +4 *4442:21 *37946:A_N 22.3179 +5 *4442:21 *5866:DIODE 9.3 +6 *4442:8 *4442:48 19.1451 +7 *4442:48 *38202:A 19.3912 +8 *4442:48 *6295:DIODE 18.6253 +*END + +*D_NET *4443 0.0186503 +*CONN +*I *6298:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38204:A I *D sky130_fd_sc_hd__and2_1 +*I *5869:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37948:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *38652:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6298:DIODE 0.000134596 +2 *38204:A 0 +3 *5869:DIODE 0 +4 *37948:A_N 0.000465069 +5 *38652:X 0.00146745 +6 *4443:40 0.00066798 +7 *4443:23 0.000859512 +8 *4443:19 0.00417888 +9 *4443:18 0.00409503 +10 *4443:11 0.00307918 +11 *4443:8 0.00370264 +12 *37948:A_N *4802:16 0 +13 *4443:8 *4825:7 0 +14 *4443:8 *5152:15 0 +15 *6966:DIODE *4443:8 0 +16 *37354:A *4443:8 0 +17 *37948:B *37948:A_N 0 +18 *38652:A *4443:8 0 +19 *39454:A *37948:A_N 0 +20 *40544:A *4443:8 0 +21 *40953:A *4443:8 0 +22 *321:13 *37948:A_N 0 +23 *376:46 *4443:8 0 +24 *387:51 *4443:8 0 +25 *570:7 *4443:8 0 +26 *1294:5 *4443:19 0 +27 *1294:9 *37948:A_N 0 +28 *1294:9 *4443:19 0 +29 *1294:9 *4443:23 0 +30 *1783:10 *37948:A_N 0 +31 *1793:20 *4443:8 0 +32 *1795:21 *4443:8 0 +33 *1802:8 *4443:8 0 +34 *2796:24 *4443:11 0 +35 *3063:22 *4443:11 0 +36 *3063:22 *4443:18 0 +37 *3136:38 *4443:40 0 +38 *3213:22 *4443:11 0 +39 *3282:15 *6298:DIODE 0 +40 *3282:15 *4443:11 0 +41 *3282:15 *4443:18 0 +42 *3282:15 *4443:40 0 +43 *3315:12 *4443:8 0 +44 *3344:17 *4443:18 0 +45 *3350:41 *4443:8 0 +46 *3456:35 *4443:8 0 +47 *3862:35 *4443:11 0 +48 *3887:10 *4443:8 0 +49 *3897:60 *4443:11 0 +50 *3920:8 *4443:8 0 +51 *3921:46 *4443:8 0 +52 *3929:20 *4443:8 0 +53 *4150:13 *4443:11 0 +54 *4205:15 *6298:DIODE 0 +55 *4391:43 *4443:19 0 +56 *4391:43 *4443:23 0 +57 *4391:47 *37948:A_N 0 +58 *4391:47 *4443:23 0 +59 *4442:20 *4443:11 0 +60 *4442:20 *4443:40 0 +61 *4442:48 *4443:11 0 +*RES +1 *38652:X *4443:8 47.0054 +2 *4443:8 *4443:11 11.5285 +3 *4443:11 *4443:18 9.77779 +4 *4443:18 *4443:19 78.9821 +5 *4443:19 *4443:23 8.35714 +6 *4443:23 *37948:A_N 28.0679 +7 *4443:23 *5869:DIODE 9.3 +8 *4443:11 *4443:40 15.5261 +9 *4443:40 *38204:A 13.8 +10 *4443:40 *6298:DIODE 16.8 +*END + +*D_NET *4444 0.00668854 +*CONN +*I *38206:A I *D sky130_fd_sc_hd__and2_1 +*I *37950:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *38653:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *38206:A 0.000761881 +2 *37950:A_N 2.56688e-05 +3 *38653:X 0.00133743 +4 *4444:12 0.00200684 +5 *4444:10 0.00255672 +6 *4444:10 *4748:18 0 +7 *4444:10 *4760:9 0 +8 *6971:DIODE *4444:10 0 +9 *6971:DIODE *4444:12 0 +10 *39077:A *4444:10 0 +11 *39077:A *4444:12 0 +12 *41208:A *38206:A 0 +13 *446:5 *4444:10 0 +14 *1393:14 *38206:A 0 +15 *2004:71 *38206:A 0 +16 *3374:25 *4444:12 0 +17 *3538:18 *38206:A 0 +18 *3538:18 *4444:12 0 +19 *4159:49 *38206:A 0 +20 *4159:51 *38206:A 0 +*RES +1 *38653:X *4444:10 42.1661 +2 *4444:10 *4444:12 27.7232 +3 *4444:12 *37950:A_N 14.3357 +4 *4444:12 *38206:A 30.2107 +*END + +*D_NET *4445 0.000274737 +*CONN +*I *40466:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38654:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40466:A 0.000137368 +2 *38654:X 0.000137368 +3 *40466:A *4748:18 0 +4 *445:5 *40466:A 0 +5 *3455:55 *40466:A 0 +*RES +1 *38654:X *40466:A 30.6 +*END + +*D_NET *4446 0.00546433 +*CONN +*I *38210:A I *D sky130_fd_sc_hd__and2_1 +*I *37954:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *38655:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *38210:A 0.000323519 +2 *37954:A_N 0.000596371 +3 *38655:X 0.00140055 +4 *4446:12 0.00133162 +5 *4446:10 0.00181228 +6 *4446:10 *4771:17 0 +7 *4446:10 *4782:11 0 +8 *4446:10 *4822:20 0 +9 *4446:10 *5198:26 0 +10 *6651:DIODE *4446:10 0 +11 *387:45 *4446:10 0 +12 *1174:10 *4446:10 0 +13 *2066:33 *4446:12 0 +14 *3333:30 *38210:A 0 +15 *3347:28 *4446:10 0 +16 *3347:41 *38210:A 0 +17 *3347:41 *4446:10 0 +18 *3347:41 *4446:12 0 +19 *3367:5 *4446:10 0 +20 *3454:48 *4446:10 0 +21 *4068:45 *37954:A_N 0 +22 *4130:17 *4446:10 0 +23 *4130:17 *4446:12 0 +24 *4173:19 *37954:A_N 0 +*RES +1 *38655:X *4446:10 44.6482 +2 *4446:10 *4446:12 9.38393 +3 *4446:12 *37954:A_N 26.2464 +4 *4446:12 *38210:A 21.2107 +*END + +*D_NET *4447 0.0173885 +*CONN +*I *40465:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8246:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37956:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *5881:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38656:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *40465:A 0.00014075 +2 *8246:DIODE 0 +3 *37956:A_N 0.000159984 +4 *5881:DIODE 0 +5 *38656:X 0.00148666 +6 *4447:69 0.000812811 +7 *4447:51 0.00427938 +8 *4447:50 0.00412259 +9 *4447:27 0.000504527 +10 *4447:17 0.00262746 +11 *4447:10 0.00325431 +12 *4447:10 *4771:17 0 +13 *4447:10 *4837:13 0 +14 *4447:17 *4452:33 0 +15 *4447:27 *5015:41 0 +16 *6793:DIODE *4447:10 0 +17 *8806:DIODE *4447:69 0 +18 *38657:A *4447:10 0 +19 *40542:A *4447:10 0 +20 *40947:A *4447:17 0 +21 *1787:22 *4447:17 0 +22 *1796:39 *37956:A_N 0 +23 *1801:22 *4447:10 0 +24 *2004:29 *4447:69 0 +25 *2004:50 *37956:A_N 0 +26 *2004:50 *4447:27 0 +27 *2043:43 *37956:A_N 0 +28 *2071:23 *4447:17 0 +29 *2148:18 *4447:50 0 +30 *3058:22 *4447:17 0 +31 *3061:19 *4447:17 0 +32 *3064:17 *4447:17 0 +33 *3131:10 *40465:A 0 +34 *3131:10 *4447:69 0 +35 *3131:19 *4447:51 0 +36 *3131:19 *4447:69 0 +37 *3137:19 *40465:A 0 +38 *3137:19 *4447:69 0 +39 *3137:21 *4447:69 0 +40 *3268:16 *4447:69 0 +41 *3329:43 *4447:27 0 +42 *3367:5 *4447:10 0 +43 *3454:41 *4447:10 0 +44 *3583:32 *4447:17 0 +45 *3888:51 *37956:A_N 0 +46 *3913:15 *4447:17 0 +47 *4137:44 *4447:27 0 +48 *4151:20 *4447:17 0 +49 *4162:62 *37956:A_N 0 +50 *4200:11 *4447:69 0 +51 *4200:13 *4447:51 0 +*RES +1 *38656:X *4447:10 48.4689 +2 *4447:10 *4447:17 33.5253 +3 *4447:17 *4447:27 16.8036 +4 *4447:27 *5881:DIODE 9.3 +5 *4447:27 *37956:A_N 21.8357 +6 *4447:17 *4447:50 20 +7 *4447:50 *4447:51 75.2857 +8 *4447:51 *4447:69 23.5357 +9 *4447:69 *8246:DIODE 9.3 +10 *4447:69 *40465:A 12.3 +*END + +*D_NET *4448 0.0156398 +*CONN +*I *5885:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38214:A I *D sky130_fd_sc_hd__and2_1 +*I *6311:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37958:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *38657:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5885:DIODE 0.000240075 +2 *38214:A 0.000552436 +3 *6311:DIODE 0 +4 *37958:A_N 8.8532e-05 +5 *38657:X 0 +6 *4448:38 0.00107009 +7 *4448:37 0.00175355 +8 *4448:16 0.00164034 +9 *4448:8 0.00181807 +10 *4448:5 0.0048694 +11 *4448:4 0.00360732 +12 *5885:DIODE *4926:26 0 +13 *4448:5 *4834:15 0 +14 *4448:5 *4837:13 0 +15 *4448:5 *4859:21 0 +16 *4448:5 *4871:7 0 +17 *4448:5 *4904:27 0 +18 *4448:8 *4926:26 0 +19 *4448:8 *5048:66 0 +20 *4448:8 *5199:27 0 +21 *41002:A *38214:A 0 +22 *1893:39 *37958:A_N 0 +23 *1895:25 *5885:DIODE 0 +24 *1899:41 *4448:16 0 +25 *2023:41 *4448:37 0 +26 *2067:32 *5885:DIODE 0 +27 *2067:32 *4448:38 0 +28 *2096:17 *38214:A 0 +29 *2166:61 *4448:16 0 +30 *2166:61 *4448:37 0 +31 *3146:12 *5885:DIODE 0 +32 *3146:12 *4448:8 0 +33 *3156:76 *4448:37 0 +34 *3336:41 *4448:8 0 +35 *3367:5 *4448:5 0 +36 *3450:26 *4448:8 0 +37 *3454:5 *4448:5 0 +38 *3461:33 *4448:5 0 +39 *3572:38 *4448:16 0 +40 *3605:36 *4448:37 0 +41 *3974:10 *38214:A 0 +42 *3974:10 *4448:38 0 +43 *4068:9 *4448:37 0 +44 *4410:5 *4448:16 0 +*RES +1 *38657:X *4448:4 9.3 +2 *4448:4 *4448:5 75.2857 +3 *4448:5 *4448:8 33.1607 +4 *4448:8 *4448:16 16.6696 +5 *4448:16 *37958:A_N 15.8268 +6 *4448:16 *4448:37 40.6518 +7 *4448:37 *4448:38 10.8036 +8 *4448:38 *6311:DIODE 9.3 +9 *4448:38 *38214:A 30.175 +10 *4448:8 *5885:DIODE 19.05 +*END + +*D_NET *4449 0.0135391 +*CONN +*I *40463:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8244:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38658:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40463:A 0.00014075 +2 *8244:DIODE 0 +3 *38658:X 0.0010186 +4 *4449:51 0.00165906 +5 *4449:49 0.00370892 +6 *4449:41 0.00336603 +7 *4449:30 0.00190129 +8 *4449:18 0.00174447 +9 *40463:A *4545:69 0 +10 *4449:30 *4590:47 0 +11 *4449:41 *4586:51 0 +12 *4449:41 *4590:46 0 +13 *4449:41 *4590:47 0 +14 *4449:41 *4764:48 0 +15 *4449:41 *5171:57 0 +16 *4449:41 *5175:48 0 +17 *4449:49 *4564:21 0 +18 *4449:49 *4590:46 0 +19 *4449:49 *5171:27 0 +20 *4449:49 *5171:57 0 +21 *4449:51 *4545:69 0 +22 *4449:51 *5171:27 0 +23 *5509:DIODE *4449:18 0 +24 *7466:DIODE *4449:51 0 +25 *7520:DIODE *4449:41 0 +26 *39496:B *4449:51 0 +27 *39604:A *4449:18 0 +28 *40482:A *4449:51 0 +29 *40562:A *4449:49 0 +30 *368:79 *4449:51 0 +31 *3038:26 *4449:30 0 +32 *3091:41 *40463:A 0 +33 *3091:41 *4449:51 0 +34 *3091:54 *4449:51 0 +35 *3111:33 *4449:49 0 +36 *3111:33 *4449:51 0 +37 *3212:59 *4449:30 0 +38 *3212:59 *4449:41 0 +39 *3262:48 *4449:49 0 +40 *3394:32 *4449:51 0 +41 *3398:20 *4449:30 0 +42 *3475:17 *4449:49 0 +43 *3486:5 *4449:49 0 +44 *3486:5 *4449:51 0 +45 *3695:18 *4449:51 0 +*RES +1 *38658:X *4449:18 49.8 +2 *4449:18 *4449:30 33.2679 +3 *4449:30 *4449:41 24.9643 +4 *4449:41 *4449:49 45.875 +5 *4449:49 *4449:51 31.75 +6 *4449:51 *8244:DIODE 9.3 +7 *4449:51 *40463:A 12.3 +*END + +*D_NET *4450 0.00756558 +*CONN +*I *38216:A I *D sky130_fd_sc_hd__and2_1 +*I *37960:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *38659:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *38216:A 0.00159815 +2 *37960:A_N 0.000809258 +3 *38659:X 0.00137538 +4 *4450:8 0.00378279 +5 *37960:A_N *4959:58 0 +6 *38216:A *4782:20 0 +7 *4450:8 *4993:17 0 +8 *6797:DIODE *4450:8 0 +9 *6975:DIODE *4450:8 0 +10 *387:31 *37960:A_N 0 +11 *1376:23 *38216:A 0 +12 *1379:25 *38216:A 0 +13 *3062:14 *4450:8 0 +14 *3213:22 *37960:A_N 0 +15 *3213:22 *38216:A 0 +16 *3343:14 *4450:8 0 +17 *3361:18 *4450:8 0 +18 *3393:14 *4450:8 0 +19 *4123:32 *38216:A 0 +20 *4152:66 *37960:A_N 0 +21 *4157:31 *38216:A 0 +22 *4162:62 *37960:A_N 0 +23 *4162:62 *38216:A 0 +24 *4183:21 *38216:A 0 +25 *4189:40 *4450:8 0 +26 *4395:53 *38216:A 0 +*RES +1 *38659:X *4450:8 48.4511 +2 *4450:8 *37960:A_N 23.9458 +3 *4450:8 *38216:A 33.3884 +*END + +*D_NET *4451 0.0264993 +*CONN +*I *5766:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37888:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *38144:A I *D sky130_fd_sc_hd__and2_1 +*I *6211:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38660:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *5766:DIODE 0.000116386 +2 *37888:A_N 0.000270023 +3 *38144:A 0.000260528 +4 *6211:DIODE 0 +5 *38660:X 0 +6 *4451:75 0.00271145 +7 *4451:74 0.00232504 +8 *4451:72 0.00168346 +9 *4451:54 0.00341068 +10 *4451:20 0.00112453 +11 *4451:17 0.00406714 +12 *4451:15 0.0043669 +13 *4451:11 0.00279984 +14 *4451:4 0.0033633 +15 *4451:11 *4511:24 0 +16 *4451:11 *4511:26 0 +17 *4451:11 *4937:8 0 +18 *4451:20 *38148:A 0 +19 *4451:20 *4499:20 0 +20 *4451:20 *4499:38 0 +21 *4451:54 *4475:8 0 +22 *4451:54 *4493:34 0 +23 *4451:54 *4504:43 0 +24 *4451:54 *4504:57 0 +25 *4451:54 *4511:18 0 +26 *4451:54 *4537:19 0 +27 *4451:54 *4789:10 0 +28 *4451:72 *4493:15 0 +29 *4451:72 *4511:18 0 +30 *4451:72 *4571:19 0 +31 *4451:72 *4693:29 0 +32 *4451:72 *4789:23 0 +33 *4451:75 *4805:15 0 +34 *6560:DIODE *4451:72 0 +35 *6616:DIODE *4451:72 0 +36 *6653:DIODE *4451:11 0 +37 *6678:DIODE *4451:54 0 +38 *6832:DIODE *4451:54 0 +39 *37494:B *4451:75 0 +40 *37506:B *4451:75 0 +41 *38144:B *38144:A 0 +42 *38437:A *4451:72 0 +43 *38469:A *4451:72 0 +44 *38660:A *4451:11 0 +45 *38696:A *4451:54 0 +46 *302:13 *5766:DIODE 0 +47 *302:13 *4451:75 0 +48 *504:5 *4451:72 0 +49 *621:8 *4451:72 0 +50 *1350:46 *4451:75 0 +51 *1350:55 *37888:A_N 0 +52 *1473:8 *4451:20 0 +53 *1473:24 *4451:75 0 +54 *1765:11 *38144:A 0 +55 *1765:11 *4451:20 0 +56 *1771:10 *4451:11 0 +57 *1777:10 *37888:A_N 0 +58 *1779:10 *5766:DIODE 0 +59 *1779:10 *4451:75 0 +60 *1786:42 *4451:11 0 +61 *1786:42 *4451:15 0 +62 *2572:11 *4451:54 0 +63 *2791:38 *5766:DIODE 0 +64 *2797:35 *37888:A_N 0 +65 *2904:10 *4451:75 0 +66 *3115:12 *4451:75 0 +67 *3138:10 *4451:54 0 +68 *3467:25 *4451:75 0 +69 *3600:23 *37888:A_N 0 +70 *3613:31 *4451:75 0 +71 *3621:32 *37888:A_N 0 +72 *3864:14 *4451:75 0 +73 *3871:10 *4451:72 0 +74 *3891:20 *37888:A_N 0 +75 *4126:31 *4451:11 0 +76 *4126:31 *4451:15 0 +77 *4126:31 *4451:17 0 +78 *4207:32 *5766:DIODE 0 +79 *4249:15 *4451:72 0 +80 *4418:26 *4451:11 0 +*RES +1 *38660:X *4451:4 9.3 +2 *4451:4 *4451:11 45.5893 +3 *4451:11 *4451:15 24.3661 +4 *4451:15 *4451:17 66.8661 +5 *4451:17 *4451:20 24.0536 +6 *4451:20 *6211:DIODE 13.8 +7 *4451:20 *38144:A 19.55 +8 *4451:4 *4451:54 49.0054 +9 *4451:54 *4451:72 49.0357 +10 *4451:72 *4451:74 4.5 +11 *4451:74 *4451:75 52.7946 +12 *4451:75 *37888:A_N 23.0388 +13 *4451:75 *5766:DIODE 16.5054 +*END + +*D_NET *4452 0.00431402 +*CONN +*I *40462:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38884:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38661:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40462:A 0 +2 *38884:A 2.56688e-05 +3 *38661:X 0.000335631 +4 *4452:33 0.00179571 +5 *4452:10 0.00215701 +6 *38884:A *4848:9 0 +7 *38884:A *4959:44 0 +8 *4452:10 *39081:A 0 +9 *4452:10 *39082:A 0 +10 *4452:10 *4819:19 0 +11 *4452:33 *4455:22 0 +12 *4452:33 *4828:21 0 +13 *4452:33 *4848:9 0 +14 *4452:33 *4959:44 0 +15 la_data_in_mprj[41] *4452:10 0 +16 *451:5 *4452:10 0 +17 *3061:19 *4452:33 0 +18 *3461:45 *4452:33 0 +19 *3909:21 *4452:33 0 +20 *4447:17 *4452:33 0 +*RES +1 *38661:X *4452:10 25.5857 +2 *4452:10 *38884:A 9.83571 +3 *4452:10 *4452:33 48.9474 +4 *4452:33 *40462:A 9.3 +*END + +*D_NET *4453 0.000877657 +*CONN +*I *40461:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38662:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40461:A 0.000438829 +2 *38662:X 0.000438829 +3 *40461:A *4959:44 0 +*RES +1 *38662:X *40461:A 36.8679 +*END + +*D_NET *4454 0.0279465 +*CONN +*I *6321:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38222:A I *D sky130_fd_sc_hd__and2_1 +*I *5898:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37966:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *38663:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *6321:DIODE 0.000349769 +2 *38222:A 0 +3 *5898:DIODE 0 +4 *37966:A_N 0.000322889 +5 *38663:X 0.000143523 +6 *4454:86 0.000361941 +7 *4454:83 0.00851959 +8 *4454:39 0.0014183 +9 *4454:22 0.00463747 +10 *4454:10 0.012193 +11 *4454:10 *39084:A 0 +12 *4454:22 *37954:C 0 +13 *4454:22 *4760:19 0 +14 *4454:22 *4848:15 0 +15 *4454:22 *4904:27 0 +16 *4454:22 *4959:44 0 +17 *4454:39 *4848:15 0 +18 *4454:83 *4834:40 0 +19 *4454:83 *4904:27 0 +20 *4454:83 *5048:66 0 +21 *6652:DIODE *4454:22 0 +22 *6973:DIODE *4454:22 0 +23 *8358:DIODE *4454:39 0 +24 *37966:B *37966:A_N 0 +25 *454:5 *4454:83 0 +26 *582:5 *4454:83 0 +27 *836:5 *4454:10 0 +28 *1382:19 *37966:A_N 0 +29 *1798:57 *4454:22 0 +30 *1901:77 *4454:39 0 +31 *1918:27 *4454:39 0 +32 *1943:14 *6321:DIODE 0 +33 *3062:24 *4454:39 0 +34 *3131:28 *4454:22 0 +35 *3339:16 *4454:83 0 +36 *3346:14 *4454:22 0 +37 *3352:15 *4454:83 0 +38 *3356:9 *4454:83 0 +39 *3361:18 *4454:22 0 +40 *3361:18 *4454:83 0 +41 *3369:11 *4454:22 0 +42 *3464:11 *4454:22 0 +43 *3583:15 *4454:22 0 +44 *3583:15 *4454:83 0 +45 *3890:24 *4454:83 0 +46 *4159:49 *4454:39 0 +47 *4170:30 *4454:22 0 +48 *4170:30 *4454:39 0 +49 *4200:24 *4454:22 0 +50 *4385:45 *37966:A_N 0 +51 *4385:45 *4454:39 0 +52 *4385:47 *37966:A_N 0 +*RES +1 *38663:X *4454:10 20.3439 +2 *4454:10 *4454:22 48.9554 +3 *4454:22 *4454:39 38.6071 +4 *4454:39 *37966:A_N 25.8 +5 *4454:39 *5898:DIODE 9.3 +6 *4454:10 *4454:83 47.5652 +7 *4454:83 *4454:86 3.68679 +8 *4454:86 *38222:A 13.8 +9 *4454:86 *6321:DIODE 21.8714 +*END + +*D_NET *4455 0.0105752 +*CONN +*I *40460:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8243:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *8241:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40458:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38664:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *40460:A 0.00016043 +2 *8243:DIODE 0 +3 *8241:DIODE 0 +4 *40458:A 0.000457793 +5 *38664:X 0 +6 *4455:65 0.000500969 +7 *4455:62 0.00315576 +8 *4455:23 0.000578864 +9 *4455:22 0.00151364 +10 *4455:4 0.00420779 +11 *40458:A *4793:17 0 +12 *40458:A *4793:19 0 +13 *40458:A *4828:21 0 +14 *40458:A *4837:13 0 +15 *40460:A *4835:31 0 +16 *40460:A *5197:27 0 +17 *4455:22 *4819:19 0 +18 *4455:22 *4822:33 0 +19 *4455:22 *4959:32 0 +20 *4455:62 *4459:31 0 +21 *4455:62 *4461:17 0 +22 *4455:62 *4461:42 0 +23 *4455:62 *4470:34 0 +24 *4455:62 *4904:14 0 +25 *4455:62 *4959:32 0 +26 *4455:62 *5026:41 0 +27 *4455:65 *4835:31 0 +28 *4455:65 *5197:27 0 +29 *6806:DIODE *4455:62 0 +30 *6977:DIODE *4455:22 0 +31 *38521:A *4455:22 0 +32 *38522:A *4455:62 0 +33 *38663:A *4455:22 0 +34 *38664:A *4455:22 0 +35 *452:7 *4455:22 0 +36 *586:8 *4455:62 0 +37 *836:5 *4455:22 0 +38 *1787:22 *4455:22 0 +39 *3347:11 *40458:A 0 +40 *3347:11 *4455:23 0 +41 *3377:23 *4455:62 0 +42 *3379:17 *40458:A 0 +43 *3437:21 *4455:22 0 +44 *3437:21 *4455:62 0 +45 *3448:18 *4455:22 0 +46 *3454:5 *4455:62 0 +47 *3456:19 *40458:A 0 +48 *3461:16 *4455:62 0 +49 *3583:15 *4455:62 0 +50 *4452:33 *4455:22 0 +*RES +1 *38664:X *4455:4 9.3 +2 *4455:4 *4455:22 48 +3 *4455:22 *4455:23 2.58929 +4 *4455:23 *40458:A 33.2231 +5 *4455:23 *8241:DIODE 9.3 +6 *4455:4 *4455:62 48.5501 +7 *4455:62 *4455:65 11.6071 +8 *4455:65 *8243:DIODE 9.3 +9 *4455:65 *40460:A 12.7107 +*END + +*D_NET *4456 0.00851578 +*CONN +*I *37970:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *38226:A I *D sky130_fd_sc_hd__and2_1 +*I *38665:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *37970:A_N 0.000650917 +2 *38226:A 8.55626e-05 +3 *38665:X 0.000464171 +4 *4456:43 0.00203376 +5 *4456:24 0.0031428 +6 *4456:14 0.00213857 +7 *4456:14 *39086:A 0 +8 *4456:14 *4882:8 0 +9 *4456:14 *4959:32 0 +10 *4456:14 *5200:25 0 +11 *4456:24 *4882:8 0 +12 *4456:24 *5200:25 0 +13 la_data_in_mprj[45] *4456:14 0 +14 *38226:B *38226:A 0 +15 *455:7 *4456:14 0 +16 *1398:37 *38226:A 0 +17 *1399:25 *4456:43 0 +18 *1804:8 *37970:A_N 0 +19 *1889:34 *37970:A_N 0 +20 *2012:18 *4456:14 0 +21 *2045:45 *4456:24 0 +22 *2741:15 *38226:A 0 +23 *3057:12 *4456:14 0 +24 *3336:51 *4456:24 0 +25 *3857:46 *37970:A_N 0 +26 *3886:12 *37970:A_N 0 +27 *3923:14 *4456:24 0 +28 *4139:26 *4456:24 0 +*RES +1 *38665:X *4456:14 33.7732 +2 *4456:14 *4456:24 38.4554 +3 *4456:24 *38226:A 15.5857 +4 *4456:24 *4456:43 42.8571 +5 *4456:43 *37970:A_N 32.2643 +*END + +*D_NET *4457 0.0159618 +*CONN +*I *37972:A_N I *D sky130_fd_sc_hd__and3b_2 +*I *5909:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6328:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38228:A I *D sky130_fd_sc_hd__and2_1 +*I *38666:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37972:A_N 0.000580392 +2 *5909:DIODE 0 +3 *6328:DIODE 0 +4 *38228:A 0.000263313 +5 *38666:X 0.00150768 +6 *4457:109 0.00281686 +7 *4457:61 0.00121159 +8 *4457:48 0.00222104 +9 *4457:28 0.00244479 +10 *4457:8 0.0034085 +11 *4457:6 0.00150768 +12 *37972:A_N *37972:C 0 +13 *4457:6 *4835:25 0 +14 *4457:6 *4871:10 0 +15 *4457:28 *4871:10 0 +16 *4457:48 *37994:C 0 +17 *4457:48 *4926:46 0 +18 *5910:DIODE *37972:A_N 0 +19 *6802:DIODE *4457:6 0 +20 *8808:DIODE *37972:A_N 0 +21 *8808:DIODE *4457:109 0 +22 *37594:B *37972:A_N 0 +23 *38000:A_N *4457:28 0 +24 *38000:B *4457:28 0 +25 *38228:B *38228:A 0 +26 *39898:A *4457:48 0 +27 *41171:A *37972:A_N 0 +28 *1396:20 *4457:48 0 +29 *1502:28 *4457:28 0 +30 *1524:24 *4457:28 0 +31 *1524:24 *4457:48 0 +32 *1528:42 *4457:48 0 +33 *1533:71 *37972:A_N 0 +34 *1672:43 *4457:48 0 +35 *1889:45 *4457:61 0 +36 *1894:48 *4457:28 0 +37 *1894:66 *4457:48 0 +38 *1894:89 *4457:61 0 +39 *1896:20 *37972:A_N 0 +40 *1896:37 *4457:109 0 +41 *1896:55 *4457:28 0 +42 *1900:28 *37972:A_N 0 +43 *1901:69 *4457:28 0 +44 *2048:15 *4457:6 0 +45 *2061:49 *4457:109 0 +46 *2166:39 *4457:109 0 +47 *3058:28 *4457:61 0 +48 *3170:28 *4457:61 0 +49 *3325:37 *4457:109 0 +50 *3329:22 *4457:6 0 +51 *3377:24 *4457:6 0 +52 *3596:34 *37972:A_N 0 +53 *3859:18 *4457:61 0 +54 *3886:19 *4457:61 0 +55 *3895:18 *4457:109 0 +56 *3897:21 *38228:A 0 +57 *3919:30 *4457:28 0 +58 *3919:30 *4457:109 0 +59 *4123:28 *4457:109 0 +60 *4152:14 *37972:A_N 0 +61 *4156:37 *37972:A_N 0 +62 *4156:37 *4457:28 0 +63 *4156:37 *4457:109 0 +64 *4157:31 *38228:A 0 +65 *4157:31 *4457:61 0 +66 *4162:11 *37972:A_N 0 +67 *4162:11 *4457:109 0 +68 *4183:21 *38228:A 0 +69 *4183:21 *4457:61 0 +70 *4384:56 *4457:109 0 +71 *4394:20 *4457:48 0 +*RES +1 *38666:X *4457:6 48.0768 +2 *4457:6 *4457:8 3.41 +3 *4457:8 *4457:28 46.3958 +4 *4457:28 *4457:48 49.4107 +5 *4457:48 *4457:61 38.2679 +6 *4457:61 *38228:A 24.0857 +7 *4457:61 *6328:DIODE 9.3 +8 *4457:8 *4457:109 37.5431 +9 *4457:109 *5909:DIODE 9.3 +10 *4457:109 *37972:A_N 30.6571 +*END + +*D_NET *4458 0.0174979 +*CONN +*I *40457:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8240:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37974:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *5913:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38667:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *40457:A 0.000282916 +2 *8240:DIODE 0.000117596 +3 *37974:A_N 5.78968e-05 +4 *5913:DIODE 0 +5 *38667:X 0.000891562 +6 *4458:74 0.00139055 +7 *4458:55 0.00353317 +8 *4458:53 0.00315854 +9 *4458:48 0.00187536 +10 *4458:17 0.00139956 +11 *4458:11 0.00199046 +12 *4458:7 0.00280031 +13 *4458:7 *4470:34 0 +14 *4458:11 *4835:30 0 +15 *4458:11 *4882:25 0 +16 *4458:48 *4464:24 0 +17 *6664:DIODE *4458:11 0 +18 *6806:DIODE *4458:11 0 +19 *8597:DIODE *4458:48 0 +20 *40686:A *40457:A 0 +21 *40880:A *4458:48 0 +22 *41357:A *40457:A 0 +23 *586:8 *4458:11 0 +24 *1302:18 *8240:DIODE 0 +25 *1302:18 *4458:74 0 +26 *1386:16 *4458:48 0 +27 *1806:16 *4458:48 0 +28 *1887:15 *4458:48 0 +29 *1889:25 *4458:48 0 +30 *1889:34 *4458:11 0 +31 *1889:34 *4458:17 0 +32 *1889:34 *4458:48 0 +33 *1913:12 *4458:55 0 +34 *1914:29 *4458:74 0 +35 *1928:45 *4458:74 0 +36 *2018:30 *4458:11 0 +37 *2023:41 *4458:11 0 +38 *2057:58 *4458:11 0 +39 *2159:23 *4458:74 0 +40 *2195:24 *4458:48 0 +41 *2200:30 *40457:A 0 +42 *2733:56 *4458:53 0 +43 *2847:5 *4458:53 0 +44 *2866:27 *4458:55 0 +45 *3151:24 *4458:48 0 +46 *3454:5 *4458:7 0 +47 *3483:12 *4458:11 0 +48 *3568:22 *4458:48 0 +49 *3578:80 *4458:74 0 +50 *3580:43 *4458:53 0 +51 *3580:65 *4458:48 0 +52 *3591:17 *4458:55 0 +53 *3599:54 *4458:74 0 +54 *3624:75 *40457:A 0 +55 *3642:21 *4458:48 0 +56 *4145:20 *4458:11 0 +57 *4350:13 *4458:74 0 +*RES +1 *38667:X *4458:7 32.4071 +2 *4458:7 *4458:11 47.7679 +3 *4458:11 *5913:DIODE 9.3 +4 *4458:11 *4458:17 1.76786 +5 *4458:17 *37974:A_N 19.5679 +6 *4458:17 *4458:48 49.3929 +7 *4458:48 *4458:53 17.375 +8 *4458:53 *4458:55 53.1071 +9 *4458:55 *4458:74 35.8929 +10 *4458:74 *8240:DIODE 16.7107 +11 *4458:74 *40457:A 19.8 +*END + +*D_NET *4459 0.0121154 +*CONN +*I *8238:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40455:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38883:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7018:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38668:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *8238:DIODE 0 +2 *40455:A 0.000202735 +3 *38883:A 0 +4 *7018:DIODE 0.000242142 +5 *38668:X 0 +6 *4459:45 0.000884167 +7 *4459:36 0.00275174 +8 *4459:31 0.00477098 +9 *4459:11 0.00286111 +10 *4459:4 0.000402572 +11 *7018:DIODE *4915:20 0 +12 *4459:31 *4465:8 0 +13 *4459:31 *4470:34 0 +14 *4459:31 *5026:13 0 +15 *4459:31 *5048:54 0 +16 *4459:31 *5048:66 0 +17 *4459:36 *4468:34 0 +18 *4459:36 *5026:11 0 +19 *4459:36 *5026:13 0 +20 *4459:36 *5048:37 0 +21 *4459:45 *38879:A 0 +22 *4459:45 *4473:19 0 +23 *4459:45 *4477:24 0 +24 *4459:45 *5070:20 0 +25 *6804:DIODE *7018:DIODE 0 +26 *6817:DIODE *4459:45 0 +27 *6996:DIODE *4459:45 0 +28 *38668:A *7018:DIODE 0 +29 *842:8 *4459:31 0 +30 *1807:34 *40455:A 0 +31 *1807:34 *4459:45 0 +32 *1810:24 *4459:45 0 +33 *1959:10 *40455:A 0 +34 *1962:21 *40455:A 0 +35 *2027:22 *4459:45 0 +36 *2082:25 *4459:45 0 +37 *2120:21 *40455:A 0 +38 *2149:19 *40455:A 0 +39 *3341:8 *4459:45 0 +40 *3454:5 *7018:DIODE 0 +41 *3454:5 *4459:11 0 +42 *3454:5 *4459:31 0 +43 *3583:15 *4459:31 0 +44 *3605:16 *4459:45 0 +45 *4455:62 *4459:31 0 +*RES +1 *38668:X *4459:4 9.3 +2 *4459:4 *7018:DIODE 14.3536 +3 *4459:4 *4459:11 3.41071 +4 *4459:11 *38883:A 9.3 +5 *4459:11 *4459:31 48.1177 +6 *4459:31 *4459:36 47.8214 +7 *4459:36 *4459:45 25.5201 +8 *4459:45 *40455:A 22.6393 +9 *4459:45 *8238:DIODE 9.3 +*END + +*D_NET *4460 0.00251198 +*CONN +*I *40453:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38669:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40453:A 0 +2 *38669:X 0.00125599 +3 *4460:27 0.00125599 +4 *4460:27 *40234:A 0 +5 *4460:27 *40278:A 0 +6 *4460:27 *40346:A 0 +7 *4460:27 *40443:A 0 +8 *4460:27 *4471:20 0 +9 *4460:27 *4554:77 0 +10 *4460:27 *4780:99 0 +11 *37698:A *4460:27 0 +12 *416:21 *4460:27 0 +13 *801:36 *4460:27 0 +14 *1248:24 *4460:27 0 +15 *3087:5 *4460:27 0 +16 *4412:17 *4460:27 0 +*RES +1 *38669:X *4460:27 44.9964 +2 *4460:27 *40453:A 9.3 +*END + +*D_NET *4461 0.0121894 +*CONN +*I *37978:A_N I *D sky130_fd_sc_hd__and3b_2 +*I *5920:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *7017:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38882:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38670:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *37978:A_N 2.26741e-05 +2 *5920:DIODE 0.000104386 +3 *7017:DIODE 0 +4 *38882:A 2.56688e-05 +5 *38670:X 0.000134614 +6 *4461:64 0.000765525 +7 *4461:56 0.00211364 +8 *4461:45 0.00366558 +9 *4461:44 0.0021904 +10 *4461:42 0.00141898 +11 *4461:17 0.0015033 +12 *4461:8 0.000244599 +13 *4461:8 *4893:14 0 +14 *4461:8 *4893:24 0 +15 *4461:8 *5015:31 0 +16 *4461:17 *4893:24 0 +17 *4461:17 *4926:17 0 +18 *4461:42 *4926:17 0 +19 *5951:DIODE *4461:64 0 +20 *6806:DIODE *4461:42 0 +21 *1787:22 *4461:56 0 +22 *1801:11 *4461:64 0 +23 *1805:38 *4461:42 0 +24 *1895:52 *4461:56 0 +25 *3056:20 *4461:56 0 +26 *3056:20 *4461:64 0 +27 *3061:19 *4461:42 0 +28 *3332:38 *4461:56 0 +29 *3338:5 *4461:42 0 +30 *3347:11 *4461:42 0 +31 *3347:11 *4461:45 0 +32 *3356:9 *4461:8 0 +33 *3364:13 *4461:56 0 +34 *3368:15 *4461:42 0 +35 *3451:20 *4461:42 0 +36 *3457:9 *4461:56 0 +37 *3839:49 *5920:DIODE 0 +38 *3908:41 *4461:56 0 +39 *3909:21 *4461:42 0 +40 *4387:50 *5920:DIODE 0 +41 *4392:49 *4461:64 0 +42 *4455:62 *4461:17 0 +43 *4455:62 *4461:42 0 +*RES +1 *38670:X *4461:8 16.8893 +2 *4461:8 *38882:A 14.3357 +3 *4461:8 *4461:17 1.94643 +4 *4461:17 *7017:DIODE 13.8 +5 *4461:17 *4461:42 47.6661 +6 *4461:42 *4461:44 4.5 +7 *4461:44 *4461:45 45.7143 +8 *4461:45 *4461:56 46.7946 +9 *4461:56 *4461:64 19.0982 +10 *4461:64 *5920:DIODE 11.4786 +11 *4461:64 *37978:A_N 9.83571 +*END + +*D_NET *4462 0.0240464 +*CONN +*I *38236:A I *D sky130_fd_sc_hd__and2_1 +*I *6340:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *5924:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37980:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *38671:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38236:A 0.000240568 +2 *6340:DIODE 0 +3 *5924:DIODE 0 +4 *37980:A_N 0.000399577 +5 *38671:X 0 +6 *4462:55 0.00113294 +7 *4462:49 0.0047752 +8 *4462:48 0.00535746 +9 *4462:26 0.00172435 +10 *4462:21 0.0039364 +11 *4462:13 0.00380848 +12 *4462:4 0.00267147 +13 *4462:13 *4834:36 0 +14 *4462:13 *4904:14 0 +15 *4462:13 *4970:19 0 +16 *4462:13 *4970:36 0 +17 *4462:13 *5015:31 0 +18 *4462:21 *4834:36 0 +19 *4462:21 *4904:14 0 +20 *4462:21 *4915:35 0 +21 *4462:21 *5015:31 0 +22 *4462:48 *7182:DIODE 0 +23 *4462:48 *4948:11 0 +24 *4462:48 *4970:19 0 +25 *4462:48 *5070:39 0 +26 *4462:48 *5198:39 0 +27 *4462:49 *39103:A 0 +28 *4462:49 *40190:A 0 +29 *4462:49 *40441:A 0 +30 *4462:49 *5070:20 0 +31 *4462:49 *5070:39 0 +32 *4462:55 *5081:8 0 +33 la_data_in_mprj[46] *4462:21 0 +34 *6659:DIODE *4462:21 0 +35 *6811:DIODE *4462:48 0 +36 *6813:DIODE *4462:49 0 +37 *37369:A *4462:49 0 +38 *37980:B *37980:A_N 0 +39 *38681:A *4462:49 0 +40 *38846:A *4462:21 0 +41 *40040:A *4462:26 0 +42 *41219:A *4462:26 0 +43 *461:5 *4462:48 0 +44 *584:8 *4462:21 0 +45 *1807:38 *4462:49 0 +46 *1899:56 *4462:26 0 +47 *1958:19 *4462:55 0 +48 *2000:32 *4462:26 0 +49 *2004:50 *4462:26 0 +50 *2037:13 *38236:A 0 +51 *2037:13 *4462:55 0 +52 *2055:14 *4462:48 0 +53 *2071:11 *4462:55 0 +54 *2107:19 *38236:A 0 +55 *2733:20 *37980:A_N 0 +56 *3146:12 *4462:21 0 +57 *3156:47 *38236:A 0 +58 *3331:50 *4462:48 0 +59 *3336:10 *4462:49 0 +60 *3336:22 *4462:49 0 +61 *3339:53 *4462:21 0 +62 *3356:9 *4462:48 0 +63 *3377:24 *4462:21 0 +64 *3461:16 *4462:21 0 +65 *3516:13 *4462:48 0 +66 *3538:13 *4462:48 0 +67 *3591:32 *37980:A_N 0 +68 *3596:73 *37980:A_N 0 +69 *3605:17 *4462:49 0 +70 *3616:19 *4462:13 0 +71 *3851:16 *4462:26 0 +72 *3876:93 *4462:26 0 +73 *3902:25 *38236:A 0 +74 *3902:25 *4462:55 0 +75 *3926:13 *4462:21 0 +76 *4179:47 *4462:21 0 +77 *4397:20 *37980:A_N 0 +*RES +1 *38671:X *4462:4 9.3 +2 *4462:4 *4462:13 34.1429 +3 *4462:13 *4462:21 46.9079 +4 *4462:21 *4462:26 37.9368 +5 *4462:26 *37980:A_N 17.6393 +6 *4462:26 *5924:DIODE 9.3 +7 *4462:4 *4462:48 27.0819 +8 *4462:48 *4462:49 81.0357 +9 *4462:49 *4462:55 29.1071 +10 *4462:55 *6340:DIODE 9.3 +11 *4462:55 *38236:A 23.6393 +*END + +*D_NET *4463 0.0126285 +*CONN +*I *5769:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37890:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *38146:A I *D sky130_fd_sc_hd__and2_1 +*I *6214:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38672:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *5769:DIODE 0.000347988 +2 *37890:A_N 0 +3 *38146:A 0.000339256 +4 *6214:DIODE 0 +5 *38672:X 0.00135046 +6 *4463:71 0.000523974 +7 *4463:53 0.0010537 +8 *4463:51 0.00205589 +9 *4463:32 0.00344354 +10 *4463:28 0.00204468 +11 *4463:23 0.00146903 +12 *5769:DIODE *37890:C 0 +13 *4463:23 *4504:57 0 +14 *4463:23 *4511:24 0 +15 *4463:32 *38154:A 0 +16 *4463:32 *4511:32 0 +17 *4463:32 *4795:45 0 +18 *4463:51 *4515:20 0 +19 *6784:DIODE *4463:28 0 +20 *6784:DIODE *4463:32 0 +21 *6974:DIODE *4463:23 0 +22 *6986:DIODE *4463:23 0 +23 *7349:DIODE *4463:32 0 +24 *37516:A *4463:32 0 +25 *37922:A_N *4463:51 0 +26 *37922:B *4463:51 0 +27 *37922:C *4463:51 0 +28 *38146:B *38146:A 0 +29 *38400:A *4463:32 0 +30 *39393:B *4463:32 0 +31 *39949:A *4463:32 0 +32 *260:17 *4463:32 0 +33 *260:17 *4463:71 0 +34 *1350:36 *5769:DIODE 0 +35 *1472:9 *4463:51 0 +36 *1725:8 *4463:28 0 +37 *1725:8 *4463:32 0 +38 *1764:10 *4463:32 0 +39 *1786:42 *4463:32 0 +40 *2791:14 *5769:DIODE 0 +41 *2797:14 *5769:DIODE 0 +42 *2797:14 *4463:71 0 +43 *3138:10 *4463:23 0 +44 *3390:62 *5769:DIODE 0 +45 *3563:59 *4463:51 0 +46 *4127:14 *4463:23 0 +47 *4201:33 *38146:A 0 +48 *4201:33 *4463:51 0 +49 *4201:33 *4463:53 0 +50 *4249:57 *4463:51 0 +51 *4425:44 *4463:51 0 +52 *4439:24 *4463:23 0 +*RES +1 *38672:X *4463:23 47.0232 +2 *4463:23 *4463:28 7.22321 +3 *4463:28 *4463:32 43.7857 +4 *4463:32 *4463:51 42.1339 +5 *4463:51 *4463:53 14.9107 +6 *4463:53 *6214:DIODE 9.3 +7 *4463:53 *38146:A 16.4429 +8 *4463:32 *4463:71 8.52679 +9 *4463:71 *37890:A_N 9.3 +10 *4463:71 *5769:DIODE 25.8179 +*END + +*D_NET *4464 0.00764483 +*CONN +*I *5928:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *8234:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40450:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37982:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *38673:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5928:DIODE 0 +2 *8234:DIODE 0 +3 *40450:A 0.000137349 +4 *37982:A_N 0.000124066 +5 *38673:X 0.000255846 +6 *4464:26 0.000770084 +7 *4464:24 0.00135059 +8 *4464:12 0.00279648 +9 *4464:10 0.00221041 +10 *4464:10 *39093:A 0 +11 *4464:10 *4970:19 0 +12 *4464:10 *5015:11 0 +13 *4464:12 *4948:22 0 +14 *6809:DIODE *4464:10 0 +15 *8407:DIODE *4464:24 0 +16 *8590:DIODE *4464:26 0 +17 *40347:A *4464:12 0 +18 *41162:A *4464:12 0 +19 *1387:70 *40450:A 0 +20 *1387:70 *4464:26 0 +21 *1888:17 *40450:A 0 +22 *1888:17 *4464:24 0 +23 *1888:17 *4464:26 0 +24 *2107:45 *4464:12 0 +25 *3331:60 *4464:12 0 +26 *3374:76 *4464:12 0 +27 *3385:28 *37982:A_N 0 +28 *3596:34 *4464:12 0 +29 *3642:21 *4464:24 0 +30 *3642:21 *4464:26 0 +31 *3643:29 *37982:A_N 0 +32 *3646:53 *4464:24 0 +33 *3861:70 *4464:12 0 +34 *3915:9 *4464:12 0 +35 *4380:21 *4464:26 0 +36 *4458:48 *4464:24 0 +*RES +1 *38673:X *4464:10 19.5946 +2 *4464:10 *4464:12 44.4196 +3 *4464:12 *37982:A_N 16.3893 +4 *4464:12 *4464:24 19.625 +5 *4464:24 *4464:26 13.2679 +6 *4464:26 *40450:A 21.3 +7 *4464:26 *8234:DIODE 9.3 +8 *4464:24 *5928:DIODE 9.3 +*END + +*D_NET *4465 0.00523545 +*CONN +*I *40449:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38881:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38674:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40449:A 0.00106868 +2 *38881:A 4.53482e-05 +3 *38674:X 0.000224618 +4 *4465:32 0.00234776 +5 *4465:8 0.00154905 +6 *40449:A *40193:A 0 +7 *40449:A *4838:17 0 +8 *40449:A *4840:15 0 +9 *4465:32 *40193:A 0 +10 *4465:32 *4838:17 0 +11 *6989:DIODE *38881:A 0 +12 *37366:A *40449:A 0 +13 *40534:A *4465:8 0 +14 *1806:35 *40449:A 0 +15 *2023:24 *4465:32 0 +16 *3332:16 *40449:A 0 +17 *3332:17 *4465:32 0 +18 *3339:32 *4465:8 0 +19 *3352:15 *4465:8 0 +20 *3366:7 *4465:32 0 +21 *3450:13 *40449:A 0 +22 *3454:5 *38881:A 0 +23 *4459:31 *4465:8 0 +*RES +1 *38674:X *4465:8 18.8179 +2 *4465:8 *38881:A 14.7464 +3 *4465:8 *4465:32 40.8929 +4 *4465:32 *40449:A 31.6036 +*END + +*D_NET *4466 0.00908088 +*CONN +*I *8233:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40448:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38675:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8233:DIODE 0 +2 *40448:A 0.000175953 +3 *38675:X 0.00100494 +4 *4466:29 0.00139907 +5 *4466:28 0.00335955 +6 *4466:16 0.00314137 +7 *4466:16 *40196:A 0 +8 *4466:16 *5015:11 0 +9 *6669:DIODE *4466:16 0 +10 *6811:DIODE *4466:16 0 +11 *591:9 *4466:16 0 +12 *1805:38 *4466:28 0 +13 *2007:13 *4466:16 0 +14 *2042:15 *4466:16 0 +15 *3660:33 *4466:16 0 +16 *3906:11 *4466:28 0 +17 *3921:41 *4466:28 0 +18 *3926:13 *4466:28 0 +19 *4139:15 *4466:29 0 +20 *4141:21 *4466:28 0 +21 *4154:13 *40448:A 0 +22 *4154:13 *4466:29 0 +23 *4179:47 *4466:28 0 +*RES +1 *38675:X *4466:16 49.7107 +2 *4466:16 *4466:28 41.8657 +3 *4466:28 *4466:29 25.5893 +4 *4466:29 *40448:A 22.0321 +5 *4466:29 *8233:DIODE 9.3 +*END + +*D_NET *4467 0.000603772 +*CONN +*I *40447:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38676:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40447:A 0.000301886 +2 *38676:X 0.000301886 +3 *40447:A *4470:16 0 +4 *40447:A *5015:11 0 +5 *6670:DIODE *40447:A 0 +6 *6812:DIODE *40447:A 0 +*RES +1 *38676:X *40447:A 34.0821 +*END + +*D_NET *4468 0.014164 +*CONN +*I *8232:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40446:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38880:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7016:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38677:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *8232:DIODE 5.34824e-05 +2 *40446:A 0.00040916 +3 *38880:A 0 +4 *7016:DIODE 0.000143745 +5 *38677:X 8.56332e-05 +6 *4468:37 0.00123535 +7 *4468:34 0.00504912 +8 *4468:33 0.00561729 +9 *4468:8 0.000143745 +10 *4468:6 0.0014265 +11 *7016:DIODE *40194:A 0 +12 *4468:33 *40441:A 0 +13 *4468:33 *4473:25 0 +14 *4468:33 *5048:20 0 +15 *4468:34 *39104:A 0 +16 *4468:34 *39105:A 0 +17 *4468:34 *4841:17 0 +18 *4468:34 *5048:37 0 +19 *4468:37 *39109:A 0 +20 *4468:37 *5137:11 0 +21 la_data_in_mprj[57] *4468:34 0 +22 la_data_in_mprj[62] *4468:34 0 +23 la_data_in_mprj[63] *4468:34 0 +24 la_data_in_mprj[64] *4468:34 0 +25 la_data_in_mprj[65] *4468:34 0 +26 la_data_in_mprj[66] *4468:34 0 +27 *6674:DIODE *4468:33 0 +28 *6681:DIODE *4468:34 0 +29 *6995:DIODE *4468:33 0 +30 *38869:A *4468:34 0 +31 *40452:A *4468:37 0 +32 *466:5 *4468:6 0 +33 *468:8 *4468:33 0 +34 *606:7 *4468:37 0 +35 *854:8 *4468:34 0 +36 *1405:30 *40446:A 0 +37 *1963:16 *40446:A 0 +38 *2098:29 *4468:33 0 +39 *2103:24 *40446:A 0 +40 *2103:24 *4468:37 0 +41 *3339:16 *4468:33 0 +42 *3341:9 *4468:34 0 +43 *3346:8 *4468:6 0 +44 *3346:8 *4468:33 0 +45 *3356:9 *4468:33 0 +46 *3356:12 *4468:37 0 +47 *3605:17 *4468:33 0 +48 *3660:22 *4468:34 0 +49 *3705:11 *40446:A 0 +50 *3705:11 *4468:37 0 +51 *4155:29 *8232:DIODE 0 +52 *4459:36 *4468:34 0 +*RES +1 *38677:X *4468:6 15.7464 +2 *4468:6 *4468:8 4.5 +3 *4468:8 *7016:DIODE 12.3 +4 *4468:8 *38880:A 9.3 +5 *4468:6 *4468:33 41.0596 +6 *4468:33 *4468:34 89.25 +7 *4468:34 *4468:37 22.0804 +8 *4468:37 *40446:A 23.6304 +9 *4468:37 *8232:DIODE 15.2196 +*END + +*D_NET *4469 0.00185672 +*CONN +*I *40444:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38678:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40444:A 0.00092836 +2 *38678:X 0.00092836 +3 *40444:A *4838:21 0 +4 *2023:24 *40444:A 0 +5 *3331:50 *40444:A 0 +6 *3366:11 *40444:A 0 +7 *3572:18 *40444:A 0 +8 *3594:14 *40444:A 0 +9 *3594:26 *40444:A 0 +*RES +1 *38678:X *40444:A 47.3321 +*END + +*D_NET *4470 0.019268 +*CONN +*I *6359:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38250:A I *D sky130_fd_sc_hd__and2_1 +*I *5950:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37994:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *38679:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *6359:DIODE 0 +2 *38250:A 0 +3 *5950:DIODE 1.21715e-05 +4 *37994:A_N 0.000511046 +5 *38679:X 0.00130478 +6 *4470:77 0.00116243 +7 *4470:59 0.000924757 +8 *4470:47 0.00176263 +9 *4470:43 0.00318981 +10 *4470:34 0.00316143 +11 *4470:22 0.00322654 +12 *4470:16 0.0022427 +13 *4470:10 0.00176966 +14 *4470:16 *40196:A 0 +15 *4470:16 *5015:11 0 +16 *4470:22 *5015:11 0 +17 *4470:34 *5048:66 0 +18 *4470:43 *5015:31 0 +19 *4470:59 *37980:C 0 +20 la_data_in_mprj[53] *4470:16 0 +21 *6360:DIODE *4470:59 0 +22 *6662:DIODE *4470:34 0 +23 *6665:DIODE *4470:22 0 +24 *6803:DIODE *4470:34 0 +25 *38848:A *4470:34 0 +26 *39468:A *4470:77 0 +27 *39898:A *4470:59 0 +28 *39898:A *4470:77 0 +29 *40447:A *4470:16 0 +30 *464:13 *4470:16 0 +31 *1375:14 *4470:59 0 +32 *1524:17 *4470:59 0 +33 *1675:66 *4470:77 0 +34 *1801:11 *37994:A_N 0 +35 *1894:66 *4470:59 0 +36 *1894:66 *4470:77 0 +37 *1985:9 *4470:10 0 +38 *2061:56 *4470:59 0 +39 *2741:15 *4470:47 0 +40 *3057:12 *4470:43 0 +41 *3062:8 *4470:10 0 +42 *3064:17 *4470:43 0 +43 *3329:22 *4470:47 0 +44 *3339:60 *4470:47 0 +45 *3347:10 *4470:16 0 +46 *3426:10 *4470:47 0 +47 *3453:17 *4470:43 0 +48 *3454:5 *4470:34 0 +49 *3457:7 *4470:43 0 +50 *3494:8 *4470:22 0 +51 *3538:13 *4470:43 0 +52 *3594:14 *4470:10 0 +53 *3616:7 *4470:10 0 +54 *3616:11 *4470:10 0 +55 *3616:19 *4470:22 0 +56 *3616:34 *4470:34 0 +57 *3851:16 *4470:47 0 +58 *3861:70 *37994:A_N 0 +59 *3861:70 *4470:77 0 +60 *3878:14 *4470:47 0 +61 *3898:39 *4470:77 0 +62 *4136:25 *4470:47 0 +63 *4136:25 *4470:59 0 +64 *4175:17 *37994:A_N 0 +65 *4175:17 *4470:77 0 +66 *4214:24 *4470:47 0 +67 *4214:24 *4470:59 0 +68 *4392:49 *4470:77 0 +69 *4455:62 *4470:34 0 +70 *4458:7 *4470:34 0 +71 *4459:31 *4470:34 0 +*RES +1 *38679:X *4470:10 45.6393 +2 *4470:10 *4470:16 18.7857 +3 *4470:16 *4470:22 46.2857 +4 *4470:22 *4470:34 47.4011 +5 *4470:34 *4470:43 30.4991 +6 *4470:43 *4470:47 37.9643 +7 *4470:47 *4470:59 15.3393 +8 *4470:59 *4470:77 19.3076 +9 *4470:77 *37994:A_N 23.6314 +10 *4470:77 *5950:DIODE 17.4868 +11 *4470:59 *38250:A 9.3 +12 *4470:47 *6359:DIODE 9.3 +*END + +*D_NET *4471 0.00367001 +*CONN +*I *40443:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38680:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40443:A 0.00146312 +2 *38680:X 0.000371886 +3 *4471:20 0.00183501 +4 *40443:A *7145:DIODE 0 +5 *40443:A *40346:A 0 +6 *40443:A *4529:67 0 +7 *40443:A *4589:32 0 +8 *40443:A *5074:44 0 +9 *4471:20 *4780:99 0 +10 *4471:20 *5100:31 0 +11 *4471:20 *5171:77 0 +12 *6906:DIODE *40443:A 0 +13 *6948:DIODE *40443:A 0 +14 *37450:A *40443:A 0 +15 *40480:A *40443:A 0 +16 *1107:8 *40443:A 0 +17 *1248:24 *40443:A 0 +18 *1862:69 *40443:A 0 +19 *2713:83 *40443:A 0 +20 *2882:46 *4471:20 0 +21 *3375:23 *4471:20 0 +22 *3395:23 *4471:20 0 +23 *4460:27 *40443:A 0 +24 *4460:27 *4471:20 0 +*RES +1 *38680:X *4471:20 35.4964 +2 *4471:20 *40443:A 39.8357 +*END + +*D_NET *4472 0.000970143 +*CONN +*I *40441:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38879:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38681:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40441:A 0.000380754 +2 *38879:A 0.000104318 +3 *38681:X 0 +4 *4472:4 0.000485072 +5 *38879:A *4844:18 0 +6 *38879:A *5048:20 0 +7 *38879:A *5070:20 0 +8 *40441:A *4844:18 0 +9 *40441:A *5070:20 0 +10 *37369:A *40441:A 0 +11 *38681:A *40441:A 0 +12 *3605:17 *40441:A 0 +13 *4459:45 *38879:A 0 +14 *4462:49 *40441:A 0 +15 *4468:33 *40441:A 0 +*RES +1 *38681:X *4472:4 9.3 +2 *4472:4 *38879:A 20.5857 +3 *4472:4 *40441:A 17.2464 +*END + +*D_NET *4473 0.00565285 +*CONN +*I *40440:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38682:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40440:A 0 +2 *38682:X 0.000814792 +3 *4473:25 0.00201163 +4 *4473:19 0.00282643 +5 *4473:19 *5037:13 0 +6 *4473:25 *40191:A 0 +7 *5227:DIODE *4473:25 0 +8 *6815:DIODE *4473:25 0 +9 *6995:DIODE *4473:25 0 +10 *852:5 *4473:19 0 +11 *1809:20 *4473:25 0 +12 *1962:13 *4473:25 0 +13 *2035:40 *4473:25 0 +14 *3331:35 *4473:19 0 +15 *3450:10 *4473:25 0 +16 *3451:7 *4473:25 0 +17 *3451:9 *4473:25 0 +18 *3594:14 *4473:19 0 +19 *3616:7 *4473:19 0 +20 *3683:12 *4473:19 0 +21 *4459:45 *4473:19 0 +22 *4468:33 *4473:25 0 +*RES +1 *38682:X *4473:19 39.6083 +2 *4473:19 *4473:25 47.3214 +3 *4473:25 *40440:A 9.3 +*END + +*D_NET *4474 0.00626271 +*CONN +*I *40439:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38878:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38683:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40439:A 0 +2 *38878:A 0 +3 *38683:X 0.000756416 +4 *4474:26 0.00210608 +5 *4474:22 0.00237494 +6 *4474:11 0.00102527 +7 *4474:11 *39103:A 0 +8 *4474:11 *39104:A 0 +9 *4474:11 *4477:14 0 +10 *4474:11 *4477:24 0 +11 *4474:11 *5070:20 0 +12 *4474:26 *4846:11 0 +13 *4474:26 *5126:10 0 +14 la_data_in_mprj[59] *4474:11 0 +15 la_data_in_mprj[60] *4474:11 0 +16 *6679:DIODE *4474:22 0 +17 *854:8 *4474:11 0 +18 *2027:16 *4474:26 0 +19 *2033:27 *4474:26 0 +20 *2033:31 *4474:22 0 +21 *2035:28 *4474:26 0 +22 *2048:9 *4474:26 0 +23 *2098:30 *4474:26 0 +24 *2120:35 *4474:22 0 +25 *3172:33 *4474:22 0 +26 *3638:12 *4474:11 0 +27 *3638:12 *4474:22 0 +*RES +1 *38683:X *4474:11 34.5143 +2 *4474:11 *38878:A 9.3 +3 *4474:11 *4474:22 15.125 +4 *4474:22 *4474:26 48.6607 +5 *4474:26 *40439:A 13.8 +*END + +*D_NET *4475 0.0165288 +*CONN +*I *37892:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *5771:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6217:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38148:A I *D sky130_fd_sc_hd__and2_2 +*I *38684:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37892:A_N 0.000203639 +2 *5771:DIODE 0.000114134 +3 *6217:DIODE 0 +4 *38148:A 0.000151513 +5 *38684:X 0.00151729 +6 *4475:45 0.000756363 +7 *4475:23 0.00112286 +8 *4475:19 0.00452797 +9 *4475:18 0.00453618 +10 *4475:12 0.00174986 +11 *4475:8 0.00184901 +12 *4475:8 *4789:10 0 +13 *4475:8 *4797:8 0 +14 *4475:8 *4803:11 0 +15 *4475:8 *5181:10 0 +16 *4475:12 *4803:11 0 +17 *4475:18 *4511:52 0 +18 *6253:DIODE *5771:DIODE 0 +19 *6678:DIODE *4475:8 0 +20 *37940:A_N *4475:12 0 +21 *38172:B *4475:45 0 +22 *39425:A *4475:19 0 +23 *39426:A *4475:8 0 +24 *39436:B *4475:8 0 +25 *293:27 *4475:8 0 +26 *299:40 *4475:18 0 +27 *1345:11 *37892:A_N 0 +28 *1345:11 *4475:45 0 +29 *1369:6 *4475:45 0 +30 *1473:8 *38148:A 0 +31 *1473:9 *38148:A 0 +32 *1473:9 *4475:19 0 +33 *1473:9 *4475:23 0 +34 *1477:13 *4475:19 0 +35 *1629:13 *4475:19 0 +36 *2362:8 *4475:8 0 +37 *2449:18 *38148:A 0 +38 *3068:34 *4475:8 0 +39 *3068:34 *4475:12 0 +40 *3149:44 *4475:12 0 +41 *3149:44 *4475:45 0 +42 *3381:40 *4475:8 0 +43 *4127:29 *4475:18 0 +44 *4163:35 *4475:18 0 +45 *4163:35 *4475:45 0 +46 *4167:40 *5771:DIODE 0 +47 *4190:41 *37892:A_N 0 +48 *4190:56 *5771:DIODE 0 +49 *4195:5 *4475:18 0 +50 *4195:5 *4475:45 0 +51 *4201:23 *5771:DIODE 0 +52 *4419:51 *37892:A_N 0 +53 *4419:51 *4475:45 0 +54 *4451:20 *38148:A 0 +55 *4451:54 *4475:8 0 +*RES +1 *38684:X *4475:8 48.2196 +2 *4475:8 *4475:12 12.0625 +3 *4475:12 *4475:18 29.8214 +4 *4475:18 *4475:19 74.2589 +5 *4475:19 *4475:23 20.3661 +6 *4475:23 *38148:A 21.6929 +7 *4475:23 *6217:DIODE 9.3 +8 *4475:12 *4475:45 18.6429 +9 *4475:45 *5771:DIODE 20.7821 +10 *4475:45 *37892:A_N 13.675 +*END + +*D_NET *4476 0.00734388 +*CONN +*I *40437:A I *D sky130_fd_sc_hd__buf_2 +*I *38685:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40437:A 0.00146223 +2 *38685:X 0.000216106 +3 *4476:13 0.00345583 +4 *4476:10 0.00220971 +5 *40437:A *39098:A 0 +6 *4476:10 *4841:17 0 +7 *4476:13 *4477:14 0 +8 la_data_in_mprj[56] *40437:A 0 +9 la_data_in_mprj[60] *4476:10 0 +10 *6814:DIODE *40437:A 0 +11 *467:5 *40437:A 0 +12 *850:13 *40437:A 0 +13 *2012:18 *4476:13 0 +14 *2018:8 *40437:A 0 +15 *2018:14 *40437:A 0 +16 *2027:40 *40437:A 0 +17 *2049:14 *4476:13 0 +18 *2082:25 *40437:A 0 +19 *2088:17 *40437:A 0 +20 *3057:9 *4476:13 0 +21 *3332:16 *40437:A 0 +22 *3594:26 *40437:A 0 +23 *3638:12 *4476:10 0 +24 *3908:9 *40437:A 0 +*RES +1 *38685:X *4476:10 22.9429 +2 *4476:10 *4476:13 46.1071 +3 *4476:13 *40437:A 45.6571 +*END + +*D_NET *4477 0.00489445 +*CONN +*I *40436:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38686:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40436:A 0 +2 *38686:X 0.00111234 +3 *4477:24 0.00133488 +4 *4477:14 0.00244723 +5 *4477:24 *39103:A 0 +6 *4477:24 *4843:16 0 +7 *4477:24 *4844:18 0 +8 *4477:24 *5048:20 0 +9 *601:9 *4477:14 0 +10 *854:8 *4477:14 0 +11 *857:9 *4477:14 0 +12 *2012:18 *4477:14 0 +13 *2023:24 *4477:24 0 +14 *2048:10 *4477:14 0 +15 *2071:14 *4477:14 0 +16 *2082:25 *4477:24 0 +17 *2098:29 *4477:24 0 +18 *2120:35 *4477:24 0 +19 *3057:9 *4477:14 0 +20 *3366:15 *4477:24 0 +21 *3638:12 *4477:24 0 +22 *4459:45 *4477:24 0 +23 *4474:11 *4477:14 0 +24 *4474:11 *4477:24 0 +25 *4476:13 *4477:14 0 +*RES +1 *38686:X *4477:14 46.4875 +2 *4477:14 *4477:24 42.1339 +3 *4477:24 *40436:A 9.3 +*END + +*D_NET *4478 0.000444828 +*CONN +*I *40435:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38687:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40435:A 0.000222414 +2 *38687:X 0.000222414 +3 *40435:A *39106:A 0 +4 *475:5 *40435:A 0 +5 *3671:14 *40435:A 0 +*RES +1 *38687:X *40435:A 32.35 +*END + +*D_NET *4479 0.0147586 +*CONN +*I *40434:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8228:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40433:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8227:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38688:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *40434:A 0.000247098 +2 *8228:DIODE 6.50276e-05 +3 *40433:A 0 +4 *8227:DIODE 0.000143745 +5 *38688:X 0 +6 *4479:46 0.00186125 +7 *4479:35 0.00325209 +8 *4479:16 0.000189364 +9 *4479:13 0.00219666 +10 *4479:10 0.00362572 +11 *4479:4 0.00317765 +12 *4479:10 *39105:A 0 +13 *4479:10 *39108:A 0 +14 *4479:13 *5081:14 0 +15 *4479:16 *5081:14 0 +16 *4479:35 *39107:A 0 +17 *4479:35 *39108:A 0 +18 *4479:35 *39109:A 0 +19 *4479:35 *5115:20 0 +20 *4479:46 *4851:20 0 +21 *4479:46 *5148:7 0 +22 *6689:DIODE *4479:46 0 +23 *6824:DIODE *4479:35 0 +24 *7004:DIODE *4479:35 0 +25 *7009:DIODE *4479:46 0 +26 *37367:A *4479:10 0 +27 *37372:A *4479:35 0 +28 *37373:A *4479:10 0 +29 *37374:A *4479:35 0 +30 *38688:A *4479:10 0 +31 *38865:A *4479:10 0 +32 *38870:A *4479:35 0 +33 *38870:A *4479:46 0 +34 *476:5 *4479:35 0 +35 *1807:38 *4479:10 0 +36 *1959:9 *4479:16 0 +37 *1996:16 *40434:A 0 +38 *2000:18 *8227:DIODE 0 +39 *2012:17 *4479:10 0 +40 *2033:7 *4479:46 0 +41 *2033:27 *4479:35 0 +42 *2053:7 *40434:A 0 +43 *2053:7 *4479:46 0 +44 *2055:10 *4479:10 0 +45 *2088:46 *8228:DIODE 0 +46 *2138:34 *40434:A 0 +47 *2143:45 *4479:10 0 +48 *3156:54 *4479:16 0 +49 *3216:32 *8228:DIODE 0 +50 *3216:32 *40434:A 0 +51 *3324:14 *4479:35 0 +52 *3331:19 *4479:10 0 +53 *3671:14 *4479:10 0 +54 *3738:13 *4479:46 0 +55 *3902:48 *4479:16 0 +56 *4145:9 *8227:DIODE 0 +57 *4145:9 *4479:13 0 +*RES +1 *38688:X *4479:4 9.3 +2 *4479:4 *4479:10 40.7857 +3 *4479:10 *4479:13 49.3929 +4 *4479:13 *4479:16 5.53571 +5 *4479:16 *8227:DIODE 12.3 +6 *4479:16 *40433:A 9.3 +7 *4479:4 *4479:35 44.7857 +8 *4479:35 *4479:46 46.625 +9 *4479:46 *8228:DIODE 15.1571 +10 *4479:46 *40434:A 19.2464 +*END + +*D_NET *4480 0.00966252 +*CONN +*I *5982:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38010:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *38877:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7015:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38689:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5982:DIODE 0 +2 *38010:A_N 0.000385442 +3 *38877:A 0.00014075 +4 *7015:DIODE 0.000261822 +5 *38689:X 0 +6 *4480:36 0.000821383 +7 *4480:35 0.00381051 +8 *4480:8 0.000635306 +9 *4480:5 0.00360731 +10 *7015:DIODE *39108:A 0 +11 *38877:A *39108:A 0 +12 *6825:DIODE *4480:8 0 +13 *37372:A *4480:8 0 +14 *37812:A *4480:35 0 +15 *38548:A *7015:DIODE 0 +16 *39481:B *4480:36 0 +17 *40679:A *4480:36 0 +18 *40873:A *38010:A_N 0 +19 *40873:A *4480:36 0 +20 *860:9 *4480:8 0 +21 *1813:23 *4480:35 0 +22 *1939:12 *4480:35 0 +23 *2070:23 *4480:35 0 +24 *2083:34 *4480:35 0 +25 *2149:14 *4480:35 0 +26 *2187:11 *38010:A_N 0 +27 *2196:23 *4480:35 0 +28 *2197:11 *4480:35 0 +29 *2202:23 *4480:35 0 +30 *2852:32 *4480:36 0 +31 *3139:23 *4480:8 0 +32 *3146:8 *4480:8 0 +33 *3172:29 *4480:35 0 +34 *3578:58 *38010:A_N 0 +35 *3578:58 *4480:36 0 +36 *3612:67 *4480:36 0 +37 *3615:10 *38010:A_N 0 +38 *3615:10 *4480:36 0 +39 *3630:14 *4480:35 0 +40 *4346:63 *4480:36 0 +*RES +1 *38689:X *4480:5 13.8 +2 *4480:5 *4480:8 9.78571 +3 *4480:8 *7015:DIODE 14.7643 +4 *4480:8 *38877:A 12.3 +5 *4480:5 *4480:35 44.5881 +6 *4480:35 *4480:36 9.16071 +7 *4480:36 *38010:A_N 26.6929 +8 *4480:36 *5982:DIODE 9.3 +*END + +*D_NET *4481 0.00670319 +*CONN +*I *38012:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *40431:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38690:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38012:A_N 0.000355496 +2 *40431:A 0.000312183 +3 *38690:X 0.00103986 +4 *4481:25 0.00195624 +5 *4481:14 0.00303941 +6 *5985:DIODE *38012:A_N 0 +7 *6685:DIODE *4481:14 0 +8 *7005:DIODE *4481:14 0 +9 *37374:A *4481:14 0 +10 *38549:A *4481:14 0 +11 *38690:A *4481:14 0 +12 *477:9 *4481:14 0 +13 *1980:15 *4481:14 0 +14 *1992:13 *4481:14 0 +15 *2018:7 *4481:25 0 +16 *2027:16 *4481:14 0 +17 *2029:32 *4481:25 0 +18 *2091:31 *4481:14 0 +19 *2098:41 *4481:14 0 +20 *2100:23 *38012:A_N 0 +21 *2111:13 *4481:25 0 +22 *2126:28 *38012:A_N 0 +23 *3132:15 *40431:A 0 +24 *3132:15 *4481:25 0 +25 *3146:8 *38012:A_N 0 +26 *3146:8 *4481:14 0 +27 *3156:28 *4481:25 0 +28 *3258:56 *40431:A 0 +29 *3258:56 *4481:25 0 +30 *3328:11 *4481:14 0 +31 *3694:19 *4481:14 0 +32 *3934:14 *40431:A 0 +33 *3934:14 *4481:25 0 +34 *4118:21 *4481:14 0 +35 *4145:9 *4481:25 0 +36 *4179:20 *4481:25 0 +*RES +1 *38690:X *4481:14 39.727 +2 *4481:14 *4481:25 48.3929 +3 *4481:25 *40431:A 25.0857 +4 *4481:14 *38012:A_N 21.8536 +*END + +*D_NET *4482 0.0107551 +*CONN +*I *37906:C I *D sky130_fd_sc_hd__and3b_1 +*I *5798:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38691:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *37906:C 0.000489909 +2 *5798:DIODE 0 +3 *38691:X 0.000966453 +4 *4482:22 0.00232439 +5 *4482:20 0.00392117 +6 *4482:14 0.00305315 +7 *4482:14 *39052:A 0 +8 *4482:14 *4785:17 0 +9 *4482:14 *4787:29 0 +10 *4482:20 *4549:15 0 +11 *4482:20 *4582:22 0 +12 *4482:20 *4637:30 0 +13 *4482:20 *4649:33 0 +14 *4482:20 *4866:10 0 +15 *4482:22 *4549:15 0 +16 *4482:22 *4582:22 0 +17 la_data_in_mprj[13] *4482:14 0 +18 la_data_in_mprj[14] *4482:14 0 +19 la_data_in_mprj[18] *4482:20 0 +20 *5814:DIODE *37906:C 0 +21 *5814:DIODE *4482:22 0 +22 *6620:DIODE *4482:14 0 +23 *6626:DIODE *4482:20 0 +24 *37797:A *37906:C 0 +25 *38484:A *4482:14 0 +26 *40054:A *37906:C 0 +27 *419:5 *4482:14 0 +28 *421:5 *4482:14 0 +29 *1170:26 *4482:22 0 +30 *1297:18 *4482:22 0 +31 *1354:49 *4482:22 0 +32 *2360:36 *4482:22 0 +33 *2827:13 *4482:14 0 +34 *2949:20 *4482:22 0 +35 *3204:21 *4482:22 0 +36 *3249:38 *4482:22 0 +37 *3381:13 *4482:20 0 +38 *3383:48 *37906:C 0 +39 *3390:17 *4482:22 0 +40 *3644:37 *37906:C 0 +41 *4150:27 *4482:22 0 +42 *4208:33 *37906:C 0 +43 *4208:33 *4482:22 0 +44 *4398:70 *37906:C 0 +45 *4420:17 *4482:20 0 +46 *4421:29 *4482:22 0 +47 *4430:36 *4482:20 0 +*RES +1 *38691:X *4482:14 46.5225 +2 *4482:14 *4482:20 16.0151 +3 *4482:20 *4482:22 41.6875 +4 *4482:22 *5798:DIODE 13.8 +5 *4482:22 *37906:C 29.5101 +*END + +*D_NET *4483 0.000436736 +*CONN +*I *40430:A I *D sky130_fd_sc_hd__buf_2 +*I *38692:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40430:A 0.000218368 +2 *38692:X 0.000218368 +3 *40430:A *39111:A 0 +4 *7007:DIODE *40430:A 0 +5 *479:5 *40430:A 0 +*RES +1 *38692:X *40430:A 32.5107 +*END + +*D_NET *4484 0.00419508 +*CONN +*I *40428:A I *D sky130_fd_sc_hd__buf_2 +*I *38693:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40428:A 0.000340539 +2 *38693:X 8.57316e-05 +3 *4484:14 0.00201181 +4 *4484:8 0.001757 +5 *4484:8 *5159:20 0 +6 *4484:14 *4851:20 0 +7 *4484:14 *5148:7 0 +8 *6551:DIODE *4484:14 0 +9 *6831:DIODE *4484:14 0 +10 *6833:DIODE *4484:14 0 +11 *7008:DIODE *4484:8 0 +12 *7008:DIODE *4484:14 0 +13 *37377:A *4484:14 0 +14 *1818:32 *4484:14 0 +15 *1981:15 *4484:8 0 +16 *2120:65 *4484:14 0 +17 *2139:34 *40428:A 0 +18 *3738:13 *40428:A 0 +19 *3760:13 *4484:14 0 +*RES +1 *38693:X *4484:8 20.2464 +2 *4484:8 *4484:14 44.1964 +3 *4484:14 *40428:A 16.4071 +*END + +*D_NET *4485 0.0166018 +*CONN +*I *8224:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40427:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38694:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8224:DIODE 0 +2 *40427:A 0.000356325 +3 *38694:X 0.000232753 +4 *4485:45 0.00110949 +5 *4485:41 0.00311448 +6 *4485:32 0.00297629 +7 *4485:15 0.00313993 +8 *4485:14 0.00398237 +9 *4485:8 0.00169017 +10 *4485:15 *4491:17 0 +11 *4485:41 *4489:41 0 +12 *4485:41 *4489:43 0 +13 *4485:45 *4489:43 0 +14 *6688:DIODE *4485:8 0 +15 *6692:DIODE *4485:14 0 +16 *6830:DIODE *4485:8 0 +17 *7009:DIODE *4485:8 0 +18 *8705:DIODE *4485:41 0 +19 *8748:DIODE *4485:41 0 +20 *8771:DIODE *4485:41 0 +21 *8863:DIODE *40427:A 0 +22 *8904:DIODE *4485:45 0 +23 *40324:A *40427:A 0 +24 *40528:A *40427:A 0 +25 *40602:A *4485:41 0 +26 *1981:62 *40427:A 0 +27 *1993:35 *4485:32 0 +28 *2008:13 *4485:32 0 +29 *2033:14 *4485:14 0 +30 *2077:62 *4485:41 0 +31 *2081:32 *4485:32 0 +32 *2081:50 *4485:41 0 +33 *2120:56 *4485:14 0 +34 *2120:65 *4485:14 0 +35 *2121:18 *4485:32 0 +36 *2121:30 *4485:32 0 +37 *2121:30 *4485:41 0 +38 *3139:11 *4485:14 0 +39 *3216:25 *4485:15 0 +40 *3216:32 *4485:15 0 +41 *3259:44 *40427:A 0 +42 *3270:72 *4485:45 0 +43 *3321:9 *40427:A 0 +44 *3355:42 *4485:41 0 +45 *3518:23 *4485:41 0 +46 *3530:20 *4485:41 0 +47 *3530:20 *4485:45 0 +48 *3727:23 *4485:14 0 +49 *3800:20 *4485:32 0 +50 *3800:20 *4485:41 0 +51 *3813:20 *4485:41 0 +52 *4032:60 *40427:A 0 +53 *4243:32 *40427:A 0 +54 *4302:51 *4485:32 0 +*RES +1 *38694:X *4485:8 23.5857 +2 *4485:8 *4485:14 39.6964 +3 *4485:14 *4485:15 52.6964 +4 *4485:15 *4485:32 31.6964 +5 *4485:32 *4485:41 49.5893 +6 *4485:41 *4485:45 15.75 +7 *4485:45 *40427:A 26.4071 +8 *4485:45 *8224:DIODE 9.3 +*END + +*D_NET *4486 0.000144721 +*CONN +*I *40426:A I *D sky130_fd_sc_hd__buf_2 +*I *38695:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40426:A 7.23606e-05 +2 *38695:X 7.23606e-05 +3 *7011:DIODE *40426:A 0 +4 *483:5 *40426:A 0 +5 *865:8 *40426:A 0 +*RES +1 *38695:X *40426:A 29.2429 +*END + +*D_NET *4487 0.0165222 +*CONN +*I *5773:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37894:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *38150:A I *D sky130_fd_sc_hd__and2_1 +*I *6220:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38696:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5773:DIODE 0.000357224 +2 *37894:A_N 0 +3 *38150:A 0.000144601 +4 *6220:DIODE 0 +5 *38696:X 0.00020607 +6 *4487:37 0.00165943 +7 *4487:19 0.00161277 +8 *4487:12 0.00152193 +9 *4487:9 0.00608502 +10 *4487:8 0.00493512 +11 *38150:A *4788:12 0 +12 *4487:9 *5181:11 0 +13 *6653:DIODE *4487:9 0 +14 *6784:DIODE *4487:9 0 +15 *37894:B *5773:DIODE 0 +16 *38150:B *38150:A 0 +17 *38401:A *4487:8 0 +18 *38411:A *38150:A 0 +19 *39476:A *4487:9 0 +20 *1346:9 *5773:DIODE 0 +21 *1350:14 *4487:37 0 +22 *1680:9 *4487:9 0 +23 *1775:10 *4487:8 0 +24 *2449:10 *4487:8 0 +25 *3069:29 *4487:8 0 +26 *3069:29 *4487:9 0 +27 *3600:57 *5773:DIODE 0 +28 *3600:57 *4487:37 0 +29 *3749:9 *4487:8 0 +30 *4249:70 *4487:37 0 +*RES +1 *38696:X *4487:8 22.9786 +2 *4487:8 *4487:9 98.6964 +3 *4487:9 *4487:12 35.2857 +4 *4487:12 *6220:DIODE 13.8 +5 *4487:12 *4487:19 3.76786 +6 *4487:19 *38150:A 16.8179 +7 *4487:19 *4487:37 32.9286 +8 *4487:37 *37894:A_N 9.3 +9 *4487:37 *5773:DIODE 16.8179 +*END + +*D_NET *4488 0.0189836 +*CONN +*I *8223:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40425:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38697:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8223:DIODE 4.53482e-05 +2 *40425:A 0.000194209 +3 *38697:X 0.00149456 +4 *4488:84 0.00185742 +5 *4488:74 0.00291195 +6 *4488:45 0.00277693 +7 *4488:24 0.00278203 +8 *4488:16 0.00336289 +9 *4488:11 0.00355826 +10 *4488:11 *4490:16 0 +11 *4488:11 *5170:14 0 +12 *4488:16 *4490:16 0 +13 *4488:16 *4860:23 0 +14 *4488:16 *5170:31 0 +15 *4488:24 *40412:A 0 +16 *4488:24 *4500:13 0 +17 *4488:24 *5170:31 0 +18 *4488:45 *4495:36 0 +19 *4488:74 *4496:33 0 +20 *4488:74 *4501:20 0 +21 *4488:74 *5170:52 0 +22 la_data_in_mprj[74] *4488:11 0 +23 *6556:DIODE *4488:16 0 +24 *6562:DIODE *4488:24 0 +25 *6563:DIODE *4488:45 0 +26 *6841:DIODE *4488:16 0 +27 *6846:DIODE *4488:45 0 +28 *37634:A *4488:16 0 +29 *38416:A *4488:11 0 +30 *38420:A *4488:16 0 +31 *38431:A *4488:74 0 +32 *38559:A *4488:11 0 +33 *38561:A *4488:16 0 +34 *38565:A *4488:24 0 +35 *38567:A *4488:24 0 +36 *38572:A *4488:74 0 +37 *38698:A *4488:11 0 +38 *38699:A *4488:11 0 +39 *38704:A *4488:16 0 +40 *875:8 *4488:24 0 +41 *2141:14 *4488:11 0 +42 *2141:57 *4488:84 0 +43 *2361:16 *4488:11 0 +44 *2394:46 *4488:45 0 +45 *2405:5 *4488:16 0 +46 *2405:5 *4488:24 0 +47 *2407:41 *4488:74 0 +48 *2409:29 *4488:45 0 +49 *2472:21 *4488:45 0 +50 *2483:19 *4488:74 0 +51 *2505:15 *4488:74 0 +52 *2516:12 *4488:74 0 +53 *3133:21 *8223:DIODE 0 +54 *3140:60 *4488:84 0 +55 *3236:53 *40425:A 0 +56 *3255:11 *40425:A 0 +57 *3314:28 *4488:84 0 +58 *3320:29 *4488:16 0 +59 *3320:54 *4488:16 0 +60 *3328:22 *4488:11 0 +61 *3328:30 *4488:16 0 +62 *3341:27 *4488:11 0 +63 *3442:24 *4488:45 0 +64 *3511:15 *4488:74 0 +65 *3533:19 *4488:74 0 +66 *3782:35 *4488:24 0 +67 *3782:61 *4488:74 0 +68 *3794:16 *4488:11 0 +69 *3807:41 *4488:84 0 +70 *3816:20 *4488:16 0 +71 *3816:20 *4488:24 0 +72 *3816:33 *4488:45 0 +73 *3816:53 *4488:45 0 +74 *3860:37 *4488:24 0 +75 *3860:45 *4488:45 0 +76 *3860:45 *4488:74 0 +77 *3882:13 *4488:24 0 +78 *3905:22 *4488:45 0 +79 *4032:71 *40425:A 0 +80 *4083:63 *8223:DIODE 0 +81 *4253:72 *4488:84 0 +82 *4275:26 *40425:A 0 +*RES +1 *38697:X *4488:11 40.8 +2 *4488:11 *4488:16 47.6607 +3 *4488:16 *4488:24 40.8214 +4 *4488:24 *4488:45 49.6023 +5 *4488:45 *4488:74 44.124 +6 *4488:74 *4488:84 39.4155 +7 *4488:84 *40425:A 18.1571 +8 *4488:84 *8223:DIODE 14.7464 +*END + +*D_NET *4489 0.0141047 +*CONN +*I *8222:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40424:A I *D sky130_fd_sc_hd__buf_2 +*I *38698:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8222:DIODE 6.20329e-05 +2 *40424:A 0.000115187 +3 *38698:X 0.00130259 +4 *4489:43 0.00156077 +5 *4489:41 0.00253296 +6 *4489:26 0.00418902 +7 *4489:15 0.00434219 +8 *4489:15 *5170:14 0 +9 *4489:41 *4865:11 0 +10 *6692:DIODE *4489:15 0 +11 *6834:DIODE *4489:15 0 +12 *8731:DIODE *4489:43 0 +13 *8904:DIODE *4489:43 0 +14 *37379:A *4489:26 0 +15 *1967:37 *4489:26 0 +16 *2053:19 *4489:15 0 +17 *2053:19 *4489:26 0 +18 *2077:62 *4489:43 0 +19 *2116:45 *4489:26 0 +20 *2129:13 *4489:15 0 +21 *2143:23 *4489:26 0 +22 *2431:112 *4489:26 0 +23 *2437:40 *4489:26 0 +24 *3139:11 *4489:15 0 +25 *3139:11 *4489:26 0 +26 *3140:98 *4489:26 0 +27 *3228:37 *8222:DIODE 0 +28 *3228:37 *4489:43 0 +29 *3259:44 *4489:43 0 +30 *3270:72 *8222:DIODE 0 +31 *3270:72 *4489:43 0 +32 *3270:93 *4489:41 0 +33 *3322:18 *4489:26 0 +34 *3335:5 *4489:26 0 +35 *3518:23 *4489:41 0 +36 *3530:20 *4489:41 0 +37 *3727:23 *4489:15 0 +38 *4029:29 *8222:DIODE 0 +39 *4122:65 *4489:26 0 +40 *4250:42 *8222:DIODE 0 +41 *4284:51 *4489:41 0 +42 *4485:41 *4489:41 0 +43 *4485:41 *4489:43 0 +44 *4485:45 *4489:43 0 +*RES +1 *38698:X *4489:15 45.9518 +2 *4489:15 *4489:26 47.8734 +3 *4489:26 *4489:41 46.625 +4 *4489:41 *4489:43 28.875 +5 *4489:43 *40424:A 20.8536 +6 *4489:43 *8222:DIODE 10.6571 +*END + +*D_NET *4490 0.0165014 +*CONN +*I *8221:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40423:A I *D sky130_fd_sc_hd__buf_2 +*I *38699:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8221:DIODE 0.000369124 +2 *40423:A 0 +3 *38699:X 0.000956192 +4 *4490:78 0.00042888 +5 *4490:73 0.00253143 +6 *4490:55 0.00406552 +7 *4490:38 0.00326283 +8 *4490:27 0.00280012 +9 *4490:16 0.00208733 +10 *4490:16 *4491:16 0 +11 *4490:27 *4860:23 0 +12 *4490:27 *5170:27 0 +13 *4490:38 *4495:27 0 +14 la_data_in_mprj[74] *4490:16 0 +15 *6697:DIODE *4490:27 0 +16 *38419:A *4490:27 0 +17 *38422:A *4490:38 0 +18 *38559:A *4490:16 0 +19 *38562:A *4490:27 0 +20 *871:5 *4490:16 0 +21 *1960:22 *4490:55 0 +22 *1981:41 *4490:55 0 +23 *1981:62 *8221:DIODE 0 +24 *1981:62 *4490:73 0 +25 *1981:62 *4490:78 0 +26 *2069:9 *4490:16 0 +27 *2089:16 *4490:55 0 +28 *2106:23 *4490:55 0 +29 *2115:49 *4490:55 0 +30 *2361:22 *4490:55 0 +31 *2394:19 *4490:27 0 +32 *2394:19 *4490:38 0 +33 *2394:27 *4490:38 0 +34 *2394:46 *4490:73 0 +35 *2405:24 *4490:55 0 +36 *2409:22 *4490:55 0 +37 *2416:15 *4490:38 0 +38 *2427:21 *4490:38 0 +39 *3173:51 *8221:DIODE 0 +40 *3243:27 *8221:DIODE 0 +41 *3243:27 *4490:78 0 +42 *3322:18 *4490:73 0 +43 *3328:30 *4490:16 0 +44 *3328:43 *4490:38 0 +45 *3341:27 *4490:16 0 +46 *3760:17 *4490:27 0 +47 *3760:50 *4490:55 0 +48 *3782:35 *4490:73 0 +49 *3792:37 *4490:73 0 +50 *3816:33 *4490:38 0 +51 *3860:37 *4490:55 0 +52 *3905:22 *4490:55 0 +53 *4083:52 *8221:DIODE 0 +54 *4083:63 *8221:DIODE 0 +55 *4246:27 *4490:73 0 +56 *4303:112 *8221:DIODE 0 +57 *4488:11 *4490:16 0 +58 *4488:16 *4490:16 0 +*RES +1 *38699:X *4490:16 47.4786 +2 *4490:16 *4490:27 23.7321 +3 *4490:27 *4490:38 48.6607 +4 *4490:38 *4490:55 48.4493 +5 *4490:55 *4490:73 49.8934 +6 *4490:73 *4490:78 4.82964 +7 *4490:78 *40423:A 13.8 +8 *4490:78 *8221:DIODE 21.7643 +*END + +*D_NET *4491 0.00773015 +*CONN +*I *40422:A I *D sky130_fd_sc_hd__buf_2 +*I *8220:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38700:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40422:A 0.000114694 +2 *8220:DIODE 0 +3 *38700:X 0.000554675 +4 *4491:31 0.000883844 +5 *4491:17 0.0031957 +6 *4491:16 0.00298123 +7 *4491:16 *4851:10 0 +8 *4491:17 *4860:11 0 +9 *6836:DIODE *4491:16 0 +10 *40520:A *40422:A 0 +11 *40520:A *4491:31 0 +12 *1987:13 *4491:16 0 +13 *2080:15 *4491:16 0 +14 *2116:45 *40422:A 0 +15 *3055:9 *4491:17 0 +16 *3206:47 *4491:31 0 +17 *3216:25 *4491:17 0 +18 *3216:25 *4491:31 0 +19 *3335:5 *4491:16 0 +20 *4122:74 *40422:A 0 +21 *4485:15 *4491:17 0 +22 *4490:16 *4491:16 0 +*RES +1 *38700:X *4491:16 39.5857 +2 *4491:16 *4491:17 50.6429 +3 *4491:17 *4491:31 34.2143 +4 *4491:31 *8220:DIODE 9.3 +5 *4491:31 *40422:A 20.8893 +*END + +*D_NET *4492 0.00833378 +*CONN +*I *8219:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40421:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38701:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *8219:DIODE 0 +2 *40421:A 0.00022323 +3 *38701:X 0.000509605 +4 *4492:19 0.00162496 +5 *4492:17 0.00343405 +6 *4492:14 0.00254193 +7 *4492:14 *5148:12 0 +8 *6555:DIODE *4492:14 0 +9 *2087:15 *4492:14 0 +10 *2118:25 *4492:14 0 +11 *2118:39 *4492:19 0 +12 *2135:50 *4492:17 0 +13 *2135:50 *4492:19 0 +14 *2139:67 *4492:19 0 +15 *2424:69 *40421:A 0 +16 *3139:10 *4492:14 0 +17 *3305:15 *4492:19 0 +18 *3760:17 *4492:14 0 +19 *3814:31 *4492:19 0 +*RES +1 *38701:X *4492:14 29.6036 +2 *4492:14 *4492:17 42.4464 +3 *4492:17 *4492:19 29.2857 +4 *4492:19 *40421:A 23.0679 +5 *4492:19 *8219:DIODE 9.3 +*END + +*D_NET *4493 0.0143235 +*CONN +*I *5801:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37908:C I *D sky130_fd_sc_hd__and3b_1 +*I *38702:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *5801:DIODE 0.000230267 +2 *37908:C 0.000186458 +3 *38702:X 9.1552e-05 +4 *4493:38 0.002222 +5 *4493:37 0.00279524 +6 *4493:34 0.00227018 +7 *4493:15 0.00385822 +8 *4493:13 0.00266955 +9 *4493:13 *4891:49 0 +10 *4493:15 *7192:DIODE 0 +11 *4493:15 *4693:29 0 +12 *4493:15 *4891:51 0 +13 *4493:34 *4499:10 0 +14 *4493:34 *4504:43 0 +15 *4493:34 *4511:18 0 +16 *4493:34 *4537:19 0 +17 *4493:37 *4504:57 0 +18 *4493:38 *39080:A 0 +19 *4493:38 *4786:8 0 +20 *4493:38 *4798:11 0 +21 *6560:DIODE *4493:34 0 +22 *6784:DIODE *4493:38 0 +23 *6844:DIODE *4493:34 0 +24 *37474:A *4493:15 0 +25 *37474:A *4493:34 0 +26 *37481:A *4493:13 0 +27 *37481:A *4493:15 0 +28 *37497:A *4493:38 0 +29 *37908:A_N *37908:C 0 +30 *38395:A *4493:38 0 +31 *38437:A *4493:15 0 +32 *877:8 *4493:34 0 +33 *1486:10 *5801:DIODE 0 +34 *1629:10 *4493:38 0 +35 *2364:8 *4493:38 0 +36 *2572:11 *4493:15 0 +37 *2572:11 *4493:34 0 +38 *3381:64 *37908:C 0 +39 *3390:47 *4493:38 0 +40 *3390:52 *4493:38 0 +41 *3390:62 *5801:DIODE 0 +42 *3390:62 *37908:C 0 +43 *3390:62 *4493:38 0 +44 *3505:10 *4493:34 0 +45 *3563:56 *5801:DIODE 0 +46 *4127:14 *4493:37 0 +47 *4249:15 *4493:15 0 +48 *4418:39 *4493:38 0 +49 *4419:30 *4493:15 0 +50 *4425:44 *5801:DIODE 0 +51 *4425:44 *37908:C 0 +52 *4451:54 *4493:34 0 +53 *4451:72 *4493:15 0 +*RES +1 *38702:X *4493:13 11.6661 +2 *4493:13 *4493:15 53.9286 +3 *4493:15 *4493:34 29.3912 +4 *4493:34 *4493:37 25.1607 +5 *4493:37 *4493:38 40.9554 +6 *4493:38 *37908:C 18.0054 +7 *4493:38 *5801:DIODE 19.0768 +*END + +*D_NET *4494 0.01201 +*CONN +*I *40419:A I *D sky130_fd_sc_hd__buf_2 +*I *8217:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38703:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40419:A 0.000234364 +2 *8217:DIODE 0.000261822 +3 *38703:X 0.00017925 +4 *4494:28 0.00162239 +5 *4494:22 0.00290601 +6 *4494:9 0.00420336 +7 *4494:8 0.00260281 +8 *4494:9 *4864:20 0 +9 *4494:22 *4864:20 0 +10 *4494:22 *5170:45 0 +11 *6708:DIODE *4494:28 0 +12 *626:5 *4494:28 0 +13 *872:7 *4494:8 0 +14 *882:9 *4494:28 0 +15 *1216:17 *4494:22 0 +16 *1981:16 *4494:9 0 +17 *2051:21 *4494:9 0 +18 *2072:14 *4494:22 0 +19 *2080:16 *4494:9 0 +20 *2080:16 *4494:22 0 +21 *2087:15 *4494:8 0 +22 *2089:16 *4494:28 0 +23 *2372:11 *4494:9 0 +24 *2383:31 *4494:22 0 +25 *2428:39 *4494:22 0 +26 *3508:18 *4494:28 0 +27 *4061:53 *40419:A 0 +28 *4264:7 *40419:A 0 +29 *4286:20 *8217:DIODE 0 +*RES +1 *38703:X *4494:8 22.3714 +2 *4494:8 *4494:9 50.6429 +3 *4494:9 *4494:22 47.6546 +4 *4494:22 *4494:28 34.1071 +5 *4494:28 *8217:DIODE 19.2643 +6 *4494:28 *40419:A 19.0321 +*END + +*D_NET *4495 0.0126352 +*CONN +*I *8216:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40418:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38704:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8216:DIODE 0 +2 *40418:A 0.000189364 +3 *38704:X 0.000537592 +4 *4495:43 0.000372468 +5 *4495:40 0.0021968 +6 *4495:36 0.0038274 +7 *4495:27 0.00339384 +8 *4495:16 0.00211772 +9 *4495:16 *5170:31 0 +10 *4495:27 *40412:A 0 +11 *4495:36 *4501:29 0 +12 *4495:36 *5159:41 0 +13 *4495:40 *39129:A 0 +14 *4495:40 *39131:A 0 +15 *4495:40 *4872:19 0 +16 *4495:40 *4875:55 0 +17 *4495:40 *5170:45 0 +18 la_data_in_mprj[85] *4495:40 0 +19 *5248:DIODE *4495:36 0 +20 *6843:DIODE *4495:27 0 +21 *37636:B *4495:36 0 +22 *38571:A *4495:36 0 +23 *40582:A *4495:36 0 +24 *501:5 *4495:40 0 +25 *628:16 *4495:40 0 +26 *629:7 *4495:40 0 +27 *1215:15 *40418:A 0 +28 *2008:13 *4495:16 0 +29 *2040:25 *4495:40 0 +30 *2115:66 *4495:40 0 +31 *2141:34 *4495:40 0 +32 *2383:42 *4495:40 0 +33 *2394:27 *4495:27 0 +34 *2394:46 *4495:36 0 +35 *2407:35 *4495:40 0 +36 *2416:15 *4495:16 0 +37 *2416:15 *4495:27 0 +38 *3055:35 *40418:A 0 +39 *3216:17 *4495:43 0 +40 *3328:30 *4495:16 0 +41 *3328:43 *4495:16 0 +42 *3517:10 *4495:36 0 +43 *3518:10 *4495:27 0 +44 *3523:32 *4495:27 0 +45 *3816:33 *4495:27 0 +46 *3816:33 *4495:36 0 +47 *3816:53 *4495:36 0 +48 *3927:23 *4495:40 0 +49 *3938:9 *4495:40 0 +50 *4253:72 *4495:40 0 +51 *4488:45 *4495:36 0 +52 *4490:38 *4495:27 0 +*RES +1 *38704:X *4495:16 38.8357 +2 *4495:16 *4495:27 33.2857 +3 *4495:27 *4495:36 47.3929 +4 *4495:36 *4495:40 47.3036 +5 *4495:40 *4495:43 8.32143 +6 *4495:43 *40418:A 22.3357 +7 *4495:43 *8216:DIODE 9.3 +*END + +*D_NET *4496 0.0166539 +*CONN +*I *40416:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8214:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38705:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40416:A 0.000143745 +2 *8214:DIODE 0 +3 *38705:X 0.00472893 +4 *4496:52 0.003598 +5 *4496:33 0.00818319 +6 *4496:33 *4502:15 0 +7 *4496:33 *5170:45 0 +8 *4496:33 *5192:9 0 +9 *4496:52 *4502:15 0 +10 *4496:52 *4507:27 0 +11 *4496:52 *4509:22 0 +12 *40522:A *4496:52 0 +13 *1227:26 *4496:52 0 +14 *1987:36 *4496:33 0 +15 *2008:27 *4496:33 0 +16 *2115:66 *4496:33 0 +17 *2405:40 *4496:33 0 +18 *2427:26 *4496:52 0 +19 *3128:19 *4496:52 0 +20 *3140:60 *4496:52 0 +21 *3148:74 *4496:52 0 +22 *3154:97 *4496:52 0 +23 *3158:16 *4496:52 0 +24 *3207:95 *4496:33 0 +25 *3224:63 *4496:52 0 +26 *3233:29 *4496:52 0 +27 *3316:29 *40416:A 0 +28 *3436:21 *40416:A 0 +29 *3442:24 *4496:33 0 +30 *3511:32 *4496:52 0 +31 *3523:32 *4496:33 0 +32 *3523:32 *4496:52 0 +33 *3533:19 *4496:33 0 +34 *3533:19 *4496:52 0 +35 *3533:49 *4496:52 0 +36 *3939:62 *4496:52 0 +37 *4046:70 *4496:52 0 +38 *4253:72 *4496:52 0 +39 *4488:74 *4496:33 0 +*RES +1 *38705:X *4496:33 49.983 +2 *4496:33 *4496:52 39.6127 +3 *4496:52 *8214:DIODE 9.3 +4 *4496:52 *40416:A 12.3 +*END + +*D_NET *4497 0.00425834 +*CONN +*I *40414:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38706:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40414:A 0.000768103 +2 *38706:X 0.00136107 +3 *4497:9 0.00212917 +4 *6704:DIODE *40414:A 0 +5 *6843:DIODE *4497:9 0 +6 *37389:A *40414:A 0 +7 *1967:37 *4497:9 0 +8 *2118:56 *40414:A 0 +9 *3259:77 *40414:A 0 +10 *3444:5 *40414:A 0 +11 *3444:5 *4497:9 0 +12 *3449:26 *4497:9 0 +13 *3523:32 *40414:A 0 +14 *3800:41 *40414:A 0 +15 *3800:41 *4497:9 0 +16 *3800:43 *40414:A 0 +17 *3809:30 *40414:A 0 +18 *3813:32 *4497:9 0 +19 *3813:44 *40414:A 0 +20 *3816:53 *40414:A 0 +*RES +1 *38706:X *4497:9 47.2107 +2 *4497:9 *40414:A 48.6166 +*END + +*D_NET *4498 0.000716387 +*CONN +*I *40412:A I *D sky130_fd_sc_hd__buf_2 +*I *38707:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40412:A 0.000358193 +2 *38707:X 0.000358193 +3 *2394:27 *40412:A 0 +4 *3449:26 *40412:A 0 +5 *3860:37 *40412:A 0 +6 *4488:24 *40412:A 0 +7 *4495:27 *40412:A 0 +*RES +1 *38707:X *40412:A 35.35 +*END + +*D_NET *4499 0.0186296 +*CONN +*I *5776:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37896:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *6223:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38152:A I *D sky130_fd_sc_hd__and2_1 +*I *38708:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5776:DIODE 0.000337544 +2 *37896:A_N 0 +3 *6223:DIODE 0 +4 *38152:A 0.000302036 +5 *38708:X 0.000548333 +6 *4499:38 0.000893479 +7 *4499:22 0.000302036 +8 *4499:20 0.00179157 +9 *4499:17 0.00570885 +10 *4499:16 0.00633533 +11 *4499:10 0.00241045 +12 *4499:10 *4537:23 0 +13 *4499:16 *5161:9 0 +14 *5320:DIODE *4499:10 0 +15 *6560:DIODE *4499:10 0 +16 *37896:B *5776:DIODE 0 +17 *38152:B *38152:A 0 +18 *39443:A *4499:10 0 +19 *1156:9 *4499:10 0 +20 *1347:9 *5776:DIODE 0 +21 *1760:13 *4499:10 0 +22 *1765:11 *4499:38 0 +23 *2572:11 *4499:10 0 +24 *3171:48 *4499:10 0 +25 *3175:37 *4499:17 0 +26 *3617:33 *5776:DIODE 0 +27 *3871:10 *4499:10 0 +28 *3924:36 *4499:10 0 +29 *4127:23 *4499:16 0 +30 *4192:44 *4499:38 0 +31 *4451:20 *4499:20 0 +32 *4451:20 *4499:38 0 +33 *4493:34 *4499:10 0 +*RES +1 *38708:X *4499:10 30.6036 +2 *4499:10 *4499:16 47.9464 +3 *4499:16 *4499:17 93.3571 +4 *4499:17 *4499:20 32.5536 +5 *4499:20 *4499:22 4.5 +6 *4499:22 *38152:A 15.6036 +7 *4499:22 *6223:DIODE 9.3 +8 *4499:20 *4499:38 16.75 +9 *4499:38 *37896:A_N 9.3 +10 *4499:38 *5776:DIODE 16.4071 +*END + +*D_NET *4500 0.0140355 +*CONN +*I *40410:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8210:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38709:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40410:A 0.000143745 +2 *8210:DIODE 0 +3 *38709:X 0.00686182 +4 *4500:18 0.000155917 +5 *4500:13 0.00687399 +6 *6562:DIODE *4500:13 0 +7 *1215:32 *4500:13 0 +8 *1220:28 *4500:13 0 +9 *2116:45 *4500:13 0 +10 *2394:52 *4500:13 0 +11 *2415:50 *4500:13 0 +12 *2427:26 *4500:13 0 +13 *2472:21 *4500:13 0 +14 *2472:33 *4500:13 0 +15 *2760:57 *4500:13 0 +16 *3053:34 *4500:13 0 +17 *3105:99 *4500:13 0 +18 *3140:18 *4500:13 0 +19 *3140:60 *4500:13 0 +20 *3144:36 *40410:A 0 +21 *3154:76 *4500:13 0 +22 *3305:62 *40410:A 0 +23 *3518:39 *4500:13 0 +24 *3520:20 *4500:13 0 +25 *3807:40 *4500:13 0 +26 *3882:13 *4500:13 0 +27 *3927:84 *4500:13 0 +28 *3934:88 *4500:13 0 +29 *4002:23 *4500:13 0 +30 *4118:42 *4500:13 0 +31 *4488:24 *4500:13 0 +*RES +1 *38709:X *4500:13 49.2083 +2 *4500:13 *4500:18 8.18679 +3 *4500:18 *8210:DIODE 9.3 +4 *4500:18 *40410:A 12.3 +*END + +*D_NET *4501 0.0126658 +*CONN +*I *40408:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8208:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38710:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40408:A 0.00014075 +2 *8208:DIODE 0 +3 *38710:X 0.00121999 +4 *4501:61 0.000229964 +5 *4501:56 0.00153282 +6 *4501:34 0.00341897 +7 *4501:29 0.00343935 +8 *4501:20 0.00268396 +9 *4501:34 *4508:15 0 +10 *4501:34 *4878:61 0 +11 *4501:56 *4506:29 0 +12 *4501:56 *4513:31 0 +13 *4501:56 *4875:47 0 +14 *5441:DIODE *4501:56 0 +15 *6846:DIODE *4501:20 0 +16 *6847:DIODE *4501:20 0 +17 *6858:DIODE *4501:56 0 +18 *37626:A *4501:56 0 +19 *37636:B *4501:29 0 +20 *37648:B *4501:56 0 +21 *38570:A *4501:20 0 +22 *38712:A *4501:20 0 +23 *38721:A *4501:56 0 +24 *879:8 *4501:20 0 +25 *1223:14 *4501:56 0 +26 *1981:41 *4501:20 0 +27 *2040:55 *4501:56 0 +28 *2115:49 *4501:20 0 +29 *2409:22 *4501:20 0 +30 *2505:15 *4501:29 0 +31 *2549:16 *4501:34 0 +32 *2738:39 *4501:56 0 +33 *3154:76 *4501:56 0 +34 *3321:39 *40408:A 0 +35 *3321:39 *4501:61 0 +36 *3442:51 *4501:29 0 +37 *3442:51 *4501:34 0 +38 *3511:72 *40408:A 0 +39 *3517:21 *40408:A 0 +40 *3517:21 *4501:61 0 +41 *3523:54 *4501:56 0 +42 *3782:35 *4501:20 0 +43 *3782:61 *4501:20 0 +44 *3814:56 *4501:56 0 +45 *3814:56 *4501:61 0 +46 *3849:46 *4501:29 0 +47 *3849:67 *4501:34 0 +48 *3860:37 *4501:20 0 +49 *3860:45 *4501:20 0 +50 *3905:22 *4501:20 0 +51 *3927:42 *4501:34 0 +52 *3927:66 *4501:56 0 +53 *3927:84 *4501:56 0 +54 *3938:9 *4501:56 0 +55 *4016:14 *4501:34 0 +56 *4488:74 *4501:20 0 +57 *4495:36 *4501:29 0 +*RES +1 *38710:X *4501:20 49.2643 +2 *4501:20 *4501:29 35.1786 +3 *4501:29 *4501:34 45.9821 +4 *4501:34 *4501:56 49.7768 +5 *4501:56 *4501:61 6.4375 +6 *4501:61 *8208:DIODE 9.3 +7 *4501:61 *40408:A 12.3 +*END + +*D_NET *4502 0.0192389 +*CONN +*I *40406:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8206:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38711:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *40406:A 0.000327439 +2 *8206:DIODE 0 +3 *38711:X 0.00608675 +4 *4502:45 0.00148288 +5 *4502:38 0.00320527 +6 *4502:15 0.00813658 +7 *4502:15 *4507:27 0 +8 *4502:15 *4513:101 0 +9 *4502:15 *5192:9 0 +10 *4502:38 *4506:62 0 +11 *4502:38 *4507:27 0 +12 *4502:38 *4521:23 0 +13 *6579:DIODE *4502:38 0 +14 *1227:67 *40406:A 0 +15 *2072:48 *4502:15 0 +16 *2393:44 *4502:45 0 +17 *2393:62 *4502:45 0 +18 *2538:19 *4502:15 0 +19 *3032:36 *4502:38 0 +20 *3034:11 *4502:38 0 +21 *3248:42 *4502:38 0 +22 *3248:46 *4502:15 0 +23 *3296:9 *4502:45 0 +24 *3296:29 *4502:45 0 +25 *3435:37 *4502:45 0 +26 *3508:18 *4502:15 0 +27 *3511:85 *40406:A 0 +28 *3523:32 *4502:15 0 +29 *3533:19 *4502:15 0 +30 *3849:67 *4502:15 0 +31 *3973:49 *4502:15 0 +32 *3973:49 *4502:38 0 +33 *4496:33 *4502:15 0 +34 *4496:52 *4502:15 0 +*RES +1 *38711:X *4502:15 48.9653 +2 *4502:15 *4502:38 49.1625 +3 *4502:38 *4502:45 33.4464 +4 *4502:45 *8206:DIODE 9.3 +5 *4502:45 *40406:A 25.675 +*END + +*D_NET *4503 0.0107855 +*CONN +*I *40404:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8204:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38712:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40404:A 0.000199943 +2 *8204:DIODE 0 +3 *38712:X 0.000312901 +4 *4503:16 0.00188578 +5 *4503:9 0.00487989 +6 *4503:8 0.00350695 +7 *4503:8 *4869:18 0 +8 *4503:16 *39137:A 0 +9 *4503:16 *4881:73 0 +10 *2393:82 *4503:16 0 +11 *2393:90 *4503:9 0 +12 *2727:49 *40404:A 0 +13 *3055:71 *4503:16 0 +14 *3217:59 *40404:A 0 +15 *3305:35 *4503:9 0 +16 *3305:35 *4503:16 0 +17 *3305:55 *4503:16 0 +18 *3311:16 *4503:16 0 +19 *3444:33 *4503:16 0 +20 *3517:21 *40404:A 0 +21 *3814:31 *4503:9 0 +*RES +1 *38712:X *4503:8 25.4071 +2 *4503:8 *4503:9 66.6607 +3 *4503:9 *4503:16 39.7679 +4 *4503:16 *8204:DIODE 13.8 +5 *4503:16 *40404:A 18.1393 +*END + +*D_NET *4504 0.01888 +*CONN +*I *37910:C I *D sky130_fd_sc_hd__and3b_2 +*I *5805:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38713:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37910:C 0.000120388 +2 *5805:DIODE 0 +3 *38713:X 0.00124305 +4 *4504:66 0.00198721 +5 *4504:65 0.00329658 +6 *4504:57 0.00323761 +7 *4504:43 0.00341542 +8 *4504:34 0.00297213 +9 *4504:21 0.00260761 +10 *4504:21 *39041:A 0 +11 *4504:21 *4789:32 0 +12 *4504:21 *4854:8 0 +13 *4504:34 *39041:A 0 +14 *4504:34 *39146:A 0 +15 *4504:34 *4789:23 0 +16 *4504:34 *4789:32 0 +17 *4504:57 *39080:A 0 +18 *4504:57 *39091:A 0 +19 *4504:57 *4571:7 0 +20 *4504:57 *4789:10 0 +21 *4504:57 *5059:7 0 +22 *4504:65 *4571:7 0 +23 *4504:66 *39058:A 0 +24 *4504:66 *4523:22 0 +25 *4504:66 *4523:33 0 +26 la_data_in_mprj[11] *4504:34 0 +27 *6560:DIODE *4504:43 0 +28 *6690:DIODE *4504:43 0 +29 *6690:DIODE *4504:57 0 +30 *6832:DIODE *4504:43 0 +31 *6927:DIODE *4504:65 0 +32 *6957:DIODE *4504:65 0 +33 *6998:DIODE *4504:57 0 +34 *38449:A *4504:43 0 +35 *38462:A *4504:34 0 +36 *38604:A *4504:34 0 +37 *38634:A *4504:65 0 +38 *38713:A *4504:21 0 +39 *38732:A *4504:34 0 +40 *38746:A *4504:65 0 +41 *38825:A *4504:65 0 +42 *38861:A *4504:57 0 +43 *427:8 *4504:65 0 +44 *493:12 *4504:43 0 +45 *515:5 *4504:34 0 +46 *577:8 *4504:57 0 +47 *610:8 *4504:43 0 +48 *866:7 *4504:43 0 +49 *1354:11 *37910:C 0 +50 *1354:11 *4504:66 0 +51 *2449:10 *4504:43 0 +52 *2694:18 *4504:34 0 +53 *2694:18 *4504:43 0 +54 *3138:10 *4504:57 0 +55 *3627:16 *4504:21 0 +56 *3993:14 *4504:43 0 +57 *4127:14 *4504:57 0 +58 *4418:11 *4504:21 0 +59 *4439:5 *4504:57 0 +60 *4439:5 *4504:65 0 +61 *4439:24 *4504:43 0 +62 *4439:24 *4504:57 0 +63 *4451:54 *4504:43 0 +64 *4451:54 *4504:57 0 +65 *4463:23 *4504:57 0 +66 *4493:34 *4504:43 0 +67 *4493:37 *4504:57 0 +*RES +1 *38713:X *4504:21 49.0143 +2 *4504:21 *4504:34 42.125 +3 *4504:34 *4504:43 42.9107 +4 *4504:43 *4504:57 46.875 +5 *4504:57 *4504:65 34.3393 +6 *4504:65 *4504:66 42.3214 +7 *4504:66 *5805:DIODE 13.8 +8 *4504:66 *37910:C 16.5187 +*END + +*D_NET *4505 0.0105917 +*CONN +*I *40402:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8202:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38714:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40402:A 0.0003029 +2 *8202:DIODE 0 +3 *38714:X 0.001148 +4 *4505:30 0.00244434 +5 *4505:22 0.00384496 +6 *4505:10 0.00285152 +7 *4505:22 *39133:A 0 +8 *4505:22 *4878:61 0 +9 *2393:63 *4505:30 0 +10 *2505:32 *4505:22 0 +11 *3055:55 *4505:22 0 +12 *3217:59 *40402:A 0 +13 *3296:9 *4505:30 0 +14 *3305:35 *4505:22 0 +15 *3444:5 *4505:10 0 +16 *3508:18 *4505:22 0 +17 *3800:43 *4505:30 0 +18 *3813:45 *4505:10 0 +19 *3882:30 *4505:22 0 +20 *3882:37 *4505:30 0 +21 *3882:44 *4505:30 0 +*RES +1 *38714:X *4505:10 42.4429 +2 *4505:10 *4505:22 47.5175 +3 *4505:22 *4505:30 49.3393 +4 *4505:30 *8202:DIODE 13.8 +5 *4505:30 *40402:A 29.5723 +*END + +*D_NET *4506 0.0134982 +*CONN +*I *40400:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8200:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38715:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40400:A 0.000192358 +2 *8200:DIODE 0 +3 *38715:X 0.00188024 +4 *4506:63 0.000749371 +5 *4506:62 0.00185737 +6 *4506:49 0.00282096 +7 *4506:29 0.00281915 +8 *4506:11 0.00317878 +9 *4506:49 *4513:101 0 +10 *4506:49 *4514:14 0 +11 *4506:49 *4516:8 0 +12 *4506:49 *4880:27 0 +13 *4506:49 *4880:39 0 +14 *4506:62 *4521:23 0 +15 la_data_in_mprj[95] *4506:49 0 +16 *5444:DIODE *4506:29 0 +17 *5498:DIODE *4506:29 0 +18 *6858:DIODE *4506:49 0 +19 *6864:DIODE *4506:62 0 +20 *37648:B *4506:29 0 +21 *37662:B *4506:62 0 +22 *38440:A *4506:49 0 +23 *38442:A *4506:49 0 +24 *38723:A *4506:49 0 +25 *38725:A *4506:49 0 +26 *890:8 *4506:29 0 +27 *890:8 *4506:49 0 +28 *1213:7 *4506:49 0 +29 *1987:61 *4506:29 0 +30 *2040:55 *4506:29 0 +31 *2393:63 *4506:62 0 +32 *2505:15 *4506:11 0 +33 *2516:12 *4506:11 0 +34 *2527:7 *4506:11 0 +35 *2527:19 *4506:11 0 +36 *2527:19 *4506:29 0 +37 *2605:23 *4506:49 0 +38 *2738:37 *4506:49 0 +39 *3154:47 *40400:A 0 +40 *3154:47 *4506:63 0 +41 *3154:56 *4506:63 0 +42 *3217:33 *40400:A 0 +43 *3296:9 *4506:62 0 +44 *3311:37 *40400:A 0 +45 *3500:20 *4506:49 0 +46 *3785:48 *4506:62 0 +47 *3849:67 *4506:11 0 +48 *4004:18 *4506:29 0 +49 *4038:18 *4506:49 0 +50 *4038:49 *4506:29 0 +51 *4501:56 *4506:29 0 +52 *4502:38 *4506:62 0 +*RES +1 *38715:X *4506:11 48.6036 +2 *4506:11 *4506:29 45.5179 +3 *4506:29 *4506:49 45.4821 +4 *4506:49 *4506:62 41.4107 +5 *4506:62 *4506:63 11.625 +6 *4506:63 *8200:DIODE 9.3 +7 *4506:63 *40400:A 22.4607 +*END + +*D_NET *4507 0.0159342 +*CONN +*I *8198:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40398:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38716:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8198:DIODE 7.97933e-05 +2 *40398:A 2.56688e-05 +3 *38716:X 0.00608318 +4 *4507:38 0.00188393 +5 *4507:27 0.00786164 +6 *4507:38 *4890:10 0 +7 *6710:DIODE *4507:27 0 +8 *2410:34 *4507:38 0 +9 *2538:19 *4507:27 0 +10 *3025:21 *4507:38 0 +11 *3032:20 *4507:27 0 +12 *3032:36 *4507:27 0 +13 *3032:36 *4507:38 0 +14 *3041:11 *4507:27 0 +15 *3041:11 *4507:38 0 +16 *3121:42 *8198:DIODE 0 +17 *3134:45 *4507:27 0 +18 *3248:24 *4507:38 0 +19 *3248:42 *4507:27 0 +20 *3274:17 *4507:38 0 +21 *3276:26 *4507:38 0 +22 *3508:18 *4507:27 0 +23 *3523:32 *4507:27 0 +24 *3788:40 *4507:27 0 +25 *3973:49 *4507:27 0 +26 *4496:52 *4507:27 0 +27 *4502:15 *4507:27 0 +28 *4502:38 *4507:27 0 +*RES +1 *38716:X *4507:27 46.9115 +2 *4507:27 *4507:38 27.6327 +3 *4507:38 *40398:A 14.3357 +4 *4507:38 *8198:DIODE 15.675 +*END + +*D_NET *4508 0.0134335 +*CONN +*I *40396:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8196:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38717:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *40396:A 0.000147252 +2 *8196:DIODE 2.56688e-05 +3 *38717:X 0.00192195 +4 *4508:42 0.000699442 +5 *4508:41 0.00270383 +6 *4508:23 0.00409535 +7 *4508:15 0.00384 +8 *4508:15 *4878:51 0 +9 *4508:23 *4513:101 0 +10 *4508:23 *4885:29 0 +11 *4508:41 *4887:11 0 +12 *4508:41 *4888:26 0 +13 la_data_in_mprj[94] *4508:23 0 +14 la_data_in_mprj[99] *4508:41 0 +15 *5441:DIODE *4508:23 0 +16 *6571:DIODE *4508:15 0 +17 *6576:DIODE *4508:23 0 +18 *6713:DIODE *4508:15 0 +19 *38434:A *4508:15 0 +20 *38439:A *4508:15 0 +21 *38441:A *4508:23 0 +22 *38443:A *4508:23 0 +23 *38581:A *4508:15 0 +24 *508:12 *4508:23 0 +25 *635:22 *4508:23 0 +26 *637:10 *4508:23 0 +27 *1212:16 *4508:23 0 +28 *2124:55 *4508:23 0 +29 *2390:30 *4508:23 0 +30 *2418:28 *4508:41 0 +31 *2424:19 *4508:23 0 +32 *2424:31 *4508:41 0 +33 *2428:17 *4508:41 0 +34 *2460:29 *4508:41 0 +35 *2516:30 *4508:41 0 +36 *2527:19 *4508:15 0 +37 *2549:16 *4508:15 0 +38 *2549:19 *4508:23 0 +39 *2594:21 *4508:15 0 +40 *2594:21 *4508:23 0 +41 *2760:44 *4508:41 0 +42 *3311:37 *40396:A 0 +43 *3442:51 *4508:15 0 +44 *3442:66 *4508:15 0 +45 *3500:20 *4508:23 0 +46 *3517:65 *40396:A 0 +47 *3849:81 *4508:23 0 +48 *3927:42 *4508:15 0 +49 *4016:14 *4508:15 0 +50 *4038:49 *4508:15 0 +51 *4049:18 *4508:23 0 +52 *4501:34 *4508:15 0 +*RES +1 *38717:X *4508:15 49.5679 +2 *4508:15 *4508:23 49.3571 +3 *4508:23 *4508:41 39.6834 +4 *4508:41 *4508:42 11.9643 +5 *4508:42 *8196:DIODE 14.3357 +6 *4508:42 *40396:A 17.0143 +*END + +*D_NET *4509 0.0132249 +*CONN +*I *40394:A I *D sky130_fd_sc_hd__buf_2 +*I *8194:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38718:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40394:A 0.000121071 +2 *8194:DIODE 0 +3 *38718:X 0.00164471 +4 *4509:71 0.000597869 +5 *4509:69 0.00274378 +6 *4509:65 0.00286743 +7 *4509:53 0.00210289 +8 *4509:22 0.00314715 +9 *5260:DIODE *4509:69 0 +10 *37395:A *4509:22 0 +11 *37402:A *4509:69 0 +12 *38094:A_N *4509:69 0 +13 *40593:A *4509:53 0 +14 *1982:31 *4509:22 0 +15 *2435:26 *4509:69 0 +16 *2435:52 *4509:22 0 +17 *2549:45 *40394:A 0 +18 *2549:45 *4509:71 0 +19 *2727:65 *4509:53 0 +20 *3128:19 *4509:22 0 +21 *3134:59 *4509:53 0 +22 *3140:18 *4509:53 0 +23 *3144:46 *4509:53 0 +24 *3148:39 *4509:53 0 +25 *3148:61 *4509:53 0 +26 *3173:30 *4509:65 0 +27 *3210:35 *40394:A 0 +28 *3210:35 *4509:71 0 +29 *3210:48 *4509:69 0 +30 *3239:9 *4509:69 0 +31 *3314:28 *4509:22 0 +32 *3318:13 *4509:53 0 +33 *3319:49 *4509:69 0 +34 *3442:66 *4509:22 0 +35 *3446:31 *4509:69 0 +36 *3511:52 *4509:22 0 +37 *3533:49 *4509:22 0 +38 *3534:38 *4509:71 0 +39 *3697:46 *4509:71 0 +40 *3933:49 *4509:53 0 +41 *3939:93 *4509:22 0 +42 *3977:91 *4509:69 0 +43 *4032:81 *4509:65 0 +44 *4032:81 *4509:69 0 +45 *4032:88 *4509:69 0 +46 *4286:39 *4509:53 0 +47 *4297:55 *4509:53 0 +48 *4300:56 *4509:69 0 +49 *4496:52 *4509:22 0 +*RES +1 *38718:X *4509:22 49.2342 +2 *4509:22 *4509:53 49.8566 +3 *4509:53 *4509:65 22.25 +4 *4509:65 *4509:69 47.375 +5 *4509:69 *4509:71 9.98214 +6 *4509:71 *8194:DIODE 9.3 +7 *4509:71 *40394:A 11.8893 +*END + +*D_NET *4510 0.00734926 +*CONN +*I *38060:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *38316:A I *D sky130_fd_sc_hd__and2_1 +*I *38719:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *38060:A_N 0.000524024 +2 *38316:A 0.00106232 +3 *38719:X 0.00119265 +4 *4510:30 0.00248198 +5 *4510:22 0.00208829 +6 *4510:30 *4514:46 0 +7 *8471:DIODE *38316:A 0 +8 *8762:DIODE *38316:A 0 +9 *37648:B *4510:22 0 +10 *37654:B *38060:A_N 0 +11 *37654:B *4510:30 0 +12 *1430:18 *4510:30 0 +13 *3121:68 *38316:A 0 +14 *3121:68 *4510:30 0 +15 *3270:42 *4510:22 0 +16 *3270:42 *4510:30 0 +17 *3316:29 *4510:22 0 +18 *3320:156 *38316:A 0 +19 *3530:89 *38316:A 0 +20 *3702:31 *38060:A_N 0 +21 *3702:31 *38316:A 0 +22 *3806:53 *38316:A 0 +23 *3965:43 *4510:30 0 +24 *3977:21 *38060:A_N 0 +25 *4039:83 *38060:A_N 0 +26 *4092:10 *38060:A_N 0 +27 *4119:21 *38316:A 0 +28 *4134:61 *38316:A 0 +29 *4303:140 *4510:30 0 +*RES +1 *38719:X *4510:22 49.7643 +2 *4510:22 *4510:30 20.4643 +3 *4510:30 *38316:A 36.675 +4 *4510:30 *38060:A_N 33.9786 +*END + +*D_NET *4511 0.012445 +*CONN +*I *5780:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37898:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *38154:A I *D sky130_fd_sc_hd__and2_1 +*I *6226:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38720:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *5780:DIODE 0.000321715 +2 *37898:A_N 4.53482e-05 +3 *38154:A 0.000248013 +4 *6226:DIODE 0 +5 *38720:X 0.0015508 +6 *4511:52 0.00114529 +7 *4511:32 0.00115365 +8 *4511:26 0.00309077 +9 *4511:24 0.00237274 +10 *4511:18 0.00251664 +11 *4511:18 *4571:19 0 +12 *4511:18 *4789:23 0 +13 *4511:24 *4571:19 0 +14 *4511:52 *37890:C 0 +15 *4511:52 *4937:8 0 +16 la_data_in_mprj[4] *4511:24 0 +17 *6653:DIODE *4511:24 0 +18 *6986:DIODE *4511:26 0 +19 *38154:B *38154:A 0 +20 *38164:B *4511:32 0 +21 *38672:A *4511:24 0 +22 *40060:A *5780:DIODE 0 +23 *299:40 *4511:26 0 +24 *299:40 *4511:52 0 +25 *844:8 *4511:24 0 +26 *1477:10 *38154:A 0 +27 *1477:10 *4511:32 0 +28 *1477:13 *4511:32 0 +29 *1629:13 *4511:32 0 +30 *1773:8 *4511:52 0 +31 *3138:10 *4511:26 0 +32 *3609:34 *4511:52 0 +33 *3891:20 *4511:52 0 +34 *3993:14 *4511:18 0 +35 *4127:29 *4511:52 0 +36 *4177:19 *4511:32 0 +37 *4190:56 *4511:52 0 +38 *4195:5 *38154:A 0 +39 *4203:30 *5780:DIODE 0 +40 *4371:43 *4511:52 0 +41 *4371:46 *37898:A_N 0 +42 *4418:26 *4511:26 0 +43 *4418:26 *4511:52 0 +44 *4439:24 *4511:24 0 +45 *4451:11 *4511:24 0 +46 *4451:11 *4511:26 0 +47 *4451:54 *4511:18 0 +48 *4451:72 *4511:18 0 +49 *4463:23 *4511:24 0 +50 *4463:32 *38154:A 0 +51 *4463:32 *4511:32 0 +52 *4475:18 *4511:52 0 +53 *4493:34 *4511:18 0 +*RES +1 *38720:X *4511:18 40.1453 +2 *4511:18 *4511:24 24.9732 +3 *4511:24 *4511:26 31.9732 +4 *4511:26 *4511:32 28.1071 +5 *4511:32 *6226:DIODE 13.8 +6 *4511:32 *38154:A 19.2643 +7 *4511:26 *4511:52 22.2321 +8 *4511:52 *37898:A_N 10.2464 +9 *4511:52 *5780:DIODE 16.1393 +*END + +*D_NET *4512 0.00803689 +*CONN +*I *6071:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38062:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *6455:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38318:A I *D sky130_fd_sc_hd__and2_1 +*I *38721:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *6071:DIODE 0 +2 *38062:A_N 0 +3 *6455:DIODE 0.000128307 +4 *38318:A 0.000143745 +5 *38721:X 0.000880939 +6 *4512:44 0.00154654 +7 *4512:23 0.00163171 +8 *4512:21 0.00159097 +9 *4512:12 0.00211468 +10 *6456:DIODE *38318:A 0 +11 *8895:DIODE *4512:23 0 +12 *37674:B *4512:12 0 +13 *1535:14 *4512:44 0 +14 *1558:28 *38318:A 0 +15 *2527:19 *4512:12 0 +16 *2583:20 *4512:12 0 +17 *2583:35 *4512:21 0 +18 *2583:35 *4512:23 0 +19 *2738:39 *4512:12 0 +20 *3210:87 *4512:23 0 +21 *3210:87 *4512:44 0 +22 *3320:129 *38318:A 0 +23 *3340:61 *38318:A 0 +24 *3817:63 *6455:DIODE 0 +25 *4009:38 *4512:44 0 +26 *4025:61 *4512:23 0 +27 *4039:61 *4512:44 0 +28 *4053:66 *4512:23 0 +29 *4053:66 *4512:44 0 +30 *4113:37 *4512:44 0 +31 *4128:39 *38318:A 0 +32 *4305:50 *4512:23 0 +33 *4305:50 *4512:44 0 +34 *4305:55 *4512:21 0 +35 *4373:20 *4512:44 0 +*RES +1 *38721:X *4512:12 33.0679 +2 *4512:12 *4512:21 32.375 +3 *4512:21 *4512:23 7.51786 +4 *4512:23 *4512:44 32.335 +5 *4512:44 *38318:A 16.8 +6 *4512:44 *6455:DIODE 16.6929 +7 *4512:23 *38062:A_N 9.3 +8 *4512:21 *6071:DIODE 9.3 +*END + +*D_NET *4513 0.0151035 +*CONN +*I *38064:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *6074:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6458:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38320:A I *D sky130_fd_sc_hd__and2_1 +*I *38722:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38064:A_N 6.28885e-05 +2 *6074:DIODE 5.89503e-05 +3 *6458:DIODE 0 +4 *38320:A 0.000787214 +5 *38722:X 0 +6 *4513:109 0.00173889 +7 *4513:101 0.0028662 +8 *4513:48 0.00231344 +9 *4513:31 0.0037765 +10 *4513:4 0.00349943 +11 *4513:101 *4878:51 0 +12 *6719:DIODE *4513:101 0 +13 *6858:DIODE *4513:31 0 +14 *6861:DIODE *4513:101 0 +15 *37626:A *4513:31 0 +16 *38064:C *38064:A_N 0 +17 *38320:B *38320:A 0 +18 *40177:A *4513:101 0 +19 *41045:A *38320:A 0 +20 *507:17 *4513:101 0 +21 *636:10 *4513:101 0 +22 *890:8 *4513:31 0 +23 *1212:16 *4513:101 0 +24 *1215:15 *4513:31 0 +25 *1434:26 *38064:A_N 0 +26 *1434:26 *4513:109 0 +27 *2435:52 *4513:31 0 +28 *2627:10 *4513:109 0 +29 *2738:37 *4513:101 0 +30 *2760:57 *4513:101 0 +31 *3032:20 *4513:31 0 +32 *3055:104 *4513:109 0 +33 *3144:50 *4513:48 0 +34 *3154:76 *4513:31 0 +35 *3207:64 *4513:101 0 +36 *3216:15 *4513:48 0 +37 *3224:63 *4513:48 0 +38 *3244:32 *4513:31 0 +39 *3296:8 *4513:109 0 +40 *3322:56 *4513:48 0 +41 *3511:32 *4513:48 0 +42 *3523:32 *4513:31 0 +43 *3523:54 *4513:31 0 +44 *3525:17 *4513:31 0 +45 *3530:62 *4513:48 0 +46 *3533:49 *4513:31 0 +47 *3785:34 *4513:31 0 +48 *3785:48 *4513:101 0 +49 *3788:40 *4513:31 0 +50 *3792:41 *4513:31 0 +51 *3927:66 *4513:31 0 +52 *3973:49 *4513:31 0 +53 *3989:41 *38320:A 0 +54 *4017:58 *38064:A_N 0 +55 *4060:7 *4513:101 0 +56 *4082:24 *4513:109 0 +57 *4107:63 *38064:A_N 0 +58 *4107:63 *4513:109 0 +59 *4221:21 *38320:A 0 +60 *4253:87 *4513:31 0 +61 *4288:52 *4513:48 0 +62 *4306:62 *4513:48 0 +63 *4501:56 *4513:31 0 +64 *4502:15 *4513:101 0 +65 *4506:49 *4513:101 0 +66 *4508:23 *4513:101 0 +*RES +1 *38722:X *4513:4 9.3 +2 *4513:4 *4513:31 49.1209 +3 *4513:31 *4513:48 32.2471 +4 *4513:48 *38320:A 36.2107 +5 *4513:48 *6458:DIODE 9.3 +6 *4513:4 *4513:101 49.8929 +7 *4513:101 *4513:109 40.8036 +8 *4513:109 *6074:DIODE 19.6393 +9 *4513:109 *38064:A_N 10.675 +*END + +*D_NET *4514 0.00989556 +*CONN +*I *6077:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38066:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *6461:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38322:A I *D sky130_fd_sc_hd__and2_1 +*I *38723:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *6077:DIODE 0 +2 *38066:A_N 0 +3 *6461:DIODE 0 +4 *38322:A 0.00081261 +5 *38723:X 0.00139734 +6 *4514:57 0.00194004 +7 *4514:46 0.00229466 +8 *4514:27 0.00150901 +9 *4514:15 0.000443173 +10 *4514:14 0.00149873 +11 *8772:DIODE *38322:A 0 +12 *8847:DIODE *38322:A 0 +13 *38066:B *4514:27 0 +14 *41138:A *38322:A 0 +15 *1235:19 *4514:15 0 +16 *1235:19 *4514:27 0 +17 *2254:87 *38322:A 0 +18 *3121:68 *4514:46 0 +19 *3965:43 *4514:27 0 +20 *3965:43 *4514:46 0 +21 *3965:66 *4514:27 0 +22 *3980:68 *38322:A 0 +23 *3989:67 *38322:A 0 +24 *4006:23 *38322:A 0 +25 *4012:44 *4514:14 0 +26 *4017:29 *38322:A 0 +27 *4017:53 *4514:57 0 +28 *4022:26 *38322:A 0 +29 *4027:32 *4514:14 0 +30 *4053:92 *4514:27 0 +31 *4110:57 *38322:A 0 +32 *4227:57 *4514:46 0 +33 *4236:30 *38322:A 0 +34 *4236:33 *38322:A 0 +35 *4236:33 *4514:57 0 +36 *4242:22 *4514:46 0 +37 *4253:93 *4514:27 0 +38 *4255:13 *4514:46 0 +39 *4255:13 *4514:57 0 +40 *4261:27 *4514:46 0 +41 *4269:36 *4514:27 0 +42 *4269:36 *4514:46 0 +43 *4279:23 *4514:46 0 +44 *4291:24 *38322:A 0 +45 *4294:49 *4514:15 0 +46 *4294:49 *4514:46 0 +47 *4303:167 *4514:27 0 +48 *4506:49 *4514:14 0 +49 *4510:30 *4514:46 0 +*RES +1 *38723:X *4514:14 49.9071 +2 *4514:14 *4514:15 2.17857 +3 *4514:15 *4514:27 16.4643 +4 *4514:27 *4514:46 45.286 +5 *4514:46 *4514:57 32.7143 +6 *4514:57 *38322:A 36.8536 +7 *4514:57 *6461:DIODE 9.3 +8 *4514:27 *38066:A_N 9.3 +9 *4514:15 *6077:DIODE 9.3 +*END + +*D_NET *4515 0.018977 +*CONN +*I *5809:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37912:C I *D sky130_fd_sc_hd__and3b_1 +*I *38724:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5809:DIODE 0.000110646 +2 *37912:C 0 +3 *38724:X 0.000463804 +4 *4515:20 0.0011784 +5 *4515:16 0.00233514 +6 *4515:11 0.0078463 +7 *4515:10 0.00704271 +8 *4515:10 *4637:42 0 +9 *4515:11 *39058:A 0 +10 *4515:11 *4571:32 0 +11 *4515:11 *4693:9 0 +12 *4515:11 *4789:45 0 +13 *4515:16 *39058:A 0 +14 *4515:20 *4795:27 0 +15 la_data_in_mprj[1] *4515:11 0 +16 la_data_in_mprj[2] *4515:11 0 +17 *6728:DIODE *4515:16 0 +18 *6764:DIODE *4515:10 0 +19 *37922:C *4515:20 0 +20 *772:5 *4515:16 0 +21 *805:11 *4515:10 0 +22 *1355:14 *5809:DIODE 0 +23 *1360:14 *4515:20 0 +24 *2705:15 *4515:16 0 +25 *2827:13 *4515:11 0 +26 *3096:20 *4515:20 0 +27 *3260:9 *4515:11 0 +28 *3589:51 *4515:20 0 +29 *3604:56 *5809:DIODE 0 +30 *3617:31 *5809:DIODE 0 +31 *4201:33 *4515:20 0 +32 *4419:20 *4515:10 0 +33 *4433:37 *4515:11 0 +34 *4433:40 *4515:10 0 +35 *4463:51 *4515:20 0 +*RES +1 *38724:X *4515:10 28.2464 +2 *4515:10 *4515:11 137.304 +3 *4515:11 *4515:16 33.2589 +4 *4515:16 *4515:20 28.7589 +5 *4515:20 *37912:C 9.3 +6 *4515:20 *5809:DIODE 20.6929 +*END + +*D_NET *4516 0.0120319 +*CONN +*I *8192:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40392:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6080:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38068:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *38725:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8192:DIODE 0.000416262 +2 *40392:A 0 +3 *6080:DIODE 0 +4 *38068:A_N 0.000293863 +5 *38725:X 0.00147781 +6 *4516:85 0.000637227 +7 *4516:83 0.00144945 +8 *4516:58 0.00320521 +9 *4516:17 0.000695703 +10 *4516:10 0.00237856 +11 *4516:8 0.00147781 +12 *8733:DIODE *4516:83 0 +13 *38074:A_N *4516:58 0 +14 *38094:C *4516:83 0 +15 *39617:A *4516:83 0 +16 *39631:A *4516:83 0 +17 *40985:A *4516:83 0 +18 *1432:41 *4516:83 0 +19 *2051:49 *4516:8 0 +20 *2420:26 *4516:83 0 +21 *2435:26 *4516:83 0 +22 *3105:103 *4516:8 0 +23 *3187:47 *4516:58 0 +24 *3283:21 *8192:DIODE 0 +25 *3327:92 *4516:58 0 +26 *3441:21 *4516:83 0 +27 *3443:53 *4516:83 0 +28 *3443:53 *4516:85 0 +29 *3808:60 *4516:8 0 +30 *3939:99 *38068:A_N 0 +31 *3939:117 *4516:83 0 +32 *3939:140 *4516:83 0 +33 *3939:141 *8192:DIODE 0 +34 *3955:8 *8192:DIODE 0 +35 *3955:8 *4516:85 0 +36 *3961:49 *4516:58 0 +37 *3965:66 *38068:A_N 0 +38 *3965:83 *4516:83 0 +39 *4017:53 *38068:A_N 0 +40 *4035:49 *4516:58 0 +41 *4039:112 *4516:58 0 +42 *4046:91 *4516:58 0 +43 *4053:92 *38068:A_N 0 +44 *4060:19 *4516:8 0 +45 *4107:63 *8192:DIODE 0 +46 *4236:33 *38068:A_N 0 +47 *4236:65 *4516:83 0 +48 *4236:79 *4516:83 0 +49 *4252:25 *4516:17 0 +50 *4252:25 *4516:58 0 +51 *4255:13 *4516:17 0 +52 *4255:13 *4516:58 0 +53 *4300:56 *4516:58 0 +54 *4300:65 *4516:58 0 +55 *4303:167 *4516:17 0 +56 *4408:108 *8192:DIODE 0 +57 *4408:108 *4516:83 0 +58 *4408:108 *4516:85 0 +59 *4506:49 *4516:8 0 +*RES +1 *38725:X *4516:8 47.3089 +2 *4516:8 *4516:10 3.41 +3 *4516:10 *4516:17 14.1305 +4 *4516:17 *38068:A_N 24.6214 +5 *4516:17 *6080:DIODE 9.3 +6 *4516:10 *4516:58 48.5871 +7 *4516:58 *4516:83 48.5536 +8 *4516:83 *4516:85 4.64286 +9 *4516:85 *40392:A 9.3 +10 *4516:85 *8192:DIODE 18.05 +*END + +*D_NET *4517 0.0187245 +*CONN +*I *40391:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8191:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6083:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38070:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *38726:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40391:A 0 +2 *8191:DIODE 0.000750738 +3 *6083:DIODE 0 +4 *38070:A_N 0.000242142 +5 *38726:X 0 +6 *4517:93 0.00164486 +7 *4517:81 0.00215863 +8 *4517:62 0.00270857 +9 *4517:53 0.00319193 +10 *4517:43 0.00310701 +11 *4517:15 0.00190183 +12 *4517:4 0.00301883 +13 *4517:15 *4880:27 0 +14 *4517:43 *4518:33 0 +15 *4517:43 *4521:23 0 +16 *4517:43 *4880:27 0 +17 *4517:81 *5092:21 0 +18 *6863:DIODE *4517:43 0 +19 *8520:DIODE *8191:DIODE 0 +20 *38096:C *8191:DIODE 0 +21 *40177:A *4517:43 0 +22 *894:8 *4517:43 0 +23 *1432:30 *38070:A_N 0 +24 *1432:30 *4517:15 0 +25 *2124:66 *4517:43 0 +26 *2381:73 *4517:62 0 +27 *2393:28 *4517:81 0 +28 *2460:44 *4517:53 0 +29 *2516:30 *4517:81 0 +30 *2660:17 *4517:53 0 +31 *2716:18 *4517:53 0 +32 *2716:18 *4517:62 0 +33 *2749:25 *4517:62 0 +34 *3043:26 *4517:43 0 +35 *3105:76 *4517:62 0 +36 *3105:81 *4517:43 0 +37 *3105:81 *4517:53 0 +38 *3154:14 *4517:81 0 +39 *3217:13 *4517:93 0 +40 *3250:64 *4517:62 0 +41 *3287:47 *4517:81 0 +42 *3300:55 *4517:93 0 +43 *3302:11 *4517:81 0 +44 *3305:62 *4517:15 0 +45 *3309:49 *4517:93 0 +46 *3309:61 *8191:DIODE 0 +47 *3435:52 *8191:DIODE 0 +48 *3497:27 *4517:62 0 +49 *3777:65 *4517:81 0 +50 *3785:66 *4517:62 0 +51 *3939:117 *38070:A_N 0 +52 *3939:117 *4517:15 0 +53 *4082:23 *4517:53 0 +54 *4093:7 *4517:53 0 +55 *4149:8 *4517:62 0 +56 *4171:10 *4517:81 0 +57 *4275:81 *4517:81 0 +*RES +1 *38726:X *4517:4 9.3 +2 *4517:4 *4517:15 46.3393 +3 *4517:15 *38070:A_N 14.3536 +4 *4517:15 *6083:DIODE 9.3 +5 *4517:4 *4517:43 46.5714 +6 *4517:43 *4517:53 45.625 +7 *4517:53 *4517:62 43.8571 +8 *4517:62 *4517:81 49.0328 +9 *4517:81 *4517:93 32.5536 +10 *4517:93 *8191:DIODE 34.6036 +11 *4517:93 *40391:A 9.3 +*END + +*D_NET *4518 0.0110111 +*CONN +*I *38072:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *40390:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8190:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *6086:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38727:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38072:A_N 0.000163185 +2 *40390:A 0.000681691 +3 *8190:DIODE 4.5599e-05 +4 *6086:DIODE 0 +5 *38727:X 0.00100537 +6 *4518:73 0.00275213 +7 *4518:49 0.00216858 +8 *4518:46 0.00174808 +9 *4518:33 0.00244651 +10 *4518:33 *4521:23 0 +11 *4518:33 *4880:27 0 +12 *6863:DIODE *4518:33 0 +13 *2738:7 *4518:33 0 +14 *3037:15 *4518:73 0 +15 *3053:62 *4518:73 0 +16 *3105:81 *4518:33 0 +17 *3178:43 *4518:73 0 +18 *3187:13 *40390:A 0 +19 *3187:13 *4518:73 0 +20 *3220:21 *4518:73 0 +21 *3279:12 *4518:33 0 +22 *3446:52 *4518:46 0 +23 *3511:73 *4518:46 0 +24 *3532:24 *4518:73 0 +25 *3675:67 *4518:73 0 +26 *3697:46 *4518:73 0 +27 *3697:59 *4518:73 0 +28 *3977:108 *4518:33 0 +29 *3977:119 *4518:46 0 +30 *4001:74 *4518:73 0 +31 *4104:27 *4518:33 0 +32 *4112:134 *4518:73 0 +33 *4204:50 *4518:46 0 +34 *4269:61 *4518:73 0 +35 *4288:94 *4518:73 0 +36 *4517:43 *4518:33 0 +*RES +1 *38727:X *4518:33 49.1036 +2 *4518:33 *4518:46 45.875 +3 *4518:46 *4518:49 7.5 +4 *4518:49 *6086:DIODE 9.3 +5 *4518:49 *4518:73 36.963 +6 *4518:73 *8190:DIODE 19.3357 +7 *4518:73 *40390:A 32.9964 +8 *4518:46 *38072:A_N 17.5679 +*END + +*D_NET *4519 0.000208597 +*CONN +*I *40389:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38728:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40389:A 0.000104298 +2 *38728:X 0.000104298 +3 *40389:A *4521:23 0 +*RES +1 *38728:X *40389:A 29.8857 +*END + +*D_NET *4520 0.017453 +*CONN +*I *8188:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40387:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6092:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38076:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *38729:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8188:DIODE 0.000279434 +2 *40387:A 6.50276e-05 +3 *6092:DIODE 0.000112415 +4 *38076:A_N 0.000964107 +5 *38729:X 0.00144413 +6 *4520:118 0.00138616 +7 *4520:107 0.00466909 +8 *4520:79 0.00477735 +9 *4520:51 0.00130473 +10 *4520:22 0.00100646 +11 *4520:20 0.00144413 +12 *4520:107 *5151:10 0 +13 *6865:DIODE *4520:20 0 +14 *7876:DIODE *8188:DIODE 0 +15 *7876:DIODE *4520:118 0 +16 *37668:B *38076:A_N 0 +17 *38072:B *4520:79 0 +18 *39992:A *4520:107 0 +19 *41262:A *4520:107 0 +20 *1210:69 *40387:A 0 +21 *1432:41 *38076:A_N 0 +22 *1432:41 *4520:79 0 +23 *1432:52 *4520:107 0 +24 *1534:20 *8188:DIODE 0 +25 *1538:19 *8188:DIODE 0 +26 *2365:71 *4520:107 0 +27 *2421:73 *38076:A_N 0 +28 *2549:37 *4520:20 0 +29 *2671:44 *4520:20 0 +30 *3187:21 *4520:79 0 +31 *3274:74 *4520:107 0 +32 *3306:20 *4520:79 0 +33 *3317:81 *38076:A_N 0 +34 *3319:76 *4520:107 0 +35 *3443:53 *4520:107 0 +36 *3670:68 *8188:DIODE 0 +37 *3697:25 *4520:20 0 +38 *3726:28 *38076:A_N 0 +39 *3942:125 *40387:A 0 +40 *3991:68 *4520:107 0 +41 *4012:105 *4520:107 0 +42 *4017:97 *38076:A_N 0 +43 *4029:81 *4520:79 0 +44 *4037:24 *4520:107 0 +45 *4039:131 *38076:A_N 0 +46 *4045:14 *4520:79 0 +47 *4052:27 *38076:A_N 0 +48 *4052:27 *4520:107 0 +49 *4061:146 *38076:A_N 0 +50 *4107:83 *4520:107 0 +51 *4117:51 *38076:A_N 0 +52 *4117:66 *4520:107 0 +53 *4166:60 *38076:A_N 0 +54 *4235:14 *4520:118 0 +55 *4246:45 *4520:79 0 +56 *4246:50 *4520:79 0 +57 *4248:45 *38076:A_N 0 +58 *4248:45 *4520:107 0 +59 *4248:69 *4520:118 0 +60 *4267:62 *4520:107 0 +61 *4272:38 *38076:A_N 0 +62 *4276:20 *4520:107 0 +63 *4289:21 *4520:107 0 +64 *4289:36 *4520:118 0 +65 *4291:58 *38076:A_N 0 +66 *4408:108 *4520:107 0 +*RES +1 *38729:X *4520:20 46.9071 +2 *4520:20 *4520:22 4.5 +3 *4520:22 *38076:A_N 41.9987 +4 *4520:22 *4520:51 0.946429 +5 *4520:51 *6092:DIODE 20.8536 +6 *4520:51 *4520:79 47.3214 +7 *4520:79 *4520:107 47.0495 +8 *4520:107 *4520:118 27.1868 +9 *4520:118 *40387:A 15.1571 +10 *4520:118 *8188:DIODE 19.8714 +*END + +*D_NET *4521 0.00969276 +*CONN +*I *7014:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38876:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *6095:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38078:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *38730:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *7014:DIODE 0.000121071 +2 *38876:A 2.0535e-05 +3 *6095:DIODE 3.7537e-05 +4 *38078:A_N 0.000197 +5 *38730:X 9.80294e-05 +6 *4521:41 0.00275707 +7 *4521:23 0.00434953 +8 *4521:11 0.00187021 +9 *4521:10 0.000241775 +10 *6721:DIODE *4521:23 0 +11 *6866:DIODE *4521:10 0 +12 *8670:DIODE *38078:A_N 0 +13 *38728:A *4521:23 0 +14 *40177:A *4521:23 0 +15 *40389:A *4521:23 0 +16 *640:16 *4521:23 0 +17 *2051:49 *6095:DIODE 0 +18 *2051:49 *38078:A_N 0 +19 *2549:37 *4521:10 0 +20 *2671:57 *4521:41 0 +21 *2749:40 *7014:DIODE 0 +22 *2749:40 *4521:11 0 +23 *2749:40 *4521:23 0 +24 *3192:66 *4521:41 0 +25 *3220:21 *4521:41 0 +26 *3270:12 *4521:41 0 +27 *3518:122 *38078:A_N 0 +28 *3973:49 *4521:10 0 +29 *3989:68 *38078:A_N 0 +30 *3992:43 *4521:41 0 +31 *4006:45 *38078:A_N 0 +32 *4072:51 *4521:41 0 +33 *4075:126 *4521:41 0 +34 *4082:23 *4521:41 0 +35 *4135:96 *4521:41 0 +36 *4264:30 *4521:41 0 +37 *4288:52 *4521:41 0 +38 *4300:65 *4521:41 0 +39 *4502:38 *4521:23 0 +40 *4506:62 *4521:23 0 +41 *4517:43 *4521:23 0 +42 *4518:33 *4521:23 0 +*RES +1 *38730:X *4521:10 20.4786 +2 *4521:10 *4521:11 0.535714 +3 *4521:11 *4521:23 47.375 +4 *4521:23 *4521:41 44.8673 +5 *4521:41 *38078:A_N 18.1125 +6 *4521:41 *6095:DIODE 14.6839 +7 *4521:11 *38876:A 9.72857 +8 *4521:10 *7014:DIODE 11.8893 +*END + +*D_NET *4522 0.000247916 +*CONN +*I *40385:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38731:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40385:A 0.000123958 +2 *38731:X 0.000123958 +3 *2749:40 *40385:A 0 +*RES +1 *38731:X *40385:A 30.2964 +*END + +*D_NET *4523 0.0165183 +*CONN +*I *38156:A I *D sky130_fd_sc_hd__and2_1 +*I *5784:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37900:A_N I *D sky130_fd_sc_hd__and3b_1 +*I *6229:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38732:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38156:A 0 +2 *5784:DIODE 0 +3 *37900:A_N 0.000340539 +4 *6229:DIODE 0.000104386 +5 *38732:X 0.00153194 +6 *4523:40 0.00156301 +7 *4523:33 0.00130821 +8 *4523:22 0.00145549 +9 *4523:13 0.00497408 +10 *4523:12 0.00370871 +11 *4523:10 0.00153194 +12 *4523:10 *4815:26 0 +13 *4523:13 *39566:A 0 +14 *4523:13 *4811:16 0 +15 *6726:DIODE *4523:10 0 +16 *37496:B *4523:13 0 +17 *37522:A *4523:10 0 +18 *37900:B *37900:A_N 0 +19 *39435:B *4523:10 0 +20 *295:29 *4523:10 0 +21 *332:37 *4523:10 0 +22 *1349:14 *37900:A_N 0 +23 *1349:14 *4523:40 0 +24 *1473:9 *6229:DIODE 0 +25 *1629:13 *4523:22 0 +26 *1779:10 *4523:10 0 +27 *2904:10 *4523:10 0 +28 *3060:15 *4523:13 0 +29 *3149:41 *4523:13 0 +30 *3467:25 *4523:10 0 +31 *3617:33 *37900:A_N 0 +32 *3617:33 *4523:40 0 +33 *3867:18 *4523:10 0 +34 *4198:17 *4523:40 0 +35 *4249:15 *4523:10 0 +36 *4425:10 *4523:22 0 +37 *4439:8 *4523:40 0 +38 *4504:66 *4523:22 0 +39 *4504:66 *4523:33 0 +*RES +1 *38732:X *4523:10 48.5857 +2 *4523:10 *4523:12 4.5 +3 *4523:12 *4523:13 77.3393 +4 *4523:13 *4523:22 40.125 +5 *4523:22 *6229:DIODE 15.9786 +6 *4523:22 *4523:33 6.44643 +7 *4523:33 *4523:40 35.3214 +8 *4523:40 *37900:A_N 16.4071 +9 *4523:40 *5784:DIODE 9.3 +10 *4523:33 *38156:A 9.3 +*END + +*D_NET *4524 0.0172339 +*CONN +*I *8185:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40383:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38733:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *8185:DIODE 0.000219341 +2 *40383:A 0.000353088 +3 *38733:X 0.0004081 +4 *4524:99 0.00221627 +5 *4524:84 0.00254766 +6 *4524:73 0.00286948 +7 *4524:31 0.00380562 +8 *4524:13 0.00290375 +9 *4524:10 0.00191058 +10 *4524:13 *40249:A 0 +11 *4524:13 *5102:39 0 +12 *4524:31 *4774:57 0 +13 *4524:73 *40342:A 0 +14 *4524:73 *5105:66 0 +15 *4524:73 *5182:84 0 +16 *4524:73 *5194:22 0 +17 la_data_in_mprj[123] *4524:31 0 +18 *6601:DIODE *4524:99 0 +19 *6602:DIODE *4524:84 0 +20 *6741:DIODE *40383:A 0 +21 *7494:DIODE *4524:84 0 +22 *39550:A *4524:73 0 +23 *404:34 *4524:99 0 +24 *405:54 *4524:99 0 +25 *407:36 *4524:73 0 +26 *408:52 *4524:73 0 +27 *533:40 *4524:73 0 +28 *533:40 *4524:84 0 +29 *789:49 *8185:DIODE 0 +30 *789:49 *4524:99 0 +31 *901:62 *4524:99 0 +32 *1000:78 *4524:73 0 +33 *1011:273 *4524:73 0 +34 *1027:120 *4524:73 0 +35 *1028:94 *4524:73 0 +36 *1828:23 *4524:13 0 +37 *1828:23 *4524:31 0 +38 *1833:28 *4524:31 0 +39 *1864:36 *4524:73 0 +40 *2381:35 *4524:99 0 +41 *2389:21 *4524:73 0 +42 *2712:125 *4524:99 0 +43 *2712:135 *4524:99 0 +44 *2712:143 *40383:A 0 +45 *2782:39 *4524:31 0 +46 *2882:17 *4524:31 0 +47 *2882:46 *4524:31 0 +48 *3017:31 *4524:13 0 +49 *3030:21 *4524:10 0 +50 *3037:66 *4524:99 0 +51 *3087:34 *4524:31 0 +52 *3122:31 *4524:73 0 +53 *3135:26 *4524:73 0 +54 *3230:27 *4524:73 0 +55 *3235:96 *4524:99 0 +56 *3235:111 *40383:A 0 +57 *3235:111 *4524:99 0 +58 *3240:63 *4524:99 0 +59 *3571:48 *4524:31 0 +60 *4413:18 *4524:13 0 +*RES +1 *38733:X *4524:10 27.1214 +2 *4524:10 *4524:13 31.3571 +3 *4524:13 *4524:31 47.8036 +4 *4524:31 *4524:73 48.9797 +5 *4524:73 *4524:84 19.2857 +6 *4524:84 *4524:99 48.1429 +7 *4524:99 *40383:A 25.7286 +8 *4524:84 *8185:DIODE 23.05 +*END + +*D_NET *4525 0.008093 +*CONN +*I *40378:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38734:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40378:A 0.00122531 +2 *38734:X 0.00115361 +3 *4525:17 0.00289289 +4 *4525:11 0.0028212 +5 *40378:A *7296:DIODE 0 +6 *40378:A *4554:17 0 +7 *40378:A *4558:18 0 +8 *40378:A *5107:27 0 +9 *4525:11 *4784:11 0 +10 *4525:17 *5078:22 0 +11 *4525:17 *5102:51 0 +12 *6898:DIODE *40378:A 0 +13 *39529:B *40378:A 0 +14 *927:12 *40378:A 0 +15 *1100:5 *40378:A 0 +16 *1726:20 *4525:17 0 +17 *1829:30 *4525:17 0 +18 *2714:57 *40378:A 0 +19 *3142:19 *40378:A 0 +*RES +1 *38734:X *4525:11 46.9607 +2 *4525:11 *4525:17 44.1071 +3 *4525:17 *40378:A 49.1571 +*END + +*D_NET *4526 0.0074238 +*CONN +*I *37914:C I *D sky130_fd_sc_hd__and3b_1 +*I *38735:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *37914:C 0.00101478 +2 *38735:X 0.000337148 +3 *4526:18 0.00337475 +4 *4526:10 0.00269712 +5 *4526:10 *4604:21 0 +6 *4526:18 *4671:46 0 +7 *4526:18 *4791:35 0 +8 *5854:DIODE *4526:18 0 +9 *7858:DIODE *4526:18 0 +10 *37346:A *4526:18 0 +11 *40684:A *37914:C 0 +12 *1150:10 *4526:18 0 +13 *1161:11 *37914:C 0 +14 *1784:12 *4526:18 0 +15 *2792:11 *4526:18 0 +16 *3093:15 *4526:18 0 +17 *3104:19 *4526:18 0 +18 *3466:42 *4526:18 0 +19 *3622:37 *37914:C 0 +20 *3631:37 *37914:C 0 +21 *3883:39 *37914:C 0 +22 *3883:41 *37914:C 0 +23 *3891:20 *37914:C 0 +24 *4180:108 *4526:18 0 +25 *4187:32 *4526:18 0 +26 *4201:18 *4526:18 0 +27 *4401:42 *4526:18 0 +*RES +1 *38735:X *4526:10 20.9696 +2 *4526:10 *4526:18 49.4773 +3 *4526:18 *37914:C 29.3772 +*END + +*D_NET *4527 0.00469979 +*CONN +*I *40376:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38736:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40376:A 0.000457679 +2 *38736:X 0.00189221 +3 *4527:13 0.00234989 +4 *40376:A *4533:63 0 +5 *40376:A *4564:21 0 +6 *40376:A *4596:28 0 +7 *4527:13 *40374:A 0 +8 *4527:13 *4528:22 0 +9 *4527:13 *4535:15 0 +10 *4527:13 *5075:51 0 +11 *4527:13 *5107:43 0 +12 *4527:13 *5185:14 0 +13 mprj_dat_i_core[9] *4527:13 0 +14 *38805:A *4527:13 0 +15 *903:26 *4527:13 0 +16 *904:10 *4527:13 0 +17 *1063:11 *4527:13 0 +18 *1728:28 *4527:13 0 +19 *1828:18 *4527:13 0 +20 *1862:103 *40376:A 0 +21 *3016:52 *4527:13 0 +*RES +1 *38736:X *4527:13 46.0592 +2 *4527:13 *40376:A 24.0411 +*END + +*D_NET *4528 0.00383968 +*CONN +*I *40374:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38737:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40374:A 0.000705036 +2 *38737:X 0.0012148 +3 *4528:22 0.00191984 +4 *40374:A *5075:37 0 +5 *40374:A *5075:51 0 +6 *4528:22 *4535:15 0 +7 *4528:22 *4567:21 0 +8 *4528:22 *5083:8 0 +9 *4528:22 *5168:18 0 +10 *4528:22 *5190:31 0 +11 *904:10 *4528:22 0 +12 *905:11 *4528:22 0 +13 *4527:13 *40374:A 0 +14 *4527:13 *4528:22 0 +*RES +1 *38737:X *4528:22 45.7735 +2 *4528:22 *40374:A 28.5143 +*END + +*D_NET *4529 0.0191363 +*CONN +*I *40370:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8175:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38738:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *40370:A 0.00132222 +2 *8175:DIODE 7.09103e-05 +3 *38738:X 0.00224055 +4 *4529:92 0.00198159 +5 *4529:86 0.00185299 +6 *4529:67 0.00259647 +7 *4529:46 0.00241325 +8 *4529:32 0.00274951 +9 *4529:16 0.00390875 +10 *8175:DIODE *4590:47 0 +11 *40370:A *39048:A 0 +12 *40370:A *5191:27 0 +13 *4529:16 *40244:A 0 +14 *4529:16 *4530:9 0 +15 *4529:16 *4563:58 0 +16 *4529:16 *4568:36 0 +17 *4529:16 *4755:23 0 +18 *4529:16 *5166:22 0 +19 *4529:32 *7297:DIODE 0 +20 *4529:32 *41409:A 0 +21 *4529:32 *4545:74 0 +22 *4529:32 *4590:14 0 +23 *4529:32 *5175:22 0 +24 *4529:32 *5178:74 0 +25 *4529:46 *4563:74 0 +26 *4529:46 *4590:28 0 +27 *4529:46 *5173:36 0 +28 *4529:67 *4534:92 0 +29 *4529:67 *4780:63 0 +30 *4529:67 *5182:28 0 +31 *4529:86 *4534:92 0 +32 *4529:86 *4780:86 0 +33 *4529:86 *5171:57 0 +34 *4529:92 *4592:61 0 +35 *4529:92 *4755:23 0 +36 *4529:92 *5166:53 0 +37 *4529:92 *5167:58 0 +38 *4529:92 *5169:18 0 +39 *5452:DIODE *4529:32 0 +40 *6898:DIODE *4529:46 0 +41 *6931:DIODE *4529:46 0 +42 *6943:DIODE *4529:67 0 +43 *7511:DIODE *4529:46 0 +44 *7535:DIODE *4529:16 0 +45 *7622:DIODE *4529:86 0 +46 *37458:A *4529:16 0 +47 *37709:A *4529:67 0 +48 *39496:B *4529:16 0 +49 *39541:A *4529:16 0 +50 *40443:A *4529:67 0 +51 *801:8 *40370:A 0 +52 *1261:31 *4529:46 0 +53 *1618:14 *4529:32 0 +54 *1701:29 *4529:16 0 +55 *1728:42 *4529:86 0 +56 *1829:47 *40370:A 0 +57 *1834:23 *40370:A 0 +58 *1836:26 *4529:92 0 +59 *2713:137 *4529:86 0 +60 *2849:48 *4529:67 0 +61 *3027:43 *4529:67 0 +62 *3077:51 *4529:92 0 +63 *3184:22 *4529:32 0 +64 *3212:26 *4529:16 0 +65 *3212:59 *8175:DIODE 0 +66 *3251:12 *4529:16 0 +67 *3262:33 *4529:46 0 +68 *3264:34 *4529:32 0 +69 *3264:34 *4529:46 0 +70 *3357:61 *4529:16 0 +71 *3391:32 *4529:32 0 +72 *3391:42 *4529:32 0 +73 *3536:33 *4529:16 0 +74 *3695:18 *4529:16 0 +75 *3776:89 *4529:46 0 +*RES +1 *38738:X *4529:16 47.9368 +2 *4529:16 *4529:32 49.0536 +3 *4529:32 *4529:46 32.6429 +4 *4529:46 *4529:67 47.4761 +5 *4529:67 *4529:86 48.9725 +6 *4529:86 *4529:92 7.47231 +7 *4529:92 *8175:DIODE 15.3268 +8 *4529:92 *40370:A 49.0065 +*END + +*D_NET *4530 0.00320346 +*CONN +*I *40367:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38739:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40367:A 0 +2 *38739:X 0.00160173 +3 *4530:9 0.00160173 +4 *4530:9 *40244:A 0 +5 *4530:9 *4533:25 0 +6 *4530:9 *4534:15 0 +7 *6874:DIODE *4530:9 0 +8 *39541:A *4530:9 0 +9 *906:16 *4530:9 0 +10 *1701:29 *4530:9 0 +11 *1874:11 *4530:9 0 +12 *1874:18 *4530:9 0 +13 *2713:11 *4530:9 0 +14 *4529:16 *4530:9 0 +*RES +1 *38739:X *4530:9 42.7286 +2 *4530:9 *40367:A 9.3 +*END + +*D_NET *4531 0.00321702 +*CONN +*I *40364:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38740:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40364:A 0.00030407 +2 *38740:X 0.00130444 +3 *4531:11 0.00160851 +4 *40364:A *4535:15 0 +5 *40364:A *4567:21 0 +6 *4531:11 *4581:31 0 +7 *4531:11 *4784:11 0 +8 *4531:11 *5077:19 0 +9 *4531:11 *5078:28 0 +10 *4531:11 *5180:11 0 +11 mprj_dat_i_core[13] *4531:11 0 +12 mprj_dat_i_core[14] *4531:11 0 +13 *906:16 *4531:11 0 +14 *3030:23 *4531:11 0 +*RES +1 *38740:X *4531:11 45.6571 +2 *4531:11 *40364:A 25.05 +*END + +*D_NET *4532 0.0200258 +*CONN +*I *8166:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40359:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38741:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8166:DIODE 0.00082249 +2 *40359:A 2.56688e-05 +3 *38741:X 0.0041078 +4 *4532:38 0.00590512 +5 *4532:19 0.00916476 +6 *4532:19 *7289:DIODE 0 +7 *4532:19 *4534:15 0 +8 *4532:19 *4540:18 0 +9 *4532:19 *4596:28 0 +10 *4532:19 *4784:10 0 +11 *4532:19 *5168:18 0 +12 *4532:19 *5190:31 0 +13 *4532:19 *5195:21 0 +14 *4532:38 *4553:20 0 +15 *4532:38 *4556:21 0 +16 *4532:38 *4594:23 0 +17 *4532:38 *4774:35 0 +18 *4532:38 *5179:91 0 +19 *4532:38 *5195:21 0 +20 *6756:DIODE *4532:38 0 +21 *6872:DIODE *4532:19 0 +22 *37416:A *4532:19 0 +23 *39525:A *8166:DIODE 0 +24 *39525:A *4532:38 0 +25 *1598:21 *4532:19 0 +26 *1840:72 *8166:DIODE 0 +27 *1873:8 *4532:19 0 +28 *2782:39 *4532:38 0 +29 *2893:43 *8166:DIODE 0 +30 *2893:43 *4532:38 0 +31 *2982:51 *8166:DIODE 0 +32 *3017:55 *4532:38 0 +33 *3033:16 *4532:38 0 +34 *3033:29 *4532:19 0 +35 *3033:29 *4532:38 0 +36 *3135:26 *4532:38 0 +37 *3184:56 *8166:DIODE 0 +38 *3205:21 *4532:19 0 +39 *3205:68 *8166:DIODE 0 +40 *3266:46 *4532:38 0 +41 *3395:49 *4532:38 0 +*RES +1 *38741:X *4532:19 45.7256 +2 *4532:19 *4532:38 31.4946 +3 *4532:38 *40359:A 14.3357 +4 *4532:38 *8166:DIODE 32.3893 +*END + +*D_NET *4533 0.01248 +*CONN +*I *40354:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8161:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38742:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *40354:A 0 +2 *8161:DIODE 2.56688e-05 +3 *38742:X 0.00194312 +4 *4533:97 0.00116412 +5 *4533:65 0.00146829 +6 *4533:63 0.00171978 +7 *4533:43 0.00282857 +8 *4533:25 0.00333042 +9 *4533:25 *40239:A 0 +10 *4533:25 *40244:A 0 +11 *4533:25 *4534:15 0 +12 *4533:25 *4540:18 0 +13 *4533:25 *4566:17 0 +14 *4533:25 *4568:19 0 +15 *4533:25 *4581:31 0 +16 *4533:43 *4563:28 0 +17 *4533:63 *40255:A 0 +18 *4533:63 *4539:68 0 +19 *4533:63 *5078:13 0 +20 *4533:63 *5107:43 0 +21 *4533:63 *5178:74 0 +22 *4533:65 *5078:13 0 +23 *4533:97 *7296:DIODE 0 +24 *4533:97 *40266:A 0 +25 *4533:97 *4594:45 0 +26 *5277:DIODE *4533:65 0 +27 *6872:DIODE *4533:43 0 +28 *6874:DIODE *4533:25 0 +29 *6939:DIODE *4533:43 0 +30 *38767:A *4533:63 0 +31 *39501:A *4533:63 0 +32 *39574:A *4533:43 0 +33 *40376:A *4533:63 0 +34 *906:16 *4533:25 0 +35 *1058:12 *4533:97 0 +36 *1061:20 *4533:63 0 +37 *1598:21 *4533:25 0 +38 *1701:14 *4533:43 0 +39 *1701:14 *4533:63 0 +40 *1861:20 *4533:97 0 +41 *1862:103 *4533:63 0 +42 *1862:103 *4533:65 0 +43 *1862:103 *4533:97 0 +44 *1874:11 *4533:43 0 +45 *2373:10 *4533:43 0 +46 *2713:11 *4533:25 0 +47 *3130:20 *4533:65 0 +48 *3209:17 *4533:97 0 +49 *3251:12 *4533:43 0 +50 *3254:16 *4533:43 0 +51 *3537:16 *4533:25 0 +52 *4530:9 *4533:25 0 +*RES +1 *38742:X *4533:25 49.8041 +2 *4533:25 *4533:43 46.3118 +3 *4533:43 *4533:63 48.6964 +4 *4533:63 *4533:65 5.875 +5 *4533:65 *8161:DIODE 9.83571 +6 *4533:65 *4533:97 43.6298 +7 *4533:97 *40354:A 9.3 +*END + +*D_NET *4534 0.0184691 +*CONN +*I *8158:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40351:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38743:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *8158:DIODE 0.000128288 +2 *40351:A 0.00021684 +3 *38743:X 0.00163211 +4 *4534:97 0.000744705 +5 *4534:92 0.00202205 +6 *4534:82 0.00254729 +7 *4534:49 0.00281765 +8 *4534:31 0.00431047 +9 *4534:15 0.00404974 +10 *4534:15 *39536:B 0 +11 *4534:15 *40323:A 0 +12 *4534:15 *4568:19 0 +13 *4534:15 *4581:31 0 +14 *4534:15 *4784:10 0 +15 *4534:31 *4566:17 0 +16 *4534:31 *4567:21 0 +17 *4534:31 *5075:51 0 +18 *4534:31 *5078:22 0 +19 *4534:31 *5185:14 0 +20 *4534:49 *40255:A 0 +21 *4534:49 *4591:10 0 +22 *4534:49 *4594:23 0 +23 *4534:49 *4596:28 0 +24 *4534:49 *5168:18 0 +25 *4534:49 *5190:31 0 +26 *4534:82 *4539:69 0 +27 *4534:82 *4555:25 0 +28 *4534:82 *4600:28 0 +29 *4534:82 *4780:63 0 +30 *4534:82 *5179:29 0 +31 *4534:92 *4780:63 0 +32 *4534:92 *4780:86 0 +33 *4534:97 *4554:77 0 +34 *4534:97 *4555:54 0 +35 *4534:97 *4780:86 0 +36 *6875:DIODE *4534:15 0 +37 *6898:DIODE *4534:82 0 +38 *6911:DIODE *4534:31 0 +39 *6931:DIODE *4534:82 0 +40 *7622:DIODE *4534:92 0 +41 *7989:DIODE *4534:82 0 +42 *37416:A *4534:15 0 +43 *37709:A *4534:82 0 +44 *38741:A *4534:15 0 +45 *38743:A *4534:15 0 +46 *546:8 *40351:A 0 +47 *933:8 *4534:31 0 +48 *1035:11 *4534:31 0 +49 *1728:28 *4534:31 0 +50 *1755:29 *4534:15 0 +51 *1829:30 *4534:31 0 +52 *1862:103 *4534:49 0 +53 *1868:11 *4534:15 0 +54 *1874:18 *4534:15 0 +55 *2373:20 *4534:49 0 +56 *2713:11 *4534:15 0 +57 *2713:49 *4534:82 0 +58 *2968:53 *4534:82 0 +59 *2994:14 *4534:82 0 +60 *3027:43 *8158:DIODE 0 +61 *3391:14 *4534:49 0 +62 *3403:7 *40351:A 0 +63 *3403:16 *4534:82 0 +64 *3743:56 *4534:97 0 +65 *4529:67 *4534:92 0 +66 *4529:86 *4534:92 0 +67 *4530:9 *4534:15 0 +68 *4532:19 *4534:15 0 +69 *4533:25 *4534:15 0 +*RES +1 *38743:X *4534:15 48.1929 +2 *4534:15 *4534:31 47.5182 +3 *4534:31 *4534:49 47.7478 +4 *4534:49 *4534:82 43.3393 +5 *4534:82 *4534:92 47.4643 +6 *4534:92 *4534:97 17.3393 +7 *4534:97 *40351:A 18.5321 +8 *4534:97 *8158:DIODE 16.6929 +*END + +*D_NET *4535 0.0186665 +*CONN +*I *8153:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40346:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38744:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *8153:DIODE 0.000145783 +2 *40346:A 0.000275253 +3 *38744:X 0.0010967 +4 *4535:51 0.00280219 +5 *4535:38 0.00375492 +6 *4535:26 0.00229188 +7 *4535:15 0.00406061 +8 *4535:13 0.0042392 +9 *40346:A *4774:25 0 +10 *4535:13 *4547:31 0 +11 *4535:13 *4548:39 0 +12 *4535:15 *7290:DIODE 0 +13 *4535:15 *7291:DIODE 0 +14 *4535:15 *4547:31 0 +15 *4535:15 *4567:21 0 +16 *4535:15 *4761:9 0 +17 *4535:15 *5075:51 0 +18 *4535:15 *5078:29 0 +19 *4535:15 *5107:43 0 +20 *4535:26 *4784:11 0 +21 *4535:38 *4556:21 0 +22 *4535:38 *4592:28 0 +23 *4535:38 *5100:49 0 +24 *4535:51 *7287:DIODE 0 +25 *4535:51 *4774:25 0 +26 *4535:51 *5164:24 0 +27 mprj_dat_i_core[15] *4535:15 0 +28 mprj_dat_i_core[9] *4535:15 0 +29 *38734:A *4535:15 0 +30 *38805:A *4535:15 0 +31 *39536:A *4535:15 0 +32 *40364:A *4535:15 0 +33 *40443:A *40346:A 0 +34 *368:106 *4535:15 0 +35 *903:26 *4535:15 0 +36 *904:10 *4535:15 0 +37 *910:10 *4535:13 0 +38 *912:10 *4535:13 0 +39 *927:12 *4535:38 0 +40 *1037:12 *4535:15 0 +41 *1858:17 *4535:38 0 +42 *1868:11 *4535:15 0 +43 *3016:52 *4535:15 0 +44 *3030:36 *4535:15 0 +45 *3209:17 *40346:A 0 +46 *3209:17 *4535:51 0 +47 *3212:12 *4535:13 0 +48 *3266:20 *4535:51 0 +49 *4460:27 *40346:A 0 +50 *4527:13 *4535:15 0 +51 *4528:22 *4535:15 0 +*RES +1 *38744:X *4535:13 32.4964 +2 *4535:13 *4535:15 65.4286 +3 *4535:15 *4535:26 37.4286 +4 *4535:26 *4535:38 46.2046 +5 *4535:38 *4535:51 28.3254 +6 *4535:51 *40346:A 21.4292 +7 *4535:51 *8153:DIODE 20.5225 +*END + +*D_NET *4536 0.0122073 +*CONN +*I *8149:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40342:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38745:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *8149:DIODE 0.000321468 +2 *40342:A 0.00166057 +3 *38745:X 9.89845e-05 +4 *4536:21 0.00282731 +5 *4536:9 0.00402264 +6 *4536:8 0.00327635 +7 *8149:DIODE *4555:80 0 +8 *4536:9 *5072:38 0 +9 *4536:9 *5075:13 0 +10 *4536:9 *5167:85 0 +11 *6603:DIODE *40342:A 0 +12 *6757:DIODE *4536:9 0 +13 *38620:A *4536:9 0 +14 *39550:A *40342:A 0 +15 *39640:A *40342:A 0 +16 *39640:A *4536:21 0 +17 *407:36 *40342:A 0 +18 *408:52 *40342:A 0 +19 *799:8 *4536:9 0 +20 *1000:78 *40342:A 0 +21 *1010:169 *40342:A 0 +22 *1028:94 *40342:A 0 +23 *1864:36 *40342:A 0 +24 *2373:145 *40342:A 0 +25 *2893:39 *4536:9 0 +26 *2983:16 *4536:9 0 +27 *3018:95 *8149:DIODE 0 +28 *3106:23 *4536:21 0 +29 *3130:26 *40342:A 0 +30 *3130:26 *4536:21 0 +31 *3230:27 *4536:21 0 +32 *3262:69 *8149:DIODE 0 +33 *3571:24 *4536:9 0 +34 *4524:73 *40342:A 0 +*RES +1 *38745:X *4536:8 20.55 +2 *4536:8 *4536:9 66.25 +3 *4536:9 *4536:21 30.9736 +4 *4536:21 *40342:A 27.0976 +5 *4536:21 *8149:DIODE 24.3796 +*END + +*D_NET *4537 0.0114526 +*CONN +*I *37882:C I *D sky130_fd_sc_hd__and3b_1 +*I *5757:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38746:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *37882:C 0.00016043 +2 *5757:DIODE 0 +3 *38746:X 0.0017673 +4 *4537:23 0.00215631 +5 *4537:19 0.00288744 +6 *4537:16 0.00180271 +7 *4537:5 0.00267844 +8 *4537:16 *4571:19 0 +9 *4537:19 *4789:10 0 +10 *4537:23 *4800:28 0 +11 *6653:DIODE *4537:16 0 +12 *6690:DIODE *4537:19 0 +13 *6702:DIODE *4537:23 0 +14 *6832:DIODE *4537:19 0 +15 *6844:DIODE *4537:19 0 +16 *38696:A *4537:19 0 +17 *39443:A *4537:23 0 +18 *313:39 *4537:23 0 +19 *877:8 *4537:19 0 +20 *2359:14 *4537:23 0 +21 *3175:28 *4537:23 0 +22 *3871:10 *4537:23 0 +23 *3877:63 *4537:23 0 +24 *4249:28 *4537:23 0 +25 *4419:51 *37882:C 0 +26 *4419:51 *4537:23 0 +27 *4420:54 *4537:23 0 +28 *4439:5 *4537:5 0 +29 *4439:24 *4537:5 0 +30 *4451:54 *4537:19 0 +31 *4493:34 *4537:19 0 +32 *4499:10 *4537:23 0 +*RES +1 *38746:X *4537:5 46.1839 +2 *4537:5 *4537:16 37.2321 +3 *4537:16 *4537:19 23.1071 +4 *4537:19 *4537:23 49.6964 +5 *4537:23 *5757:DIODE 9.3 +6 *4537:23 *37882:C 12.7107 +*END + +*D_NET *4538 0.00521864 +*CONN +*I *37916:C I *D sky130_fd_sc_hd__and3b_2 +*I *38747:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *37916:C 0.000151 +2 *38747:X 0.000242142 +3 *4538:8 0.00236718 +4 *4538:7 0.00245832 +5 la_data_in_mprj[17] *4538:7 0 +6 *6625:DIODE *4538:8 0 +7 *6767:DIODE *4538:8 0 +8 *8829:DIODE *4538:8 0 +9 *37346:A *4538:8 0 +10 *37916:A_N *37916:C 0 +11 *37916:B *37916:C 0 +12 *39429:A *4538:8 0 +13 *41207:A *4538:8 0 +14 *291:35 *37916:C 0 +15 *291:35 *4538:8 0 +16 *552:5 *4538:8 0 +17 *808:5 *4538:8 0 +18 *1150:10 *4538:8 0 +19 *1283:20 *4538:8 0 +20 *1756:10 *4538:8 0 +21 *1784:12 *37916:C 0 +22 *1784:12 *4538:8 0 +23 *3466:42 *4538:8 0 +24 *3914:12 *4538:8 0 +25 *3920:18 *4538:8 0 +26 *4174:50 *4538:8 0 +27 *4421:12 *4538:8 0 +*RES +1 *38747:X *4538:7 18.8536 +2 *4538:7 *4538:8 50.2589 +3 *4538:8 *37916:C 17.117 +*END + +*D_NET *4539 0.0148263 +*CONN +*I *8145:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40338:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38748:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *8145:DIODE 0 +2 *40338:A 0.000674661 +3 *38748:X 0.00214805 +4 *4539:69 0.00107124 +5 *4539:68 0.00196537 +6 *4539:54 0.00314268 +7 *4539:48 0.00262505 +8 *4539:22 0.00319921 +9 *40338:A *4586:24 0 +10 *4539:22 *39317:A 0 +11 *4539:22 *39318:A 0 +12 *4539:22 *4542:25 0 +13 *4539:22 *4568:19 0 +14 *4539:22 *5087:15 0 +15 *4539:22 *5195:21 0 +16 *4539:48 *40327:A 0 +17 *4539:54 *7301:DIODE 0 +18 *4539:54 *40243:A 0 +19 *4539:54 *5178:74 0 +20 *4539:68 *7296:DIODE 0 +21 *4539:68 *7297:DIODE 0 +22 *5279:DIODE *4539:54 0 +23 *6872:DIODE *4539:48 0 +24 *6917:DIODE *4539:22 0 +25 *6943:DIODE *40338:A 0 +26 *6945:DIODE *40338:A 0 +27 *6945:DIODE *4539:69 0 +28 *7537:DIODE *4539:54 0 +29 *7970:DIODE *4539:68 0 +30 *7989:DIODE *4539:68 0 +31 *37461:A *4539:22 0 +32 *37709:A *40338:A 0 +33 *37709:A *4539:69 0 +34 *38774:A *4539:48 0 +35 *38795:A *40338:A 0 +36 *39526:A *40338:A 0 +37 *39574:A *4539:54 0 +38 *40133:A *4539:69 0 +39 *907:19 *4539:22 0 +40 *1040:12 *4539:22 0 +41 *1598:21 *4539:54 0 +42 *1755:29 *4539:22 0 +43 *1848:28 *4539:48 0 +44 *1858:17 *40338:A 0 +45 *1861:20 *4539:22 0 +46 *1862:91 *40338:A 0 +47 *1870:18 *4539:22 0 +48 *2373:10 *4539:54 0 +49 *2713:49 *4539:69 0 +50 *2713:69 *40338:A 0 +51 *2714:9 *4539:48 0 +52 *2967:15 *4539:68 0 +53 *2994:14 *4539:68 0 +54 *2994:14 *4539:69 0 +55 *2994:20 *4539:48 0 +56 *3089:38 *4539:48 0 +57 *3116:22 *4539:54 0 +58 *3264:17 *4539:68 0 +59 *3403:16 *40338:A 0 +60 *4533:63 *4539:68 0 +61 *4534:82 *4539:69 0 +*RES +1 *38748:X *4539:22 48.1638 +2 *4539:22 *4539:48 40.3708 +3 *4539:48 *4539:54 41.9821 +4 *4539:54 *4539:68 41.9821 +5 *4539:68 *4539:69 8.33929 +6 *4539:69 *40338:A 23.4429 +7 *4539:69 *8145:DIODE 9.3 +*END + +*D_NET *4540 0.0199568 +*CONN +*I *8142:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40335:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38749:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8142:DIODE 0.000474827 +2 *40335:A 6.50276e-05 +3 *38749:X 0.00553222 +4 *4540:26 0.00444616 +5 *4540:18 0.00943852 +6 *8142:DIODE *4555:54 0 +7 *8142:DIODE *5166:58 0 +8 *40335:A *4554:77 0 +9 *4540:18 *40239:A 0 +10 *4540:18 *4552:32 0 +11 *4540:18 *4568:19 0 +12 *4540:18 *4576:23 0 +13 *4540:18 *4596:28 0 +14 *4540:18 *4778:32 0 +15 *4540:18 *5168:18 0 +16 *4540:18 *5177:16 0 +17 *4540:18 *5190:31 0 +18 *4540:26 *41424:A 0 +19 *4540:26 *4550:35 0 +20 *4540:26 *4553:37 0 +21 *4540:26 *5168:18 0 +22 *4540:26 *5184:16 0 +23 *4540:26 *5190:31 0 +24 *4540:26 *5190:43 0 +25 *4540:26 *5191:27 0 +26 *801:36 *8142:DIODE 0 +27 *802:27 *4540:26 0 +28 *1598:21 *4540:18 0 +29 *1829:47 *4540:26 0 +30 *3087:5 *40335:A 0 +31 *3205:21 *4540:18 0 +32 *3205:68 *4540:26 0 +33 *3537:16 *4540:18 0 +34 *4532:19 *4540:18 0 +35 *4533:25 *4540:18 0 +*RES +1 *38749:X *4540:18 45.9587 +2 *4540:18 *4540:26 23.9382 +3 *4540:26 *40335:A 15.1571 +4 *4540:26 *8142:DIODE 24.7107 +*END + +*D_NET *4541 0.0042357 +*CONN +*I *40330:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38750:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40330:A 0.000277826 +2 *38750:X 0.00184002 +3 *4541:9 0.00211785 +4 *40330:A *4547:31 0 +5 *40330:A *4548:39 0 +6 *4541:9 *39319:A 0 +7 *4541:9 *39321:A 0 +8 *4541:9 *4543:32 0 +9 *4541:9 *4581:11 0 +10 *4541:9 *4778:32 0 +11 *4541:9 *5087:15 0 +12 mprj_dat_i_core[18] *40330:A 0 +13 mprj_dat_i_core[19] *4541:9 0 +14 mprj_dat_i_core[20] *4541:9 0 +15 mprj_dat_i_core[22] *4541:9 0 +16 mprj_dat_i_core[23] *4541:9 0 +17 *37461:A *40330:A 0 +18 *37465:A *4541:9 0 +19 *1039:12 *4541:9 0 +20 *1041:11 *40330:A 0 +*RES +1 *38750:X *4541:9 47.7643 +2 *4541:9 *40330:A 24.7286 +*END + +*D_NET *4542 0.00718591 +*CONN +*I *40327:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38751:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *40327:A 0.000970133 +2 *38751:X 0.00116595 +3 *4542:25 0.002427 +4 *4542:13 0.00262282 +5 *40327:A *40318:A 0 +6 *40327:A *4576:23 0 +7 *40327:A *5095:16 0 +8 *4542:13 *4547:9 0 +9 *4542:13 *4547:31 0 +10 *4542:13 *4573:19 0 +11 *4542:13 *4576:23 0 +12 *4542:25 *5087:15 0 +13 *5282:DIODE *4542:13 0 +14 *6878:DIODE *4542:25 0 +15 *6879:DIODE *4542:25 0 +16 *37461:A *4542:25 0 +17 *38751:A *4542:13 0 +18 *38785:A *4542:13 0 +19 *1045:10 *4542:13 0 +20 *1755:29 *4542:25 0 +21 *1848:15 *40327:A 0 +22 *1848:15 *4542:25 0 +23 *1848:28 *40327:A 0 +24 *1869:15 *4542:25 0 +25 *2714:9 *40327:A 0 +26 *3537:5 *4542:13 0 +27 *4539:22 *4542:25 0 +28 *4539:48 *40327:A 0 +*RES +1 *38751:X *4542:13 47.1929 +2 *4542:13 *4542:25 48.8214 +3 *4542:25 *40327:A 34.1571 +*END + +*D_NET *4543 0.00612073 +*CONN +*I *40323:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38752:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *40323:A 0.000183104 +2 *38752:X 0.00115312 +3 *4543:32 0.00190725 +4 *4543:20 0.00287726 +5 *40323:A *4784:10 0 +6 *4543:20 *39325:A 0 +7 *4543:20 *4551:20 0 +8 *4543:20 *4757:16 0 +9 *4543:20 *4778:32 0 +10 *4543:20 *5190:31 0 +11 *4543:32 *39317:A 0 +12 *4543:32 *39319:A 0 +13 *4543:32 *39321:A 0 +14 *4543:32 *4581:11 0 +15 *4543:32 *4581:21 0 +16 *4543:32 *4778:32 0 +17 mprj_dat_i_core[19] *4543:32 0 +18 mprj_dat_i_core[20] *4543:32 0 +19 mprj_dat_i_core[24] *4543:20 0 +20 *918:5 *4543:20 0 +21 *1040:12 *4543:32 0 +22 *1045:10 *4543:20 0 +23 *1046:8 *4543:20 0 +24 *3030:42 *4543:20 0 +25 *3537:5 *4543:20 0 +26 *4534:15 *40323:A 0 +27 *4541:9 *4543:32 0 +*RES +1 *38752:X *4543:20 48.9974 +2 *4543:20 *4543:32 49.875 +3 *4543:32 *40323:A 13.1214 +*END + +*D_NET *4544 0.00854475 +*CONN +*I *40318:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38753:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *40318:A 0.00104199 +2 *38753:X 0.000937739 +3 *4544:17 0.00333464 +4 *4544:14 0.00323039 +5 *40318:A *7525:DIODE 0 +6 *40318:A *4548:57 0 +7 *40318:A *4570:18 0 +8 *40318:A *4776:9 0 +9 *40318:A *5209:110 0 +10 *40318:A *5209:117 0 +11 *4544:14 *7533:DIODE 0 +12 *4544:14 *4762:10 0 +13 *4544:14 *4781:11 0 +14 *4544:14 *5190:31 0 +15 *4544:17 *4551:34 0 +16 *4544:17 *4570:18 0 +17 *4544:17 *4776:9 0 +18 *4544:17 *5095:22 0 +19 *4544:17 *5178:15 0 +20 *4544:17 *5178:33 0 +21 *4544:17 *5209:69 0 +22 *4544:17 *5209:74 0 +23 *6886:DIODE *4544:14 0 +24 *6887:DIODE *4544:14 0 +25 *6889:DIODE *4544:14 0 +26 *6912:DIODE *40318:A 0 +27 *7506:DIODE *4544:17 0 +28 *38753:A *4544:14 0 +29 *39535:A *40318:A 0 +30 *40327:A *40318:A 0 +31 *40608:A *40318:A 0 +32 *1013:84 *40318:A 0 +33 *1018:73 *4544:14 0 +34 *1848:28 *40318:A 0 +35 *2714:9 *4544:14 0 +36 *2967:33 *4544:14 0 +37 *3089:14 *40318:A 0 +38 *3091:12 *4544:17 0 +*RES +1 *38753:X *4544:14 38.3357 +2 *4544:14 *4544:17 47.7857 +3 *4544:17 *40318:A 40.5143 +*END + +*D_NET *4545 0.0195547 +*CONN +*I *8124:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40313:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38754:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *8124:DIODE 0 +2 *40313:A 0.000124066 +3 *38754:X 0.000657406 +4 *4545:77 0.000441931 +5 *4545:74 0.00228817 +6 *4545:69 0.00349451 +7 *4545:48 0.00254266 +8 *4545:23 0.00354341 +9 *4545:22 0.00416507 +10 *4545:16 0.00229752 +11 *4545:16 *4546:16 0 +12 *4545:48 *4547:65 0 +13 *4545:48 *4548:57 0 +14 *4545:48 *4548:65 0 +15 *4545:48 *5178:33 0 +16 *4545:69 *40300:A 0 +17 *4545:74 *41407:A 0 +18 *4545:74 *41409:A 0 +19 *4545:74 *4568:37 0 +20 *4545:74 *4780:63 0 +21 *4545:74 *5175:22 0 +22 *4545:74 *5178:74 0 +23 *5442:DIODE *4545:74 0 +24 *5459:DIODE *4545:48 0 +25 *6873:DIODE *4545:48 0 +26 *6890:DIODE *4545:16 0 +27 *6926:DIODE *4545:16 0 +28 *6931:DIODE *4545:77 0 +29 *7528:DIODE *4545:48 0 +30 *37649:A *4545:48 0 +31 *37709:A *4545:74 0 +32 *39504:B *4545:48 0 +33 *39508:A *4545:74 0 +34 *39542:A *4545:69 0 +35 *39543:A *4545:16 0 +36 *40463:A *4545:69 0 +37 *920:5 *4545:16 0 +38 *1050:5 *4545:16 0 +39 *1248:51 *40313:A 0 +40 *1248:51 *4545:77 0 +41 *1712:27 *4545:74 0 +42 *1720:17 *4545:16 0 +43 *1752:16 *4545:48 0 +44 *1828:18 *4545:69 0 +45 *1840:20 *4545:74 0 +46 *1864:72 *4545:48 0 +47 *1875:11 *4545:22 0 +48 *2713:52 *40313:A 0 +49 *2964:5 *4545:22 0 +50 *2967:25 *4545:22 0 +51 *2967:25 *4545:23 0 +52 *2968:53 *4545:74 0 +53 *3042:77 *4545:74 0 +54 *3091:41 *4545:69 0 +55 *3095:8 *4545:16 0 +56 *3111:18 *4545:69 0 +57 *3179:23 *4545:69 0 +58 *3184:22 *4545:69 0 +59 *3184:22 *4545:74 0 +60 *3189:10 *4545:16 0 +61 *3251:12 *4545:48 0 +62 *3370:8 *4545:69 0 +63 *3391:32 *4545:74 0 +64 *3536:7 *4545:48 0 +65 *3536:33 *4545:69 0 +66 *3536:33 *4545:74 0 +67 *3695:23 *4545:48 0 +68 *4449:51 *4545:69 0 +69 *4529:32 *4545:74 0 +*RES +1 *38754:X *4545:16 41.7464 +2 *4545:16 *4545:22 43.3393 +3 *4545:22 *4545:23 52.6964 +4 *4545:23 *4545:48 49.0714 +5 *4545:48 *4545:69 41.4643 +6 *4545:69 *4545:74 45.6964 +7 *4545:74 *4545:77 11.1964 +8 *4545:77 *40313:A 11.8893 +9 *4545:77 *8124:DIODE 9.3 +*END + +*D_NET *4546 0.00239917 +*CONN +*I *40309:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38755:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40309:A 0.000183104 +2 *38755:X 0.00101648 +3 *4546:16 0.00119958 +4 *40309:A *4762:10 0 +5 *40309:A *4781:11 0 +6 *4546:16 *4547:9 0 +7 *4546:16 *4584:17 0 +8 *4546:16 *5185:10 0 +9 mprj_dat_i_core[27] *4546:16 0 +10 *6890:DIODE *4546:16 0 +11 *921:12 *4546:16 0 +12 *922:10 *4546:16 0 +13 *1051:5 *4546:16 0 +14 *3095:8 *4546:16 0 +15 *3537:5 *4546:16 0 +16 *4545:16 *4546:16 0 +*RES +1 *38755:X *4546:16 49.1393 +2 *4546:16 *40309:A 13.1214 +*END + +*D_NET *4547 0.0147394 +*CONN +*I *40304:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8116:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38756:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *40304:A 0.000161285 +2 *8116:DIODE 0 +3 *38756:X 6.43859e-05 +4 *4547:65 0.00108023 +5 *4547:54 0.00238912 +6 *4547:31 0.00338229 +7 *4547:9 0.00475493 +8 *4547:7 0.0029072 +9 *40304:A *4548:65 0 +10 *4547:7 *4548:15 0 +11 *4547:7 *4552:22 0 +12 *4547:9 *4548:15 0 +13 *4547:9 *4579:17 0 +14 *4547:31 *4548:15 0 +15 *4547:31 *4548:39 0 +16 *4547:31 *4573:19 0 +17 *4547:54 *7290:DIODE 0 +18 *4547:54 *7291:DIODE 0 +19 *4547:54 *39316:A 0 +20 *4547:54 *5178:56 0 +21 *4547:65 *4548:65 0 +22 mprj_dat_i_core[18] *4547:31 0 +23 mprj_dat_i_core[21] *4547:9 0 +24 mprj_dat_i_core[24] *4547:9 0 +25 *6870:DIODE *4547:65 0 +26 *6873:DIODE *4547:65 0 +27 *6885:DIODE *4547:9 0 +28 *6892:DIODE *4547:9 0 +29 *6909:DIODE *4547:54 0 +30 *6913:DIODE *4547:54 0 +31 *6918:DIODE *4547:31 0 +32 *6923:DIODE *4547:9 0 +33 *7467:DIODE *4547:65 0 +34 *7968:DIODE *4547:65 0 +35 *37458:A *4547:65 0 +36 *37461:A *4547:31 0 +37 *38751:A *4547:9 0 +38 *38754:A *4547:9 0 +39 *38785:A *4547:9 0 +40 *40330:A *4547:31 0 +41 *911:5 *4547:31 0 +42 *912:10 *4547:31 0 +43 *915:8 *4547:9 0 +44 *922:10 *4547:7 0 +45 *922:10 *4547:9 0 +46 *1042:8 *4547:31 0 +47 *1045:10 *4547:9 0 +48 *1047:10 *4547:9 0 +49 *1248:67 *40304:A 0 +50 *1248:67 *4547:65 0 +51 *1848:28 *4547:54 0 +52 *2964:5 *4547:54 0 +53 *2966:14 *4547:54 0 +54 *2994:20 *4547:54 0 +55 *3030:36 *4547:31 0 +56 *3089:38 *4547:54 0 +57 *3536:7 *4547:65 0 +58 *3536:33 *4547:65 0 +59 *3537:5 *4547:7 0 +60 *3537:5 *4547:9 0 +61 *3537:5 *4547:31 0 +62 *3537:16 *4547:54 0 +63 *4535:13 *4547:31 0 +64 *4535:15 *4547:31 0 +65 *4542:13 *4547:9 0 +66 *4542:13 *4547:31 0 +67 *4545:48 *4547:65 0 +68 *4546:16 *4547:9 0 +*RES +1 *38756:X *4547:7 10.675 +2 *4547:7 *4547:9 59.2679 +3 *4547:9 *4547:31 49.2321 +4 *4547:31 *4547:54 48.3007 +5 *4547:54 *4547:65 23.8036 +6 *4547:65 *8116:DIODE 9.3 +7 *4547:65 *40304:A 12.7286 +*END + +*D_NET *4548 0.0159208 +*CONN +*I *40300:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8112:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38757:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *40300:A 0.000177328 +2 *8112:DIODE 0 +3 *38757:X 0.000287335 +4 *4548:65 0.00162312 +5 *4548:57 0.00285896 +6 *4548:39 0.00287255 +7 *4548:15 0.00463675 +8 *4548:14 0.0034647 +9 *4548:14 *4552:22 0 +10 *4548:14 *4766:13 0 +11 *4548:14 *4778:9 0 +12 *4548:39 *8989:DIODE 0 +13 *4548:57 *39316:A 0 +14 *4548:57 *4566:17 0 +15 *4548:57 *4573:19 0 +16 *4548:57 *4755:23 0 +17 *4548:57 *5178:33 0 +18 mprj_dat_i_core[18] *4548:39 0 +19 *5278:DIODE *4548:57 0 +20 *6870:DIODE *4548:65 0 +21 *6873:DIODE *4548:65 0 +22 *6875:DIODE *4548:57 0 +23 *6878:DIODE *4548:39 0 +24 *6893:DIODE *4548:14 0 +25 *6908:DIODE *4548:65 0 +26 *37416:A *4548:57 0 +27 *40304:A *4548:65 0 +28 *40318:A *4548:57 0 +29 *40330:A *4548:39 0 +30 *910:10 *4548:39 0 +31 *912:10 *4548:39 0 +32 *1042:8 *4548:15 0 +33 *1248:67 *4548:65 0 +34 *1868:11 *4548:39 0 +35 *3089:14 *4548:57 0 +36 *3184:22 *40300:A 0 +37 *3184:22 *4548:65 0 +38 *3199:18 *4548:57 0 +39 *3212:12 *4548:39 0 +40 *3212:26 *4548:57 0 +41 *3536:33 *40300:A 0 +42 *3536:33 *4548:65 0 +43 *4535:13 *4548:39 0 +44 *4545:48 *4548:57 0 +45 *4545:48 *4548:65 0 +46 *4545:69 *40300:A 0 +47 *4547:7 *4548:15 0 +48 *4547:9 *4548:15 0 +49 *4547:31 *4548:15 0 +50 *4547:31 *4548:39 0 +51 *4547:65 *4548:65 0 +*RES +1 *38757:X *4548:14 33.9071 +2 *4548:14 *4548:15 66.25 +3 *4548:15 *4548:39 49.2679 +4 *4548:39 *4548:57 49.7516 +5 *4548:57 *4548:65 30.2679 +6 *4548:65 *8112:DIODE 9.3 +7 *4548:65 *40300:A 13.0321 +*END + +*D_NET *4549 0.00225241 +*CONN +*I *40296:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38758:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40296:A 0 +2 *38758:X 0.00112621 +3 *4549:15 0.00112621 +4 *4549:15 *4604:7 0 +5 *4549:15 *4637:42 0 +6 *4549:15 *4775:30 0 +7 *4549:15 *4791:35 0 +8 *4549:15 *4799:23 0 +9 *38403:A *4549:15 0 +10 *38408:A *4549:15 0 +11 *38758:A *4549:15 0 +12 *2360:36 *4549:15 0 +13 *4430:36 *4549:15 0 +14 *4433:34 *4549:15 0 +15 *4482:20 *4549:15 0 +16 *4482:22 *4549:15 0 +*RES +1 *38758:X *4549:15 42.1036 +2 *4549:15 *40296:A 9.3 +*END + +*D_NET *4550 0.0172587 +*CONN +*I *8108:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40295:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38759:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *8108:DIODE 0 +2 *40295:A 0.00151654 +3 *38759:X 0.00153063 +4 *4550:91 0.00272718 +5 *4550:71 0.00135643 +6 *4550:63 0.00327425 +7 *4550:35 0.00422573 +8 *4550:11 0.00262789 +9 *4550:11 *40260:A 0 +10 *4550:11 *4592:50 0 +11 *4550:11 *5102:19 0 +12 *4550:35 *4553:37 0 +13 *4550:35 *5075:12 0 +14 *4550:35 *5168:18 0 +15 *4550:35 *5195:27 0 +16 *4550:63 *4590:93 0 +17 *4550:63 *4755:41 0 +18 *4550:63 *5102:19 0 +19 *6601:DIODE *40295:A 0 +20 *6615:DIODE *4550:63 0 +21 *6744:DIODE *4550:91 0 +22 *7508:DIODE *4550:11 0 +23 *7577:DIODE *4550:91 0 +24 *7978:DIODE *4550:91 0 +25 *40165:A *4550:35 0 +26 *40373:A *40295:A 0 +27 *405:54 *40295:A 0 +28 *416:21 *4550:63 0 +29 *536:53 *4550:91 0 +30 *543:12 *4550:63 0 +31 *544:12 *4550:63 0 +32 *788:34 *40295:A 0 +33 *789:59 *4550:71 0 +34 *789:59 *4550:91 0 +35 *792:57 *4550:91 0 +36 *795:41 *4550:63 0 +37 *800:11 *4550:35 0 +38 *800:11 *4550:63 0 +39 *802:27 *4550:35 0 +40 *1010:169 *4550:63 0 +41 *1027:120 *4550:63 0 +42 *1731:22 *4550:11 0 +43 *1833:28 *4550:63 0 +44 *1840:93 *4550:91 0 +45 *1866:22 *4550:11 0 +46 *2406:16 *4550:63 0 +47 *2712:75 *4550:71 0 +48 *2712:110 *40295:A 0 +49 *2712:125 *40295:A 0 +50 *2849:23 *4550:63 0 +51 *2882:46 *4550:63 0 +52 *2971:45 *4550:11 0 +53 *3004:24 *4550:35 0 +54 *3004:24 *4550:63 0 +55 *3025:84 *4550:91 0 +56 *3030:21 *4550:35 0 +57 *3041:55 *4550:91 0 +58 *3130:35 *4550:91 0 +59 *3135:26 *4550:11 0 +60 *3142:40 *4550:11 0 +61 *3261:15 *40295:A 0 +62 *3262:86 *4550:91 0 +63 *4413:18 *4550:35 0 +64 *4540:26 *4550:35 0 +*RES +1 *38759:X *4550:11 48.4633 +2 *4550:11 *4550:35 42.6507 +3 *4550:35 *4550:63 46.593 +4 *4550:63 *4550:71 11.2046 +5 *4550:71 *4550:91 48.0714 +6 *4550:91 *40295:A 45.6571 +7 *4550:71 *8108:DIODE 9.3 +*END + +*D_NET *4551 0.0132794 +*CONN +*I *8103:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40290:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38760:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *8103:DIODE 0 +2 *40290:A 0.000206917 +3 *38760:X 0.00140929 +4 *4551:35 0.00219753 +5 *4551:34 0.00363738 +6 *4551:20 0.00303288 +7 *4551:13 0.00279541 +8 *4551:13 *39327:A 0 +9 *4551:13 *4552:23 0 +10 *4551:13 *4584:17 0 +11 *4551:13 *4766:13 0 +12 *4551:20 *39326:A 0 +13 *4551:20 *39327:A 0 +14 *4551:20 *4552:23 0 +15 *4551:20 *4579:17 0 +16 *4551:20 *4581:11 0 +17 *4551:34 *4575:14 0 +18 *4551:34 *4579:22 0 +19 *4551:34 *4776:9 0 +20 mprj_dat_i_core[24] *4551:20 0 +21 mprj_dat_i_core[25] *4551:20 0 +22 mprj_dat_i_core[28] *4551:13 0 +23 mprj_dat_i_core[29] *4551:13 0 +24 *6923:DIODE *4551:20 0 +25 *6929:DIODE *4551:13 0 +26 *37464:A *4551:20 0 +27 *37465:A *4551:20 0 +28 *38760:A *4551:13 0 +29 *39536:A *40290:A 0 +30 *919:7 *4551:20 0 +31 *921:12 *4551:13 0 +32 *1014:119 *40290:A 0 +33 *1048:10 *4551:20 0 +34 *1052:8 *4551:13 0 +35 *1053:9 *4551:13 0 +36 *1755:18 *4551:34 0 +37 *2967:33 *4551:34 0 +38 *3091:12 *4551:34 0 +39 *3189:17 *40290:A 0 +40 *3189:17 *4551:35 0 +41 *4543:20 *4551:20 0 +42 *4544:17 *4551:34 0 +*RES +1 *38760:X *4551:13 48.1036 +2 *4551:13 *4551:20 38.9464 +3 *4551:20 *4551:34 44.3929 +4 *4551:34 *4551:35 41.6071 +5 *4551:35 *40290:A 22.8179 +6 *4551:35 *8103:DIODE 9.3 +*END + +*D_NET *4552 0.0102478 +*CONN +*I *8099:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40286:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38761:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *8099:DIODE 0.000214028 +2 *40286:A 0.00018396 +3 *38761:X 0.00118311 +4 *4552:32 0.00110095 +5 *4552:23 0.00354278 +6 *4552:22 0.00402294 +7 *8099:DIODE *4580:22 0 +8 *8099:DIODE *5178:15 0 +9 *4552:22 *39329:A 0 +10 *4552:23 *4581:11 0 +11 *4552:32 *4778:32 0 +12 *4552:32 *5190:31 0 +13 mprj_dat_i_core[30] *4552:22 0 +14 *6880:DIODE *4552:32 0 +15 *37457:A *8099:DIODE 0 +16 *37457:A *4552:32 0 +17 *923:8 *4552:22 0 +18 *926:12 *4552:22 0 +19 *1042:8 *4552:32 0 +20 *1055:12 *4552:22 0 +21 *1867:17 *40286:A 0 +22 *2964:5 *40286:A 0 +23 *3537:5 *4552:22 0 +24 *4540:18 *4552:32 0 +25 *4547:7 *4552:22 0 +26 *4548:14 *4552:22 0 +27 *4551:13 *4552:23 0 +28 *4551:20 *4552:23 0 +*RES +1 *38761:X *4552:22 43.5857 +2 *4552:22 *4552:23 59.2679 +3 *4552:23 *4552:32 20.9665 +4 *4552:32 *40286:A 17.6393 +5 *4552:32 *8099:DIODE 18.5321 +*END + +*D_NET *4553 0.0106917 +*CONN +*I *8095:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40282:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38762:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *8095:DIODE 0 +2 *40282:A 0.00140006 +3 *38762:X 0.00160581 +4 *4553:37 0.00374002 +5 *4553:20 0.00394577 +6 *4553:20 *4556:36 0 +7 *4553:20 *4784:45 0 +8 *4553:37 *40234:A 0 +9 *4553:37 *40249:A 0 +10 *4553:37 *4561:49 0 +11 *4553:37 *4755:41 0 +12 *4553:37 *5167:85 0 +13 *4553:37 *5168:18 0 +14 *4553:37 *5191:27 0 +15 *6943:DIODE *4553:20 0 +16 *6947:DIODE *4553:20 0 +17 *7508:DIODE *4553:37 0 +18 *536:53 *40282:A 0 +19 *792:83 *40282:A 0 +20 *1001:100 *40282:A 0 +21 *1097:8 *4553:20 0 +22 *1879:21 *40282:A 0 +23 *3017:55 *4553:37 0 +24 *3030:21 *4553:20 0 +25 *3033:29 *4553:20 0 +26 *3087:34 *40282:A 0 +27 *3130:20 *40282:A 0 +28 *3135:26 *4553:20 0 +29 *3145:13 *40282:A 0 +30 *3205:47 *4553:20 0 +31 *3257:23 *40282:A 0 +32 *3395:49 *4553:20 0 +33 *3478:17 *4553:37 0 +34 *4532:38 *4553:20 0 +35 *4540:26 *4553:37 0 +36 *4550:35 *4553:37 0 +*RES +1 *38762:X *4553:20 48.5662 +2 *4553:20 *4553:37 44.9905 +3 *4553:37 *40282:A 39.3558 +4 *4553:37 *8095:DIODE 9.3 +*END + +*D_NET *4554 0.00951442 +*CONN +*I *40278:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38763:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *40278:A 0.000555515 +2 *38763:X 0.000977661 +3 *4554:77 0.00200121 +4 *4554:53 0.00322403 +5 *4554:17 0.002756 +6 *40278:A *40234:A 0 +7 *4554:17 *40241:A 0 +8 *4554:17 *4556:21 0 +9 *4554:17 *4599:18 0 +10 *4554:17 *4761:9 0 +11 *4554:17 *4780:63 0 +12 *4554:17 *5100:49 0 +13 *4554:53 *4755:23 0 +14 *4554:53 *4764:49 0 +15 *4554:77 *4780:86 0 +16 *4554:77 *4780:99 0 +17 *4554:77 *5188:20 0 +18 *6756:DIODE *4554:77 0 +19 *6934:DIODE *4554:17 0 +20 *6944:DIODE *4554:17 0 +21 *7498:DIODE *40278:A 0 +22 *37698:A *4554:77 0 +23 *40335:A *4554:77 0 +24 *40378:A *4554:17 0 +25 *801:36 *4554:77 0 +26 *1100:5 *4554:17 0 +27 *1248:24 *4554:53 0 +28 *1728:42 *4554:53 0 +29 *1862:91 *4554:53 0 +30 *2849:48 *4554:53 0 +31 *3027:43 *4554:53 0 +32 *3087:5 *40278:A 0 +33 *3087:5 *4554:77 0 +34 *3113:14 *4554:17 0 +35 *3130:20 *4554:53 0 +36 *3188:27 *4554:53 0 +37 *3240:25 *4554:53 0 +38 *3262:33 *4554:17 0 +39 *3262:49 *4554:77 0 +40 *3375:23 *4554:77 0 +41 *3403:16 *4554:53 0 +42 *3743:56 *4554:77 0 +43 *4460:27 *40278:A 0 +44 *4460:27 *4554:77 0 +45 *4534:97 *4554:77 0 +*RES +1 *38763:X *4554:17 48.425 +2 *4554:17 *4554:53 47.5029 +3 *4554:53 *4554:77 49.125 +4 *4554:77 *40278:A 20.925 +*END + +*D_NET *4555 0.0149215 +*CONN +*I *8087:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40273:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38764:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *8087:DIODE 3.55085e-05 +2 *40273:A 0.000576692 +3 *38764:X 0.00139359 +4 *4555:80 0.00158895 +5 *4555:65 0.00185634 +6 *4555:62 0.00185121 +7 *4555:54 0.00179838 +8 *4555:25 0.00259149 +9 *4555:19 0.00322934 +10 *4555:19 *4755:23 0 +11 *4555:19 *4761:9 0 +12 *4555:19 *4780:63 0 +13 *4555:19 *5075:37 0 +14 *4555:25 *4761:86 0 +15 *4555:54 *4761:86 0 +16 *4555:54 *4780:86 0 +17 *4555:62 *40269:A 0 +18 *4555:65 *5169:57 0 +19 *5508:DIODE *4555:65 0 +20 *6606:DIODE *4555:80 0 +21 *6716:DIODE *40273:A 0 +22 *6716:DIODE *4555:80 0 +23 *6753:DIODE *4555:65 0 +24 *6760:DIODE *8087:DIODE 0 +25 *6760:DIODE *4555:65 0 +26 *6761:DIODE *4555:54 0 +27 *7970:DIODE *4555:19 0 +28 *8013:DIODE *4555:80 0 +29 *8142:DIODE *4555:54 0 +30 *8149:DIODE *4555:80 0 +31 *38763:A *4555:19 0 +32 *411:36 *40273:A 0 +33 *411:68 *4555:65 0 +34 *411:68 *4555:80 0 +35 *415:28 *4555:65 0 +36 *535:29 *40273:A 0 +37 *539:29 *40273:A 0 +38 *539:48 *4555:80 0 +39 *929:8 *4555:19 0 +40 *1856:16 *4555:54 0 +41 *1856:16 *4555:62 0 +42 *2713:209 *4555:62 0 +43 *2967:15 *4555:19 0 +44 *2993:17 *4555:65 0 +45 *2995:54 *4555:19 0 +46 *3130:20 *4555:19 0 +47 *3235:22 *4555:54 0 +48 *3235:42 *4555:80 0 +49 *3262:49 *8087:DIODE 0 +50 *3262:49 *4555:54 0 +51 *3262:49 *4555:80 0 +52 *3262:69 *4555:80 0 +53 *3357:30 *4555:19 0 +54 *3403:7 *4555:25 0 +55 *3403:16 *4555:25 0 +56 *3743:56 *4555:25 0 +57 *4534:82 *4555:25 0 +58 *4534:97 *4555:54 0 +*RES +1 *38764:X *4555:19 47.2997 +2 *4555:19 *4555:25 46.2939 +3 *4555:25 *4555:54 48.1071 +4 *4555:54 *4555:62 35.3929 +5 *4555:62 *4555:65 16.875 +6 *4555:65 *4555:80 39.625 +7 *4555:80 *40273:A 21.3357 +8 *4555:65 *8087:DIODE 10.2464 +*END + +*D_NET *4556 0.0124101 +*CONN +*I *8083:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40269:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38765:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *8083:DIODE 6.28829e-05 +2 *40269:A 0.00125158 +3 *38765:X 0.00154559 +4 *4556:45 0.00305757 +5 *4556:36 0.00334497 +6 *4556:21 0.00314746 +7 *4556:21 *4591:10 0 +8 *4556:21 *4594:45 0 +9 *4556:21 *5075:37 0 +10 *4556:21 *5100:49 0 +11 *4556:36 *8999:DIODE 0 +12 *4556:36 *5107:27 0 +13 *4556:36 *5184:16 0 +14 *4556:36 *5195:21 0 +15 *4556:45 *4755:23 0 +16 *4556:45 *5166:78 0 +17 *4556:45 *5186:28 0 +18 *6727:DIODE *40269:A 0 +19 *39524:A *8083:DIODE 0 +20 *39524:A *40269:A 0 +21 *39524:B *40269:A 0 +22 *39534:A *4556:36 0 +23 *40486:A *40269:A 0 +24 *40555:A *40269:A 0 +25 *413:32 *40269:A 0 +26 *540:55 *40269:A 0 +27 *1240:24 *40269:A 0 +28 *1856:16 *40269:A 0 +29 *1861:20 *4556:21 0 +30 *1879:21 *40269:A 0 +31 *2713:209 *40269:A 0 +32 *3018:95 *40269:A 0 +33 *3077:91 *40269:A 0 +34 *3130:20 *4556:45 0 +35 *3142:40 *4556:36 0 +36 *3209:17 *4556:21 0 +37 *3240:25 *4556:45 0 +38 *3257:23 *4556:45 0 +39 *3266:62 *40269:A 0 +40 *3395:49 *4556:21 0 +41 *3478:17 *40269:A 0 +42 *4532:38 *4556:21 0 +43 *4535:38 *4556:21 0 +44 *4553:20 *4556:36 0 +45 *4554:17 *4556:21 0 +46 *4555:62 *40269:A 0 +*RES +1 *38765:X *4556:21 48.574 +2 *4556:21 *4556:36 48.6237 +3 *4556:36 *4556:45 13.1572 +4 *4556:45 *40269:A 49.7018 +5 *4556:45 *8083:DIODE 15.2911 +*END + +*D_NET *4557 0.00325005 +*CONN +*I *40266:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38766:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40266:A 0.000183104 +2 *38766:X 0.00144192 +3 *4557:10 0.00162502 +4 *40266:A *5107:27 0 +5 *4557:10 *7298:DIODE 0 +6 mprj_dat_i_core[4] *4557:10 0 +7 mprj_dat_i_core[5] *4557:10 0 +8 mprj_dat_i_core[6] *4557:10 0 +9 mprj_dat_i_core[7] *4557:10 0 +10 *931:8 *4557:10 0 +11 *3392:50 *4557:10 0 +12 *4533:97 *40266:A 0 +*RES +1 *38766:X *4557:10 48.7643 +2 *4557:10 *40266:A 13.1214 +*END + +*D_NET *4558 0.00568332 +*CONN +*I *40260:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38767:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *40260:A 0.000776481 +2 *38767:X 4.5599e-05 +3 *4558:18 0.00279606 +4 *4558:8 0.00206518 +5 *40260:A *4592:50 0 +6 *40260:A *4600:28 0 +7 *40260:A *4761:9 0 +8 *40260:A *4761:31 0 +9 *40260:A *5100:45 0 +10 *40260:A *5100:49 0 +11 *4558:18 *7296:DIODE 0 +12 *4558:18 *4594:45 0 +13 *4558:18 *4600:28 0 +14 *6895:DIODE *40260:A 0 +15 *38809:A *40260:A 0 +16 *39501:B *4558:18 0 +17 *40378:A *4558:18 0 +18 *924:8 *40260:A 0 +19 *1701:14 *4558:18 0 +20 *2714:33 *4558:18 0 +21 *2714:57 *4558:18 0 +22 *3033:29 *4558:18 0 +23 *4550:11 *40260:A 0 +*RES +1 *38767:X *4558:8 19.3357 +2 *4558:8 *4558:18 46.875 +3 *4558:18 *40260:A 29.9429 +*END + +*D_NET *4559 0.00406551 +*CONN +*I *40255:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38768:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40255:A 0.000616324 +2 *38768:X 0.00141643 +3 *4559:9 0.00203275 +4 *40255:A *5102:51 0 +5 *4559:9 *7300:DIODE 0 +6 *4559:9 *5076:9 0 +7 *930:20 *40255:A 0 +8 *1828:21 *4559:9 0 +9 *1829:21 *4559:9 0 +10 *1829:30 *4559:9 0 +11 *1862:103 *40255:A 0 +12 *3392:50 *40255:A 0 +13 *4533:63 *40255:A 0 +14 *4534:49 *40255:A 0 +*RES +1 *38768:X *4559:9 47.9964 +2 *4559:9 *40255:A 31.9607 +*END + +*D_NET *4560 0.0107998 +*CONN +*I *5822:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37920:C I *D sky130_fd_sc_hd__and3b_1 +*I *38769:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5822:DIODE 8.8214e-05 +2 *37920:C 2.56688e-05 +3 *38769:X 4.56186e-05 +4 *4560:12 0.00225861 +5 *4560:11 0.00214472 +6 *4560:9 0.00309565 +7 *4560:8 0.00314127 +8 *4560:12 *39051:A 0 +9 *4560:12 *4786:15 0 +10 la_data_in_mprj[13] *4560:12 0 +11 *420:5 *4560:12 0 +12 *554:7 *4560:8 0 +13 *803:9 *4560:12 0 +14 *810:9 *4560:8 0 +15 *1780:29 *5822:DIODE 0 +16 *1780:29 *4560:12 0 +17 *2353:11 *4560:9 0 +18 *2357:11 *4560:9 0 +19 *3060:10 *4560:12 0 +20 *3060:14 *4560:12 0 +21 *3627:9 *4560:9 0 +22 *4184:70 *5822:DIODE 0 +23 *4439:45 *5822:DIODE 0 +24 *4439:45 *4560:12 0 +*RES +1 *38769:X *4560:8 19.3357 +2 *4560:8 *4560:9 64.6071 +3 *4560:9 *4560:11 4.5 +4 *4560:11 *4560:12 48.6964 +5 *4560:12 *37920:C 14.3357 +6 *4560:12 *5822:DIODE 15.7821 +*END + +*D_NET *4561 0.00765155 +*CONN +*I *40252:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38770:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40252:A 0.00131469 +2 *38770:X 0.00103112 +3 *4561:49 0.00279466 +4 *4561:27 0.00251109 +5 *40252:A *39044:A 0 +6 *40252:A *5168:49 0 +7 *40252:A *5179:115 0 +8 *4561:27 *4784:45 0 +9 *4561:27 *5100:31 0 +10 *4561:49 *40249:A 0 +11 *4561:49 *41426:A 0 +12 *4561:49 *4755:41 0 +13 *4561:49 *5100:31 0 +14 *4561:49 *5168:49 0 +15 *38621:A *4561:49 0 +16 *38669:A *4561:49 0 +17 *39606:A *40252:A 0 +18 *40549:A *4561:27 0 +19 *535:29 *40252:A 0 +20 *539:29 *40252:A 0 +21 *797:54 *40252:A 0 +22 *801:8 *4561:49 0 +23 *801:36 *4561:49 0 +24 *1027:120 *40252:A 0 +25 *1879:21 *40252:A 0 +26 *2408:16 *40252:A 0 +27 *2713:169 *4561:27 0 +28 *2927:66 *40252:A 0 +29 *3087:34 *40252:A 0 +30 *3395:23 *4561:27 0 +31 *3471:17 *4561:27 0 +32 *4393:28 *4561:49 0 +33 *4412:17 *4561:27 0 +34 *4427:42 *40252:A 0 +35 *4438:19 *4561:27 0 +36 *4553:37 *4561:49 0 +*RES +1 *38770:X *4561:27 49.1571 +2 *4561:27 *4561:49 40.4107 +3 *4561:49 *40252:A 46.4607 +*END + +*D_NET *4562 0.00533342 +*CONN +*I *40249:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38771:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40249:A 0.00109168 +2 *38771:X 0.00157503 +3 *4562:26 0.00266671 +4 *40249:A *5167:85 0 +5 *4562:26 *39049:A 0 +6 *4562:26 *39309:A 0 +7 *4562:26 *5073:10 0 +8 *4562:26 *5075:13 0 +9 *4562:26 *5171:77 0 +10 mprj_ack_i_core *4562:26 0 +11 mprj_dat_i_core[0] *4562:26 0 +12 *37449:A *4562:26 0 +13 *966:14 *4562:26 0 +14 *1032:14 *4562:26 0 +15 *2983:16 *4562:26 0 +16 *3017:55 *40249:A 0 +17 *3030:21 *4562:26 0 +18 *4393:28 *40249:A 0 +19 *4524:13 *40249:A 0 +20 *4553:37 *40249:A 0 +21 *4561:49 *40249:A 0 +*RES +1 *38771:X *4562:26 47.0679 +2 *4562:26 *40249:A 46.0857 +*END + +*D_NET *4563 0.0177778 +*CONN +*I *8065:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40246:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38772:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8065:DIODE 0 +2 *40246:A 0.000375487 +3 *38772:X 0.0011298 +4 *4563:91 0.00149871 +5 *4563:89 0.00293908 +6 *4563:86 0.00273223 +7 *4563:74 0.00208888 +8 *4563:58 0.00352817 +9 *4563:28 0.00348547 +10 *4563:58 *4755:23 0 +11 *4563:58 *5172:30 0 +12 *4563:74 *4599:18 0 +13 *4563:74 *5171:27 0 +14 *4563:86 *40235:A 0 +15 *4563:86 *4758:27 0 +16 *4563:89 *5084:43 0 +17 *5279:DIODE *4563:28 0 +18 *6142:DIODE *4563:91 0 +19 *38116:A_N *4563:89 0 +20 *38116:C *4563:89 0 +21 *375:41 *4563:58 0 +22 *1261:31 *4563:74 0 +23 *1450:45 *4563:89 0 +24 *1450:63 *4563:86 0 +25 *1457:17 *4563:86 0 +26 *1457:17 *4563:89 0 +27 *1457:42 *4563:86 0 +28 *1598:21 *4563:28 0 +29 *1861:20 *4563:28 0 +30 *1874:11 *4563:28 0 +31 *2373:10 *4563:28 0 +32 *2373:20 *4563:28 0 +33 *3016:52 *4563:28 0 +34 *3107:53 *4563:89 0 +35 *3111:44 *4563:74 0 +36 *3116:22 *4563:58 0 +37 *3116:63 *4563:89 0 +38 *3116:71 *40246:A 0 +39 *3116:71 *4563:89 0 +40 *3116:71 *4563:91 0 +41 *3209:17 *4563:28 0 +42 *3254:33 *4563:58 0 +43 *3262:33 *4563:74 0 +44 *3281:33 *4563:89 0 +45 *3297:51 *4563:89 0 +46 *3348:112 *4563:89 0 +47 *3394:32 *4563:58 0 +48 *3395:49 *4563:58 0 +49 *3402:102 *4563:86 0 +50 *3402:102 *4563:89 0 +51 *3403:39 *4563:58 0 +52 *3423:21 *40246:A 0 +53 *3423:21 *4563:91 0 +54 *3470:20 *4563:86 0 +55 *3482:15 *4563:86 0 +56 *3486:5 *4563:58 0 +57 *3491:11 *4563:86 0 +58 *3536:33 *4563:28 0 +59 *3954:34 *40246:A 0 +60 *4254:105 *4563:89 0 +61 *4254:105 *4563:91 0 +62 *4270:78 *4563:89 0 +63 *4413:33 *4563:58 0 +64 *4529:16 *4563:58 0 +65 *4529:46 *4563:74 0 +66 *4533:43 *4563:28 0 +*RES +1 *38772:X *4563:28 46.1906 +2 *4563:28 *4563:58 49.211 +3 *4563:58 *4563:74 43.9107 +4 *4563:74 *4563:86 37.2679 +5 *4563:86 *4563:89 37.9286 +6 *4563:89 *4563:91 23.5357 +7 *4563:91 *40246:A 26.4429 +8 *4563:91 *8065:DIODE 9.3 +*END + +*D_NET *4564 0.00797218 +*CONN +*I *40245:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38773:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40245:A 0 +2 *38773:X 0.000219323 +3 *4564:21 0.00159604 +4 *4564:11 0.00376677 +5 *4564:8 0.00239005 +6 *4564:11 *5073:11 0 +7 *4564:11 *5180:19 0 +8 *4564:21 *4596:28 0 +9 *4564:21 *5171:27 0 +10 *40376:A *4564:21 0 +11 *1240:37 *4564:11 0 +12 *1866:23 *4564:11 0 +13 *2714:24 *4564:21 0 +14 *3392:50 *4564:21 0 +15 *3486:5 *4564:21 0 +16 *4449:49 *4564:21 0 +*RES +1 *38773:X *4564:8 23.2821 +2 *4564:8 *4564:11 49.8036 +3 *4564:11 *4564:21 48.6786 +4 *4564:21 *40245:A 9.3 +*END + +*D_NET *4565 0.00137187 +*CONN +*I *40244:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38774:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40244:A 0.000685933 +2 *38774:X 0.000685933 +3 *37458:A *40244:A 0 +4 *39541:A *40244:A 0 +5 *39541:B *40244:A 0 +6 *1701:29 *40244:A 0 +7 *4529:16 *40244:A 0 +8 *4530:9 *40244:A 0 +9 *4533:25 *40244:A 0 +*RES +1 *38774:X *40244:A 42.1357 +*END + +*D_NET *4566 0.016214 +*CONN +*I *5699:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37844:B I *D sky130_fd_sc_hd__and2_1 +*I *38775:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *5699:DIODE 0 +2 *37844:B 0.000282784 +3 *38775:X 0.00136474 +4 *4566:49 0.00301972 +5 *4566:47 0.00343577 +6 *4566:34 0.00372256 +7 *4566:17 0.00438847 +8 *5469:DIODE *4566:17 0 +9 *1016:232 *4566:34 0 +10 *1016:235 *4566:17 0 +11 *1421:17 *4566:47 0 +12 *1423:21 *4566:34 0 +13 *1460:14 *4566:34 0 +14 *1460:30 *4566:34 0 +15 *1570:26 *4566:34 0 +16 *1832:36 *4566:17 0 +17 *3028:44 *4566:34 0 +18 *3168:16 *4566:17 0 +19 *3199:18 *4566:17 0 +20 *3295:74 *4566:49 0 +21 *3295:102 *4566:34 0 +22 *3391:42 *4566:17 0 +23 *3657:45 *37844:B 0 +24 *3657:45 *4566:49 0 +25 *3657:58 *4566:49 0 +26 *3663:17 *4566:34 0 +27 *3666:80 *4566:47 0 +28 *3718:20 *37844:B 0 +29 *3739:33 *37844:B 0 +30 *3739:33 *4566:47 0 +31 *3739:33 *4566:49 0 +32 *3739:57 *4566:34 0 +33 *3739:57 *4566:47 0 +34 *3761:55 *4566:47 0 +35 *3761:55 *4566:49 0 +36 *3761:69 *4566:47 0 +37 *3779:71 *4566:47 0 +38 *3999:52 *4566:17 0 +39 *4026:124 *4566:17 0 +40 *4026:124 *4566:34 0 +41 *4533:25 *4566:17 0 +42 *4534:31 *4566:17 0 +43 *4548:57 *4566:17 0 +*RES +1 *38775:X *4566:17 47.8377 +2 *4566:17 *4566:34 46.906 +3 *4566:34 *4566:47 19.3036 +4 *4566:47 *4566:49 57.2143 +5 *4566:49 *37844:B 15.6036 +6 *4566:49 *5699:DIODE 9.3 +*END + +*D_NET *4567 0.00470184 +*CONN +*I *40243:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38776:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40243:A 0.00118592 +2 *38776:X 0.001165 +3 *4567:21 0.00235092 +4 *4567:21 *7290:DIODE 0 +5 *4567:21 *5075:51 0 +6 *40364:A *4567:21 0 +7 *905:11 *4567:21 0 +8 *1035:11 *4567:21 0 +9 *1701:29 *40243:A 0 +10 *1873:8 *40243:A 0 +11 *3030:36 *4567:21 0 +12 *3251:12 *40243:A 0 +13 *4528:22 *4567:21 0 +14 *4534:31 *4567:21 0 +15 *4535:15 *4567:21 0 +16 *4539:54 *40243:A 0 +*RES +1 *38776:X *4567:21 47.2821 +2 *4567:21 *40243:A 48.0321 +*END + +*D_NET *4568 0.010915 +*CONN +*I *8063:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40241:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38777:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8063:DIODE 4.55793e-05 +2 *40241:A 0.000147595 +3 *38777:X 0.000778727 +4 *4568:37 0.00200667 +5 *4568:36 0.00448558 +6 *4568:19 0.00345081 +7 *40241:A *4780:63 0 +8 *4568:36 *4585:28 0 +9 *4568:36 *4755:23 0 +10 *4568:36 *4777:31 0 +11 *4568:36 *5166:53 0 +12 *4568:37 *4780:63 0 +13 *6909:DIODE *4568:19 0 +14 *37416:A *4568:19 0 +15 *39536:A *4568:19 0 +16 *39536:A *4568:36 0 +17 *1014:119 *4568:36 0 +18 *3111:26 *4568:36 0 +19 *3168:16 *4568:36 0 +20 *3199:18 *4568:19 0 +21 *3212:26 *4568:36 0 +22 *3262:33 *40241:A 0 +23 *3357:61 *4568:36 0 +24 *3375:38 *4568:36 0 +25 *4529:16 *4568:36 0 +26 *4533:25 *4568:19 0 +27 *4534:15 *4568:19 0 +28 *4539:22 *4568:19 0 +29 *4540:18 *4568:19 0 +30 *4545:74 *4568:37 0 +31 *4554:17 *40241:A 0 +*RES +1 *38777:X *4568:19 42.4597 +2 *4568:19 *4568:36 34.5897 +3 *4568:36 *4568:37 37.9107 +4 *4568:37 *40241:A 12.4429 +5 *4568:37 *8063:DIODE 19.3357 +*END + +*D_NET *4569 0.0061175 +*CONN +*I *40239:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38778:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40239:A 0.000867722 +2 *38778:X 9.90239e-05 +3 *4569:11 0.00295973 +4 *4569:8 0.00219103 +5 *40239:A *5083:8 0 +6 *4569:11 *5078:28 0 +7 *4569:11 *5180:11 0 +8 *6872:DIODE *40239:A 0 +9 *906:16 *40239:A 0 +10 *912:10 *4569:8 0 +11 *1041:11 *4569:8 0 +12 *1598:21 *40239:A 0 +13 *1873:9 *4569:11 0 +14 *3030:42 *4569:11 0 +15 *4533:25 *40239:A 0 +16 *4540:18 *40239:A 0 +*RES +1 *38778:X *4569:8 20.55 +2 *4569:8 *4569:11 48.1607 +3 *4569:11 *40239:A 36.0395 +*END + +*D_NET *4570 0.00702215 +*CONN +*I *40237:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38779:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40237:A 0 +2 *38779:X 0.00118942 +3 *4570:27 0.00232166 +4 *4570:18 0.00351108 +5 *4570:18 *7291:DIODE 0 +6 *4570:18 *4755:10 0 +7 *4570:18 *4783:13 0 +8 *4570:18 *5178:33 0 +9 *4570:27 *4773:42 0 +10 *4570:27 *4783:13 0 +11 *4570:27 *5174:37 0 +12 *6876:DIODE *4570:18 0 +13 *6912:DIODE *4570:18 0 +14 *39527:A *4570:18 0 +15 *39535:A *4570:18 0 +16 *40318:A *4570:18 0 +17 *1013:84 *4570:18 0 +18 *1734:32 *4570:18 0 +19 *1746:17 *4570:27 0 +20 *1867:17 *4570:18 0 +21 *3101:24 *4570:27 0 +22 *3164:17 *4570:27 0 +23 *3164:29 *4570:27 0 +24 *3357:61 *4570:27 0 +25 *3370:16 *4570:27 0 +26 *3375:38 *4570:27 0 +27 *3392:65 *4570:27 0 +28 *4544:17 *4570:18 0 +*RES +1 *38779:X *4570:18 48.5054 +2 *4570:18 *4570:27 47.559 +3 *4570:27 *40237:A 9.3 +*END + +*D_NET *4571 0.0139561 +*CONN +*I *37884:C I *D sky130_fd_sc_hd__and3b_2 +*I *5760:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38780:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *37884:C 0.00026118 +2 *5760:DIODE 0 +3 *38780:X 0.00129435 +4 *4571:36 0.00189781 +5 *4571:32 0.00332732 +6 *4571:19 0.00378591 +7 *4571:7 0.00338956 +8 *4571:7 *39091:A 0 +9 *4571:19 *4789:23 0 +10 *4571:19 *5181:10 0 +11 *4571:32 *39030:A 0 +12 *4571:32 *4693:9 0 +13 la_data_in_mprj[10] *4571:32 0 +14 la_data_in_mprj[11] *4571:32 0 +15 la_data_in_mprj[4] *4571:7 0 +16 *5758:DIODE *4571:36 0 +17 *37884:A_N *37884:C 0 +18 *38176:A *4571:36 0 +19 *38684:A *4571:19 0 +20 *410:7 *4571:32 0 +21 *493:12 *4571:19 0 +22 *504:5 *4571:32 0 +23 *621:8 *4571:19 0 +24 *1776:8 *4571:36 0 +25 *1777:10 *4571:36 0 +26 *2449:10 *4571:19 0 +27 *2827:13 *4571:32 0 +28 *3049:11 *4571:32 0 +29 *3922:72 *4571:36 0 +30 *3993:14 *4571:19 0 +31 *4126:14 *4571:32 0 +32 *4126:14 *4571:36 0 +33 *4163:29 *37884:C 0 +34 *4163:33 *37884:C 0 +35 *4371:10 *4571:32 0 +36 *4371:10 *4571:36 0 +37 *4439:24 *4571:19 0 +38 *4451:72 *4571:19 0 +39 *4504:57 *4571:7 0 +40 *4504:65 *4571:7 0 +41 *4511:18 *4571:19 0 +42 *4511:24 *4571:19 0 +43 *4515:11 *4571:32 0 +44 *4537:16 *4571:19 0 +*RES +1 *38780:X *4571:7 36.3446 +2 *4571:7 *4571:19 48.4464 +3 *4571:19 *4571:32 45.0804 +4 *4571:32 *4571:36 41.6339 +5 *4571:36 *5760:DIODE 9.3 +6 *4571:36 *37884:C 15.0902 +*END + +*D_NET *4572 0.0170819 +*CONN +*I *8060:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40236:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38781:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8060:DIODE 0 +2 *40236:A 0.000611453 +3 *38781:X 0.000954766 +4 *4572:47 0.00136526 +5 *4572:44 0.00360934 +6 *4572:28 0.00464809 +7 *4572:18 0.0033654 +8 *4572:8 0.00252761 +9 *4572:8 *4578:20 0 +10 *4572:18 *4578:23 0 +11 *6914:DIODE *4572:8 0 +12 *7879:DIODE *40236:A 0 +13 *39962:A *4572:47 0 +14 *1005:91 *4572:18 0 +15 *1015:125 *4572:8 0 +16 *1709:13 *4572:8 0 +17 *1730:15 *4572:8 0 +18 *1755:29 *4572:8 0 +19 *1840:20 *4572:44 0 +20 *1840:52 *40236:A 0 +21 *1863:28 *40236:A 0 +22 *2965:13 *4572:44 0 +23 *2965:15 *4572:18 0 +24 *3022:19 *4572:44 0 +25 *3022:31 *4572:18 0 +26 *3099:15 *4572:28 0 +27 *3286:49 *4572:44 0 +28 *3288:24 *4572:44 0 +29 *3478:61 *40236:A 0 +30 *3491:30 *4572:18 0 +31 *3954:65 *40236:A 0 +32 *3975:77 *40236:A 0 +33 *3975:77 *4572:47 0 +34 *3999:52 *4572:44 0 +35 *4219:58 *4572:47 0 +36 *4411:65 *40236:A 0 +37 *4411:89 *4572:47 0 +38 *4427:113 *4572:47 0 +*RES +1 *38781:X *4572:8 39.9786 +2 *4572:8 *4572:18 46.5179 +3 *4572:18 *4572:28 46.9464 +4 *4572:28 *4572:44 46.6199 +5 *4572:44 *4572:47 20.2321 +6 *4572:47 *40236:A 40.55 +7 *4572:47 *8060:DIODE 9.3 +*END + +*D_NET *4573 0.0162622 +*CONN +*I *8059:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40235:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38782:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8059:DIODE 0 +2 *40235:A 0.00016428 +3 *38782:X 0.00305054 +4 *4573:44 0.00174531 +5 *4573:38 0.00271885 +6 *4573:28 0.00333526 +7 *4573:19 0.00524798 +8 *4573:19 *7291:DIODE 0 +9 *4573:19 *7525:DIODE 0 +10 *4573:19 *4577:16 0 +11 *4573:19 *4755:23 0 +12 *4573:19 *5166:22 0 +13 *4573:19 *5209:105 0 +14 *4573:19 *5209:110 0 +15 *4573:38 *4578:25 0 +16 *4573:38 *5167:19 0 +17 *6880:DIODE *4573:19 0 +18 *6912:DIODE *4573:19 0 +19 *39504:B *4573:28 0 +20 *39597:A *4573:28 0 +21 *1834:10 *4573:19 0 +22 *2966:14 *4573:19 0 +23 *2994:20 *4573:19 0 +24 *3022:13 *4573:44 0 +25 *3022:19 *4573:38 0 +26 *3024:78 *4573:28 0 +27 *3095:27 *4573:28 0 +28 *3168:49 *4573:44 0 +29 *3199:50 *4573:28 0 +30 *3286:49 *4573:38 0 +31 *3288:24 *4573:28 0 +32 *3407:52 *4573:28 0 +33 *3419:28 *4573:28 0 +34 *3421:52 *4573:28 0 +35 *3470:20 *40235:A 0 +36 *4244:43 *4573:28 0 +37 *4542:13 *4573:19 0 +38 *4547:31 *4573:19 0 +39 *4548:57 *4573:19 0 +40 *4563:86 *40235:A 0 +*RES +1 *38782:X *4573:19 49.6208 +2 *4573:19 *4573:28 30.5877 +3 *4573:28 *4573:38 32.8929 +4 *4573:38 *4573:44 42.1786 +5 *4573:44 *40235:A 12.7286 +6 *4573:44 *8059:DIODE 9.3 +*END + +*D_NET *4574 0.00655513 +*CONN +*I *40234:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38783:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40234:A 0.000920753 +2 *38783:X 0.000264806 +3 *4574:17 0.00301276 +4 *4574:14 0.00235681 +5 *40234:A *39048:A 0 +6 *4574:14 *5165:75 0 +7 *4574:17 *5103:25 0 +8 mprj_dat_i_core[2] *4574:14 0 +9 *7498:DIODE *40234:A 0 +10 *40278:A *40234:A 0 +11 *416:21 *40234:A 0 +12 *545:47 *40234:A 0 +13 *1043:26 *4574:14 0 +14 *1054:5 *4574:14 0 +15 *1726:25 *4574:17 0 +16 *1728:28 *4574:14 0 +17 *2938:53 *4574:17 0 +18 *2971:45 *4574:17 0 +19 *3016:10 *40234:A 0 +20 *3016:30 *4574:17 0 +21 *4460:27 *40234:A 0 +22 *4553:37 *40234:A 0 +*RES +1 *38783:X *4574:14 24.4964 +2 *4574:14 *4574:17 48.1607 +3 *4574:17 *40234:A 33.9964 +*END + +*D_NET *4575 0.00659676 +*CONN +*I *40231:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38784:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40231:A 0.0021247 +2 *38784:X 0.00117368 +3 *4575:14 0.00329838 +4 *40231:A *4585:13 0 +5 *40231:A *4777:31 0 +6 *40231:A *4783:13 0 +7 *4575:14 *4577:16 0 +8 *4575:14 *4578:20 0 +9 *4575:14 *4579:22 0 +10 *4575:14 *5095:16 0 +11 *4575:14 *5209:69 0 +12 *6884:DIODE *4575:14 0 +13 *39609:A *40231:A 0 +14 *1720:34 *4575:14 0 +15 *1730:15 *4575:14 0 +16 *1832:36 *40231:A 0 +17 *3164:17 *40231:A 0 +18 *3164:17 *4575:14 0 +19 *3199:50 *40231:A 0 +20 *3370:8 *40231:A 0 +21 *3375:38 *40231:A 0 +22 *3471:37 *40231:A 0 +23 *3695:23 *4575:14 0 +24 *4551:34 *4575:14 0 +*RES +1 *38784:X *4575:14 48.2375 +2 *4575:14 *40231:A 44.1256 +*END + +*D_NET *4576 0.00488895 +*CONN +*I *40230:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38785:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40230:A 0 +2 *38785:X 0.00244447 +3 *4576:23 0.00244447 +4 *4576:23 *39317:A 0 +5 *4576:23 *4757:16 0 +6 *4576:23 *5087:15 0 +7 *4576:23 *5190:31 0 +8 *5282:DIODE *4576:23 0 +9 *40327:A *4576:23 0 +10 *1598:21 *4576:23 0 +11 *1848:15 *4576:23 0 +12 *2714:9 *4576:23 0 +13 *3212:12 *4576:23 0 +14 *3537:5 *4576:23 0 +15 *3537:16 *4576:23 0 +16 *4540:18 *4576:23 0 +17 *4542:13 *4576:23 0 +*RES +1 *38785:X *4576:23 44.96 +2 *4576:23 *40230:A 9.3 +*END + +*D_NET *4577 0.00326869 +*CONN +*I *40228:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38786:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40228:A 0.000419257 +2 *38786:X 0.00121509 +3 *4577:16 0.00163434 +4 *40228:A *41401:A 0 +5 *4577:16 *41401:A 0 +6 *5493:DIODE *4577:16 0 +7 *39516:A *4577:16 0 +8 *1730:15 *40228:A 0 +9 *1848:15 *40228:A 0 +10 *2714:9 *40228:A 0 +11 *2966:14 *4577:16 0 +12 *2994:20 *4577:16 0 +13 *4573:19 *4577:16 0 +14 *4575:14 *4577:16 0 +*RES +1 *38786:X *4577:16 45.961 +2 *4577:16 *40228:A 18.05 +*END + +*D_NET *4578 0.0153465 +*CONN +*I *8054:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40226:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38787:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8054:DIODE 0 +2 *40226:A 0.00016428 +3 *38787:X 0.00122866 +4 *4578:32 0.000264956 +5 *4578:25 0.00315697 +6 *4578:23 0.00465717 +7 *4578:20 0.00312332 +8 *4578:12 0.00275111 +9 *4578:12 *4762:10 0 +10 *4578:12 *4778:32 0 +11 *4578:12 *5190:31 0 +12 *4578:20 *4777:22 0 +13 *4578:25 *5167:19 0 +14 *6886:DIODE *4578:12 0 +15 *6888:DIODE *4578:12 0 +16 *8014:DIODE *4578:25 0 +17 *40162:A *4578:25 0 +18 *383:17 *4578:20 0 +19 *918:5 *4578:12 0 +20 *1259:41 *4578:12 0 +21 *1627:14 *4578:20 0 +22 *1709:13 *4578:20 0 +23 *1728:17 *4578:12 0 +24 *1730:15 *4578:20 0 +25 *2967:33 *4578:12 0 +26 *3022:19 *4578:25 0 +27 *3022:30 *4578:23 0 +28 *3022:30 *4578:25 0 +29 *3022:31 *4578:23 0 +30 *3026:5 *4578:23 0 +31 *3026:5 *4578:25 0 +32 *3168:48 *4578:32 0 +33 *3389:28 *40226:A 0 +34 *3391:54 *4578:25 0 +35 *3403:45 *4578:25 0 +36 *3416:88 *4578:32 0 +37 *3484:26 *40226:A 0 +38 *3695:31 *4578:12 0 +39 *4572:8 *4578:20 0 +40 *4572:18 *4578:23 0 +41 *4573:38 *4578:25 0 +42 *4575:14 *4578:20 0 +*RES +1 *38787:X *4578:12 49.5679 +2 *4578:12 *4578:20 46.1429 +3 *4578:20 *4578:23 33.4107 +4 *4578:23 *4578:25 63.7857 +5 *4578:25 *4578:32 11.2857 +6 *4578:32 *40226:A 12.7286 +7 *4578:32 *8054:DIODE 9.3 +*END + +*D_NET *4579 0.0151048 +*CONN +*I *5732:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37866:B I *D sky130_fd_sc_hd__and2_1 +*I *38788:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *5732:DIODE 0 +2 *37866:B 0.000214954 +3 *38788:X 0.00205865 +4 *4579:33 0.00157583 +5 *4579:32 0.00332822 +6 *4579:22 0.00391792 +7 *4579:17 0.00400922 +8 *4579:17 *39325:A 0 +9 *4579:17 *4580:15 0 +10 *4579:17 *4757:16 0 +11 *4579:17 *5190:31 0 +12 *4579:17 *5195:21 0 +13 *4579:22 *5095:16 0 +14 *4579:32 *4601:74 0 +15 mprj_dat_i_core[24] *4579:17 0 +16 *6196:DIODE *4579:22 0 +17 *6884:DIODE *4579:22 0 +18 *6886:DIODE *4579:17 0 +19 *37868:A *4579:32 0 +20 *38134:A_N *4579:22 0 +21 *39502:A *4579:22 0 +22 *369:31 *4579:22 0 +23 *369:31 *4579:32 0 +24 *1048:10 *4579:17 0 +25 *1244:30 *4579:32 0 +26 *1260:14 *4579:32 0 +27 *1460:30 *4579:32 0 +28 *1598:21 *4579:17 0 +29 *1698:32 *4579:32 0 +30 *2392:13 *4579:22 0 +31 *2392:13 *4579:32 0 +32 *2806:108 *4579:32 0 +33 *2994:20 *4579:17 0 +34 *2999:54 *4579:22 0 +35 *3357:68 *4579:22 0 +36 *3392:68 *4579:22 0 +37 *3418:42 *4579:32 0 +38 *3425:24 *4579:32 0 +39 *3473:39 *4579:33 0 +40 *3476:23 *4579:22 0 +41 *3498:59 *4579:22 0 +42 *3537:5 *4579:17 0 +43 *3541:10 *4579:32 0 +44 *3542:56 *4579:32 0 +45 *3544:14 *4579:32 0 +46 *3663:17 *4579:32 0 +47 *3755:33 *37866:B 0 +48 *3975:127 *4579:22 0 +49 *4312:31 *37866:B 0 +50 *4312:31 *4579:33 0 +51 *4547:9 *4579:17 0 +52 *4551:20 *4579:17 0 +53 *4551:34 *4579:22 0 +54 *4575:14 *4579:22 0 +*RES +1 *38788:X *4579:17 49.3208 +2 *4579:17 *4579:22 47.6779 +3 *4579:22 *4579:32 27.5303 +4 *4579:32 *4579:33 28.4643 +5 *4579:33 *37866:B 22.8714 +6 *4579:33 *5732:DIODE 9.3 +*END + +*D_NET *4580 0.0114849 +*CONN +*I *5735:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37868:B I *D sky130_fd_sc_hd__and2_1 +*I *38789:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *5735:DIODE 2.26741e-05 +2 *37868:B 0.000156254 +3 *38789:X 0.00211165 +4 *4580:30 0.00181221 +5 *4580:22 0.00345189 +6 *4580:15 0.00393025 +7 *4580:15 *4757:16 0 +8 *4580:15 *4778:9 0 +9 *4580:15 *5195:21 0 +10 *7532:DIODE *4580:15 0 +11 *8099:DIODE *4580:22 0 +12 *37414:A *4580:15 0 +13 *38789:A *4580:15 0 +14 *39494:B *4580:30 0 +15 *39502:A *4580:22 0 +16 *40610:A *4580:30 0 +17 *369:31 *4580:22 0 +18 *380:31 *4580:22 0 +19 *1005:91 *4580:30 0 +20 *1013:84 *4580:30 0 +21 *1586:17 *4580:30 0 +22 *1624:18 *4580:22 0 +23 *1826:8 *4580:30 0 +24 *1834:10 *4580:22 0 +25 *1863:48 *4580:22 0 +26 *1870:18 *4580:15 0 +27 *3286:114 *4580:30 0 +28 *3342:19 *4580:22 0 +29 *3342:32 *4580:22 0 +30 *3375:38 *4580:22 0 +31 *3391:55 *4580:22 0 +32 *3398:40 *4580:30 0 +33 *3403:56 *4580:30 0 +34 *3405:71 *4580:30 0 +35 *3414:18 *4580:30 0 +36 *3475:58 *4580:30 0 +37 *3476:16 *4580:30 0 +38 *3540:45 *4580:30 0 +39 *3700:82 *4580:30 0 +40 *3779:82 *4580:30 0 +41 *3975:118 *4580:30 0 +42 *4579:17 *4580:15 0 +*RES +1 *38789:X *4580:15 46.6913 +2 *4580:15 *4580:22 48.6964 +3 *4580:22 *4580:30 42.4748 +4 *4580:30 *37868:B 21.6214 +5 *4580:30 *5735:DIODE 9.83571 +*END + +*D_NET *4581 0.0163882 +*CONN +*I *5738:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37870:B I *D sky130_fd_sc_hd__and2_1 +*I *38790:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *5738:DIODE 0.000124066 +2 *37870:B 0.000165042 +3 *38790:X 0.00019264 +4 *4581:38 0.000667231 +5 *4581:35 0.00236482 +6 *4581:31 0.00353285 +7 *4581:21 0.00309724 +8 *4581:11 0.00380139 +9 *4581:8 0.00244294 +10 *4581:11 *39321:A 0 +11 *4581:21 *39317:A 0 +12 *4581:21 *39319:A 0 +13 *4581:21 *5083:11 0 +14 *4581:31 *39536:B 0 +15 *4581:31 *4784:11 0 +16 *4581:31 *5077:19 0 +17 *4581:31 *5083:11 0 +18 mprj_dat_i_core[16] *4581:21 0 +19 mprj_dat_i_core[16] *4581:31 0 +20 mprj_dat_i_core[22] *4581:11 0 +21 mprj_dat_i_core[27] *4581:8 0 +22 *6876:DIODE *4581:31 0 +23 *37458:A *4581:35 0 +24 *37465:A *4581:11 0 +25 *38046:C *4581:38 0 +26 *38343:A *4581:35 0 +27 *40114:A *37870:B 0 +28 *364:19 *4581:35 0 +29 *364:19 *4581:38 0 +30 *910:10 *4581:21 0 +31 *1039:12 *4581:21 0 +32 *1829:18 *4581:35 0 +33 *1864:72 *4581:35 0 +34 *2811:67 *5738:DIODE 0 +35 *2815:83 *4581:35 0 +36 *3111:18 *4581:35 0 +37 *3357:61 *4581:35 0 +38 *3397:17 *4581:35 0 +39 *3773:57 *5738:DIODE 0 +40 *4531:11 *4581:31 0 +41 *4533:25 *4581:31 0 +42 *4534:15 *4581:31 0 +43 *4541:9 *4581:11 0 +44 *4543:32 *4581:11 0 +45 *4543:32 *4581:21 0 +46 *4551:20 *4581:11 0 +47 *4552:23 *4581:11 0 +*RES +1 *38790:X *4581:8 22.675 +2 *4581:8 *4581:11 46.9643 +3 *4581:11 *4581:21 41.5179 +4 *4581:21 *4581:31 46.1607 +5 *4581:31 *4581:35 49.3214 +6 *4581:35 *4581:38 13.125 +7 *4581:38 *37870:B 17.5321 +8 *4581:38 *5738:DIODE 16.3893 +*END + +*D_NET *4582 0.00514991 +*CONN +*I *40225:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38791:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40225:A 0 +2 *38791:X 0.00126214 +3 *4582:22 0.00131281 +4 *4582:13 0.00257496 +5 *4582:13 *4593:7 0 +6 *4582:13 *4604:7 0 +7 *4582:22 *4775:16 0 +8 *4582:22 *4879:12 0 +9 la_data_in_mprj[18] *4582:13 0 +10 *6626:DIODE *4582:22 0 +11 *40564:A *4582:13 0 +12 *2949:17 *4582:22 0 +13 *3093:15 *4582:22 0 +14 *3390:17 *4582:22 0 +15 *3488:13 *4582:13 0 +16 *3749:31 *4582:22 0 +17 *4424:21 *4582:13 0 +18 *4430:36 *4582:22 0 +19 *4433:25 *4582:13 0 +20 *4433:34 *4582:13 0 +21 *4482:20 *4582:22 0 +22 *4482:22 *4582:22 0 +*RES +1 *38791:X *4582:13 49.3179 +2 *4582:13 *4582:22 41.9286 +3 *4582:22 *40225:A 9.3 +*END + +*D_NET *4583 0.0137632 +*CONN +*I *37872:B I *D sky130_fd_sc_hd__and2_1 +*I *5741:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38792:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *37872:B 0.000259682 +2 *5741:DIODE 0.00024778 +3 *38792:X 0.000486389 +4 *4583:19 0.000611849 +5 *4583:18 0.00188686 +6 *4583:13 0.00578338 +7 *4583:12 0.0044873 +8 *4583:12 *39539:B 0 +9 *4583:12 *4584:17 0 +10 *4583:12 *5178:14 0 +11 *5283:DIODE *4583:12 0 +12 *5556:DIODE *4583:13 0 +13 *6891:DIODE *4583:12 0 +14 *6928:DIODE *4583:12 0 +15 *37673:A *4583:18 0 +16 *37872:A *37872:B 0 +17 *38133:A *4583:18 0 +18 *38392:A *4583:18 0 +19 *1017:93 *4583:12 0 +20 *1254:19 *5741:DIODE 0 +21 *1254:19 *4583:19 0 +22 *1259:41 *4583:13 0 +23 *1335:16 *37872:B 0 +24 *1595:8 *5741:DIODE 0 +25 *1595:8 *4583:18 0 +26 *1601:8 *4583:18 0 +27 *1625:10 *4583:13 0 +28 *3019:49 *4583:18 0 +29 *3656:51 *5741:DIODE 0 +*RES +1 *38792:X *4583:12 29.4071 +2 *4583:12 *4583:13 83.5 +3 *4583:13 *4583:18 49.5 +4 *4583:18 *4583:19 2.17857 +5 *4583:19 *5741:DIODE 23.6571 +6 *4583:19 *37872:B 14.7821 +*END + +*D_NET *4584 0.00313932 +*CONN +*I *40224:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38793:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40224:A 0.00030118 +2 *38793:X 0.00126848 +3 *4584:17 0.00156966 +4 *40224:A *4762:10 0 +5 *40224:A *4781:7 0 +6 *40224:A *4781:11 0 +7 *4584:17 *4781:7 0 +8 *4584:17 *5185:10 0 +9 mprj_dat_i_core[28] *4584:17 0 +10 *6928:DIODE *4584:17 0 +11 *39539:A *4584:17 0 +12 *921:12 *4584:17 0 +13 *1052:8 *4584:17 0 +14 *1603:10 *40224:A 0 +15 *1603:10 *4584:17 0 +16 *1873:15 *4584:17 0 +17 *4546:16 *4584:17 0 +18 *4551:13 *4584:17 0 +19 *4583:12 *4584:17 0 +*RES +1 *38793:X *4584:17 45.5143 +2 *4584:17 *40224:A 15.5857 +*END + +*D_NET *4585 0.0188999 +*CONN +*I *8053:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40223:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38794:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8053:DIODE 1.17979e-05 +2 *40223:A 0.000219554 +3 *38794:X 0.00486792 +4 *4585:28 0.00458204 +5 *4585:13 0.00921861 +6 *8053:DIODE *4601:37 0 +7 *4585:13 *5095:16 0 +8 *4585:13 *5166:22 0 +9 *4585:13 *5209:30 0 +10 *4585:28 *4764:48 0 +11 *4585:28 *5166:22 0 +12 *4585:28 *5166:53 0 +13 *4585:28 *5169:18 0 +14 *6893:DIODE *4585:13 0 +15 *40231:A *4585:13 0 +16 *368:79 *4585:28 0 +17 *1024:137 *4585:13 0 +18 *1734:29 *4585:28 0 +19 *1750:18 *4585:13 0 +20 *1753:11 *4585:13 0 +21 *1755:18 *4585:13 0 +22 *2976:14 *4585:13 0 +23 *2994:20 *4585:13 0 +24 *3089:14 *4585:13 0 +25 *3111:26 *4585:28 0 +26 *3184:22 *4585:28 0 +27 *3212:26 *4585:28 0 +28 *3375:38 *4585:13 0 +29 *3375:38 *4585:28 0 +30 *3391:42 *4585:28 0 +31 *3695:18 *4585:28 0 +32 *3954:86 *40223:A 0 +33 *4568:36 *4585:28 0 +*RES +1 *38794:X *4585:13 43.1817 +2 *4585:13 *4585:28 41.4459 +3 *4585:28 *40223:A 27.6571 +4 *4585:28 *8053:DIODE 14.3357 +*END + +*D_NET *4586 0.0132983 +*CONN +*I *8052:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40222:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38795:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8052:DIODE 0 +2 *40222:A 0.000793593 +3 *38795:X 0.00127172 +4 *4586:94 0.000793593 +5 *4586:92 0.00108791 +6 *4586:63 0.00293578 +7 *4586:51 0.00349595 +8 *4586:24 0.0029198 +9 *4586:24 *4590:46 0 +10 *4586:24 *4764:48 0 +11 *4586:24 *5179:56 0 +12 *4586:24 *5182:28 0 +13 *4586:51 *4590:46 0 +14 *4586:51 *4592:61 0 +15 *4586:51 *4783:42 0 +16 *4586:51 *5175:48 0 +17 *4586:51 *5182:28 0 +18 *4586:63 *40210:A 0 +19 *4586:63 *4590:47 0 +20 *4586:63 *4591:11 0 +21 *4586:63 *4591:34 0 +22 *4586:63 *4592:61 0 +23 *4586:92 *5172:65 0 +24 *6895:DIODE *4586:24 0 +25 *6919:DIODE *4586:24 0 +26 *37724:B *4586:63 0 +27 *38795:A *4586:24 0 +28 *40329:A *4586:63 0 +29 *40338:A *4586:24 0 +30 *1001:65 *4586:92 0 +31 *1261:24 *4586:63 0 +32 *1828:47 *4586:92 0 +33 *1840:72 *4586:92 0 +34 *1858:17 *4586:24 0 +35 *2373:99 *4586:63 0 +36 *2417:19 *4586:92 0 +37 *2712:38 *4586:63 0 +38 *2713:116 *4586:24 0 +39 *3184:57 *40222:A 0 +40 *3184:84 *40222:A 0 +41 *3194:36 *4586:63 0 +42 *3212:50 *4586:24 0 +43 *3212:59 *4586:51 0 +44 *3212:59 *4586:63 0 +45 *3221:36 *4586:92 0 +46 *3237:64 *40222:A 0 +47 *3535:14 *40222:A 0 +48 *3721:43 *4586:24 0 +49 *3783:76 *4586:92 0 +50 *3793:65 *40222:A 0 +51 *4268:48 *40222:A 0 +52 *4449:41 *4586:51 0 +*RES +1 *38795:X *4586:24 47.586 +2 *4586:24 *4586:51 49.5446 +3 *4586:51 *4586:63 47.7857 +4 *4586:63 *4586:92 46.3613 +5 *4586:92 *4586:94 4.5 +6 *4586:94 *40222:A 26.1393 +7 *4586:94 *8052:DIODE 9.3 +*END + +*D_NET *4587 0.00967395 +*CONN +*I *8051:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40221:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38796:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *8051:DIODE 0 +2 *40221:A 0.000178826 +3 *38796:X 0.000660605 +4 *4587:15 0.00182853 +5 *4587:9 0.00399754 +6 *4587:8 0.00300844 +7 *4587:8 *37732:B 0 +8 *4587:8 *39330:A 0 +9 *6897:DIODE *4587:8 0 +10 *6932:DIODE *4587:8 0 +11 *267:15 *4587:8 0 +12 *1021:19 *4587:15 0 +13 *1259:31 *40221:A 0 +14 *1259:41 *4587:15 0 +15 *1600:8 *4587:8 0 +16 *1600:9 *4587:9 0 +17 *1753:11 *4587:9 0 +18 *1755:8 *4587:15 0 +19 *1755:18 *40221:A 0 +20 *1755:18 *4587:15 0 +21 *2976:14 *4587:8 0 +22 *3695:31 *40221:A 0 +23 *3695:31 *4587:15 0 +*RES +1 *38796:X *4587:8 33.3 +2 *4587:8 *4587:9 49 +3 *4587:9 *4587:15 43.625 +4 *4587:15 *40221:A 13.0321 +5 *4587:15 *8051:DIODE 9.3 +*END + +*D_NET *4588 0.00375812 +*CONN +*I *40219:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38797:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40219:A 0.00121627 +2 *38797:X 0.000662786 +3 *4588:11 0.00187906 +4 *40219:A *7543:DIODE 0 +5 *40219:A *37732:B 0 +6 *40219:A *4781:7 0 +7 *40219:A *5178:10 0 +8 *4588:11 *41412:A 0 +9 *6942:DIODE *4588:11 0 +10 *1603:10 *40219:A 0 +11 *1732:8 *4588:11 0 +12 *1753:11 *40219:A 0 +*RES +1 *38797:X *4588:11 32.9161 +2 *4588:11 *40219:A 34.6839 +*END + +*D_NET *4589 0.00426326 +*CONN +*I *40217:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38798:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40217:A 0 +2 *38798:X 0.000493658 +3 *4589:32 0.00163797 +4 *4589:18 0.00213163 +5 *4589:18 *8999:DIODE 0 +6 *6906:DIODE *4589:32 0 +7 *40443:A *4589:32 0 +8 *1253:17 *4589:32 0 +9 *1728:42 *4589:32 0 +10 *1862:69 *4589:32 0 +11 *1862:91 *4589:32 0 +12 *1862:103 *4589:18 0 +13 *1862:103 *4589:32 0 +14 *2713:83 *4589:32 0 +15 *3391:14 *4589:18 0 +*RES +1 *38798:X *4589:18 37.7643 +2 *4589:18 *4589:32 47.8036 +3 *4589:32 *40217:A 13.8 +*END + +*D_NET *4590 0.0207448 +*CONN +*I *8046:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40214:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38799:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *8046:DIODE 0 +2 *40214:A 0 +3 *38799:X 0.00107077 +4 *4590:105 0.00190618 +5 *4590:93 0.00415937 +6 *4590:47 0.00456467 +7 *4590:46 0.00383214 +8 *4590:28 0.00283078 +9 *4590:14 0.00238088 +10 *4590:14 *7297:DIODE 0 +11 *4590:14 *5107:43 0 +12 *4590:46 *4592:61 0 +13 *4590:46 *4602:18 0 +14 *4590:46 *5171:57 0 +15 *4590:47 *7145:DIODE 0 +16 *4590:47 *40203:A 0 +17 *4590:47 *5171:57 0 +18 *4590:93 *5172:65 0 +19 *5530:DIODE *4590:47 0 +20 *5559:DIODE *4590:47 0 +21 *6614:DIODE *4590:47 0 +22 *6757:DIODE *4590:47 0 +23 *6934:DIODE *4590:14 0 +24 *6946:DIODE *4590:14 0 +25 *7612:DIODE *4590:105 0 +26 *8013:DIODE *4590:93 0 +27 *8175:DIODE *4590:47 0 +28 *8288:DIODE *4590:47 0 +29 *37724:B *4590:47 0 +30 *40299:A *4590:105 0 +31 *40329:A *4590:47 0 +32 *40562:A *4590:46 0 +33 *1010:169 *4590:93 0 +34 *1027:120 *4590:93 0 +35 *1028:94 *4590:93 0 +36 *1248:51 *4590:14 0 +37 *1840:20 *4590:14 0 +38 *1864:36 *4590:93 0 +39 *2373:99 *4590:47 0 +40 *2426:65 *4590:105 0 +41 *2712:38 *4590:47 0 +42 *2712:55 *4590:47 0 +43 *2712:96 *4590:93 0 +44 *2712:328 *4590:93 0 +45 *2713:186 *4590:47 0 +46 *2713:191 *4590:47 0 +47 *2714:33 *4590:14 0 +48 *2968:53 *4590:14 0 +49 *2982:62 *4590:28 0 +50 *3037:48 *4590:105 0 +51 *3043:75 *4590:105 0 +52 *3111:44 *4590:46 0 +53 *3130:26 *4590:93 0 +54 *3159:21 *4590:93 0 +55 *3178:14 *4590:105 0 +56 *3179:23 *4590:28 0 +57 *3179:64 *4590:105 0 +58 *3188:74 *4590:93 0 +59 *3208:27 *4590:105 0 +60 *3208:29 *4590:105 0 +61 *3211:15 *4590:47 0 +62 *3212:59 *4590:46 0 +63 *3212:59 *4590:47 0 +64 *3230:27 *4590:93 0 +65 *3240:46 *4590:93 0 +66 *3262:48 *4590:46 0 +67 *3264:93 *4590:93 0 +68 *3342:13 *4590:28 0 +69 *3429:22 *4590:105 0 +70 *3721:24 *4590:93 0 +71 *4015:26 *4590:93 0 +72 *4360:25 *4590:93 0 +73 *4413:33 *4590:14 0 +74 *4449:30 *4590:47 0 +75 *4449:41 *4590:46 0 +76 *4449:41 *4590:47 0 +77 *4449:49 *4590:46 0 +78 *4529:32 *4590:14 0 +79 *4529:46 *4590:28 0 +80 *4550:63 *4590:93 0 +81 *4586:24 *4590:46 0 +82 *4586:51 *4590:46 0 +83 *4586:63 *4590:47 0 +*RES +1 *38799:X *4590:14 46.3 +2 *4590:14 *4590:28 39.7401 +3 *4590:28 *4590:46 41.0893 +4 *4590:46 *4590:47 48.1786 +5 *4590:47 *4590:93 48.4712 +6 *4590:93 *4590:105 48.9286 +7 *4590:105 *40214:A 9.3 +8 *4590:93 *8046:DIODE 9.3 +*END + +*D_NET *4591 0.0196893 +*CONN +*I *8044:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40212:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38800:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *8044:DIODE 0 +2 *40212:A 0.00052808 +3 *38800:X 0.00110861 +4 *4591:67 0.00196724 +5 *4591:39 0.00176088 +6 *4591:34 0.00168703 +7 *4591:11 0.00644709 +8 *4591:10 0.00619039 +9 *40212:A *5034:16 0 +10 *40212:A *5173:66 0 +11 *4591:10 *8996:DIODE 0 +12 *4591:10 *4592:16 0 +13 *4591:10 *5075:37 0 +14 *4591:10 *5173:14 0 +15 *4591:11 *9006:DIODE 0 +16 *4591:11 *41425:A 0 +17 *4591:11 *4603:30 0 +18 *4591:11 *4758:49 0 +19 *4591:11 *5193:26 0 +20 *4591:67 *5034:29 0 +21 *7574:DIODE *4591:67 0 +22 *39524:A *4591:11 0 +23 *39596:A *4591:67 0 +24 *39616:A *4591:34 0 +25 *40117:A *4591:10 0 +26 *40254:A *40212:A 0 +27 *40506:A *4591:34 0 +28 *40607:A *4591:34 0 +29 *404:58 *40212:A 0 +30 *1261:31 *4591:11 0 +31 *2397:20 *4591:67 0 +32 *2411:93 *4591:34 0 +33 *2426:65 *4591:67 0 +34 *2972:118 *4591:34 0 +35 *2972:118 *4591:39 0 +36 *2977:90 *4591:10 0 +37 *3037:66 *40212:A 0 +38 *3079:16 *4591:11 0 +39 *3079:16 *4591:34 0 +40 *3101:25 *4591:11 0 +41 *3167:25 *4591:67 0 +42 *3188:66 *4591:34 0 +43 *3194:36 *4591:11 0 +44 *3194:63 *4591:34 0 +45 *3194:125 *4591:67 0 +46 *3198:18 *4591:34 0 +47 *3198:24 *4591:39 0 +48 *3198:24 *4591:67 0 +49 *3198:25 *4591:67 0 +50 *3264:93 *4591:34 0 +51 *3407:18 *4591:67 0 +52 *3419:23 *4591:11 0 +53 *3421:11 *4591:34 0 +54 *3422:67 *4591:39 0 +55 *3434:34 *40212:A 0 +56 *3497:59 *4591:67 0 +57 *3721:24 *40212:A 0 +58 *4232:15 *4591:67 0 +59 *4251:41 *40212:A 0 +60 *4251:41 *4591:67 0 +61 *4415:32 *4591:34 0 +62 *4534:49 *4591:10 0 +63 *4556:21 *4591:10 0 +64 *4586:63 *4591:11 0 +65 *4586:63 *4591:34 0 +*RES +1 *38800:X *4591:10 42.925 +2 *4591:10 *4591:11 106.089 +3 *4591:11 *4591:34 46.8929 +4 *4591:34 *4591:39 6.83929 +5 *4591:39 *4591:67 40.8938 +6 *4591:67 *40212:A 25.6482 +7 *4591:39 *8044:DIODE 9.3 +*END + +*D_NET *4592 0.0135688 +*CONN +*I *8042:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40210:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38801:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8042:DIODE 4.28265e-05 +2 *40210:A 0.000294069 +3 *38801:X 0.00136919 +4 *4592:61 0.00283129 +5 *4592:50 0.00410064 +6 *4592:28 0.0025839 +7 *4592:16 0.00234685 +8 *4592:16 *5075:37 0 +9 *4592:16 *5163:22 0 +10 *4592:28 *4595:24 0 +11 *4592:28 *5076:9 0 +12 *4592:50 *4598:20 0 +13 *4592:50 *5100:45 0 +14 *4592:61 *5166:53 0 +15 *4592:61 *5175:74 0 +16 *4592:61 *5179:56 0 +17 mprj_dat_i_core[2] *4592:28 0 +18 mprj_dat_i_core[3] *4592:28 0 +19 mprj_dat_i_core[6] *4592:16 0 +20 *6895:DIODE *4592:50 0 +21 *6907:DIODE *4592:50 0 +22 *37724:B *40210:A 0 +23 *39547:A *40210:A 0 +24 *40260:A *4592:50 0 +25 *40480:A *4592:50 0 +26 *924:8 *4592:50 0 +27 *927:12 *4592:28 0 +28 *930:20 *4592:16 0 +29 *1098:15 *4592:28 0 +30 *1099:5 *4592:28 0 +31 *1261:24 *40210:A 0 +32 *1731:22 *4592:50 0 +33 *1828:23 *4592:28 0 +34 *1858:17 *4592:28 0 +35 *1860:57 *4592:50 0 +36 *2714:24 *4592:16 0 +37 *2971:45 *4592:50 0 +38 *2983:26 *4592:16 0 +39 *3030:23 *4592:28 0 +40 *3209:17 *4592:50 0 +41 *3392:35 *4592:28 0 +42 *3479:20 *40210:A 0 +43 *4529:92 *4592:61 0 +44 *4535:38 *4592:28 0 +45 *4550:11 *4592:50 0 +46 *4586:51 *4592:61 0 +47 *4586:63 *40210:A 0 +48 *4586:63 *4592:61 0 +49 *4590:46 *4592:61 0 +50 *4591:10 *4592:16 0 +*RES +1 *38801:X *4592:16 42.1179 +2 *4592:16 *4592:28 38.7679 +3 *4592:28 *4592:50 48.6271 +4 *4592:50 *4592:61 49.3865 +5 *4592:61 *40210:A 19.9964 +6 *4592:61 *8042:DIODE 14.8357 +*END + +*D_NET *4593 0.0139016 +*CONN +*I *5829:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37924:C I *D sky130_fd_sc_hd__and3b_1 +*I *38802:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *5829:DIODE 0 +2 *37924:C 0.000124017 +3 *38802:X 2.0535e-05 +4 *4593:29 0.00139331 +5 *4593:20 0.00337606 +6 *4593:7 0.00553697 +7 *4593:5 0.00345074 +8 *4593:7 *39041:A 0 +9 *4593:7 *39056:A 0 +10 *4593:7 *4649:33 0 +11 *4593:20 *39051:A 0 +12 *4593:20 *4693:37 0 +13 *4593:20 *4791:22 0 +14 la_data_in_mprj[18] *4593:7 0 +15 *6630:DIODE *4593:7 0 +16 *6762:DIODE *4593:20 0 +17 *37343:A *4593:20 0 +18 *37498:A *4593:20 0 +19 *38628:A *4593:7 0 +20 *38631:A *4593:7 0 +21 *38802:A *4593:7 0 +22 *40514:A *4593:7 0 +23 *304:23 *37924:C 0 +24 *304:23 *4593:29 0 +25 *803:9 *4593:20 0 +26 *1341:14 *4593:29 0 +27 *1782:49 *4593:7 0 +28 *1786:30 *4593:29 0 +29 *2357:8 *4593:20 0 +30 *3060:14 *4593:20 0 +31 *3381:13 *4593:7 0 +32 *3382:31 *4593:20 0 +33 *3390:17 *4593:7 0 +34 *3593:31 *37924:C 0 +35 *3593:31 *4593:29 0 +36 *3617:23 *37924:C 0 +37 *3617:23 *4593:29 0 +38 *3631:52 *37924:C 0 +39 *4417:10 *4593:7 0 +40 *4420:17 *4593:20 0 +41 *4420:28 *4593:20 0 +42 *4424:21 *4593:7 0 +43 *4424:27 *4593:7 0 +44 *4424:63 *4593:29 0 +45 *4429:15 *4593:7 0 +46 *4429:36 *4593:29 0 +47 *4439:42 *4593:20 0 +48 *4582:13 *4593:7 0 +*RES +1 *38802:X *4593:5 9.72857 +2 *4593:5 *4593:7 71.5893 +3 *4593:7 *4593:20 45.9958 +4 *4593:20 *4593:29 41.5357 +5 *4593:29 *37924:C 20.9964 +6 *4593:29 *5829:DIODE 9.3 +*END + +*D_NET *4594 0.0056961 +*CONN +*I *40208:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38803:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40208:A 0 +2 *38803:X 0.00182877 +3 *4594:45 0.00101928 +4 *4594:23 0.00284805 +5 *4594:23 *4601:19 0 +6 *4594:23 *5107:43 0 +7 *4594:23 *5177:16 0 +8 *4594:45 *7296:DIODE 0 +9 *4594:45 *7297:DIODE 0 +10 *4594:45 *4761:9 0 +11 *4594:45 *5100:49 0 +12 *39394:B *4594:23 0 +13 *1701:14 *4594:23 0 +14 *1861:20 *4594:23 0 +15 *1862:103 *4594:45 0 +16 *3033:29 *4594:23 0 +17 *3209:17 *4594:23 0 +18 *3240:25 *4594:45 0 +19 *3395:49 *4594:23 0 +20 *3395:49 *4594:45 0 +21 *4532:38 *4594:23 0 +22 *4533:97 *4594:45 0 +23 *4534:49 *4594:23 0 +24 *4556:21 *4594:45 0 +25 *4558:18 *4594:45 0 +*RES +1 *38803:X *4594:23 47.9435 +2 *4594:23 *4594:45 47.7939 +3 *4594:45 *40208:A 9.3 +*END + +*D_NET *4595 0.00896852 +*CONN +*I *8039:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40206:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38804:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *8039:DIODE 0 +2 *40206:A 0.000285351 +3 *38804:X 0.000448752 +4 *4595:33 0.00111914 +5 *4595:24 0.00250164 +6 *4595:18 0.00291636 +7 *4595:11 0.00169727 +8 *4595:11 *5073:11 0 +9 *4595:11 *5163:22 0 +10 *4595:11 *5180:19 0 +11 *4595:18 *5073:11 0 +12 *4595:18 *5180:19 0 +13 *4595:24 *5073:11 0 +14 *6943:DIODE *40206:A 0 +15 *6943:DIODE *4595:33 0 +16 *40480:A *4595:33 0 +17 *1099:5 *4595:24 0 +18 *1240:37 *4595:24 0 +19 *1253:17 *40206:A 0 +20 *1463:22 *4595:11 0 +21 *1833:17 *4595:18 0 +22 *1862:91 *40206:A 0 +23 *1862:91 *4595:33 0 +24 *1862:103 *4595:33 0 +25 *3254:16 *4595:11 0 +26 *3391:14 *4595:33 0 +27 *4592:28 *4595:24 0 +*RES +1 *38804:X *4595:11 28.0589 +2 *4595:11 *4595:18 35.1161 +3 *4595:18 *4595:24 44.8036 +4 *4595:24 *4595:33 26.7143 +5 *4595:33 *40206:A 15.3179 +6 *4595:33 *8039:DIODE 9.3 +*END + +*D_NET *4596 0.0214415 +*CONN +*I *8037:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40204:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38805:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8037:DIODE 0 +2 *40204:A 0.000811561 +3 *38805:X 0.00193244 +4 *4596:71 0.00187304 +5 *4596:48 0.00797675 +6 *4596:28 0.00884771 +7 *4596:28 *5103:35 0 +8 *4596:28 *5168:18 0 +9 *4596:28 *5190:31 0 +10 *4596:48 *5163:22 0 +11 *4596:48 *5164:24 0 +12 *4596:48 *5189:34 0 +13 mprj_dat_i_core[8] *4596:28 0 +14 *5279:DIODE *4596:28 0 +15 *6127:DIODE *4596:71 0 +16 *6148:DIODE *4596:71 0 +17 *8301:DIODE *40204:A 0 +18 *8700:DIODE *4596:71 0 +19 *40376:A *4596:28 0 +20 *1453:30 *4596:48 0 +21 *2714:24 *4596:48 0 +22 *2981:128 *40204:A 0 +23 *3015:79 *4596:71 0 +24 *3205:21 *4596:28 0 +25 *3237:74 *40204:A 0 +26 *3237:74 *4596:71 0 +27 *3292:48 *4596:48 0 +28 *3298:79 *40204:A 0 +29 *3348:95 *4596:71 0 +30 *3412:53 *4596:71 0 +31 *3417:67 *4596:71 0 +32 *3417:80 *4596:71 0 +33 *3477:53 *4596:48 0 +34 *3501:18 *40204:A 0 +35 *3501:18 *4596:71 0 +36 *3502:57 *40204:A 0 +37 *3510:33 *40204:A 0 +38 *3936:50 *4596:48 0 +39 *3958:19 *4596:48 0 +40 *3958:25 *4596:48 0 +41 *4015:55 *4596:48 0 +42 *4232:40 *4596:48 0 +43 *4251:92 *4596:48 0 +44 *4270:66 *4596:48 0 +45 *4411:65 *4596:48 0 +46 *4532:19 *4596:28 0 +47 *4534:49 *4596:28 0 +48 *4540:18 *4596:28 0 +49 *4564:21 *4596:28 0 +*RES +1 *38805:X *4596:28 48.9778 +2 *4596:28 *4596:48 45.7829 +3 *4596:48 *4596:71 44.9464 +4 *4596:71 *40204:A 26.3 +5 *4596:71 *8037:DIODE 9.3 +*END + +*D_NET *4597 0.00187996 +*CONN +*I *37732:B I *D sky130_fd_sc_hd__and2_1 +*I *38806:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *37732:B 0.00093998 +2 *38806:X 0.00093998 +3 *37732:B *4768:10 0 +4 *37732:B *5178:10 0 +5 *6897:DIODE *37732:B 0 +6 *6932:DIODE *37732:B 0 +7 *40219:A *37732:B 0 +8 *4587:8 *37732:B 0 +*RES +1 *38806:X *37732:B 47.5464 +*END + +*D_NET *4598 0.0075583 +*CONN +*I *5583:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37746:B I *D sky130_fd_sc_hd__and2_1 +*I *38807:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5583:DIODE 0 +2 *37746:B 0.000170321 +3 *38807:X 0.00262186 +4 *4598:21 0.00115729 +5 *4598:20 0.00360883 +6 *4598:20 *5182:28 0 +7 *4598:20 *5195:21 0 +8 *6947:DIODE *4598:20 0 +9 *1009:155 *4598:20 0 +10 *2826:43 *4598:20 0 +11 *3582:13 *37746:B 0 +12 *3582:13 *4598:21 0 +13 *4592:50 *4598:20 0 +*RES +1 *38807:X *4598:20 43.3439 +2 *4598:20 *4598:21 20.6607 +3 *4598:21 *37746:B 22.0143 +4 *4598:21 *5583:DIODE 9.3 +*END + +*D_NET *4599 0.00521028 +*CONN +*I *37748:B I *D sky130_fd_sc_hd__and2_1 +*I *38808:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *37748:B 0.00109048 +2 *38808:X 0.00151466 +3 *4599:18 0.00260514 +4 *4599:18 *4600:28 0 +5 *4599:18 *5167:30 0 +6 *38118:C *37748:B 0 +7 *1457:42 *4599:18 0 +8 *2426:12 *37748:B 0 +9 *3262:33 *4599:18 0 +10 *3406:65 *37748:B 0 +11 *3936:50 *37748:B 0 +12 *3967:40 *37748:B 0 +13 *3975:87 *37748:B 0 +14 *4256:77 *37748:B 0 +15 *4334:58 *37748:B 0 +16 *4343:53 *37748:B 0 +17 *4411:102 *37748:B 0 +18 *4427:131 *4599:18 0 +19 *4554:17 *4599:18 0 +20 *4563:74 *4599:18 0 +*RES +1 *38808:X *4599:18 48.3893 +2 *4599:18 *37748:B 47.2821 +*END + +*D_NET *4600 0.014105 +*CONN +*I *37750:B I *D sky130_fd_sc_hd__and2_1 +*I *5588:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38809:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *37750:B 9.41867e-06 +2 *5588:DIODE 0.000163714 +3 *38809:X 0.00156696 +4 *4600:69 0.00251898 +5 *4600:57 0.00531238 +6 *4600:28 0.0045335 +7 *6945:DIODE *4600:28 0 +8 *40260:A *4600:28 0 +9 *1250:57 *4600:57 0 +10 *1453:30 *4600:57 0 +11 *1458:18 *4600:57 0 +12 *1571:37 *4600:69 0 +13 *2376:24 *4600:69 0 +14 *2803:42 *4600:69 0 +15 *2806:92 *5588:DIODE 0 +16 *2830:33 *4600:69 0 +17 *2963:17 *5588:DIODE 0 +18 *2973:23 *4600:69 0 +19 *2990:13 *5588:DIODE 0 +20 *2995:54 *4600:28 0 +21 *3020:37 *4600:57 0 +22 *3020:37 *4600:69 0 +23 *3097:18 *4600:57 0 +24 *3102:52 *4600:57 0 +25 *3262:33 *4600:28 0 +26 *3278:47 *4600:57 0 +27 *3301:127 *4600:57 0 +28 *3410:128 *4600:57 0 +29 *4406:28 *4600:57 0 +30 *4406:28 *4600:69 0 +31 *4411:102 *4600:57 0 +32 *4427:131 *4600:57 0 +33 *4534:82 *4600:28 0 +34 *4558:18 *4600:28 0 +35 *4599:18 *4600:28 0 +*RES +1 *38809:X *4600:28 49.1355 +2 *4600:28 *4600:57 47.9025 +3 *4600:57 *4600:69 18.8044 +4 *4600:69 *5588:DIODE 17.3625 +5 *4600:69 *37750:B 14.0768 +*END + +*D_NET *4601 0.0203628 +*CONN +*I *37752:B I *D sky130_fd_sc_hd__and2_1 +*I *5591:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38810:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37752:B 0.000369995 +2 *5591:DIODE 6.50276e-05 +3 *38810:X 0.00182744 +4 *4601:74 0.00162889 +5 *4601:70 0.00428126 +6 *4601:52 0.00476049 +7 *4601:37 0.00363769 +8 *4601:19 0.00379204 +9 *4601:19 *5175:22 0 +10 *4601:52 *4729:10 0 +11 *4601:74 *4628:6 0 +12 *8053:DIODE *4601:37 0 +13 *40612:A *4601:70 0 +14 *368:65 *4601:37 0 +15 *1005:91 *4601:70 0 +16 *1244:30 *4601:74 0 +17 *1260:14 *4601:74 0 +18 *1581:29 *4601:37 0 +19 *1826:8 *4601:70 0 +20 *1826:28 *4601:52 0 +21 *1861:20 *4601:19 0 +22 *2423:11 *4601:52 0 +23 *2802:37 *4601:70 0 +24 *2802:48 *4601:70 0 +25 *2811:59 *4601:37 0 +26 *2811:59 *4601:52 0 +27 *2833:43 *4601:70 0 +28 *3009:22 *4601:37 0 +29 *3046:26 *4601:70 0 +30 *3184:22 *4601:37 0 +31 *3209:17 *4601:19 0 +32 *3406:112 *4601:37 0 +33 *3480:38 *4601:74 0 +34 *3482:36 *4601:70 0 +35 *3695:18 *4601:19 0 +36 *3754:5 *5591:DIODE 0 +37 *3754:5 *37752:B 0 +38 *3761:84 *4601:74 0 +39 *3773:55 *4601:37 0 +40 *3773:55 *4601:52 0 +41 *4026:90 *4601:37 0 +42 *4312:33 *37752:B 0 +43 *4579:32 *4601:74 0 +44 *4594:23 *4601:19 0 +*RES +1 *38810:X *4601:19 47.7053 +2 *4601:19 *4601:37 49.3929 +3 *4601:37 *4601:52 49.4732 +4 *4601:52 *4601:70 48.5219 +5 *4601:70 *4601:74 11.2835 +6 *4601:74 *5591:DIODE 15.1571 +7 *4601:74 *37752:B 21.6393 +*END + +*D_NET *4602 0.00761724 +*CONN +*I *40203:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38811:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40203:A 0.000474445 +2 *38811:X 0.000978971 +3 *4602:54 0.00170788 +4 *4602:40 0.0023552 +5 *4602:18 0.00210074 +6 *4602:18 *4761:86 0 +7 *4602:40 *39048:A 0 +8 *4602:40 *5166:58 0 +9 *4602:54 *5167:85 0 +10 *5508:DIODE *4602:40 0 +11 *5508:DIODE *4602:54 0 +12 *6617:DIODE *4602:40 0 +13 *6758:DIODE *4602:40 0 +14 *7513:DIODE *4602:54 0 +15 *7547:DIODE *4602:40 0 +16 *37698:A *4602:18 0 +17 *37724:B *40203:A 0 +18 *40322:A *4602:54 0 +19 *415:28 *4602:40 0 +20 *542:60 *4602:54 0 +21 *1248:24 *4602:18 0 +22 *1829:81 *40203:A 0 +23 *1860:28 *4602:40 0 +24 *2893:43 *4602:54 0 +25 *3027:43 *4602:18 0 +26 *3027:43 *4602:40 0 +27 *3077:51 *4602:40 0 +28 *3077:70 *4602:40 0 +29 *3188:31 *4602:18 0 +30 *3188:31 *4602:40 0 +31 *3188:46 *4602:54 0 +32 *3203:30 *4602:54 0 +33 *3211:15 *40203:A 0 +34 *3262:49 *4602:54 0 +35 *3395:23 *4602:18 0 +36 *3479:20 *4602:54 0 +37 *3783:76 *40203:A 0 +38 *4590:46 *4602:18 0 +39 *4590:47 *40203:A 0 +*RES +1 *38811:X *4602:18 48.5321 +2 *4602:18 *4602:40 46.7679 +3 *4602:40 *4602:54 48.6071 +4 *4602:54 *40203:A 19.2643 +*END + +*D_NET *4603 0.00817167 +*CONN +*I *40202:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38812:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40202:A 0 +2 *38812:X 0.00115055 +3 *4603:43 0.00164906 +4 *4603:30 0.00293528 +5 *4603:16 0.00243678 +6 *4603:16 *7287:DIODE 0 +7 *4603:16 *4783:42 0 +8 *6613:DIODE *4603:43 0 +9 *7624:DIODE *4603:43 0 +10 *7996:DIODE *4603:43 0 +11 *8264:DIODE *4603:43 0 +12 *39638:A *4603:43 0 +13 *40506:A *4603:43 0 +14 *40607:A *4603:43 0 +15 *1261:31 *4603:16 0 +16 *1829:51 *4603:43 0 +17 *2373:99 *4603:30 0 +18 *2443:8 *4603:43 0 +19 *3155:15 *4603:30 0 +20 *3163:19 *4603:30 0 +21 *3194:17 *4603:16 0 +22 *3199:78 *4603:30 0 +23 *3264:66 *4603:30 0 +24 *3402:73 *4603:43 0 +25 *3402:78 *4603:43 0 +26 *3420:60 *4603:30 0 +27 *3421:11 *4603:43 0 +28 *3422:67 *4603:43 0 +29 *3536:44 *4603:43 0 +30 *4251:69 *4603:43 0 +31 *4591:11 *4603:30 0 +*RES +1 *38812:X *4603:16 48.2821 +2 *4603:16 *4603:30 49.7321 +3 *4603:30 *4603:43 43.5 +4 *4603:43 *40202:A 9.3 +*END + +*D_NET *4604 0.0129709 +*CONN +*I *37926:C I *D sky130_fd_sc_hd__and3b_1 +*I *5833:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38813:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *37926:C 0 +2 *5833:DIODE 0.000334936 +3 *38813:X 0 +4 *4604:33 0.000380284 +5 *4604:30 0.00220311 +6 *4604:21 0.00390706 +7 *4604:7 0.0039474 +8 *4604:4 0.0021981 +9 *4604:7 *4637:42 0 +10 *4604:21 *4637:42 0 +11 *4604:21 *4891:40 0 +12 *4604:30 *4637:47 0 +13 *4604:30 *4811:26 0 +14 *5287:DIODE *4604:30 0 +15 *5290:DIODE *4604:30 0 +16 *6860:DIODE *4604:30 0 +17 *38758:A *4604:7 0 +18 *40047:A *5833:DIODE 0 +19 *317:20 *5833:DIODE 0 +20 *317:20 *4604:30 0 +21 *1362:8 *5833:DIODE 0 +22 *1782:36 *4604:7 0 +23 *2355:8 *4604:30 0 +24 *2796:13 *4604:30 0 +25 *3071:10 *4604:30 0 +26 *3096:16 *4604:30 0 +27 *3215:34 *4604:30 0 +28 *3304:34 *4604:7 0 +29 *3382:40 *4604:30 0 +30 *3488:13 *4604:7 0 +31 *3547:49 *5833:DIODE 0 +32 *3563:30 *5833:DIODE 0 +33 *3585:25 *4604:33 0 +34 *3609:15 *5833:DIODE 0 +35 *3631:37 *5833:DIODE 0 +36 *3883:41 *5833:DIODE 0 +37 *3914:18 *4604:21 0 +38 *3914:18 *4604:30 0 +39 *4424:55 *4604:30 0 +40 *4429:33 *4604:21 0 +41 *4430:42 *4604:21 0 +42 *4430:50 *4604:30 0 +43 *4526:10 *4604:21 0 +44 *4549:15 *4604:7 0 +45 *4582:13 *4604:7 0 +*RES +1 *38813:X *4604:4 9.3 +2 *4604:4 *4604:7 45.9375 +3 *4604:7 *4604:21 44.2573 +4 *4604:21 *4604:30 49.0625 +5 *4604:30 *4604:33 5.44643 +6 *4604:33 *5833:DIODE 25.4964 +7 *4604:33 *37926:C 9.3 +*END + +*D_NET *4605 0.00981873 +*CONN +*I *5562:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37726:B I *D sky130_fd_sc_hd__and2_1 +*I *38814:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5562:DIODE 0 +2 *37726:B 0.00018396 +3 *38814:X 0.000963647 +4 *4605:11 0.00394572 +5 *4605:10 0.00472541 +6 *37726:B *5205:5 0 +7 *4605:10 *4606:10 0 +8 *4605:11 *5205:5 0 +9 *6950:DIODE *4605:10 0 +10 *37727:A *37726:B 0 +11 *37727:A *4605:11 0 +*RES +1 *38814:X *4605:10 39.1571 +2 *4605:10 *4605:11 78.5714 +3 *4605:11 *37726:B 13.1393 +4 *4605:11 *5562:DIODE 9.3 +*END + +*D_NET *4606 0.0114769 +*CONN +*I *37728:B I *D sky130_fd_sc_hd__and2_1 +*I *5564:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38815:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *37728:B 0.0002015 +2 *5564:DIODE 0 +3 *38815:X 0.000557012 +4 *4606:11 0.00398593 +5 *4606:10 0.00497996 +6 *4606:7 0.00175254 +7 *4606:10 *4639:6 0 +8 *4606:11 *5157:11 0 +9 *37728:A *37728:B 0 +10 *37729:A *37728:B 0 +11 *37729:A *4606:11 0 +12 *4605:10 *4606:10 0 +*RES +1 *38815:X *4606:7 25.425 +2 *4606:7 *4606:10 31.6429 +3 *4606:10 *4606:11 78.9821 +4 *4606:11 *5564:DIODE 9.3 +5 *4606:11 *37728:B 13.5679 +*END + +*D_NET *4607 0.0147236 +*CONN +*I *5566:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37730:B I *D sky130_fd_sc_hd__and2_1 +*I *38816:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *5566:DIODE 6.20329e-05 +2 *37730:B 0.000105242 +3 *38816:X 8.57316e-05 +4 *4607:22 0.0016837 +5 *4607:17 0.0038587 +6 *4607:9 0.00559237 +7 *4607:8 0.00333583 +8 *4607:9 *38920:A 0 +9 *4607:9 *39176:A 0 +10 *4607:9 *4641:12 0 +11 *4607:17 *39173:A 0 +12 *4607:17 *39176:A 0 +13 *4607:17 *4635:17 0 +14 *4607:17 *4641:12 0 +15 *4607:17 *4924:19 0 +16 *4607:22 *4635:6 0 +17 la_data_in_core[121] *4607:17 0 +18 la_data_in_core[122] *4607:17 0 +19 la_oenb_core[123] *4607:17 0 +20 la_oenb_core[126] *4607:9 0 +21 user_clock2 *4607:9 0 +22 *6952:DIODE *4607:8 0 +23 *6952:DIODE *4607:9 0 +24 *37730:A *37730:B 0 +25 *283:7 *4607:22 0 +26 *1017:89 *4607:22 0 +*RES +1 *38816:X *4607:8 20.2464 +2 *4607:8 *4607:9 67.8929 +3 *4607:9 *4607:17 48.9464 +4 *4607:17 *4607:22 43.4286 +5 *4607:22 *37730:B 11.4964 +6 *4607:22 *5566:DIODE 10.6571 +*END + +*D_NET *4608 0.0131481 +*CONN +*I *39702:A I *D sky130_fd_sc_hd__buf_2 +*I *7658:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37883:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39702:A 0.000153561 +2 *7658:DIODE 0 +3 *37883:X 0.000807764 +4 *4608:12 0.00046652 +5 *4608:9 0.00561271 +6 *4608:8 0.00610751 +7 *39702:A *4712:9 0 +8 *4608:9 *39845:A 0 +9 *260:17 *4608:8 0 +10 *317:15 *4608:12 0 +11 *332:34 *39702:A 0 +12 *1344:8 *4608:8 0 +13 *2513:8 *39702:A 0 +14 *2513:8 *4608:12 0 +15 *2514:8 *39702:A 0 +16 *2514:8 *4608:12 0 +17 *2914:8 *4608:8 0 +*RES +1 *37883:X *4608:8 36.6393 +2 *4608:8 *4608:9 110.607 +3 *4608:9 *4608:12 11.6071 +4 *4608:12 *7658:DIODE 13.8 +5 *4608:12 *39702:A 17.1214 +*END + +*D_NET *4609 0.0175106 +*CONN +*I *7022:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38892:A I *D sky130_fd_sc_hd__buf_12 +*I *38083:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *7022:DIODE 8.57316e-05 +2 *38892:A 2.56688e-05 +3 *38083:X 0 +4 *4609:43 0.00174304 +5 *4609:26 0.00318594 +6 *4609:18 0.00327711 +7 *4609:6 0.00545795 +8 *4609:5 0.00373514 +9 *38892:A *5031:27 0 +10 *4609:6 *39659:A 0 +11 *4609:6 *4744:28 0 +12 *4609:6 *4900:16 0 +13 *4609:6 *4900:18 0 +14 *4609:18 *39156:A 0 +15 *4609:18 *4745:17 0 +16 *4609:18 *4902:21 0 +17 *4609:18 *4907:15 0 +18 *4609:26 *4909:37 0 +19 *4609:43 *39150:A 0 +20 *4609:43 *4909:24 0 +21 *4609:43 *4909:37 0 +22 *4609:43 *5030:13 0 +23 *4609:43 *5031:25 0 +24 *4609:43 *5031:27 0 +25 la_data_in_core[101] *4609:43 0 +26 la_data_in_core[104] *4609:26 0 +27 la_oenb_core[102] *4609:43 0 +28 *7026:DIODE *4609:26 0 +29 *38893:A *4609:43 0 +30 *265:7 *4609:26 0 +31 *273:12 *4609:6 0 +32 *273:15 *4609:6 0 +33 *287:16 *4609:6 0 +34 *372:33 *4609:6 0 +35 *1004:23 *7022:DIODE 0 +36 *1013:74 *4609:18 0 +37 *1017:86 *4609:18 0 +38 *1554:14 *4609:26 0 +39 *1554:15 *4609:26 0 +40 *1573:10 *4609:6 0 +41 *2468:18 *4609:18 0 +42 *2468:27 *38892:A 0 +43 *2468:27 *4609:43 0 +44 *2821:49 *4609:6 0 +45 *3003:30 *4609:6 0 +*RES +1 *38083:X *4609:5 13.8 +2 *4609:5 *4609:6 84.8214 +3 *4609:6 *4609:18 45.6993 +4 *4609:18 *4609:26 46.0714 +5 *4609:26 *4609:43 43.3571 +6 *4609:43 *38892:A 9.83571 +7 *4609:43 *7022:DIODE 20.2464 +*END + +*D_NET *4610 0.00659382 +*CONN +*I *39655:A I *D sky130_fd_sc_hd__buf_2 +*I *38085:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39655:A 0.000810278 +2 *38085:X 0.00109222 +3 *4610:20 0.00220469 +4 *4610:10 0.00248663 +5 *4610:10 *4743:16 0 +6 *37694:B *4610:10 0 +7 *39412:B *39655:A 0 +8 *263:15 *4610:20 0 +9 *265:23 *4610:10 0 +10 *265:27 *4610:10 0 +11 *290:17 *4610:10 0 +12 *1247:11 *4610:20 0 +13 *1247:22 *4610:10 0 +14 *1251:31 *39655:A 0 +15 *1585:6 *4610:20 0 +16 *1846:13 *39655:A 0 +17 *1849:15 *4610:20 0 +18 *2388:20 *4610:10 0 +19 *2392:13 *39655:A 0 +20 *2392:15 *39655:A 0 +21 *2801:49 *39655:A 0 +22 *3541:13 *4610:20 0 +23 *3541:15 *4610:20 0 +*RES +1 *38085:X *4610:10 42.8714 +2 *4610:10 *4610:20 38.3214 +3 *4610:20 *39655:A 26.425 +*END + +*D_NET *4611 0.006588 +*CONN +*I *38894:A I *D sky130_fd_sc_hd__buf_12 +*I *38087:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38894:A 0 +2 *38087:X 0 +3 *4611:6 0.003294 +4 *4611:5 0.003294 +5 *4611:6 *39666:A 0 +6 *4611:6 *5030:10 0 +7 *264:7 *4611:6 0 +8 *375:23 *4611:6 0 +9 *377:44 *4611:6 0 +10 *1424:36 *4611:6 0 +11 *2989:36 *4611:6 0 +12 *3521:46 *4611:6 0 +13 *3708:46 *4611:6 0 +14 *4087:78 *4611:6 0 +*RES +1 *38087:X *4611:5 13.8 +2 *4611:5 *4611:6 74.8036 +3 *4611:6 *38894:A 13.8 +*END + +*D_NET *4612 0.00282091 +*CONN +*I *39654:A I *D sky130_fd_sc_hd__buf_2 +*I *38089:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39654:A 0.000695624 +2 *38089:X 0.000714829 +3 *4612:14 0.00141045 +4 *4612:14 *4744:24 0 +5 *37716:A *4612:14 0 +6 *1241:9 *39654:A 0 +7 *1241:9 *4612:14 0 +8 *1460:30 *4612:14 0 +9 *1467:8 *4612:14 0 +10 *1605:11 *39654:A 0 +11 *1698:32 *4612:14 0 +12 *2833:51 *4612:14 0 +13 *2922:5 *39654:A 0 +14 *3046:26 *4612:14 0 +15 *3480:38 *4612:14 0 +*RES +1 *38089:X *4612:14 43.1214 +2 *4612:14 *39654:A 23.8179 +*END + +*D_NET *4613 0.0150693 +*CONN +*I *39653:A I *D sky130_fd_sc_hd__buf_2 +*I *7627:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38091:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39653:A 0.000121071 +2 *7627:DIODE 0 +3 *38091:X 0.00110679 +4 *4613:21 0.000651949 +5 *4613:15 0.0055226 +6 *4613:13 0.0057759 +7 *4613:10 0.00189097 +8 *4613:10 *4717:6 0 +9 *2417:90 *4613:15 0 +10 *3050:11 *4613:15 0 +11 *3073:74 *4613:10 0 +12 *3079:49 *39653:A 0 +13 *3079:49 *4613:21 0 +14 *3079:51 *4613:21 0 +15 *3081:38 *4613:21 0 +16 *3166:24 *4613:10 0 +17 *3166:29 *4613:13 0 +18 *3669:17 *4613:10 0 +19 *3951:11 *4613:13 0 +20 *3951:11 *4613:15 0 +21 *4031:37 *39653:A 0 +22 *4031:37 *4613:21 0 +23 *4102:34 *4613:21 0 +*RES +1 *38091:X *4613:10 43.3536 +2 *4613:10 *4613:13 16.3661 +3 *4613:13 *4613:15 104.241 +4 *4613:15 *4613:21 20.2143 +5 *4613:21 *7627:DIODE 9.3 +6 *4613:21 *39653:A 11.8893 +*END + +*D_NET *4614 0.00220075 +*CONN +*I *39652:A I *D sky130_fd_sc_hd__buf_2 +*I *38093:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39652:A 0 +2 *38093:X 0.00110037 +3 *4614:9 0.00110037 +4 *6117:DIODE *4614:9 0 +5 *1418:25 *4614:9 0 +6 *2839:23 *4614:9 0 +*RES +1 *38093:X *4614:9 41.9429 +2 *4614:9 *39652:A 9.3 +*END + +*D_NET *4615 0.00512871 +*CONN +*I *37928:C I *D sky130_fd_sc_hd__and3b_1 +*I *38817:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *37928:C 0.000226048 +2 *38817:X 0.00233831 +3 *4615:17 0.00256436 +4 *37928:C *5892:DIODE 0 +5 *4615:17 *4626:14 0 +6 *4615:17 *4821:29 0 +7 *4615:17 *5202:14 0 +8 *5291:DIODE *4615:17 0 +9 *37349:A *4615:17 0 +10 *37482:A *4615:17 0 +11 *37928:B *37928:C 0 +12 *1785:20 *4615:17 0 +13 *1794:18 *4615:17 0 +14 *1841:17 *4615:17 0 +15 *3182:10 *4615:17 0 +16 *3226:21 *4615:17 0 +17 *3367:40 *4615:17 0 +18 *4431:17 *4615:17 0 +*RES +1 *38817:X *4615:17 46.9504 +2 *4615:17 *37928:C 18.9339 +*END + +*D_NET *4616 0.0182661 +*CONN +*I *38898:A I *D sky130_fd_sc_hd__buf_12 +*I *7027:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38095:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38898:A 0.000166932 +2 *7027:DIODE 0 +3 *38095:X 0.000321207 +4 *4616:34 0.000439857 +5 *4616:31 0.00464475 +6 *4616:29 0.0060533 +7 *4616:14 0.00400014 +8 *4616:13 0.00263987 +9 *4616:14 *4918:11 0 +10 *4616:29 *4625:20 0 +11 la_data_in_core[107] *38898:A 0 +12 la_data_in_core[107] *4616:34 0 +13 la_oenb_core[106] *38898:A 0 +14 *37714:A *4616:13 0 +15 *37714:B *4616:13 0 +16 *268:7 *4616:34 0 +17 *278:10 *4616:29 0 +18 *280:11 *4616:14 0 +19 *281:7 *4616:14 0 +20 *281:7 *4616:29 0 +21 *290:17 *4616:29 0 +22 *370:51 *4616:14 0 +23 *384:8 *4616:31 0 +24 *384:14 *4616:29 0 +25 *1020:13 *38898:A 0 +26 *1020:13 *4616:34 0 +27 *1021:19 *4616:13 0 +28 *1021:19 *4616:14 0 +29 *1024:132 *4616:29 0 +30 *1024:132 *4616:31 0 +31 *1221:26 *4616:14 0 +32 *1594:16 *4616:14 0 +33 *2423:11 *4616:13 0 +34 *2467:9 *4616:31 0 +35 *2470:19 *38898:A 0 +36 *2819:27 *4616:13 0 +37 *2828:38 *4616:14 0 +38 *3731:12 *4616:13 0 +*RES +1 *38095:X *4616:13 29.7107 +2 *4616:13 *4616:14 52.6429 +3 *4616:14 *4616:29 48.9107 +4 *4616:29 *4616:31 91.3036 +5 *4616:31 *4616:34 10.6964 +6 *4616:34 *7027:DIODE 13.8 +7 *4616:34 *38898:A 17.425 +*END + +*D_NET *4617 0.00237726 +*CONN +*I *39651:A I *D sky130_fd_sc_hd__buf_2 +*I *38097:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39651:A 0.00118863 +2 *38097:X 0.00118863 +3 *39651:A *4743:13 0 +4 *39651:A *4743:16 0 +5 *39651:A *4744:16 0 +6 *39651:A *4779:10 0 +7 *39651:A *4910:8 0 +8 *1464:11 *39651:A 0 +9 *2803:61 *39651:A 0 +10 *2985:14 *39651:A 0 +11 *3408:24 *39651:A 0 +12 *3476:45 *39651:A 0 +*RES +1 *38097:X *39651:A 48.6309 +*END + +*D_NET *4618 0.0183452 +*CONN +*I *7029:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38900:A I *D sky130_fd_sc_hd__buf_12 +*I *38099:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *7029:DIODE 0 +2 *38900:A 0.000162141 +3 *38099:X 2.56688e-05 +4 *4618:33 0.00126713 +5 *4618:27 0.00402653 +6 *4618:25 0.00373609 +7 *4618:17 0.0026503 +8 *4618:8 0.00414371 +9 *4618:7 0.00233364 +10 *38900:A *5032:25 0 +11 *4618:8 *39172:A 0 +12 *4618:8 *4631:8 0 +13 *4618:8 *4641:8 0 +14 *4618:8 *4742:8 0 +15 *4618:8 *4920:12 0 +16 *4618:17 *38915:A 0 +17 *4618:17 *39172:A 0 +18 *4618:17 *4627:11 0 +19 *4618:17 *4627:18 0 +20 *4618:17 *4634:11 0 +21 *4618:25 *38911:A 0 +22 *4618:25 *4625:25 0 +23 *4618:25 *4627:18 0 +24 *4618:27 *7202:DIODE 0 +25 *4618:27 *38904:A 0 +26 *4618:27 *38905:A 0 +27 *4618:27 *38907:A 0 +28 *4618:27 *39164:A 0 +29 *4618:27 *4622:13 0 +30 *4618:27 *4624:22 0 +31 *4618:27 *4624:23 0 +32 *4618:27 *4625:25 0 +33 *4618:27 *4627:18 0 +34 *4618:27 *4745:17 0 +35 *4618:27 *4907:15 0 +36 *4618:33 *5032:25 0 +37 la_oenb_core[122] *4618:17 0 +38 *6201:DIODE *4618:8 0 +39 *38391:A *4618:17 0 +40 *273:12 *4618:33 0 +41 *2462:36 *4618:33 0 +*RES +1 *38099:X *4618:7 14.3357 +2 *4618:7 *4618:8 52.3393 +3 *4618:8 *4618:17 42.875 +4 *4618:17 *4618:25 17.125 +5 *4618:25 *4618:27 60.9107 +6 *4618:27 *4618:33 32.2321 +7 *4618:33 *38900:A 12.7464 +8 *4618:33 *7029:DIODE 9.3 +*END + +*D_NET *4619 0.00278256 +*CONN +*I *39650:A I *D sky130_fd_sc_hd__buf_2 +*I *38101:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39650:A 0 +2 *38101:X 0.00139128 +3 *4619:11 0.00139128 +4 *4619:11 *4921:8 0 +5 *1023:101 *4619:11 0 +6 *1461:25 *4619:11 0 +7 *1591:24 *4619:11 0 +8 *1593:10 *4619:11 0 +9 *2802:61 *4619:11 0 +10 *3962:19 *4619:11 0 +*RES +1 *38101:X *4619:11 48.2821 +2 *4619:11 *39650:A 9.3 +*END + +*D_NET *4620 0.015039 +*CONN +*I *38902:A I *D sky130_fd_sc_hd__buf_12 +*I *7031:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37903:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38902:A 0.000494012 +2 *7031:DIODE 0 +3 *37903:X 0.00231156 +4 *4620:48 0.00261083 +5 *4620:35 0.00348663 +6 *4620:26 0.00259711 +7 *4620:14 0.00353887 +8 *4620:26 *4997:8 0 +9 *4620:35 *5009:6 0 +10 *4620:48 *4933:20 0 +11 la_data_in_core[9] *38902:A 0 +12 *7415:DIODE *4620:14 0 +13 *37761:A *4620:26 0 +14 *300:10 *4620:14 0 +15 *320:21 *4620:14 0 +16 *333:58 *4620:26 0 +17 *387:5 *38902:A 0 +18 *387:5 *4620:48 0 +19 *1277:52 *4620:14 0 +20 *1291:18 *4620:48 0 +21 *1300:60 *4620:26 0 +22 *1501:33 *4620:14 0 +23 *1520:16 *4620:14 0 +24 *1785:10 *4620:14 0 +25 *1904:35 *4620:48 0 +26 *1922:47 *4620:35 0 +27 *2487:23 *4620:26 0 +28 *2502:25 *4620:14 0 +29 *2504:24 *4620:14 0 +30 *2504:39 *4620:48 0 +31 *2506:31 *4620:26 0 +32 *2520:33 *38902:A 0 +33 *2530:24 *38902:A 0 +34 *2633:20 *38902:A 0 +35 *2763:15 *4620:35 0 +36 *2770:17 *4620:14 0 +37 *2770:28 *4620:26 0 +38 *2777:5 *4620:35 0 +39 *2778:26 *4620:14 0 +40 *2778:27 *4620:35 0 +41 *2869:31 *4620:14 0 +42 *2874:8 *4620:48 0 +43 *2896:10 *4620:14 0 +44 *2911:44 *4620:14 0 +45 *3547:30 *4620:14 0 +46 *3586:40 *4620:14 0 +47 *3597:21 *4620:26 0 +*RES +1 *37903:X *4620:14 41.602 +2 *4620:14 *4620:26 38.6578 +3 *4620:26 *4620:35 42.1964 +4 *4620:35 *4620:48 44.1359 +5 *4620:48 *7031:DIODE 13.8 +6 *4620:48 *38902:A 33.3357 +*END + +*D_NET *4621 0.0165499 +*CONN +*I *38903:A I *D sky130_fd_sc_hd__buf_12 +*I *7032:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38103:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38903:A 0.000156152 +2 *7032:DIODE 0 +3 *38103:X 0 +4 *4621:21 0.00222848 +5 *4621:20 0.00387209 +6 *4621:14 0.00268497 +7 *4621:6 0.00424669 +8 *4621:5 0.00336148 +9 *4621:6 *38915:A 0 +10 *4621:6 *39170:A 0 +11 *4621:6 *39392:B 0 +12 *4621:14 *38912:A 0 +13 *4621:14 *4629:16 0 +14 *4621:14 *4916:15 0 +15 *4621:14 *4924:15 0 +16 *4621:14 *4924:19 0 +17 *4621:20 *39165:A 0 +18 *4621:20 *39166:A 0 +19 *4621:20 *4912:29 0 +20 *4621:20 *4914:29 0 +21 *4621:20 *4916:15 0 +22 *4621:20 *4924:15 0 +23 *4621:21 *7203:DIODE 0 +24 la_data_in_core[113] *4621:21 0 +25 la_oenb_core[111] *4621:21 0 +26 la_oenb_core[112] *4621:21 0 +27 la_oenb_core[113] *4621:21 0 +28 la_oenb_core[114] *4621:21 0 +29 la_oenb_core[115] *4621:20 0 +30 la_oenb_core[116] *4621:20 0 +31 la_oenb_core[117] *4621:20 0 +32 la_oenb_core[119] *4621:14 0 +33 *38117:A *4621:6 0 +34 *38367:A *4621:6 0 +35 *262:11 *4621:6 0 +36 *262:17 *4621:6 0 +37 *270:17 *4621:6 0 +38 *278:10 *4621:20 0 +39 *281:7 *4621:14 0 +40 *284:10 *4621:6 0 +41 *290:16 *4621:6 0 +42 *362:14 *4621:6 0 +43 *1735:13 *4621:6 0 +44 *1750:14 *4621:6 0 +45 *2456:6 *4621:6 0 +*RES +1 *38103:X *4621:5 13.8 +2 *4621:5 *4621:6 76.3214 +3 *4621:6 *4621:14 32.1071 +4 *4621:14 *4621:20 46.6071 +5 *4621:20 *4621:21 43.25 +6 *4621:21 *7032:DIODE 9.3 +7 *4621:21 *38903:A 12.6214 +*END + +*D_NET *4622 0.00871217 +*CONN +*I *7033:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38904:A I *D sky130_fd_sc_hd__buf_12 +*I *38105:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7033:DIODE 0 +2 *38904:A 0.000144601 +3 *38105:X 0 +4 *4622:13 0.00148964 +5 *4622:6 0.00421149 +6 *4622:5 0.00286644 +7 *38904:A *4624:22 0 +8 *4622:6 *38907:A 0 +9 *4622:6 *4623:6 0 +10 *4622:13 *38905:A 0 +11 *4622:13 *4623:13 0 +12 *4622:13 *4624:22 0 +13 *38055:A *4622:6 0 +14 *369:31 *4622:6 0 +15 *1243:20 *4622:6 0 +16 *1863:48 *4622:6 0 +17 *2463:6 *4622:6 0 +18 *3413:136 *4622:6 0 +19 *4618:27 *38904:A 0 +20 *4618:27 *4622:13 0 +*RES +1 *38105:X *4622:5 13.8 +2 *4622:5 *4622:6 65.0893 +3 *4622:6 *4622:13 32.6964 +4 *4622:13 *38904:A 12.3179 +5 *4622:13 *7033:DIODE 9.3 +*END + +*D_NET *4623 0.00842501 +*CONN +*I *7034:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38905:A I *D sky130_fd_sc_hd__buf_12 +*I *38107:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7034:DIODE 0 +2 *38905:A 0.000187297 +3 *38107:X 0 +4 *4623:13 0.000944954 +5 *4623:6 0.00402521 +6 *4623:5 0.00326755 +7 *38905:A *4624:22 0 +8 *38905:A *4906:15 0 +9 *4623:6 *4728:6 0 +10 *4623:6 *4732:10 0 +11 *4623:13 *4624:22 0 +12 *276:13 *4623:6 0 +13 *383:17 *4623:6 0 +14 *1013:77 *38905:A 0 +15 *1451:69 *4623:6 0 +16 *2444:6 *4623:6 0 +17 *2462:30 *38905:A 0 +18 *2463:6 *4623:6 0 +19 *4618:27 *38905:A 0 +20 *4622:6 *4623:6 0 +21 *4622:13 *38905:A 0 +22 *4622:13 *4623:13 0 +*RES +1 *38107:X *4623:5 13.8 +2 *4623:5 *4623:6 74.1964 +3 *4623:6 *4623:13 20.375 +4 *4623:13 *38905:A 31.5321 +5 *4623:13 *7034:DIODE 9.3 +*END + +*D_NET *4624 0.0113493 +*CONN +*I *7035:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38906:A I *D sky130_fd_sc_hd__buf_12 +*I *38109:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7035:DIODE 0 +2 *38906:A 0.000195433 +3 *38109:X 0.000255087 +4 *4624:23 0.000378537 +5 *4624:22 0.00178308 +6 *4624:14 0.00504103 +7 *4624:13 0.00369615 +8 *38906:A *7203:DIODE 0 +9 *38906:A *4908:18 0 +10 *4624:14 *4901:18 0 +11 *4624:22 *4745:17 0 +12 *38904:A *4624:22 0 +13 *38905:A *4624:22 0 +14 *270:7 *4624:14 0 +15 *275:11 *38906:A 0 +16 *1623:11 *4624:14 0 +17 *1864:68 *4624:14 0 +18 *1864:72 *4624:14 0 +19 *2388:48 *4624:13 0 +20 *2468:6 *4624:14 0 +21 *2815:83 *4624:13 0 +22 *2973:23 *4624:14 0 +23 *2980:44 *4624:14 0 +24 *3000:57 *4624:14 0 +25 *3962:7 *4624:13 0 +26 *4618:27 *4624:22 0 +27 *4618:27 *4624:23 0 +28 *4622:13 *4624:22 0 +29 *4623:13 *4624:22 0 +*RES +1 *38109:X *4624:13 28.2821 +2 *4624:13 *4624:14 78.1429 +3 *4624:14 *4624:22 47 +4 *4624:22 *4624:23 3.82143 +5 *4624:23 *38906:A 22.675 +6 *4624:23 *7035:DIODE 9.3 +*END + +*D_NET *4625 0.0123181 +*CONN +*I *7036:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38907:A I *D sky130_fd_sc_hd__buf_12 +*I *38111:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7036:DIODE 0 +2 *38907:A 0.000171193 +3 *38111:X 0.000242142 +4 *4625:25 0.00194918 +5 *4625:20 0.00315516 +6 *4625:16 0.00239796 +7 *4625:10 0.00259054 +8 *4625:7 0.00181189 +9 *4625:10 *4629:15 0 +10 *4625:10 *4635:6 0 +11 *4625:16 *4910:8 0 +12 *4625:20 *38911:A 0 +13 *4625:20 *4630:16 0 +14 *4625:20 *4910:8 0 +15 *4625:25 *38911:A 0 +16 *4625:25 *39164:A 0 +17 la_oenb_core[115] *4625:25 0 +18 *277:9 *38907:A 0 +19 *277:14 *4625:16 0 +20 *283:7 *4625:10 0 +21 *290:17 *4625:16 0 +22 *290:17 *4625:20 0 +23 *378:19 *4625:10 0 +24 *2996:15 *4625:7 0 +25 *4616:29 *4625:20 0 +26 *4618:25 *4625:25 0 +27 *4618:27 *38907:A 0 +28 *4618:27 *4625:25 0 +29 *4622:6 *38907:A 0 +*RES +1 *38111:X *4625:7 18.8536 +2 *4625:7 *4625:10 40.1429 +3 *4625:10 *4625:16 26.4018 +4 *4625:16 *4625:20 35.8304 +5 *4625:20 *4625:25 37.2321 +6 *4625:25 *38907:A 22.1036 +7 *4625:25 *7036:DIODE 9.3 +*END + +*D_NET *4626 0.00603077 +*CONN +*I *37930:C I *D sky130_fd_sc_hd__and3b_1 +*I *38818:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *37930:C 0.000181255 +2 *38818:X 0.00283413 +3 *4626:14 0.00301539 +4 *4626:14 *39063:A 0 +5 *4626:14 *4671:11 0 +6 *4626:14 *4821:29 0 +7 *6633:DIODE *4626:14 0 +8 *6776:DIODE *4626:14 0 +9 *7481:DIODE *4626:14 0 +10 *8617:DIODE *4626:14 0 +11 *37486:A *4626:14 0 +12 *39509:B *4626:14 0 +13 *295:24 *4626:14 0 +14 *1788:19 *4626:14 0 +15 *1794:18 *4626:14 0 +16 *2360:59 *4626:14 0 +17 *3063:22 *37930:C 0 +18 *3271:30 *4626:14 0 +19 *3344:28 *37930:C 0 +20 *3344:28 *4626:14 0 +21 *3367:40 *37930:C 0 +22 *3924:15 *4626:14 0 +23 *3925:12 *4626:14 0 +24 *4388:30 *37930:C 0 +25 *4431:17 *4626:14 0 +26 *4432:24 *37930:C 0 +27 *4615:17 *4626:14 0 +*RES +1 *38818:X *4626:14 48.9503 +2 *4626:14 *37930:C 22.0194 +*END + +*D_NET *4627 0.0133444 +*CONN +*I *7037:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38908:A I *D sky130_fd_sc_hd__buf_12 +*I *38113:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7037:DIODE 0 +2 *38908:A 0.000159146 +3 *38113:X 0 +4 *4627:18 0.00191987 +5 *4627:11 0.00288416 +6 *4627:6 0.00475232 +7 *4627:5 0.00362888 +8 *4627:11 *38912:A 0 +9 *4627:11 *38915:A 0 +10 *4627:11 *4631:11 0 +11 la_oenb_core[115] *4627:18 0 +12 *38391:A *4627:18 0 +13 *285:10 *4627:6 0 +14 *290:16 *4627:6 0 +15 *374:25 *4627:6 0 +16 *1024:137 *4627:6 0 +17 *1120:11 *4627:6 0 +18 *1735:16 *4627:6 0 +19 *2456:6 *4627:6 0 +20 *2462:15 *38908:A 0 +21 *2990:42 *4627:6 0 +22 *3019:36 *4627:6 0 +23 *4618:17 *4627:11 0 +24 *4618:17 *4627:18 0 +25 *4618:25 *4627:18 0 +26 *4618:27 *4627:18 0 +*RES +1 *38113:X *4627:5 13.8 +2 *4627:5 *4627:6 82.3929 +3 *4627:6 *4627:11 27.9464 +4 *4627:11 *4627:18 45.8929 +5 *4627:18 *38908:A 12.6214 +6 *4627:18 *7037:DIODE 9.3 +*END + +*D_NET *4628 0.00719401 +*CONN +*I *7038:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38909:A I *D sky130_fd_sc_hd__buf_12 +*I *38115:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *7038:DIODE 0 +2 *38909:A 0.000296214 +3 *38115:X 0 +4 *4628:9 0.000420279 +5 *4628:6 0.00330079 +6 *4628:5 0.00317673 +7 *4628:6 *4911:6 0 +8 *4628:9 *4912:29 0 +9 la_data_in_core[116] *38909:A 0 +10 la_oenb_core[115] *4628:6 0 +11 *278:10 *38909:A 0 +12 *1260:14 *4628:6 0 +13 *1427:31 *4628:6 0 +14 *1585:6 *4628:6 0 +15 *2462:8 *4628:6 0 +16 *2462:15 *4628:6 0 +17 *2973:36 *4628:6 0 +18 *3473:47 *4628:6 0 +19 *4601:74 *4628:6 0 +*RES +1 *38115:X *4628:5 13.8 +2 *4628:5 *4628:6 72.0714 +3 *4628:6 *4628:9 7.08929 +4 *4628:9 *38909:A 24.7643 +5 *4628:9 *7038:DIODE 9.3 +*END + +*D_NET *4629 0.00920159 +*CONN +*I *38910:A I *D sky130_fd_sc_hd__buf_12 +*I *7039:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38117:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38910:A 0.000170697 +2 *7039:DIODE 0 +3 *38117:X 0.000741678 +4 *4629:19 0.000806427 +5 *4629:16 0.00368842 +6 *4629:15 0.00379437 +7 *38910:A *4910:11 0 +8 *4629:15 *4635:6 0 +9 *4629:16 *38912:A 0 +10 la_oenb_core[118] *4629:19 0 +11 *39401:B *4629:16 0 +12 *280:11 *4629:16 0 +13 *283:7 *4629:16 0 +14 *378:19 *4629:16 0 +15 *1461:25 *4629:15 0 +16 *2802:49 *4629:15 0 +17 *3480:39 *4629:15 0 +18 *4621:14 *4629:16 0 +19 *4625:10 *4629:15 0 +*RES +1 *38117:X *4629:15 38.4607 +2 *4629:15 *4629:16 69.3393 +3 *4629:16 *4629:19 17.7679 +4 *4629:19 *7039:DIODE 9.3 +5 *4629:19 *38910:A 12.925 +*END + +*D_NET *4630 0.0123846 +*CONN +*I *38911:A I *D sky130_fd_sc_hd__buf_12 +*I *7040:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38119:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38911:A 0.000226724 +2 *7040:DIODE 0 +3 *38119:X 0 +4 *4630:16 0.000335231 +5 *4630:9 0.00312544 +6 *4630:8 0.00301694 +7 *4630:6 0.00284011 +8 *4630:5 0.00284011 +9 *38911:A *4910:8 0 +10 *4630:6 *4922:8 0 +11 *276:17 *4630:6 0 +12 *278:10 *4630:9 0 +13 *278:10 *4630:16 0 +14 *278:14 *4630:9 0 +15 *281:7 *38911:A 0 +16 *281:7 *4630:16 0 +17 *288:10 *4630:6 0 +18 *289:15 *4630:6 0 +19 *1024:132 *4630:16 0 +20 *1024:136 *4630:9 0 +21 *1024:136 *4630:16 0 +22 *1120:8 *4630:9 0 +23 *4618:25 *38911:A 0 +24 *4625:20 *38911:A 0 +25 *4625:20 *4630:16 0 +26 *4625:25 *38911:A 0 +*RES +1 *38119:X *4630:5 13.8 +2 *4630:5 *4630:6 64.4821 +3 *4630:6 *4630:8 4.5 +4 *4630:8 *4630:9 62.9643 +5 *4630:9 *4630:16 6.91071 +6 *4630:16 *7040:DIODE 13.8 +7 *4630:16 *38911:A 18.7464 +*END + +*D_NET *4631 0.0103254 +*CONN +*I *7041:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38912:A I *D sky130_fd_sc_hd__buf_12 +*I *38121:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7041:DIODE 0 +2 *38912:A 0.000137388 +3 *38121:X 0.000557012 +4 *4631:11 0.00165869 +5 *4631:8 0.00446831 +6 *4631:7 0.00350401 +7 *4631:8 *4634:8 0 +8 *4631:8 *4641:8 0 +9 *4631:8 *4742:8 0 +10 *4631:11 *38915:A 0 +11 *4631:11 *4634:11 0 +12 *38121:A *4631:7 0 +13 *281:7 *38912:A 0 +14 *3656:49 *4631:7 0 +15 *4618:8 *4631:8 0 +16 *4621:14 *38912:A 0 +17 *4627:11 *38912:A 0 +18 *4627:11 *4631:11 0 +19 *4629:16 *38912:A 0 +*RES +1 *38121:X *4631:7 25.425 +2 *4631:7 *4631:8 66.9107 +3 *4631:8 *4631:11 36.25 +4 *4631:11 *38912:A 21.3 +5 *4631:11 *7041:DIODE 9.3 +*END + +*D_NET *4632 0.00286547 +*CONN +*I *38913:A I *D sky130_fd_sc_hd__buf_12 +*I *37905:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *38913:A 8.4707e-05 +2 *37905:X 0.00134803 +3 *4632:20 0.00143273 +4 *4632:20 *4917:17 0 +5 *38157:A *4632:20 0 +6 *271:27 *4632:20 0 +7 *387:5 *4632:20 0 +8 *1001:22 *4632:20 0 +9 *1005:46 *4632:20 0 +10 *1015:48 *4632:20 0 +11 *1031:28 *4632:20 0 +12 *2493:14 *4632:20 0 +13 *2497:32 *38913:A 0 +14 *2530:20 *4632:20 0 +15 *2666:38 *38913:A 0 +16 *2786:30 *4632:20 0 +17 *2894:34 *4632:20 0 +*RES +1 *37905:X *4632:20 47.622 +2 *4632:20 *38913:A 15.5679 +*END + +*D_NET *4633 0.0101343 +*CONN +*I *7042:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38914:A I *D sky130_fd_sc_hd__buf_12 +*I *38123:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7042:DIODE 0 +2 *38914:A 0.000162141 +3 *38123:X 0.00139432 +4 *4633:13 0.00209372 +5 *4633:12 0.00351069 +6 *4633:8 0.00297343 +7 *4633:12 *4922:8 0 +8 *4633:13 *7045:DIODE 0 +9 *4633:13 *38917:A 0 +10 *4633:13 *39171:A 0 +11 la_data_in_core[123] *4633:13 0 +12 la_data_in_core[124] *4633:13 0 +13 la_data_in_core[125] *4633:12 0 +14 la_oenb_core[120] *38914:A 0 +15 la_oenb_core[120] *4633:13 0 +16 la_oenb_core[121] *4633:13 0 +17 la_oenb_core[124] *4633:12 0 +18 *6175:DIODE *4633:8 0 +19 *362:17 *4633:8 0 +20 *362:17 *4633:12 0 +*RES +1 *38123:X *4633:8 45.4875 +2 *4633:8 *4633:12 40.3839 +3 *4633:12 *4633:13 40.375 +4 *4633:13 *38914:A 12.7464 +5 *4633:13 *7042:DIODE 9.3 +*END + +*D_NET *4634 0.00790743 +*CONN +*I *7043:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38915:A I *D sky130_fd_sc_hd__buf_12 +*I *38125:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7043:DIODE 0 +2 *38915:A 0.000170439 +3 *38125:X 0.00030118 +4 *4634:11 0.000665418 +5 *4634:8 0.0034821 +6 *4634:7 0.0032883 +7 *38915:A *7044:DIODE 0 +8 *4634:8 *4742:8 0 +9 *4634:8 *4921:8 0 +10 *4634:11 *39172:A 0 +11 la_oenb_core[122] *4634:11 0 +12 *386:27 *4634:8 0 +13 *1023:101 *4634:8 0 +14 *2802:61 *4634:7 0 +15 *4618:17 *38915:A 0 +16 *4618:17 *4634:11 0 +17 *4621:6 *38915:A 0 +18 *4627:11 *38915:A 0 +19 *4631:8 *4634:8 0 +20 *4631:11 *38915:A 0 +21 *4631:11 *4634:11 0 +*RES +1 *38125:X *4634:7 20.0857 +2 *4634:7 *4634:8 67.8214 +3 *4634:8 *4634:11 14.8929 +4 *4634:11 *38915:A 22.0143 +5 *4634:11 *7043:DIODE 9.3 +*END + +*D_NET *4635 0.00864568 +*CONN +*I *38916:A I *D sky130_fd_sc_hd__buf_12 +*I *7044:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38127:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38916:A 0 +2 *7044:DIODE 8.57316e-05 +3 *38127:X 0 +4 *4635:17 0.000974727 +5 *4635:6 0.00423711 +6 *4635:5 0.00334811 +7 *4635:17 *4924:19 0 +8 la_data_in_core[121] *4635:17 0 +9 la_data_in_core[122] *7044:DIODE 0 +10 la_data_in_core[122] *4635:17 0 +11 *38915:A *7044:DIODE 0 +12 *283:7 *4635:6 0 +13 *285:10 *7044:DIODE 0 +14 *1017:89 *4635:6 0 +15 *1751:12 *4635:6 0 +16 *4607:17 *4635:17 0 +17 *4607:22 *4635:6 0 +18 *4625:10 *4635:6 0 +19 *4629:15 *4635:6 0 +*RES +1 *38127:X *4635:5 13.8 +2 *4635:5 *4635:6 76.0179 +3 *4635:6 *4635:17 23.3929 +4 *4635:17 *7044:DIODE 20.2464 +5 *4635:17 *38916:A 9.3 +*END + +*D_NET *4636 0.00776572 +*CONN +*I *38917:A I *D sky130_fd_sc_hd__buf_12 +*I *7045:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38129:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38917:A 0.000127573 +2 *7045:DIODE 2.56688e-05 +3 *38129:X 0.00030118 +4 *4636:8 0.00358168 +5 *4636:7 0.00372962 +6 la_data_in_core[124] *38917:A 0 +7 la_oenb_core[124] *38917:A 0 +8 la_oenb_core[124] *4636:8 0 +9 *38056:B *4636:8 0 +10 *39577:A *4636:8 0 +11 *267:15 *4636:8 0 +12 *287:7 *38917:A 0 +13 *287:7 *4636:8 0 +14 *1590:30 *4636:8 0 +15 *2990:42 *4636:8 0 +16 *2996:29 *4636:7 0 +17 *4312:38 *4636:8 0 +18 *4633:13 *7045:DIODE 0 +19 *4633:13 *38917:A 0 +*RES +1 *38129:X *4636:7 20.0857 +2 *4636:7 *4636:8 77.8393 +3 *4636:8 *7045:DIODE 14.3357 +4 *4636:8 *38917:A 16.6036 +*END + +*D_NET *4637 0.0168345 +*CONN +*I *5843:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37932:C I *D sky130_fd_sc_hd__and3b_1 +*I *38819:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *5843:DIODE 0 +2 *37932:C 0.000411425 +3 *38819:X 0.00197833 +4 *4637:47 0.00227001 +5 *4637:42 0.00384016 +6 *4637:30 0.00416889 +7 *4637:18 0.00416564 +8 *4637:18 *4649:13 0 +9 *4637:18 *4826:15 0 +10 *4637:30 *4775:16 0 +11 *4637:30 *4891:19 0 +12 *4637:30 *4891:40 0 +13 *4637:42 *4775:30 0 +14 *4637:42 *4785:17 0 +15 *4637:42 *4891:49 0 +16 la_data_in_mprj[21] *4637:30 0 +17 *5287:DIODE *4637:47 0 +18 *6769:DIODE *4637:30 0 +19 *6882:DIODE *4637:42 0 +20 *6949:DIODE *4637:30 0 +21 *37512:B *4637:18 0 +22 *38486:A *4637:42 0 +23 *38499:A *4637:18 0 +24 *38724:A *4637:42 0 +25 *38735:A *4637:42 0 +26 *39065:A *4637:18 0 +27 *39450:B *4637:47 0 +28 *39954:A *4637:47 0 +29 *41209:A *37932:C 0 +30 *428:7 *4637:30 0 +31 *433:5 *4637:18 0 +32 *561:9 *4637:18 0 +33 *810:9 *4637:30 0 +34 *1170:35 *4637:47 0 +35 *1473:24 *4637:47 0 +36 *1819:11 *4637:42 0 +37 *2355:8 *4637:47 0 +38 *2360:36 *4637:30 0 +39 *2796:13 *4637:47 0 +40 *3071:10 *4637:42 0 +41 *3071:10 *4637:47 0 +42 *3149:12 *4637:30 0 +43 *3160:26 *4637:18 0 +44 *3215:34 *4637:47 0 +45 *3369:11 *4637:18 0 +46 *3382:40 *4637:47 0 +47 *3547:49 *37932:C 0 +48 *3567:56 *4637:47 0 +49 *4184:70 *37932:C 0 +50 *4184:70 *4637:47 0 +51 *4201:18 *4637:47 0 +52 *4205:46 *37932:C 0 +53 *4419:20 *4637:42 0 +54 *4424:55 *4637:47 0 +55 *4429:15 *4637:18 0 +56 *4429:15 *4637:30 0 +57 *4429:33 *4637:42 0 +58 *4430:36 *4637:30 0 +59 *4430:36 *4637:42 0 +60 *4430:42 *4637:42 0 +61 *4430:82 *4637:18 0 +62 *4433:11 *4637:18 0 +63 *4482:20 *4637:30 0 +64 *4515:10 *4637:42 0 +65 *4549:15 *4637:42 0 +66 *4604:7 *4637:42 0 +67 *4604:21 *4637:42 0 +68 *4604:30 *4637:47 0 +*RES +1 *38819:X *4637:18 37.4712 +2 *4637:18 *4637:30 42.7235 +3 *4637:30 *4637:42 49.1502 +4 *4637:42 *4637:47 46.7232 +5 *4637:47 *37932:C 27.3893 +6 *4637:47 *5843:DIODE 9.3 +*END + +*D_NET *4638 0.00988579 +*CONN +*I *38918:A I *D sky130_fd_sc_hd__buf_12 +*I *7046:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38131:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38918:A 0.000156152 +2 *7046:DIODE 0 +3 *38131:X 0.000222463 +4 *4638:11 0.00155938 +5 *4638:8 0.00456428 +6 *4638:7 0.00338352 +7 *4638:8 *5155:8 0 +8 la_data_in_core[127] *4638:11 0 +9 la_oenb_core[125] *4638:11 0 +10 la_oenb_core[127] *4638:11 0 +11 *367:11 *4638:8 0 +12 *1118:12 *4638:8 0 +13 *1119:7 *4638:8 0 +14 *1120:7 *4638:8 0 +15 *1254:19 *4638:7 0 +16 *1588:6 *4638:8 0 +*RES +1 *38131:X *4638:7 18.4429 +2 *4638:7 *4638:8 71.7679 +3 *4638:8 *4638:11 33.7857 +4 *4638:11 *7046:DIODE 9.3 +5 *4638:11 *38918:A 12.6214 +*END + +*D_NET *4639 0.0117334 +*CONN +*I *7047:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38919:A I *D sky130_fd_sc_hd__buf_12 +*I *38133:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7047:DIODE 0 +2 *38919:A 0.000282357 +3 *38133:X 0 +4 *4639:9 0.00237137 +5 *4639:6 0.00558434 +6 *4639:5 0.00349533 +7 *4639:6 *39387:A 0 +8 *4639:6 *5156:10 0 +9 *4639:6 *5157:11 0 +10 *4639:9 *7048:DIODE 0 +11 *38389:A *38919:A 0 +12 *1119:8 *38919:A 0 +13 *1119:8 *4639:9 0 +14 *4606:10 *4639:6 0 +*RES +1 *38133:X *4639:5 13.8 +2 *4639:5 *4639:6 79.3571 +3 *4639:6 *4639:9 48.1607 +4 *4639:9 *38919:A 15.1929 +5 *4639:9 *7047:DIODE 9.3 +*END + +*D_NET *4640 0.00796758 +*CONN +*I *38920:A I *D sky130_fd_sc_hd__buf_12 +*I *7048:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38135:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38920:A 0.000197957 +2 *7048:DIODE 2.56688e-05 +3 *38135:X 0.000694768 +4 *4640:8 0.00328902 +5 *4640:7 0.00376016 +6 *38920:A *39176:A 0 +7 *1119:8 *7048:DIODE 0 +8 *1268:8 *4640:8 0 +9 *2973:37 *4640:7 0 +10 *3476:59 *4640:7 0 +11 *4607:9 *38920:A 0 +12 *4639:9 *7048:DIODE 0 +*RES +1 *38135:X *4640:7 28.3 +2 *4640:7 *4640:8 69.6429 +3 *4640:8 *7048:DIODE 14.3357 +4 *4640:8 *38920:A 18.1393 +*END + +*D_NET *4641 0.00541073 +*CONN +*I *38921:A I *D sky130_fd_sc_hd__buf_12 +*I *38137:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *38921:A 0 +2 *38137:X 0.000567047 +3 *4641:12 0.00213832 +4 *4641:8 0.00270537 +5 *4641:8 *39172:A 0 +6 *4641:8 *4921:8 0 +7 la_data_in_core[127] *4641:12 0 +8 la_oenb_core[122] *4641:8 0 +9 la_oenb_core[123] *4641:12 0 +10 *290:7 *4641:12 0 +11 *4607:9 *4641:12 0 +12 *4607:17 *4641:12 0 +13 *4618:8 *4641:8 0 +14 *4631:8 *4641:8 0 +*RES +1 *38137:X *4641:8 31.175 +2 *4641:8 *4641:12 49.2857 +3 *4641:12 *38921:A 13.8 +*END + +*D_NET *4642 0.0144595 +*CONN +*I *7651:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39692:A I *D sky130_fd_sc_hd__buf_2 +*I *37907:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7651:DIODE 0 +2 *39692:A 0.000169684 +3 *37907:X 0.00101975 +4 *4642:27 0.00128606 +5 *4642:25 0.00320774 +6 *4642:21 0.00291191 +7 *4642:17 0.00283255 +8 *4642:10 0.00303176 +9 *39692:A *5053:17 0 +10 *4642:17 *5060:5 0 +11 *4642:17 *5060:7 0 +12 *4642:27 *4662:7 0 +13 *37759:A *4642:17 0 +14 *37759:A *4642:21 0 +15 *299:30 *4642:10 0 +16 *311:41 *4642:10 0 +17 *1278:11 *4642:21 0 +18 *1278:11 *4642:25 0 +19 *1352:9 *4642:10 0 +20 *1368:13 *4642:21 0 +21 *1368:13 *4642:25 0 +22 *2501:8 *4642:10 0 +23 *2513:5 *4642:10 0 +24 *2609:19 *39692:A 0 +25 *2609:19 *4642:25 0 +26 *2609:19 *4642:27 0 +27 *2673:6 *4642:10 0 +*RES +1 *37907:X *4642:10 41.3357 +2 *4642:10 *4642:17 42.2679 +3 *4642:17 *4642:21 17.1875 +4 *4642:21 *4642:25 43.6786 +5 *4642:25 *4642:27 23.3304 +6 *4642:27 *39692:A 21.925 +7 *4642:27 *7651:DIODE 9.3 +*END + +*D_NET *4643 0.00205543 +*CONN +*I *39691:A I *D sky130_fd_sc_hd__buf_2 +*I *37909:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39691:A 0.00102772 +2 *37909:X 0.00102772 +3 *7424:DIODE *39691:A 0 +4 *39458:B *39691:A 0 +5 *2762:19 *39691:A 0 +6 *3267:40 *39691:A 0 +*RES +1 *37909:X *39691:A 44.2215 +*END + +*D_NET *4644 0.012948 +*CONN +*I *7051:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38924:A I *D sky130_fd_sc_hd__buf_12 +*I *37911:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7051:DIODE 4.28265e-05 +2 *38924:A 9.09078e-05 +3 *37911:X 2.35958e-05 +4 *4644:27 0.00213119 +5 *4644:20 0.00460505 +6 *4644:10 0.0043192 +7 *4644:6 0.0017352 +8 *4644:10 *4736:16 0 +9 *4644:20 *39252:A 0 +10 *4644:20 *4736:16 0 +11 *4644:20 *5009:6 0 +12 la_data_in_core[14] *38924:A 0 +13 la_oenb_core[13] *7051:DIODE 0 +14 *282:18 *4644:10 0 +15 *282:18 *4644:20 0 +16 *365:9 *4644:20 0 +17 *365:11 *4644:10 0 +18 *1189:41 *4644:10 0 +19 *1798:40 *4644:10 0 +20 *2497:23 *4644:20 0 +21 *2498:50 *7051:DIODE 0 +22 *2520:31 *38924:A 0 +23 *2520:31 *4644:27 0 +24 *2520:33 *4644:27 0 +25 *2530:24 *4644:27 0 +26 *2546:20 *4644:20 0 +27 *2554:32 *4644:20 0 +28 *2639:11 *4644:20 0 +29 *2640:39 *4644:20 0 +30 *2651:20 *4644:20 0 +31 *2651:20 *4644:27 0 +32 *2663:18 *38924:A 0 +33 *2679:14 *4644:20 0 +34 *2710:70 *4644:20 0 +35 *2745:26 *4644:10 0 +36 *2780:27 *4644:20 0 +37 *2786:24 *4644:20 0 +38 *2909:20 *4644:20 0 +39 *3602:61 *4644:10 0 +40 *3622:28 *4644:6 0 +41 *3622:28 *4644:10 0 +42 *3853:58 *4644:10 0 +*RES +1 *37911:X *4644:6 14.3357 +2 *4644:6 *4644:10 38.9018 +3 *4644:10 *4644:20 49.7497 +4 *4644:20 *4644:27 46.25 +5 *4644:27 *38924:A 15.7821 +6 *4644:27 *7051:DIODE 14.8357 +*END + +*D_NET *4645 0.00227813 +*CONN +*I *38925:A I *D sky130_fd_sc_hd__buf_12 +*I *37913:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *38925:A 0 +2 *37913:X 0.00113906 +3 *4645:10 0.00113906 +4 *4645:10 *4656:17 0 +5 *4645:10 *4933:61 0 +6 *2528:55 *4645:10 0 +7 *2707:25 *4645:10 0 +8 *2707:37 *4645:10 0 +9 *2900:34 *4645:10 0 +*RES +1 *37913:X *4645:10 43.9786 +2 *4645:10 *38925:A 9.3 +*END + +*D_NET *4646 0.00584831 +*CONN +*I *39690:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37915:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39690:A 0.000420006 +2 *37915:X 0.000235031 +3 *4646:11 0.00268913 +4 *4646:10 0.00250415 +5 *37915:A *4646:10 0 +6 *313:33 *4646:10 0 +7 *1266:8 *39690:A 0 +8 *1356:23 *4646:11 0 +9 *1471:9 *4646:10 0 +10 *1478:10 *4646:10 0 +11 *1483:10 *39690:A 0 +12 *1483:22 *39690:A 0 +13 *2501:8 *39690:A 0 +14 *2911:15 *4646:11 0 +15 *2911:17 *4646:11 0 +16 *2952:11 *4646:10 0 +17 *2952:11 *4646:11 0 +*RES +1 *37915:X *4646:10 23.2643 +2 *4646:10 *4646:11 47.3571 +3 *4646:11 *39690:A 27.8357 +*END + +*D_NET *4647 0.00227749 +*CONN +*I *38927:A I *D sky130_fd_sc_hd__buf_12 +*I *37917:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *38927:A 0 +2 *37917:X 0.00113874 +3 *4647:19 0.00113874 +4 *4647:19 *39183:A 0 +5 *4647:19 *39184:A 0 +6 *4647:19 *4665:30 0 +7 *4647:19 *4934:29 0 +8 *4647:19 *4934:35 0 +9 la_oenb_core[17] *4647:19 0 +10 la_oenb_core[18] *4647:19 0 +11 *298:11 *4647:19 0 +12 *1024:63 *4647:19 0 +13 *2485:19 *4647:19 0 +14 *2490:36 *4647:19 0 +15 *2492:74 *4647:19 0 +16 *2493:51 *4647:19 0 +17 *2496:54 *4647:19 0 +18 *2894:50 *4647:19 0 +*RES +1 *37917:X *4647:19 42.4071 +2 *4647:19 *38927:A 9.3 +*END + +*D_NET *4648 0.00363066 +*CONN +*I *38082:C I *D sky130_fd_sc_hd__and3b_1 +*I *38820:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *38082:C 0.00129306 +2 *38820:X 0.000522272 +3 *4648:17 0.00181533 +4 *4648:17 *4887:11 0 +5 la_data_in_mprj[100] *4648:17 0 +6 *2371:38 *4648:17 0 +7 *2386:63 *38082:C 0 +8 *2727:18 *4648:17 0 +9 *2760:44 *4648:17 0 +10 *3134:23 *4648:17 0 +11 *3314:62 *38082:C 0 +12 *3788:52 *4648:17 0 +13 *4045:43 *38082:C 0 +14 *4275:56 *38082:C 0 +*RES +1 *38820:X *4648:17 33.8714 +2 *4648:17 *38082:C 42.9964 +*END + +*D_NET *4649 0.0153863 +*CONN +*I *5847:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37934:C I *D sky130_fd_sc_hd__and3b_1 +*I *38821:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *5847:DIODE 7.08858e-05 +2 *37934:C 0.000155348 +3 *38821:X 0.00232649 +4 *4649:38 0.00190811 +5 *4649:33 0.00514041 +6 *4649:13 0.00578503 +7 *4649:13 *4671:30 0 +8 *4649:33 *4671:30 0 +9 *4649:33 *4891:40 0 +10 *4649:38 *39053:A 0 +11 *4649:38 *4775:30 0 +12 *4649:38 *4810:12 0 +13 *4649:38 *5161:30 0 +14 la_data_in_mprj[25] *4649:13 0 +15 *6630:DIODE *4649:33 0 +16 *6955:DIODE *4649:13 0 +17 *6958:DIODE *4649:13 0 +18 *37512:B *4649:13 0 +19 *38494:A *4649:33 0 +20 *38499:A *4649:13 0 +21 *38802:A *4649:33 0 +22 *38818:A *4649:13 0 +23 *39064:A *4649:13 0 +24 *430:11 *4649:33 0 +25 *432:5 *4649:13 0 +26 *434:8 *4649:13 0 +27 *813:8 *4649:33 0 +28 *1782:49 *4649:33 0 +29 *2360:51 *4649:33 0 +30 *2360:59 *4649:13 0 +31 *3160:26 *4649:13 0 +32 *3378:5 *4649:13 0 +33 *3380:10 *4649:13 0 +34 *3380:30 *4649:33 0 +35 *3381:13 *4649:33 0 +36 *3390:17 *4649:33 0 +37 *3885:34 *5847:DIODE 0 +38 *3885:34 *4649:38 0 +39 *4396:19 *37934:C 0 +40 *4417:12 *5847:DIODE 0 +41 *4417:12 *37934:C 0 +42 *4417:12 *4649:38 0 +43 *4420:17 *4649:33 0 +44 *4424:33 *37934:C 0 +45 *4424:33 *4649:38 0 +46 *4429:15 *4649:33 0 +47 *4430:20 *4649:33 0 +48 *4436:14 *4649:13 0 +49 *4482:20 *4649:33 0 +50 *4593:7 *4649:33 0 +51 *4637:18 *4649:13 0 +*RES +1 *38821:X *4649:13 47.3533 +2 *4649:13 *4649:33 48.1732 +3 *4649:33 *4649:38 41.7136 +4 *4649:38 *37934:C 17.3446 +5 *4649:38 *5847:DIODE 15.5232 +*END + +*D_NET *4650 0.00417728 +*CONN +*I *38928:A I *D sky130_fd_sc_hd__buf_12 +*I *37919:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *38928:A 0.000954451 +2 *37919:X 0.00113419 +3 *4650:14 0.00208864 +4 *38928:A *39182:A 0 +5 *4650:14 *4930:10 0 +6 la_data_in_core[16] *38928:A 0 +7 *39710:A *4650:14 0 +8 *295:12 *4650:14 0 +9 *2493:46 *38928:A 0 +10 *2497:41 *38928:A 0 +11 *2515:18 *4650:14 0 +12 *2524:25 *4650:14 0 +13 *2554:17 *4650:14 0 +14 *2566:13 *4650:14 0 +15 *2613:33 *4650:14 0 +16 *2640:23 *38928:A 0 +17 *2667:60 *4650:14 0 +*RES +1 *37919:X *4650:14 48.0679 +2 *4650:14 *38928:A 33.7821 +*END + +*D_NET *4651 0.00506305 +*CONN +*I *39688:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37921:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39688:A 0 +2 *37921:X 0.000393146 +3 *4651:12 0.00213838 +4 *4651:8 0.00253152 +5 *294:62 *4651:12 0 +6 *304:23 *4651:8 0 +7 *327:25 *4651:12 0 +8 *1342:14 *4651:12 0 +9 *1362:9 *4651:12 0 +10 *1480:12 *4651:12 0 +11 *1777:10 *4651:8 0 +12 *2776:10 *4651:8 0 +13 *2911:15 *4651:8 0 +*RES +1 *37921:X *4651:8 27.2286 +2 *4651:8 *4651:12 49.2857 +3 *4651:12 *39688:A 13.8 +*END + +*D_NET *4652 0.00295954 +*CONN +*I *39701:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37885:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39701:A 0 +2 *37885:X 0.00147977 +3 *4652:11 0.00147977 +4 *37885:A *4652:11 0 +5 *1341:19 *4652:11 0 +*RES +1 *37885:X *4652:11 49.425 +2 *4652:11 *39701:A 9.3 +*END + +*D_NET *4653 0.00470002 +*CONN +*I *39686:A I *D sky130_fd_sc_hd__buf_2 +*I *37923:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39686:A 0.000179289 +2 *37923:X 0 +3 *4653:7 0.00235001 +4 *4653:4 0.00217072 +5 *2510:15 *39686:A 0 +6 *2691:9 *4653:7 0 +*RES +1 *37923:X *4653:4 9.3 +2 *4653:4 *4653:7 49.8036 +3 *4653:7 *39686:A 17.8714 +*END + +*D_NET *4654 0.00446218 +*CONN +*I *38932:A I *D sky130_fd_sc_hd__buf_12 +*I *37925:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *38932:A 0.0013371 +2 *37925:X 0.00089399 +3 *4654:20 0.00223109 +4 *38932:A *39187:A 0 +5 *38932:A *39825:A 0 +6 *38932:A *4655:19 0 +7 *38932:A *4657:11 0 +8 *38932:A *5040:21 0 +9 la_oenb_core[20] *38932:A 0 +10 *37953:A *4654:20 0 +11 *1017:84 *38932:A 0 +12 *1028:32 *38932:A 0 +13 *2519:36 *38932:A 0 +14 *2519:47 *38932:A 0 +15 *2569:15 *4654:20 0 +16 *2574:16 *38932:A 0 +17 *2606:22 *4654:20 0 +18 *2659:47 *4654:20 0 +19 *2667:44 *4654:20 0 +*RES +1 *37925:X *4654:20 38.0143 +2 *4654:20 *38932:A 46.9786 +*END + +*D_NET *4655 0.00859814 +*CONN +*I *38933:A I *D sky130_fd_sc_hd__buf_12 +*I *7056:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37927:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38933:A 0 +2 *7056:DIODE 0.000157802 +3 *37927:X 0.00181618 +4 *4655:21 0.000616418 +5 *4655:19 0.00232509 +6 *4655:13 0.00368265 +7 *7056:DIODE *4656:27 0 +8 *4655:19 *39187:A 0 +9 *4655:19 *4657:11 0 +10 *4655:19 *4658:28 0 +11 *4655:21 *4657:11 0 +12 la_data_in_core[21] *4655:21 0 +13 la_data_in_core[22] *4655:21 0 +14 *38932:A *4655:19 0 +15 *298:11 *4655:13 0 +16 *300:7 *4655:19 0 +17 *1012:10 *4655:13 0 +18 *1022:10 *4655:13 0 +19 *1926:47 *4655:13 0 +20 *2158:53 *4655:13 0 +21 *2170:28 *4655:13 0 +22 *2486:44 *4655:19 0 +23 *2493:51 *4655:19 0 +24 *2493:51 *4655:21 0 +25 *2710:15 *7056:DIODE 0 +26 *2748:15 *4655:13 0 +*RES +1 *37927:X *4655:13 47.7314 +2 *4655:13 *4655:19 46.2232 +3 *4655:19 *4655:21 9.57143 +4 *4655:21 *7056:DIODE 21.8 +5 *4655:21 *38933:A 9.3 +*END + +*D_NET *4656 0.0105777 +*CONN +*I *38934:A I *D sky130_fd_sc_hd__buf_12 +*I *7057:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37929:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38934:A 0.000121071 +2 *7057:DIODE 0 +3 *37929:X 0.00262065 +4 *4656:27 0.00266821 +5 *4656:17 0.00516779 +6 *38934:A *4966:26 0 +7 *4656:17 *4669:56 0 +8 *4656:17 *4933:61 0 +9 *4656:27 *4934:35 0 +10 *4656:27 *4966:14 0 +11 *4656:27 *4966:26 0 +12 la_data_in_core[23] *38934:A 0 +13 la_oenb_core[18] *4656:27 0 +14 *7056:DIODE *4656:27 0 +15 *38929:A *4656:27 0 +16 *301:7 *4656:27 0 +17 *1007:109 *4656:27 0 +18 *1011:144 *4656:17 0 +19 *2491:13 *4656:17 0 +20 *2492:74 *4656:27 0 +21 *2547:38 *4656:17 0 +22 *2586:7 *4656:17 0 +23 *2606:38 *4656:17 0 +24 *2626:14 *4656:17 0 +25 *2667:60 *4656:17 0 +26 *2710:15 *38934:A 0 +27 *2710:15 *4656:27 0 +28 *2710:24 *4656:27 0 +29 *4645:10 *4656:17 0 +*RES +1 *37929:X *4656:17 45.6441 +2 *4656:17 *4656:27 44.4327 +3 *4656:27 *7057:DIODE 9.3 +4 *4656:27 *38934:A 11.8893 +*END + +*D_NET *4657 0.00455398 +*CONN +*I *38935:A I *D sky130_fd_sc_hd__buf_12 +*I *37931:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *38935:A 0 +2 *37931:X 8.57316e-05 +3 *4657:11 0.00219126 +4 *4657:8 0.00227699 +5 *4657:8 *4665:30 0 +6 *4657:8 *4670:22 0 +7 *4657:11 *7212:DIODE 0 +8 *4657:11 *39187:A 0 +9 *4657:11 *39192:A 0 +10 *4657:11 *4934:35 0 +11 *38932:A *4657:11 0 +12 *341:29 *4657:8 0 +13 *2488:22 *4657:8 0 +14 *2493:51 *4657:11 0 +15 *2493:60 *4657:11 0 +16 *2575:41 *4657:11 0 +17 *2680:25 *4657:11 0 +18 *2758:32 *4657:11 0 +19 *4655:19 *4657:11 0 +20 *4655:21 *4657:11 0 +*RES +1 *37931:X *4657:8 20.2464 +2 *4657:8 *4657:11 45.7321 +3 *4657:11 *38935:A 9.3 +*END + +*D_NET *4658 0.0147995 +*CONN +*I *38936:A I *D sky130_fd_sc_hd__buf_12 +*I *7058:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37933:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38936:A 0.000161286 +2 *7058:DIODE 0 +3 *37933:X 0.00193543 +4 *4658:49 0.00195648 +5 *4658:28 0.00344662 +6 *4658:17 0.00350784 +7 *4658:7 0.00379184 +8 *38936:A *4670:37 0 +9 *4658:7 *4677:15 0 +10 *4658:17 *4677:15 0 +11 *4658:17 *4677:20 0 +12 *4658:28 *4665:33 0 +13 *4658:49 *4670:32 0 +14 *4658:49 *4670:37 0 +15 la_oenb_core[20] *4658:28 0 +16 *38179:A *4658:7 0 +17 *298:11 *4658:17 0 +18 *301:12 *4658:28 0 +19 *338:76 *4658:17 0 +20 *1000:14 *4658:17 0 +21 *1005:76 *4658:28 0 +22 *1019:8 *4658:17 0 +23 *1024:75 *4658:28 0 +24 *2158:58 *4658:7 0 +25 *2486:44 *4658:28 0 +26 *2490:39 *4658:49 0 +27 *2491:13 *4658:49 0 +28 *2492:77 *4658:49 0 +29 *2492:85 *38936:A 0 +30 *2493:51 *4658:49 0 +31 *2496:54 *4658:49 0 +32 *2559:20 *4658:7 0 +33 *2647:13 *4658:28 0 +34 *2648:20 *4658:28 0 +35 *2770:49 *4658:7 0 +36 *4655:19 *4658:28 0 +*RES +1 *37933:X *4658:7 49.6929 +2 *4658:7 *4658:17 37.8983 +3 *4658:17 *4658:28 49.2314 +4 *4658:28 *4658:49 37.8186 +5 *4658:49 *7058:DIODE 9.3 +6 *4658:49 *38936:A 12.7286 +*END + +*D_NET *4659 0.0118175 +*CONN +*I *39685:A I *D sky130_fd_sc_hd__buf_2 +*I *7648:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37935:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *39685:A 0.000153319 +2 *7648:DIODE 0 +3 *37935:X 0.00124665 +4 *4659:39 0.00109435 +5 *4659:29 0.00245527 +6 *4659:15 0.00356774 +7 *4659:7 0.00330015 +8 *39685:A *5009:6 0 +9 *4659:29 *4669:17 0 +10 *39734:A *39685:A 0 +11 *39874:A *4659:15 0 +12 *293:24 *4659:7 0 +13 *293:24 *4659:15 0 +14 *332:15 *4659:29 0 +15 *1023:31 *4659:29 0 +16 *2507:32 *4659:39 0 +17 *2512:9 *4659:7 0 +18 *2540:5 *4659:29 0 +19 *2557:16 *4659:39 0 +20 *2563:25 *4659:29 0 +21 *2566:28 *4659:39 0 +22 *2635:7 *39685:A 0 +23 *2635:7 *4659:39 0 +24 *2635:11 *4659:29 0 +25 *2635:11 *4659:39 0 +26 *2697:7 *4659:7 0 +27 *2697:7 *4659:15 0 +28 *2706:5 *4659:15 0 +29 *2707:53 *4659:15 0 +30 *2891:12 *4659:29 0 +*RES +1 *37935:X *4659:7 35.3179 +2 *4659:7 *4659:15 47.4821 +3 *4659:15 *4659:29 46.4286 +4 *4659:29 *4659:39 28.7857 +5 *4659:39 *7648:DIODE 9.3 +6 *4659:39 *39685:A 21.6214 +*END + +*D_NET *4660 0.00543266 +*CONN +*I *40201:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38822:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40201:A 0.000438936 +2 *38822:X 0.00227739 +3 *4660:16 0.00271633 +4 *40201:A *40200:A 0 +5 *4660:16 *4802:29 0 +6 *4660:16 *4817:14 0 +7 *6636:DIODE *4660:16 0 +8 *6779:DIODE *4660:16 0 +9 *6958:DIODE *4660:16 0 +10 *37542:A *4660:16 0 +11 *3171:26 *40201:A 0 +12 *3215:16 *4660:16 0 +13 *3238:12 *4660:16 0 +14 *3367:21 *4660:16 0 +15 *3466:19 *40201:A 0 +16 *3488:13 *4660:16 0 +17 *3851:17 *4660:16 0 +18 *3872:24 *4660:16 0 +19 *3877:20 *4660:16 0 +20 *3913:53 *4660:16 0 +21 *3922:33 *4660:16 0 +22 *4430:91 *4660:16 0 +23 *4437:31 *40201:A 0 +*RES +1 *38822:X *4660:16 45.2365 +2 *4660:16 *40201:A 18.4607 +*END + +*D_NET *4661 0.00237428 +*CONN +*I *39684:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37937:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39684:A 0 +2 *37937:X 0.00118714 +3 *4661:11 0.00118714 +4 *2512:7 *4661:11 0 +5 *2512:9 *4661:11 0 +6 *2615:12 *4661:11 0 +7 *2691:9 *4661:11 0 +8 *2706:22 *4661:11 0 +*RES +1 *37937:X *4661:11 43.2821 +2 *4661:11 *39684:A 9.3 +*END + +*D_NET *4662 0.0168546 +*CONN +*I *39682:A I *D sky130_fd_sc_hd__buf_2 +*I *7646:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37939:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39682:A 6.95684e-05 +2 *7646:DIODE 2.35958e-05 +3 *37939:X 0.000869744 +4 *4662:18 0.000486271 +5 *4662:15 0.00216464 +6 *4662:9 0.00707128 +7 *4662:7 0.00616949 +8 *39682:A *4917:17 0 +9 *4662:15 *4997:8 0 +10 *4662:15 *5046:25 0 +11 *4662:18 *4917:17 0 +12 *271:27 *39682:A 0 +13 *2500:26 *4662:18 0 +14 *2503:5 *4662:9 0 +15 *2600:20 *39682:A 0 +16 *2600:20 *4662:18 0 +17 *2609:19 *4662:7 0 +18 *2609:19 *4662:9 0 +19 *2630:12 *4662:18 0 +20 *2632:9 *4662:15 0 +21 *2702:34 *4662:18 0 +22 *4642:27 *4662:7 0 +*RES +1 *37939:X *4662:7 27.5143 +2 *4662:7 *4662:9 110.607 +3 *4662:9 *4662:15 46.1786 +4 *4662:15 *4662:18 13.4286 +5 *4662:18 *7646:DIODE 14.3357 +6 *4662:18 *39682:A 15.4429 +*END + +*D_NET *4663 0.00463801 +*CONN +*I *39681:A I *D sky130_fd_sc_hd__buf_2 +*I *37941:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39681:A 0 +2 *37941:X 0.000360904 +3 *4663:15 0.0019581 +4 *4663:10 0.002319 +5 *4663:15 *39007:A 0 +6 *4663:15 *39230:A 0 +7 *4663:15 *4985:31 0 +8 la_data_in_core[7] *4663:15 0 +9 la_data_in_core[8] *4663:15 0 +10 la_oenb_core[5] *4663:15 0 +11 *38996:A *4663:15 0 +12 *343:7 *4663:10 0 +13 *2518:37 *4663:15 0 +14 *2518:42 *4663:15 0 +15 *2520:33 *4663:15 0 +16 *2553:29 *4663:10 0 +*RES +1 *37941:X *4663:10 26.0143 +2 *4663:10 *4663:15 40.9286 +3 *4663:15 *39681:A 9.3 +*END + +*D_NET *4664 0.0113675 +*CONN +*I *7656:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39699:A I *D sky130_fd_sc_hd__buf_2 +*I *37887:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *7656:DIODE 0 +2 *39699:A 0.000168308 +3 *37887:X 2.0535e-05 +4 *4664:33 0.00192226 +5 *4664:25 0.00316677 +6 *4664:7 0.00374097 +7 *4664:5 0.00234869 +8 *39699:A *4700:11 0 +9 *4664:33 *4700:11 0 +10 *37887:A *4664:7 0 +11 *304:23 *4664:25 0 +12 *307:13 *4664:25 0 +13 *309:19 *4664:33 0 +14 *311:34 *39699:A 0 +15 *315:35 *4664:33 0 +16 *327:25 *4664:33 0 +17 *1341:15 *4664:7 0 +18 *1369:9 *4664:7 0 +19 *1369:19 *4664:25 0 +20 *1479:11 *4664:25 0 +21 *1479:11 *4664:33 0 +22 *1483:36 *4664:33 0 +23 *1653:11 *4664:25 0 +24 *2772:15 *4664:7 0 +25 *2789:21 *4664:25 0 +26 *2789:21 *4664:33 0 +*RES +1 *37887:X *4664:5 9.72857 +2 *4664:5 *4664:7 48.5893 +3 *4664:7 *4664:25 47.9821 +4 *4664:25 *4664:33 47.5357 +5 *4664:33 *39699:A 21.925 +6 *4664:33 *7656:DIODE 9.3 +*END + +*D_NET *4665 0.0186429 +*CONN +*I *38942:A I *D sky130_fd_sc_hd__buf_12 +*I *7064:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37943:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38942:A 0.000121071 +2 *7064:DIODE 0 +3 *37943:X 0.00252699 +4 *4665:65 0.000992954 +5 *4665:64 0.00163339 +6 *4665:53 0.00227136 +7 *4665:33 0.00367908 +8 *4665:30 0.00353014 +9 *4665:14 0.00388791 +10 *4665:14 *39182:A 0 +11 *4665:14 *4934:22 0 +12 *4665:30 *4670:22 0 +13 *4665:30 *4934:35 0 +14 *4665:33 *4936:23 0 +15 *4665:33 *4966:26 0 +16 *4665:53 *39645:A 0 +17 *4665:64 *39815:A 0 +18 *4665:64 *4945:14 0 +19 *4665:64 *4946:24 0 +20 *4665:65 *5049:36 0 +21 la_data_in_core[17] *4665:14 0 +22 la_oenb_core[13] *4665:14 0 +23 la_oenb_core[18] *4665:30 0 +24 la_oenb_core[26] *4665:64 0 +25 *6243:DIODE *4665:14 0 +26 *6330:DIODE *4665:30 0 +27 *292:12 *4665:14 0 +28 *1007:109 *4665:33 0 +29 *1007:109 *4665:53 0 +30 *1513:31 *4665:30 0 +31 *2451:19 *4665:53 0 +32 *2451:19 *4665:64 0 +33 *2451:34 *38942:A 0 +34 *2451:34 *4665:64 0 +35 *2451:34 *4665:65 0 +36 *2485:41 *4665:64 0 +37 *2492:74 *4665:30 0 +38 *2493:32 *4665:14 0 +39 *2493:60 *4665:64 0 +40 *2498:50 *4665:14 0 +41 *2500:37 *4665:14 0 +42 *2575:28 *38942:A 0 +43 *2575:28 *4665:65 0 +44 *2640:16 *4665:53 0 +45 *2640:16 *4665:64 0 +46 *2647:13 *4665:30 0 +47 *2647:13 *4665:33 0 +48 *2647:26 *4665:30 0 +49 *2647:33 *4665:14 0 +50 *2663:25 *4665:14 0 +51 *2680:25 *4665:30 0 +52 *2710:15 *4665:33 0 +53 *2710:25 *4665:30 0 +54 *2710:44 *4665:14 0 +55 *2711:25 *4665:65 0 +56 *2757:24 *4665:14 0 +57 *2758:57 *4665:53 0 +58 *2780:31 *4665:14 0 +59 *4647:19 *4665:30 0 +60 *4657:8 *4665:30 0 +61 *4658:28 *4665:33 0 +*RES +1 *37943:X *4665:14 48.1791 +2 *4665:14 *4665:30 46.7321 +3 *4665:30 *4665:33 49.8036 +4 *4665:33 *4665:53 49.875 +5 *4665:53 *4665:64 34.2321 +6 *4665:64 *4665:65 18.1964 +7 *4665:65 *7064:DIODE 9.3 +8 *4665:65 *38942:A 11.8893 +*END + +*D_NET *4666 0.00955434 +*CONN +*I *39680:A I *D sky130_fd_sc_hd__buf_2 +*I *7645:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37945:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39680:A 0.000121071 +2 *7645:DIODE 0 +3 *37945:X 0.000136614 +4 *4666:47 0.00109271 +5 *4666:31 0.00232908 +6 *4666:11 0.00354784 +7 *4666:10 0.00232702 +8 *4666:10 *4985:31 0 +9 *4666:31 *4917:17 0 +10 *39719:A *4666:47 0 +11 *39722:A *4666:47 0 +12 *291:15 *4666:47 0 +13 *387:5 *4666:31 0 +14 *1002:8 *4666:31 0 +15 *2500:17 *4666:11 0 +16 *2508:20 *4666:10 0 +17 *2515:25 *4666:31 0 +18 *2515:25 *4666:47 0 +19 *2533:19 *4666:31 0 +20 *2533:19 *4666:47 0 +21 *2534:36 *4666:47 0 +22 *2547:47 *4666:31 0 +23 *2554:32 *4666:47 0 +24 *2566:13 *39680:A 0 +25 *2566:13 *4666:47 0 +26 *2626:25 *4666:47 0 +27 *2632:9 *4666:10 0 +28 *2632:9 *4666:11 0 +29 *2643:17 *39680:A 0 +30 *2643:17 *4666:47 0 +*RES +1 *37945:X *4666:10 21.2107 +2 *4666:10 *4666:11 45.7143 +3 *4666:11 *4666:31 49.9104 +4 *4666:31 *4666:47 29.75 +5 *4666:47 *7645:DIODE 9.3 +6 *4666:47 *39680:A 11.8893 +*END + +*D_NET *4667 0.00664958 +*CONN +*I *39679:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37947:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39679:A 0.00124693 +2 *37947:X 0.00038607 +3 *4667:24 0.00293872 +4 *4667:14 0.00207786 +5 *39679:A *4668:14 0 +6 *39679:A *5043:8 0 +7 *4667:14 *4749:13 0 +8 *4667:24 *5047:8 0 +9 *37947:A *4667:14 0 +10 *39451:B *4667:14 0 +11 *1364:16 *4667:14 0 +12 *1373:13 *4667:24 0 +13 *1483:49 *39679:A 0 +14 *1661:31 *4667:24 0 +15 *2770:8 *39679:A 0 +16 *2774:11 *39679:A 0 +17 *2908:15 *4667:14 0 +18 *3169:54 *4667:14 0 +19 *3579:47 *4667:14 0 +20 *3579:47 *4667:24 0 +21 *3586:68 *4667:14 0 +*RES +1 *37947:X *4667:14 35.55 +2 *4667:14 *4667:24 44.75 +3 *4667:24 *39679:A 44.6036 +*END + +*D_NET *4668 0.00355732 +*CONN +*I *39677:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37949:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39677:A 0.000557012 +2 *37949:X 0.00122165 +3 *4668:14 0.00177866 +4 *39679:A *4668:14 0 +5 *329:17 *4668:14 0 +6 *1364:27 *39677:A 0 +7 *2767:15 *39677:A 0 +8 *2770:8 *4668:14 0 +9 *2774:11 *4668:14 0 +10 *3597:55 *4668:14 0 +*RES +1 *37949:X *4668:14 48.7821 +2 *4668:14 *39677:A 25.425 +*END + +*D_NET *4669 0.0145064 +*CONN +*I *39675:A I *D sky130_fd_sc_hd__buf_2 +*I *7642:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37951:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39675:A 0.0001369 +2 *7642:DIODE 0 +3 *37951:X 0.00114808 +4 *4669:56 0.00160352 +5 *4669:50 0.00253939 +6 *4669:35 0.00260599 +7 *4669:17 0.0034288 +8 *4669:10 0.00304366 +9 *4669:10 *39820:A 0 +10 *4669:17 *4997:8 0 +11 *4669:35 *5009:6 0 +12 *4669:50 *39842:A 0 +13 *37951:A *4669:10 0 +14 *39783:A *4669:50 0 +15 *39799:A *4669:17 0 +16 *332:15 *4669:10 0 +17 *354:11 *4669:17 0 +18 *901:8 *4669:35 0 +19 *1008:54 *39675:A 0 +20 *1010:57 *39675:A 0 +21 *1010:63 *39675:A 0 +22 *1025:62 *4669:35 0 +23 *2492:22 *4669:35 0 +24 *2496:22 *4669:56 0 +25 *2540:5 *4669:17 0 +26 *2550:14 *4669:17 0 +27 *2550:17 *4669:10 0 +28 *2551:49 *4669:35 0 +29 *2551:49 *4669:50 0 +30 *2554:17 *4669:56 0 +31 *2569:28 *4669:10 0 +32 *2604:9 *4669:35 0 +33 *2604:9 *4669:50 0 +34 *2604:26 *4669:17 0 +35 *2606:38 *4669:56 0 +36 *2606:42 *4669:50 0 +37 *2606:42 *4669:56 0 +38 *2634:5 *4669:56 0 +39 *2635:11 *4669:17 0 +40 *2655:11 *4669:35 0 +41 *2675:7 *4669:35 0 +42 *2675:9 *4669:17 0 +43 *2675:9 *4669:35 0 +44 *4656:17 *4669:56 0 +45 *4659:29 *4669:17 0 +*RES +1 *37951:X *4669:10 42.4429 +2 *4669:10 *4669:17 48.7679 +3 *4669:17 *4669:35 47.0632 +4 *4669:35 *4669:50 39.6154 +5 *4669:50 *4669:56 40.1607 +6 *4669:56 *7642:DIODE 9.3 +7 *4669:56 *39675:A 12.1571 +*END + +*D_NET *4670 0.0171142 +*CONN +*I *7069:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38947:A I *D sky130_fd_sc_hd__buf_12 +*I *37953:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7069:DIODE 9.41867e-06 +2 *38947:A 0.00017778 +3 *37953:X 0.0011908 +4 *4670:51 0.0032716 +5 *4670:37 0.00541192 +6 *4670:34 0.00232752 +7 *4670:32 0.00176718 +8 *4670:22 0.00295798 +9 *4670:32 *39188:A 0 +10 *4670:32 *39190:A 0 +11 *4670:37 *39196:A 0 +12 *4670:37 *4955:16 0 +13 *4670:51 *39196:A 0 +14 *4670:51 *39198:A 0 +15 *4670:51 *4673:37 0 +16 *4670:51 *4955:17 0 +17 la_data_in_core[20] *4670:22 0 +18 la_data_in_core[20] *4670:32 0 +19 la_data_in_core[26] *4670:37 0 +20 la_data_in_core[27] *4670:37 0 +21 la_data_in_core[35] *38947:A 0 +22 la_oenb_core[34] *38947:A 0 +23 *38931:A *4670:22 0 +24 *38936:A *4670:37 0 +25 *38938:A *4670:37 0 +26 *298:11 *4670:22 0 +27 *300:7 *4670:22 0 +28 *312:16 *4670:51 0 +29 *1004:8 *4670:32 0 +30 *1004:8 *4670:37 0 +31 *1004:8 *4670:51 0 +32 *2484:51 *38947:A 0 +33 *2485:53 *4670:51 0 +34 *2486:49 *4670:51 0 +35 *2492:85 *4670:37 0 +36 *2497:41 *4670:22 0 +37 *2634:5 *4670:22 0 +38 *2640:23 *4670:22 0 +39 *2640:23 *4670:32 0 +40 *2664:11 *4670:51 0 +41 *2758:56 *4670:32 0 +42 *2902:40 *4670:22 0 +43 *4657:8 *4670:22 0 +44 *4658:49 *4670:32 0 +45 *4658:49 *4670:37 0 +46 *4665:30 *4670:22 0 +*RES +1 *37953:X *4670:22 49.6393 +2 *4670:22 *4670:32 46.0357 +3 *4670:32 *4670:34 4.5 +4 *4670:34 *4670:37 48.6071 +5 *4670:37 *4670:51 46.0379 +6 *4670:51 *38947:A 17.7554 +7 *4670:51 *7069:DIODE 14.0768 +*END + +*D_NET *4671 0.0162908 +*CONN +*I *5855:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37938:C I *D sky130_fd_sc_hd__and3b_1 +*I *38823:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *5855:DIODE 0.000267591 +2 *37938:C 0 +3 *38823:X 0.000380112 +4 *4671:46 0.0016411 +5 *4671:41 0.00365821 +6 *4671:30 0.00358107 +7 *4671:11 0.00383951 +8 *4671:9 0.00292325 +9 *4671:9 *4796:18 0 +10 *4671:41 *4791:25 0 +11 *4671:46 *39556:A 0 +12 *6774:DIODE *4671:30 0 +13 *6780:DIODE *4671:11 0 +14 *7858:DIODE *4671:46 0 +15 *37329:A *4671:9 0 +16 *37344:A *4671:11 0 +17 *37938:B *4671:46 0 +18 *38640:A *4671:11 0 +19 *38823:A *4671:9 0 +20 *38886:A *4671:11 0 +21 *39064:A *4671:11 0 +22 *39952:A *4671:46 0 +23 *40469:A *4671:9 0 +24 *40469:A *4671:11 0 +25 *295:24 *4671:41 0 +26 *557:7 *4671:30 0 +27 *813:8 *4671:30 0 +28 *819:8 *4671:11 0 +29 *1368:8 *5855:DIODE 0 +30 *2352:7 *4671:41 0 +31 *2360:51 *4671:30 0 +32 *2360:59 *4671:11 0 +33 *3160:26 *4671:11 0 +34 *3160:26 *4671:30 0 +35 *3204:21 *4671:41 0 +36 *3204:22 *4671:46 0 +37 *3378:5 *4671:9 0 +38 *3378:5 *4671:11 0 +39 *3378:5 *4671:30 0 +40 *3378:20 *4671:41 0 +41 *3380:10 *4671:11 0 +42 *3466:42 *4671:46 0 +43 *3466:44 *5855:DIODE 0 +44 *3609:11 *5855:DIODE 0 +45 *3914:12 *4671:41 0 +46 *3922:33 *4671:30 0 +47 *4184:48 *4671:46 0 +48 *4201:18 *4671:46 0 +49 *4401:42 *4671:46 0 +50 *4430:20 *4671:30 0 +51 *4526:18 *4671:46 0 +52 *4626:14 *4671:11 0 +53 *4649:13 *4671:30 0 +54 *4649:33 *4671:30 0 +*RES +1 *38823:X *4671:9 17.2643 +2 *4671:9 *4671:11 53.1071 +3 *4671:11 *4671:30 47.1113 +4 *4671:30 *4671:41 37.5845 +5 *4671:41 *4671:46 31.25 +6 *4671:46 *37938:C 13.8 +7 *4671:46 *5855:DIODE 19.7464 +*END + +*D_NET *4672 0.00948486 +*CONN +*I *38948:A I *D sky130_fd_sc_hd__buf_12 +*I *7070:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37955:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38948:A 0.000288739 +2 *7070:DIODE 0 +3 *37955:X 0.00172714 +4 *4672:30 0.00114973 +5 *4672:29 0.00272655 +6 *4672:17 0.0035927 +7 *38948:A *5049:18 0 +8 *4672:17 *5039:16 0 +9 la_data_in_core[36] *38948:A 0 +10 *37981:A *38948:A 0 +11 *317:7 *38948:A 0 +12 *317:7 *4672:30 0 +13 *1011:182 *38948:A 0 +14 *1011:182 *4672:30 0 +15 *1030:8 *4672:17 0 +16 *1030:8 *4672:29 0 +17 *2484:51 *38948:A 0 +18 *2517:10 *4672:30 0 +19 *2542:36 *4672:29 0 +20 *2570:49 *4672:17 0 +21 *2570:49 *4672:29 0 +22 *2637:53 *4672:17 0 +23 *2656:8 *4672:30 0 +24 *2731:27 *4672:17 0 +25 *2736:34 *4672:29 0 +26 *2890:42 *4672:17 0 +*RES +1 *37955:X *4672:17 49.4291 +2 *4672:17 *4672:29 37.4882 +3 *4672:29 *4672:30 19.5536 +4 *4672:30 *7070:DIODE 13.8 +5 *4672:30 *38948:A 20.3357 +*END + +*D_NET *4673 0.017277 +*CONN +*I *38949:A I *D sky130_fd_sc_hd__buf_12 +*I *7071:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37957:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38949:A 0.000159146 +2 *7071:DIODE 0 +3 *37957:X 0.00037882 +4 *4673:44 0.000933301 +5 *4673:37 0.00347297 +6 *4673:23 0.00572638 +7 *4673:13 0.00462758 +8 *4673:10 0.00197884 +9 *38949:A *39837:A 0 +10 *4673:23 *4675:14 0 +11 *4673:23 *4943:15 0 +12 *4673:37 *39203:A 0 +13 *4673:37 *4955:17 0 +14 *4673:44 *39204:A 0 +15 *4673:44 *4675:39 0 +16 *4673:44 *4955:17 0 +17 *4673:44 *4965:24 0 +18 la_data_in_core[37] *4673:44 0 +19 la_oenb_core[25] *4673:23 0 +20 la_oenb_core[36] *4673:44 0 +21 *6294:DIODE *4673:23 0 +22 *311:13 *4673:37 0 +23 *312:35 *4673:10 0 +24 *1004:20 *4673:37 0 +25 *1004:20 *4673:44 0 +26 *1007:112 *38949:A 0 +27 *2451:34 *4673:23 0 +28 *2451:34 *4673:37 0 +29 *2484:32 *4673:23 0 +30 *2484:46 *4673:37 0 +31 *2485:53 *4673:37 0 +32 *2486:44 *4673:23 0 +33 *2519:19 *4673:13 0 +34 *2575:15 *38949:A 0 +35 *2575:28 *4673:23 0 +36 *2664:11 *4673:23 0 +37 *2664:11 *4673:37 0 +38 *2667:19 *4673:13 0 +39 *2758:73 *4673:37 0 +40 *2889:42 *4673:10 0 +41 *4670:51 *4673:37 0 +*RES +1 *37957:X *4673:10 26.8536 +2 *4673:10 *4673:13 37.8929 +3 *4673:13 *4673:23 45.7975 +4 *4673:23 *4673:37 41.3709 +5 *4673:37 *4673:44 25.6964 +6 *4673:44 *7071:DIODE 9.3 +7 *4673:44 *38949:A 12.6214 +*END + +*D_NET *4674 0.00863499 +*CONN +*I *38950:A I *D sky130_fd_sc_hd__buf_12 +*I *7072:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37959:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38950:A 0.000187467 +2 *7072:DIODE 0 +3 *37959:X 0.00167874 +4 *4674:20 0.00124045 +5 *4674:16 0.00245129 +6 *4674:7 0.00307705 +7 *38950:A *5049:10 0 +8 *38950:A *5049:18 0 +9 *4674:20 *5049:10 0 +10 *37767:A *4674:20 0 +11 *318:7 *38950:A 0 +12 *318:7 *4674:20 0 +13 *344:29 *4674:7 0 +14 *2452:55 *38950:A 0 +15 *2482:19 *4674:7 0 +16 *2484:51 *38950:A 0 +17 *2519:8 *4674:16 0 +18 *2539:27 *4674:7 0 +19 *2607:19 *4674:20 0 +20 *2607:32 *4674:20 0 +21 *2644:17 *4674:16 0 +22 *2751:43 *4674:20 0 +23 *2877:45 *4674:16 0 +*RES +1 *37959:X *4674:7 48.8357 +2 *4674:7 *4674:16 43.0714 +3 *4674:16 *4674:20 27.6429 +4 *4674:20 *7072:DIODE 13.8 +5 *4674:20 *38950:A 17.8536 +*END + +*D_NET *4675 0.011895 +*CONN +*I *38951:A I *D sky130_fd_sc_hd__buf_12 +*I *7073:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37961:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38951:A 0.000141606 +2 *7073:DIODE 0 +3 *37961:X 0.00134971 +4 *4675:39 0.00243682 +5 *4675:26 0.0044562 +6 *4675:14 0.00351069 +7 *38951:A *4956:15 0 +8 *38951:A *4965:29 0 +9 *4675:14 *39810:A 0 +10 *4675:26 *4963:42 0 +11 *4675:26 *5049:32 0 +12 *4675:39 *39204:A 0 +13 *4675:39 *4955:17 0 +14 *4675:39 *4956:15 0 +15 *4675:39 *4965:29 0 +16 la_oenb_core[33] *4675:26 0 +17 la_oenb_core[37] *4675:39 0 +18 *39848:A *4675:14 0 +19 *312:16 *4675:14 0 +20 *345:31 *4675:14 0 +21 *1001:28 *4675:26 0 +22 *1004:20 *4675:39 0 +23 *2451:34 *4675:14 0 +24 *2452:47 *4675:26 0 +25 *2548:59 *4675:14 0 +26 *2601:28 *4675:14 0 +27 *2644:28 *4675:14 0 +28 *2664:11 *4675:26 0 +29 *2755:46 *4675:14 0 +30 *2758:73 *4675:26 0 +31 *4673:23 *4675:14 0 +32 *4673:44 *4675:39 0 +*RES +1 *37961:X *4675:14 48.3893 +2 *4675:14 *4675:26 34.4876 +3 *4675:26 *4675:39 48.0893 +4 *4675:39 *7073:DIODE 9.3 +5 *4675:39 *38951:A 12.3179 +*END + +*D_NET *4676 0.0112746 +*CONN +*I *39698:A I *D sky130_fd_sc_hd__buf_2 +*I *7655:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37889:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *39698:A 0.000159146 +2 *7655:DIODE 0 +3 *37889:X 0.00127702 +4 *4676:16 0.00110033 +5 *4676:11 0.00420111 +6 *4676:9 0.00453696 +7 *39698:A *4712:15 0 +8 *4676:11 *4688:9 0 +9 *4676:16 *4712:15 0 +10 *37889:A *4676:9 0 +11 *40048:A *4676:11 0 +12 *1360:19 *4676:9 0 +13 *1360:19 *4676:11 0 +14 *1368:12 *4676:16 0 +15 *2499:9 *4676:11 0 +16 *2901:14 *4676:11 0 +*RES +1 *37889:X *4676:9 35.9518 +2 *4676:9 *4676:11 68.0982 +3 *4676:11 *4676:16 30.375 +4 *4676:16 *7655:DIODE 9.3 +5 *4676:16 *39698:A 12.6214 +*END + +*D_NET *4677 0.0202429 +*CONN +*I *39674:A I *D sky130_fd_sc_hd__buf_4 +*I *7641:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37963:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *39674:A 4.23535e-05 +2 *7641:DIODE 0.000152586 +3 *37963:X 0 +4 *4677:29 0.00143186 +5 *4677:20 0.00332236 +6 *4677:15 0.0041753 +7 *4677:11 0.00417909 +8 *4677:5 0.00451428 +9 *4677:4 0.00242506 +10 *39674:A *5040:21 0 +11 *4677:5 *5063:16 0 +12 *4677:29 *5040:21 0 +13 *291:27 *4677:11 0 +14 *312:35 *7641:DIODE 0 +15 *333:42 *4677:29 0 +16 *338:76 *4677:20 0 +17 *340:82 *4677:15 0 +18 *341:29 *4677:20 0 +19 *1370:35 *4677:11 0 +20 *2484:17 *7641:DIODE 0 +21 *2488:22 *4677:20 0 +22 *2563:11 *4677:15 0 +23 *2563:11 *4677:20 0 +24 *2563:13 *4677:11 0 +25 *2563:13 *4677:15 0 +26 *2563:21 *4677:5 0 +27 *2569:14 *4677:20 0 +28 *2597:10 *4677:5 0 +29 *2597:10 *4677:11 0 +30 *2597:13 *4677:5 0 +31 *2667:32 *39674:A 0 +32 *2667:32 *4677:29 0 +33 *2687:11 *4677:29 0 +34 *2765:47 *7641:DIODE 0 +35 *2770:47 *4677:11 0 +36 *2770:49 *4677:11 0 +37 *2770:49 *4677:15 0 +38 *4658:7 *4677:15 0 +39 *4658:17 *4677:15 0 +40 *4658:17 *4677:20 0 +*RES +1 *37963:X *4677:4 9.3 +2 *4677:4 *4677:5 50.6429 +3 *4677:5 *4677:11 43.6964 +4 *4677:11 *4677:15 43.6786 +5 *4677:15 *4677:20 49.4196 +6 *4677:20 *4677:29 18.7669 +7 *4677:29 *7641:DIODE 21.7643 +8 *4677:29 *39674:A 10.2464 +*END + +*D_NET *4678 0.00680918 +*CONN +*I *38954:A I *D sky130_fd_sc_hd__buf_12 +*I *37965:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *38954:A 0 +2 *37965:X 0.00157688 +3 *4678:15 0.00182771 +4 *4678:10 0.00340459 +5 *4678:10 *39868:A 0 +6 *4678:15 *4961:12 0 +7 *4678:15 *4963:59 0 +8 *4678:15 *4965:31 0 +9 *4678:15 *4971:8 0 +10 la_data_in_core[40] *4678:15 0 +11 la_data_in_core[41] *4678:15 0 +12 la_oenb_core[40] *4678:15 0 +13 *38219:A *4678:10 0 +14 *38219:A *4678:15 0 +15 *1508:28 *4678:10 0 +16 *1950:61 *4678:10 0 +17 *1950:61 *4678:15 0 +18 *2222:28 *4678:10 0 +19 *2322:60 *4678:10 0 +20 *2699:18 *4678:10 0 +21 *2856:8 *4678:10 0 +22 *3575:49 *4678:10 0 +*RES +1 *37965:X *4678:10 48.0054 +2 *4678:10 *4678:15 45.6339 +3 *4678:15 *38954:A 9.3 +*END + +*D_NET *4679 0.00690397 +*CONN +*I *38955:A I *D sky130_fd_sc_hd__buf_12 +*I *37967:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *38955:A 0.000419257 +2 *37967:X 0.00123606 +3 *4679:14 0.00221592 +4 *4679:11 0.00303273 +5 *38955:A *4971:18 0 +6 *4679:11 *5117:33 0 +7 *4679:14 *4962:10 0 +8 *327:19 *4679:14 0 +9 *1013:73 *4679:14 0 +10 *1689:11 *4679:11 0 +11 *1953:65 *4679:11 0 +12 *2256:13 *4679:14 0 +13 *2451:44 *38955:A 0 +14 *2561:12 *4679:11 0 +15 *2576:19 *4679:14 0 +16 *2729:11 *4679:11 0 +17 *2846:8 *4679:14 0 +18 *3161:70 *4679:14 0 +19 *3798:22 *4679:14 0 +20 *3799:23 *38955:A 0 +*RES +1 *37967:X *4679:11 49.05 +2 *4679:11 *4679:14 45.3036 +3 *4679:14 *38955:A 18.05 +*END + +*D_NET *4680 0.00577869 +*CONN +*I *38956:A I *D sky130_fd_sc_hd__buf_12 +*I *37969:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *38956:A 0.000904137 +2 *37969:X 0.000597811 +3 *4680:17 0.00229154 +4 *4680:10 0.00198521 +5 *38956:A *4973:26 0 +6 *38956:A *5069:23 0 +7 *4680:17 *4681:13 0 +8 *6346:DIODE *38956:A 0 +9 *347:20 *4680:10 0 +10 *348:47 *4680:17 0 +11 *348:54 *4680:17 0 +12 *352:29 *38956:A 0 +13 *1016:171 *38956:A 0 +14 *1025:72 *38956:A 0 +15 *2344:37 *38956:A 0 +16 *2451:44 *38956:A 0 +17 *2539:22 *38956:A 0 +18 *2548:23 *4680:10 0 +19 *2607:18 *38956:A 0 +20 *2688:9 *4680:17 0 +21 *2734:10 *4680:10 0 +22 *2737:14 *4680:10 0 +23 *2737:26 *38956:A 0 +24 *3799:23 *38956:A 0 +*RES +1 *37969:X *4680:10 31.0321 +2 *4680:10 *4680:17 33.5179 +3 *4680:17 *38956:A 34.0321 +*END + +*D_NET *4681 0.00785074 +*CONN +*I *38957:A I *D sky130_fd_sc_hd__buf_12 +*I *7076:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37971:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38957:A 0.000141606 +2 *7076:DIODE 0 +3 *37971:X 0.000786054 +4 *4681:21 0.00161801 +5 *4681:13 0.00299771 +6 *4681:10 0.00230736 +7 *38957:A *39879:A 0 +8 *38957:A *4687:19 0 +9 *4681:10 *39206:A 0 +10 *4681:21 *39879:A 0 +11 *4681:21 *4687:19 0 +12 *4681:21 *4973:26 0 +13 *4681:21 *5041:9 0 +14 la_data_in_core[44] *38957:A 0 +15 *6346:DIODE *4681:21 0 +16 *325:15 *4681:21 0 +17 *348:47 *4681:13 0 +18 *348:54 *4681:10 0 +19 *348:54 *4681:13 0 +20 *350:22 *4681:21 0 +21 *1008:67 *4681:21 0 +22 *1016:171 *4681:21 0 +23 *1289:28 *4681:10 0 +24 *2688:9 *4681:10 0 +25 *2711:10 *4681:21 0 +26 *2751:46 *4681:10 0 +27 *3799:12 *4681:21 0 +28 *4680:17 *4681:13 0 +*RES +1 *37971:X *4681:10 34.7643 +2 *4681:10 *4681:13 36.25 +3 *4681:13 *4681:21 36.5179 +4 *4681:21 *7076:DIODE 9.3 +5 *4681:21 *38957:A 12.3179 +*END + +*D_NET *4682 0.0073281 +*CONN +*I *40200:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38824:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40200:A 0.00148633 +2 *38824:X 0.000984704 +3 *4682:22 0.00267935 +4 *4682:16 0.00217772 +5 *4682:16 *5162:17 0 +6 *5351:DIODE *4682:22 0 +7 *37329:A *4682:16 0 +8 *38405:A *4682:16 0 +9 *40201:A *40200:A 0 +10 *343:20 *4682:16 0 +11 *437:11 *4682:16 0 +12 *2362:24 *40200:A 0 +13 *3249:18 *4682:16 0 +14 *3465:5 *40200:A 0 +15 *3466:5 *40200:A 0 +16 *3466:5 *4682:22 0 +17 *3466:19 *40200:A 0 +18 *3877:20 *40200:A 0 +19 *3900:9 *40200:A 0 +20 *4434:29 *4682:16 0 +21 *4437:31 *40200:A 0 +*RES +1 *38824:X *4682:16 48.2643 +2 *4682:16 *4682:22 33.9821 +3 *4682:22 *40200:A 49.8179 +*END + +*D_NET *4683 0.0106357 +*CONN +*I *38958:A I *D sky130_fd_sc_hd__buf_12 +*I *7077:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37973:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38958:A 0.000121071 +2 *7077:DIODE 0 +3 *37973:X 0 +4 *4683:17 0.000634569 +5 *4683:10 0.00200273 +6 *4683:5 0.00468328 +7 *4683:4 0.00319405 +8 *4683:5 *4962:5 0 +9 *4683:5 *5110:15 0 +10 *4683:10 *4684:30 0 +11 *4683:10 *4974:14 0 +12 *4683:17 *4971:18 0 +13 *5620:DIODE *4683:10 0 +14 *325:15 *4683:10 0 +15 *326:13 *4683:10 0 +16 *327:19 *4683:10 0 +17 *2220:40 *4683:10 0 +18 *2264:12 *4683:5 0 +19 *2264:19 *4683:5 0 +20 *2268:20 *4683:5 0 +21 *2274:15 *4683:10 0 +22 *2276:11 *4683:10 0 +23 *2737:14 *4683:5 0 +24 *2851:22 *4683:10 0 +25 *2854:35 *4683:5 0 +26 *2863:16 *4683:5 0 +27 *2863:26 *4683:5 0 +28 *3161:69 *4683:10 0 +29 *3799:23 *38958:A 0 +30 *3799:23 *4683:17 0 +*RES +1 *37973:X *4683:4 9.3 +2 *4683:4 *4683:5 66.6607 +3 *4683:5 *4683:10 42.8214 +4 *4683:10 *4683:17 19.8393 +5 *4683:17 *7077:DIODE 9.3 +6 *4683:17 *38958:A 11.8893 +*END + +*D_NET *4684 0.0116014 +*CONN +*I *7078:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38959:A I *D sky130_fd_sc_hd__buf_12 +*I *37975:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7078:DIODE 0 +2 *38959:A 0.000169684 +3 *37975:X 0 +4 *4684:33 0.000687338 +5 *4684:30 0.00221448 +6 *4684:23 0.00262775 +7 *4684:5 0.00341652 +8 *4684:4 0.00248559 +9 *4684:5 *5135:17 0 +10 *4684:23 *5110:15 0 +11 *4684:23 *5118:12 0 +12 *4684:23 *5135:16 0 +13 *4684:30 *4685:13 0 +14 *4684:30 *4979:10 0 +15 la_data_in_core[44] *4684:30 0 +16 la_oenb_core[45] *38959:A 0 +17 *327:12 *38959:A 0 +18 *327:19 *4684:30 0 +19 *337:36 *4684:23 0 +20 *338:36 *4684:5 0 +21 *353:19 *4684:30 0 +22 *1953:64 *4684:5 0 +23 *2264:12 *4684:23 0 +24 *2264:19 *4684:23 0 +25 *2451:44 *4684:30 0 +26 *2576:9 *38959:A 0 +27 *2576:9 *4684:33 0 +28 *2580:23 *4684:23 0 +29 *2693:14 *4684:23 0 +30 *3798:29 *38959:A 0 +31 *3799:23 *4684:30 0 +32 *4683:10 *4684:30 0 +*RES +1 *37975:X *4684:4 9.3 +2 *4684:4 *4684:5 51.875 +3 *4684:5 *4684:23 42.5536 +4 *4684:23 *4684:30 47.0893 +5 *4684:30 *4684:33 15.3036 +6 *4684:33 *38959:A 21.925 +7 *4684:33 *7078:DIODE 9.3 +*END + +*D_NET *4685 0.00717006 +*CONN +*I *38960:A I *D sky130_fd_sc_hd__buf_12 +*I *37977:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *38960:A 0.00150043 +2 *37977:X 0.0020846 +3 *4685:13 0.00358503 +4 *38960:A *4974:32 0 +5 *38960:A *5154:8 0 +6 la_data_in_core[47] *38960:A 0 +7 la_oenb_core[46] *38960:A 0 +8 *38225:A *38960:A 0 +9 *327:19 *4685:13 0 +10 *348:32 *38960:A 0 +11 *353:19 *4685:13 0 +12 *1000:14 *4685:13 0 +13 *1019:8 *4685:13 0 +14 *1311:18 *4685:13 0 +15 *1511:30 *38960:A 0 +16 *1932:44 *4685:13 0 +17 *2220:40 *4685:13 0 +18 *2277:21 *4685:13 0 +19 *2298:11 *38960:A 0 +20 *2322:53 *4685:13 0 +21 *2576:9 *38960:A 0 +22 *2689:12 *38960:A 0 +23 *2728:16 *38960:A 0 +24 *2865:36 *4685:13 0 +25 *2934:24 *38960:A 0 +26 *3795:43 *38960:A 0 +27 *3798:29 *38960:A 0 +28 *4684:30 *4685:13 0 +*RES +1 *37977:X *4685:13 43.2168 +2 *4685:13 *38960:A 47.7018 +*END + +*D_NET *4686 0.0049042 +*CONN +*I *39673:A I *D sky130_fd_sc_hd__buf_2 +*I *37979:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39673:A 0.000851226 +2 *37979:X 0.00160088 +3 *4686:7 0.0024521 +4 *4686:7 *5058:32 0 +5 *2193:27 *39673:A 0 +6 *2552:38 *4686:7 0 +7 *2579:17 *39673:A 0 +8 *2579:17 *4686:7 0 +9 *2601:14 *39673:A 0 +10 *2693:94 *4686:7 0 +*RES +1 *37979:X *4686:7 42.7107 +2 *4686:7 *39673:A 36.4607 +*END + +*D_NET *4687 0.0131172 +*CONN +*I *7080:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38962:A I *D sky130_fd_sc_hd__buf_12 +*I *37981:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7080:DIODE 6.20329e-05 +2 *38962:A 7.23213e-05 +3 *37981:X 0.00191425 +4 *4687:27 0.000317458 +5 *4687:24 0.00231767 +6 *4687:19 0.0043269 +7 *4687:7 0.00410658 +8 *7080:DIODE *4979:15 0 +9 *4687:19 *7220:DIODE 0 +10 *4687:19 *39210:A 0 +11 *4687:19 *4979:13 0 +12 *4687:19 *5069:23 0 +13 *4687:24 *4971:35 0 +14 *4687:24 *4979:13 0 +15 *4687:24 *4979:15 0 +16 *4687:27 *4979:15 0 +17 la_data_in_core[44] *4687:19 0 +18 la_oenb_core[41] *4687:19 0 +19 *5620:DIODE *4687:19 0 +20 *7075:DIODE *4687:19 0 +21 *38957:A *4687:19 0 +22 *39756:A *4687:19 0 +23 *327:12 *4687:19 0 +24 *327:12 *4687:24 0 +25 *2575:14 *4687:19 0 +26 *2575:15 *4687:7 0 +27 *2711:10 *4687:19 0 +28 *2711:24 *4687:7 0 +29 *2851:33 *4687:24 0 +30 *2851:42 *4687:24 0 +31 *3798:22 *4687:19 0 +32 *3804:23 *4687:24 0 +33 *4681:21 *4687:19 0 +*RES +1 *37981:X *4687:7 49.2821 +2 *4687:7 *4687:19 45.9107 +3 *4687:19 *4687:24 49.1964 +4 *4687:24 *4687:27 8.32143 +5 *4687:27 *38962:A 19.9429 +6 *4687:27 *7080:DIODE 10.6571 +*END + +*D_NET *4688 0.0142118 +*CONN +*I *39697:A I *D sky130_fd_sc_hd__buf_2 +*I *7654:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37891:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39697:A 0.000157638 +2 *7654:DIODE 0 +3 *37891:X 0.000152586 +4 *4688:15 0.00133571 +5 *4688:9 0.00679568 +6 *4688:8 0.0057702 +7 *40048:A *4688:9 0 +8 *306:11 *4688:8 0 +9 *1009:16 *4688:15 0 +10 *1360:19 *4688:9 0 +11 *1368:12 *4688:15 0 +12 *2499:9 *4688:9 0 +13 *2767:14 *4688:15 0 +14 *2899:9 *39697:A 0 +15 *2899:9 *4688:15 0 +16 *4676:11 *4688:9 0 +*RES +1 *37891:X *4688:8 21.7643 +2 *4688:8 *4688:9 117.179 +3 *4688:9 *4688:15 35.6786 +4 *4688:15 *7654:DIODE 9.3 +5 *4688:15 *39697:A 12.6214 +*END + +*D_NET *4689 0.00864971 +*CONN +*I *38964:A I *D sky130_fd_sc_hd__buf_12 +*I *7082:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37983:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38964:A 0.000256762 +2 *7082:DIODE 0 +3 *37983:X 0 +4 *4689:8 0.000756896 +5 *4689:5 0.00406809 +6 *4689:4 0.00356796 +7 *38964:A *39216:A 0 +8 *38964:A *39218:A 0 +9 la_data_in_core[50] *38964:A 0 +10 *333:18 *38964:A 0 +11 *1011:227 *4689:8 0 +12 *1267:42 *4689:8 0 +13 *2539:17 *4689:5 0 +14 *2728:17 *4689:5 0 +15 *2734:19 *4689:5 0 +16 *2752:48 *4689:8 0 +17 *3324:51 *4689:5 0 +18 *3324:55 *4689:5 0 +19 *3668:28 *4689:8 0 +*RES +1 *37983:X *4689:4 9.3 +2 *4689:4 *4689:5 74.4643 +3 *4689:5 *4689:8 15.8571 +4 *4689:8 *7082:DIODE 13.8 +5 *4689:8 *38964:A 19.6929 +*END + +*D_NET *4690 0.00587527 +*CONN +*I *38965:A I *D sky130_fd_sc_hd__buf_12 +*I *37985:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *38965:A 0 +2 *37985:X 0.000994665 +3 *4690:19 0.00194297 +4 *4690:15 0.00293763 +5 *4690:15 *39718:A 0 +6 *4690:19 *4691:16 0 +7 *4690:19 *4968:16 0 +8 la_data_in_core[51] *4690:19 0 +9 *333:18 *4690:19 0 +10 *2277:30 *4690:15 0 +11 *2286:43 *4690:15 0 +12 *2286:52 *4690:15 0 +13 *2344:23 *4690:19 0 +14 *2532:14 *4690:15 0 +15 *2607:8 *4690:19 0 +16 *2846:17 *4690:15 0 +17 *3651:22 *4690:19 0 +18 *3668:20 *4690:19 0 +19 *3668:28 *4690:19 0 +20 *3799:25 *4690:19 0 +21 *3804:27 *4690:19 0 +22 *3899:23 *4690:15 0 +*RES +1 *37985:X *4690:15 34.7464 +2 *4690:15 *4690:19 47.9643 +3 *4690:19 *38965:A 9.3 +*END + +*D_NET *4691 0.00916733 +*CONN +*I *38966:A I *D sky130_fd_sc_hd__buf_12 +*I *7083:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37987:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38966:A 0.000236494 +2 *7083:DIODE 0.0001044 +3 *37987:X 0.00132444 +4 *4691:20 0.0015728 +5 *4691:16 0.00291833 +6 *4691:10 0.00301087 +7 *7083:DIODE *4976:45 0 +8 *7083:DIODE *4979:15 0 +9 *7083:DIODE *4986:24 0 +10 *38966:A *4980:8 0 +11 *38966:A *4994:14 0 +12 *4691:16 *4986:10 0 +13 *4691:16 *5133:15 0 +14 *4691:20 *4980:8 0 +15 la_data_in_core[52] *38966:A 0 +16 *39858:A *4691:10 0 +17 *336:23 *4691:20 0 +18 *348:32 *4691:10 0 +19 *350:14 *4691:10 0 +20 *352:18 *4691:20 0 +21 *1011:227 *4691:20 0 +22 *1511:18 *4691:20 0 +23 *2688:7 *4691:10 0 +24 *2734:19 *4691:20 0 +25 *2752:27 *4691:20 0 +26 *2845:26 *4691:10 0 +27 *3668:28 *4691:20 0 +28 *3804:27 *38966:A 0 +29 *4690:19 *4691:16 0 +*RES +1 *37987:X *4691:10 46.05 +2 *4691:10 *4691:16 44.4286 +3 *4691:16 *4691:20 31.2232 +4 *4691:20 *7083:DIODE 16.7196 +5 *4691:20 *38966:A 19.4161 +*END + +*D_NET *4692 0.00455533 +*CONN +*I *38967:A I *D sky130_fd_sc_hd__buf_12 +*I *37989:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *38967:A 0.00116829 +2 *37989:X 0.00110938 +3 *4692:11 0.00227767 +4 *4692:11 *4709:10 0 +5 *4692:11 *4711:16 0 +6 la_data_in_core[54] *38967:A 0 +7 *337:16 *38967:A 0 +8 *340:31 *38967:A 0 +9 *2256:24 *4692:11 0 +10 *2752:20 *38967:A 0 +11 *2843:52 *38967:A 0 +12 *2917:22 *4692:11 0 +*RES +1 *37989:X *4692:11 46.2107 +2 *4692:11 *38967:A 40.3357 +*END + +*D_NET *4693 0.0159192 +*CONN +*I *37886:C I *D sky130_fd_sc_hd__and3b_1 +*I *5764:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38825:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *37886:C 0.000212579 +2 *5764:DIODE 2.56688e-05 +3 *38825:X 0.000101855 +4 *4693:38 0.00244308 +5 *4693:37 0.00246879 +6 *4693:29 0.00172561 +7 *4693:9 0.00515069 +8 *4693:8 0.00379089 +9 *4693:9 *7187:DIODE 0 +10 *4693:9 *39069:A 0 +11 *4693:9 *39135:A 0 +12 *4693:9 *4842:17 0 +13 *4693:9 *4937:7 0 +14 *4693:29 *4891:51 0 +15 la_data_in_mprj[10] *4693:9 0 +16 la_data_in_mprj[3] *4693:9 0 +17 la_data_in_mprj[5] *4693:9 0 +18 la_data_in_mprj[8] *4693:9 0 +19 la_data_in_mprj[9] *4693:9 0 +20 *6598:DIODE *4693:29 0 +21 *6616:DIODE *4693:29 0 +22 *6726:DIODE *4693:29 0 +23 *6738:DIODE *4693:29 0 +24 *6740:DIODE *4693:29 0 +25 *6752:DIODE *4693:29 0 +26 *6849:DIODE *4693:38 0 +27 *37920:B *4693:38 0 +28 *38469:A *4693:29 0 +29 *38590:A *4693:9 0 +30 *38591:A *4693:29 0 +31 *39954:A *4693:38 0 +32 *41199:A *4693:38 0 +33 *41209:A *4693:38 0 +34 *399:11 *4693:29 0 +35 *438:5 *4693:8 0 +36 *566:7 *4693:8 0 +37 *599:8 *4693:9 0 +38 *643:8 *4693:9 0 +39 *1354:41 *4693:38 0 +40 *1365:10 *4693:38 0 +41 *1473:24 *4693:38 0 +42 *1664:18 *4693:38 0 +43 *1680:8 *4693:29 0 +44 *1781:13 *4693:38 0 +45 *3260:9 *4693:9 0 +46 *3505:10 *4693:9 0 +47 *3609:17 *5764:DIODE 0 +48 *3613:17 *37886:C 0 +49 *3617:23 *4693:38 0 +50 *3631:52 *4693:38 0 +51 *3883:47 *5764:DIODE 0 +52 *3883:47 *37886:C 0 +53 *4163:29 *4693:38 0 +54 *4201:18 *4693:38 0 +55 *4249:15 *4693:29 0 +56 *4419:20 *4693:37 0 +57 *4420:17 *4693:37 0 +58 *4429:33 *4693:38 0 +59 *4439:42 *4693:37 0 +60 *4439:45 *4693:38 0 +61 *4439:49 *4693:38 0 +62 *4451:72 *4693:29 0 +63 *4493:15 *4693:29 0 +64 *4515:11 *4693:9 0 +65 *4571:32 *4693:9 0 +66 *4593:20 *4693:37 0 +*RES +1 *38825:X *4693:8 20.55 +2 *4693:8 *4693:9 76.9286 +3 *4693:9 *4693:29 49.1071 +4 *4693:29 *4693:37 12.5086 +5 *4693:37 *4693:38 50.0625 +6 *4693:38 *5764:DIODE 14.3357 +7 *4693:38 *37886:C 18.3536 +*END + +*D_NET *4694 0.00530923 +*CONN +*I *38968:A I *D sky130_fd_sc_hd__buf_12 +*I *37991:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *38968:A 0.00100539 +2 *37991:X 0.00164922 +3 *4694:7 0.00265461 +4 la_data_in_core[54] *38968:A 0 +5 *337:16 *38968:A 0 +6 *340:31 *38968:A 0 +7 *1014:30 *4694:7 0 +8 *2746:32 *38968:A 0 +9 *2845:35 *4694:7 0 +10 *3798:29 *38968:A 0 +*RES +1 *37991:X *4694:7 48.2196 +2 *4694:7 *38968:A 36.4429 +*END + +*D_NET *4695 0.00683276 +*CONN +*I *38969:A I *D sky130_fd_sc_hd__buf_12 +*I *37993:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38969:A 0.00140323 +2 *37993:X 0.000684647 +3 *4695:14 0.00273173 +4 *4695:11 0.00201315 +5 *38969:A *39226:A 0 +6 *38969:A *4711:25 0 +7 *38969:A *4969:16 0 +8 *38969:A *4979:19 0 +9 *4695:11 *5129:10 0 +10 *4695:14 *39228:A 0 +11 *4695:14 *4699:8 0 +12 *4695:14 *4701:16 0 +13 *38247:A *38969:A 0 +14 *1522:27 *38969:A 0 +15 *2260:59 *4695:11 0 +16 *2276:41 *4695:11 0 +17 *2276:41 *4695:14 0 +18 *2305:44 *4695:11 0 +19 *2420:57 *4695:14 0 +20 *2931:22 *4695:14 0 +21 *3655:31 *4695:14 0 +22 *3798:48 *38969:A 0 +*RES +1 *37993:X *4695:11 38.1571 +2 *4695:11 *4695:14 34.6786 +3 *4695:14 *38969:A 38.5857 +*END + +*D_NET *4696 0.00282098 +*CONN +*I *38970:A I *D sky130_fd_sc_hd__buf_12 +*I *37995:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *38970:A 0 +2 *37995:X 0.00141049 +3 *4696:9 0.00141049 +4 *4696:9 *4969:16 0 +5 *4696:9 *4980:12 0 +6 *338:12 *4696:9 0 +7 *340:19 *4696:9 0 +8 *1267:28 *4696:9 0 +9 *2746:32 *4696:9 0 +10 *3693:32 *4696:9 0 +11 *3753:12 *4696:9 0 +*RES +1 *37995:X *4696:9 49.8893 +2 *4696:9 *38970:A 9.3 +*END + +*D_NET *4697 0.00488079 +*CONN +*I *38971:A I *D sky130_fd_sc_hd__buf_12 +*I *37997:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *38971:A 2.56688e-05 +2 *37997:X 0.000364069 +3 *4697:12 0.00207632 +4 *4697:11 0.00241472 +5 *38971:A *39227:A 0 +6 *4697:11 *5111:15 0 +7 *4697:12 *4711:24 0 +8 la_data_in_core[58] *4697:12 0 +9 la_oenb_core[57] *38971:A 0 +10 la_oenb_core[57] *4697:12 0 +11 *1010:95 *4697:12 0 +12 *1535:16 *4697:12 0 +13 *1559:26 *4697:11 0 +14 *2266:72 *4697:11 0 +15 *3324:58 *4697:12 0 +16 *3674:33 *4697:11 0 +17 *3808:40 *4697:11 0 +18 *4124:18 *4697:12 0 +*RES +1 *37997:X *4697:11 21.4607 +2 *4697:11 *4697:12 46.5714 +3 *4697:12 *38971:A 14.3357 +*END + +*D_NET *4698 0.00807092 +*CONN +*I *7084:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38972:A I *D sky130_fd_sc_hd__buf_12 +*I *37999:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7084:DIODE 0 +2 *38972:A 0.00018379 +3 *37999:X 2.56688e-05 +4 *4698:11 0.000796846 +5 *4698:8 0.003826 +6 *4698:7 0.00323862 +7 *38972:A *39228:A 0 +8 *38972:A *4699:8 0 +9 *38972:A *4711:25 0 +10 *4698:8 *4701:26 0 +11 *4698:8 *4990:20 0 +12 *4698:11 *4707:16 0 +13 *4698:11 *4711:25 0 +14 *344:7 *4698:8 0 +15 *1224:20 *4698:7 0 +16 *1516:30 *4698:8 0 +17 *2858:30 *4698:8 0 +18 *3162:26 *4698:8 0 +19 *3798:48 *38972:A 0 +20 *3798:48 *4698:11 0 +21 *3799:38 *4698:8 0 +22 *4024:30 *4698:8 0 +23 *4024:37 *4698:8 0 +*RES +1 *37999:X *4698:7 14.3357 +2 *4698:7 *4698:8 72.9821 +3 *4698:8 *4698:11 17.3571 +4 *4698:11 *38972:A 22.3179 +5 *4698:11 *7084:DIODE 9.3 +*END + +*D_NET *4699 0.00327027 +*CONN +*I *38973:A I *D sky130_fd_sc_hd__buf_12 +*I *38001:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *38973:A 0.000124066 +2 *38001:X 0.00151107 +3 *4699:8 0.00163514 +4 *38973:A *4709:25 0 +5 *4699:8 *39228:A 0 +6 *4699:8 *4701:16 0 +7 *4699:8 *5131:10 0 +8 la_data_in_core[59] *38973:A 0 +9 *38972:A *4699:8 0 +10 *1286:25 *4699:8 0 +11 *2260:59 *4699:8 0 +12 *2276:41 *4699:8 0 +13 *2293:22 *4699:8 0 +14 *2940:32 *4699:8 0 +15 *3804:47 *38973:A 0 +16 *4695:14 *4699:8 0 +*RES +1 *38001:X *4699:8 47.7107 +2 *4699:8 *38973:A 16.3893 +*END + +*D_NET *4700 0.0163945 +*CONN +*I *39696:A I *D sky130_fd_sc_hd__buf_2 +*I *7653:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37893:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39696:A 0.000101392 +2 *7653:DIODE 0 +3 *37893:X 0.00139501 +4 *4700:15 0.000252838 +5 *4700:11 0.00670084 +6 *4700:10 0.0079444 +7 *4700:10 *5067:8 0 +8 *4700:11 *39844:A 0 +9 *39699:A *4700:11 0 +10 *299:35 *4700:10 0 +11 *311:34 *39696:A 0 +12 *311:34 *4700:11 0 +13 *311:34 *4700:15 0 +14 *1153:14 *4700:10 0 +15 *1479:10 *4700:10 0 +16 *2511:5 *39696:A 0 +17 *2511:5 *4700:11 0 +18 *2511:5 *4700:15 0 +19 *2672:5 *4700:11 0 +20 *2952:21 *4700:10 0 +21 *4664:33 *4700:11 0 +*RES +1 *37893:X *4700:10 49.925 +2 *4700:10 *4700:11 136.688 +3 *4700:11 *4700:15 3.22321 +4 *4700:15 *7653:DIODE 9.3 +5 *4700:15 *39696:A 11.4786 +*END + +*D_NET *4701 0.00607782 +*CONN +*I *38975:A I *D sky130_fd_sc_hd__buf_12 +*I *38003:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *38975:A 0.000183104 +2 *38003:X 0.0011935 +3 *4701:26 0.00184541 +4 *4701:16 0.0028558 +5 *4701:16 *5146:8 0 +6 *4701:26 *4707:16 0 +7 *4701:26 *4990:20 0 +8 la_data_in_core[60] *38975:A 0 +9 la_data_in_core[60] *4701:26 0 +10 *37775:A *4701:16 0 +11 *38001:A *4701:16 0 +12 *344:7 *4701:26 0 +13 *1004:20 *38975:A 0 +14 *1009:104 *4701:16 0 +15 *1286:25 *4701:16 0 +16 *1516:30 *4701:26 0 +17 *2256:27 *4701:16 0 +18 *2276:41 *4701:16 0 +19 *2278:48 *4701:16 0 +20 *2293:22 *4701:16 0 +21 *2746:16 *4701:26 0 +22 *2843:63 *4701:26 0 +23 *3655:35 *4701:26 0 +24 *4695:14 *4701:16 0 +25 *4698:8 *4701:26 0 +26 *4699:8 *4701:16 0 +*RES +1 *38003:X *4701:16 47.925 +2 *4701:16 *4701:26 46.2321 +3 *4701:26 *38975:A 17.6214 +*END + +*D_NET *4702 0.00678085 +*CONN +*I *38976:A I *D sky130_fd_sc_hd__buf_12 +*I *38005:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38976:A 0 +2 *38005:X 0.00148521 +3 *4702:21 0.00176147 +4 *4702:17 0.00190522 +5 *4702:12 0.00162895 +6 *4702:12 *4703:26 0 +7 *4702:21 *38977:A 0 +8 *4702:21 *39232:A 0 +9 *4702:21 *4703:26 0 +10 *4702:21 *4709:39 0 +11 *4702:21 *4710:13 0 +12 *4702:21 *5112:11 0 +13 *346:9 *4702:21 0 +14 *356:19 *4702:21 0 +15 *1004:20 *4702:21 0 +16 *1537:24 *4702:12 0 +17 *1537:24 *4702:21 0 +18 *2286:54 *4702:17 0 +19 *2286:63 *4702:17 0 +20 *3191:28 *4702:12 0 +21 *3674:58 *4702:12 0 +22 *3679:41 *4702:17 0 +23 *3822:21 *4702:12 0 +*RES +1 *38005:X *4702:12 47.5143 +2 *4702:12 *4702:17 12 +3 *4702:17 *4702:21 44.4286 +4 *4702:21 *38976:A 9.3 +*END + +*D_NET *4703 0.00650843 +*CONN +*I *38977:A I *D sky130_fd_sc_hd__buf_12 +*I *38007:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *38977:A 0.000577547 +2 *38007:X 0.000714261 +3 *4703:26 0.00253995 +4 *4703:19 0.00267667 +5 *1537:24 *38977:A 0 +6 *1537:24 *4703:26 0 +7 *2273:51 *4703:26 0 +8 *3720:35 *4703:19 0 +9 *3723:61 *4703:19 0 +10 *3741:65 *4703:19 0 +11 *3817:83 *4703:19 0 +12 *4098:58 *4703:19 0 +13 *4098:58 *4703:26 0 +14 *4702:12 *4703:26 0 +15 *4702:21 *38977:A 0 +16 *4702:21 *4703:26 0 +*RES +1 *38007:X *4703:19 38.925 +2 *4703:19 *4703:26 44.6696 +3 *4703:26 *38977:A 26.9518 +*END + +*D_NET *4704 0.00419815 +*CONN +*I *37942:C I *D sky130_fd_sc_hd__and3b_2 +*I *38826:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *37942:C 0.00050375 +2 *38826:X 0.00159532 +3 *4704:8 0.00209907 +4 *4704:8 *41397:A 0 +5 *4704:8 *4715:18 0 +6 *6642:DIODE *4704:8 0 +7 *6963:DIODE *4704:8 0 +8 *1785:14 *4704:8 0 +9 *3383:29 *37942:C 0 +10 *3897:60 *4704:8 0 +11 *4159:51 *37942:C 0 +12 *4172:24 *37942:C 0 +13 *4401:23 *37942:C 0 +14 *4430:96 *4704:8 0 +15 *4441:10 *4704:8 0 +*RES +1 *38826:X *4704:8 49.9786 +2 *4704:8 *37942:C 24.3759 +*END + +*D_NET *4705 0.00840447 +*CONN +*I *38978:A I *D sky130_fd_sc_hd__buf_12 +*I *7086:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38009:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38978:A 0.000121071 +2 *7086:DIODE 8.04288e-05 +3 *38009:X 0.00134897 +4 *4705:31 0.0010529 +5 *4705:24 0.00265176 +6 *4705:14 0.00314933 +7 *7086:DIODE *4709:39 0 +8 *38978:A *4990:21 0 +9 *4705:31 *4709:39 0 +10 *4705:31 *4990:21 0 +11 la_data_in_core[62] *4705:31 0 +12 la_oenb_core[61] *4705:31 0 +13 *346:9 *4705:31 0 +14 *355:21 *4705:24 0 +15 *356:19 *4705:24 0 +16 *1014:49 *4705:24 0 +17 *1525:20 *4705:24 0 +18 *1537:36 *38978:A 0 +19 *1820:18 *4705:14 0 +20 *2264:57 *4705:14 0 +21 *2317:55 *4705:24 0 +22 *2925:37 *4705:14 0 +23 *2945:23 *4705:24 0 +24 *2948:16 *4705:14 0 +25 *3795:60 *4705:24 0 +26 *3795:65 *4705:14 0 +27 *3804:63 *38978:A 0 +28 *3804:63 *4705:31 0 +29 *3823:66 *4705:14 0 +*RES +1 *38009:X *4705:14 48.4429 +2 *4705:14 *4705:24 45.0089 +3 *4705:24 *4705:31 23.2946 +4 *4705:31 *7086:DIODE 10.9786 +5 *4705:31 *38978:A 11.8893 +*END + +*D_NET *4706 0.00443683 +*CONN +*I *38979:A I *D sky130_fd_sc_hd__buf_12 +*I *38011:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *38979:A 0.000874226 +2 *38011:X 0.00134419 +3 *4706:7 0.00221841 +4 la_data_in_core[64] *38979:A 0 +5 la_oenb_core[63] *38979:A 0 +6 *38261:A *38979:A 0 +7 *348:7 *38979:A 0 +8 *350:13 *38979:A 0 +9 *351:11 *38979:A 0 +10 *351:14 *4706:7 0 +11 *352:17 *38979:A 0 +12 *1014:55 *4706:7 0 +13 *1515:6 *38979:A 0 +14 *2934:6 *38979:A 0 +15 *3676:11 *4706:7 0 +16 *3706:11 *4706:7 0 +*RES +1 *38011:X *4706:7 41.8536 +2 *4706:7 *38979:A 33.6571 +*END + +*D_NET *4707 0.0145149 +*CONN +*I *7087:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38980:A I *D sky130_fd_sc_hd__buf_12 +*I *38013:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7087:DIODE 0 +2 *38980:A 0.00016428 +3 *38013:X 2.56688e-05 +4 *4707:31 0.000278994 +5 *4707:25 0.00235218 +6 *4707:16 0.00383261 +7 *4707:8 0.00471531 +8 *4707:7 0.00314583 +9 *38980:A *39234:A 0 +10 *38980:A *4989:21 0 +11 *38980:A *4994:21 0 +12 *4707:25 *39232:A 0 +13 *4707:25 *4710:13 0 +14 *4707:25 *4710:15 0 +15 *4707:31 *4989:21 0 +16 la_data_in_core[60] *4707:25 0 +17 la_data_in_core[61] *4707:25 0 +18 la_data_in_core[65] *38980:A 0 +19 *340:19 *4707:8 0 +20 *344:7 *4707:16 0 +21 *347:19 *4707:8 0 +22 *1004:20 *4707:25 0 +23 *1535:16 *4707:8 0 +24 *1537:36 *38980:A 0 +25 *1537:36 *4707:31 0 +26 *1560:26 *4707:8 0 +27 *2279:49 *4707:8 0 +28 *2282:41 *4707:8 0 +29 *2295:43 *4707:8 0 +30 *2576:9 *4707:25 0 +31 *3166:44 *4707:8 0 +32 *3197:55 *4707:8 0 +33 *3690:16 *4707:8 0 +34 *3798:48 *4707:16 0 +35 *3817:52 *4707:7 0 +36 *4698:11 *4707:16 0 +37 *4701:26 *4707:16 0 +*RES +1 *38013:X *4707:7 14.3357 +2 *4707:7 *4707:8 70.8571 +3 *4707:8 *4707:16 47.0714 +4 *4707:16 *4707:25 46.8214 +5 *4707:25 *4707:31 11.5893 +6 *4707:31 *38980:A 12.7286 +7 *4707:31 *7087:DIODE 9.3 +*END + +*D_NET *4708 0.00696756 +*CONN +*I *38981:A I *D sky130_fd_sc_hd__buf_12 +*I *38015:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *38981:A 6.50276e-05 +2 *38015:X 0.000887471 +3 *4708:20 0.00259631 +4 *4708:19 0.00341875 +5 *38981:A *4989:21 0 +6 *38981:A *4994:21 0 +7 la_data_in_core[66] *38981:A 0 +8 *350:10 *4708:20 0 +9 *1693:20 *4708:19 0 +10 *2300:38 *4708:20 0 +11 *2315:55 *4708:20 0 +12 *2928:83 *4708:19 0 +13 *3665:27 *4708:19 0 +14 *3804:74 *4708:20 0 +15 *3804:79 *4708:20 0 +16 *4103:47 *4708:19 0 +17 *4314:24 *4708:20 0 +*RES +1 *38015:X *4708:19 45.2167 +2 *4708:19 *4708:20 57.5 +3 *4708:20 *38981:A 15.1571 +*END + +*D_NET *4709 0.0173804 +*CONN +*I *38982:A I *D sky130_fd_sc_hd__buf_12 +*I *7088:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38017:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38982:A 0.000161285 +2 *7088:DIODE 0 +3 *38017:X 6.58832e-05 +4 *4709:41 0.00217158 +5 *4709:39 0.00350248 +6 *4709:25 0.0030522 +7 *4709:22 0.00217234 +8 *4709:10 0.00340052 +9 *4709:9 0.00285409 +10 *4709:10 *4711:16 0 +11 *4709:10 *5121:22 0 +12 *4709:10 *5130:14 0 +13 *4709:22 *39225:A 0 +14 *4709:22 *4711:24 0 +15 *4709:39 *39231:A 0 +16 *4709:39 *4710:13 0 +17 *4709:41 *39233:A 0 +18 *4709:41 *39235:A 0 +19 *4709:41 *4710:13 0 +20 *4709:41 *4710:15 0 +21 la_data_in_core[58] *4709:25 0 +22 la_data_in_core[59] *4709:25 0 +23 la_data_in_core[63] *4709:39 0 +24 la_data_in_core[64] *4709:41 0 +25 la_data_in_core[67] *38982:A 0 +26 la_data_in_core[67] *4709:41 0 +27 la_oenb_core[56] *4709:25 0 +28 la_oenb_core[60] *4709:39 0 +29 la_oenb_core[62] *4709:39 0 +30 la_oenb_core[64] *4709:41 0 +31 la_oenb_core[65] *4709:41 0 +32 *7086:DIODE *4709:39 0 +33 *8436:DIODE *4709:9 0 +34 *37989:A *4709:10 0 +35 *38261:A *4709:41 0 +36 *38973:A *4709:25 0 +37 *339:7 *4709:10 0 +38 *340:19 *4709:22 0 +39 *347:19 *4709:22 0 +40 *1004:20 *38982:A 0 +41 *1004:20 *4709:39 0 +42 *1004:20 *4709:41 0 +43 *1016:180 *4709:22 0 +44 *1024:106 *4709:22 0 +45 *1310:22 *4709:10 0 +46 *1515:14 *4709:10 0 +47 *1535:30 *4709:25 0 +48 *2281:52 *4709:10 0 +49 *2298:28 *4709:10 0 +50 *2412:44 *4709:10 0 +51 *2737:37 *4709:10 0 +52 *2870:44 *4709:10 0 +53 *2917:22 *4709:10 0 +54 *3054:46 *4709:10 0 +55 *3691:37 *4709:10 0 +56 *3798:42 *4709:22 0 +57 *3799:37 *4709:25 0 +58 *3804:47 *4709:25 0 +59 *3804:47 *4709:39 0 +60 *3804:63 *4709:39 0 +61 *4369:22 *4709:10 0 +62 *4692:11 *4709:10 0 +63 *4702:21 *4709:39 0 +64 *4705:31 *4709:39 0 +*RES +1 *38017:X *4709:9 15.175 +2 *4709:9 *4709:10 63.2679 +3 *4709:10 *4709:22 26.7679 +4 *4709:22 *4709:25 32.5893 +5 *4709:25 *4709:39 40.6071 +6 *4709:39 *4709:41 42.0179 +7 *4709:41 *7088:DIODE 9.3 +8 *4709:41 *38982:A 12.7286 +*END + +*D_NET *4710 0.0130023 +*CONN +*I *38983:A I *D sky130_fd_sc_hd__buf_12 +*I *7089:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38019:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38983:A 0.000175831 +2 *7089:DIODE 0 +3 *38019:X 2.56688e-05 +4 *4710:15 0.00232687 +5 *4710:13 0.00343405 +6 *4710:8 0.00414863 +7 *4710:7 0.00289129 +8 *38983:A *4714:17 0 +9 *38983:A *4989:20 0 +10 *4710:7 *4746:15 0 +11 *4710:13 *39233:A 0 +12 *4710:15 *4714:17 0 +13 la_data_in_core[61] *4710:13 0 +14 la_data_in_core[64] *4710:15 0 +15 la_data_in_core[67] *4710:15 0 +16 la_data_in_core[68] *38983:A 0 +17 la_data_in_core[68] *4710:15 0 +18 la_oenb_core[62] *4710:13 0 +19 la_oenb_core[64] *4710:15 0 +20 la_oenb_core[65] *4710:15 0 +21 *38261:A *4710:13 0 +22 *345:7 *4710:8 0 +23 *1004:20 *4710:13 0 +24 *1004:20 *4710:15 0 +25 *1525:20 *4710:8 0 +26 *1537:43 *4710:15 0 +27 *1820:18 *4710:8 0 +28 *2277:37 *4710:8 0 +29 *2940:20 *4710:8 0 +30 *4024:40 *4710:8 0 +31 *4702:21 *4710:13 0 +32 *4707:25 *4710:13 0 +33 *4707:25 *4710:15 0 +34 *4709:39 *4710:13 0 +35 *4709:41 *4710:13 0 +36 *4709:41 *4710:15 0 +*RES +1 *38019:X *4710:7 14.3357 +2 *4710:7 *4710:8 65.0893 +3 *4710:8 *4710:13 31.3393 +4 *4710:13 *4710:15 44.8929 +5 *4710:15 *7089:DIODE 9.3 +6 *4710:15 *38983:A 13.0321 +*END + +*D_NET *4711 0.0186885 +*CONN +*I *38984:A I *D sky130_fd_sc_hd__buf_12 +*I *7090:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38021:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *38984:A 0.000188452 +2 *7090:DIODE 0 +3 *38021:X 0.000544305 +4 *4711:33 0.00149777 +5 *4711:25 0.00531023 +6 *4711:24 0.00474911 +7 *4711:16 0.00330127 +8 *4711:14 0.00309738 +9 *38984:A *4996:10 0 +10 *4711:16 *39225:A 0 +11 *4711:16 *5121:22 0 +12 *4711:24 *4969:10 0 +13 *4711:25 *39229:A 0 +14 *4711:25 *4984:10 0 +15 *4711:25 *4994:15 0 +16 *4711:25 *5112:11 0 +17 *4711:33 *39237:A 0 +18 la_data_in_core[69] *38984:A 0 +19 la_oenb_core[66] *4711:33 0 +20 *6379:DIODE *4711:25 0 +21 *6400:DIODE *4711:33 0 +22 *38257:A *4711:25 0 +23 *38257:A *4711:33 0 +24 *38969:A *4711:25 0 +25 *38972:A *4711:25 0 +26 *40093:A *4711:16 0 +27 *339:7 *4711:16 0 +28 *1010:95 *4711:24 0 +29 *1016:180 *4711:24 0 +30 *1024:106 *4711:24 0 +31 *1527:19 *38984:A 0 +32 *1527:19 *4711:25 0 +33 *1527:19 *4711:33 0 +34 *1537:43 *4711:33 0 +35 *1559:18 *4711:16 0 +36 *2253:49 *4711:16 0 +37 *2281:55 *4711:16 0 +38 *2939:60 *4711:16 0 +39 *3197:56 *4711:14 0 +40 *3197:56 *4711:16 0 +41 *3691:37 *4711:16 0 +42 *3798:48 *4711:25 0 +43 *3804:71 *4711:25 0 +44 *3826:34 *4711:14 0 +45 *4692:11 *4711:16 0 +46 *4697:12 *4711:24 0 +47 *4698:11 *4711:25 0 +48 *4709:10 *4711:16 0 +49 *4709:22 *4711:24 0 +*RES +1 *38021:X *4711:14 26.1482 +2 *4711:14 *4711:16 57.9554 +3 *4711:16 *4711:24 29.3214 +4 *4711:24 *4711:25 83.5 +5 *4711:25 *4711:33 27.4821 +6 *4711:33 *7090:DIODE 9.3 +7 *4711:33 *38984:A 13.6036 +*END + +*D_NET *4712 0.0161507 +*CONN +*I *7652:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39695:A I *D sky130_fd_sc_hd__buf_2 +*I *37895:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7652:DIODE 0 +2 *39695:A 0.000144601 +3 *37895:X 0.00116878 +4 *4712:15 0.00178391 +5 *4712:9 0.00676195 +6 *4712:8 0.00629141 +7 *4712:9 *5047:9 0 +8 *37735:A *4712:9 0 +9 *39698:A *4712:15 0 +10 *39702:A *4712:9 0 +11 *293:27 *4712:8 0 +12 *332:34 *4712:9 0 +13 *354:14 *4712:9 0 +14 *1368:12 *4712:15 0 +15 *2510:5 *39695:A 0 +16 *2510:5 *4712:15 0 +17 *2787:9 *4712:9 0 +18 *2913:10 *4712:8 0 +19 *4676:16 *4712:15 0 +*RES +1 *37895:X *4712:8 44.8357 +2 *4712:8 *4712:9 106.911 +3 *4712:9 *4712:15 43.6786 +4 *4712:15 *39695:A 12.3179 +5 *4712:15 *7652:DIODE 9.3 +*END + +*D_NET *4713 0.00682675 +*CONN +*I *38986:A I *D sky130_fd_sc_hd__buf_12 +*I *38023:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *38986:A 8.4707e-05 +2 *38023:X 0.000329578 +3 *4713:14 0.0030838 +4 *4713:13 0.00332867 +5 *38986:A *4719:11 0 +6 *4713:14 *4989:14 0 +7 *4713:14 *5130:8 0 +8 *355:16 *4713:14 0 +9 *3081:68 *4713:14 0 +10 *3181:28 *4713:14 0 +11 *3667:19 *4713:13 0 +12 *3750:27 *4713:13 0 +13 *3756:10 *4713:13 0 +14 *4377:105 *4713:13 0 +*RES +1 *38023:X *4713:13 29.7375 +2 *4713:13 *4713:14 68.125 +3 *4713:14 *38986:A 15.5679 +*END + +*D_NET *4714 0.0102998 +*CONN +*I *38987:A I *D sky130_fd_sc_hd__buf_12 +*I *7092:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38025:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38987:A 0.000190377 +2 *7092:DIODE 0 +3 *38025:X 0.000674721 +4 *4714:17 0.00181008 +5 *4714:14 0.00428479 +6 *4714:13 0.00333981 +7 *4714:14 *39239:A 0 +8 *4714:17 *4989:20 0 +9 la_data_in_core[71] *38987:A 0 +10 la_oenb_core[67] *4714:14 0 +11 *38025:A *4714:13 0 +12 *38983:A *4714:17 0 +13 *351:7 *4714:14 0 +14 *353:13 *4714:14 0 +15 *356:13 *4714:14 0 +16 *1004:20 *38987:A 0 +17 *1004:20 *4714:17 0 +18 *1271:46 *4714:13 0 +19 *1324:47 *4714:13 0 +20 *1537:43 *4714:14 0 +21 *3181:29 *4714:13 0 +22 *3672:27 *4714:13 0 +23 *3693:36 *4714:14 0 +24 *3796:22 *4714:14 0 +25 *3796:29 *4714:14 0 +26 *3946:34 *4714:14 0 +27 *4100:54 *4714:13 0 +28 *4710:15 *4714:17 0 +*RES +1 *38025:X *4714:13 37.0143 +2 *4714:13 *4714:14 60.5357 +3 *4714:14 *4714:17 38.3036 +4 *4714:17 *7092:DIODE 9.3 +5 *4714:17 *38987:A 13.3357 +*END + +*D_NET *4715 0.00475285 +*CONN +*I *37944:C I *D sky130_fd_sc_hd__and3b_1 +*I *38827:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *37944:C 0 +2 *38827:X 0.000458616 +3 *4715:18 0.00191781 +4 *4715:7 0.00237643 +5 *5864:DIODE *4715:18 0 +6 *39520:A *4715:18 0 +7 *40470:A *4715:18 0 +8 *271:51 *4715:18 0 +9 *387:55 *4715:18 0 +10 *3315:28 *4715:18 0 +11 *3367:21 *4715:7 0 +12 *3437:66 *4715:18 0 +13 *3897:60 *4715:18 0 +14 *4161:16 *4715:18 0 +15 *4440:8 *4715:7 0 +16 *4441:9 *4715:7 0 +17 *4704:8 *4715:18 0 +*RES +1 *38827:X *4715:7 23.3714 +2 *4715:7 *4715:18 48.4464 +3 *4715:18 *37944:C 9.3 +*END + +*D_NET *4716 0.00584891 +*CONN +*I *38988:A I *D sky130_fd_sc_hd__buf_12 +*I *38027:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *38988:A 4.53482e-05 +2 *38027:X 0 +3 *4716:6 0.00292445 +4 *4716:5 0.00287911 +5 *38988:A *39242:A 0 +6 la_data_in_core[72] *38988:A 0 +7 *357:7 *4716:6 0 +8 *1516:22 *4716:6 0 +9 *2365:71 *4716:6 0 +10 *3354:30 *4716:6 0 +11 *3691:49 *4716:6 0 +12 *3775:66 *4716:6 0 +13 *3818:15 *4716:6 0 +*RES +1 *38027:X *4716:5 13.8 +2 *4716:5 *4716:6 65.3929 +3 *4716:6 *38988:A 14.7464 +*END + +*D_NET *4717 0.00575285 +*CONN +*I *38989:A I *D sky130_fd_sc_hd__buf_12 +*I *38029:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *38989:A 0.000104386 +2 *38029:X 0 +3 *4717:6 0.00287643 +4 *4717:5 0.00277204 +5 *38989:A *4998:15 0 +6 la_oenb_core[73] *4717:6 0 +7 *5672:DIODE *4717:6 0 +8 *359:7 *4717:6 0 +9 *1310:12 *4717:6 0 +10 *1539:19 *38989:A 0 +11 *2429:34 *4717:6 0 +12 *2720:8 *4717:6 0 +13 *2837:30 *4717:6 0 +14 *2981:62 *4717:6 0 +15 *3029:46 *4717:6 0 +16 *3073:74 *4717:6 0 +17 *3674:93 *4717:6 0 +18 *3687:55 *4717:6 0 +19 *3730:95 *4717:6 0 +20 *3752:16 *4717:6 0 +21 *4218:52 *4717:6 0 +22 *4613:10 *4717:6 0 +*RES +1 *38029:X *4717:5 13.8 +2 *4717:5 *4717:6 62.9643 +3 *4717:6 *38989:A 15.9786 +*END + +*D_NET *4718 0.0126192 +*CONN +*I *38990:A I *D sky130_fd_sc_hd__buf_12 +*I *7093:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38031:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38990:A 0.000157649 +2 *7093:DIODE 0 +3 *38031:X 0 +4 *4718:23 0.00178419 +5 *4718:21 0.00266164 +6 *4718:14 0.00192661 +7 *4718:6 0.0034903 +8 *4718:5 0.00259879 +9 *4718:14 *4721:23 0 +10 *4718:21 *39250:A 0 +11 *4718:21 *4722:29 0 +12 *4718:23 *38994:A 0 +13 *4718:23 *39248:A 0 +14 la_data_in_core[75] *38990:A 0 +15 la_data_in_core[75] *4718:23 0 +16 la_data_in_core[78] *4718:23 0 +17 la_data_in_core[83] *4718:6 0 +18 la_oenb_core[76] *4718:23 0 +19 la_oenb_core[78] *4718:21 0 +20 la_oenb_core[78] *4718:23 0 +21 la_oenb_core[79] *4718:21 0 +22 *1004:20 *4718:21 0 +23 *1004:20 *4718:23 0 +24 *2464:8 *4718:6 0 +25 *2480:15 *4718:6 0 +26 *2814:26 *4718:6 0 +27 *3713:51 *4718:6 0 +28 *3967:6 *4718:6 0 +29 *4257:36 *4718:6 0 +*RES +1 *38031:X *4718:5 13.8 +2 *4718:5 *4718:6 59.0179 +3 *4718:6 *4718:14 32.2143 +4 *4718:14 *4718:21 21.8482 +5 *4718:21 *4718:23 34.0089 +6 *4718:23 *7093:DIODE 9.3 +7 *4718:23 *38990:A 12.6214 +*END + +*D_NET *4719 0.0121234 +*CONN +*I *38991:A I *D sky130_fd_sc_hd__buf_12 +*I *7094:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38033:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38991:A 8.29787e-05 +2 *7094:DIODE 0.000151513 +3 *38033:X 2.56688e-05 +4 *4719:13 0.000234492 +5 *4719:11 0.00321673 +6 *4719:10 0.00321673 +7 *4719:8 0.00258483 +8 *4719:7 0.00261049 +9 *4719:11 *39242:A 0 +10 *4719:11 *39243:A 0 +11 *4719:11 *39246:A 0 +12 *4719:11 *4998:15 0 +13 *4719:11 *5002:53 0 +14 la_data_in_core[69] *4719:8 0 +15 la_data_in_core[72] *4719:11 0 +16 la_data_in_core[76] *7094:DIODE 0 +17 la_data_in_core[76] *38991:A 0 +18 la_oenb_core[70] *4719:11 0 +19 la_oenb_core[75] *7094:DIODE 0 +20 la_oenb_core[75] *38991:A 0 +21 *38986:A *4719:11 0 +22 *353:10 *4719:8 0 +23 *1527:8 *4719:8 0 +24 *1538:23 *7094:DIODE 0 +25 *2421:63 *4719:8 0 +26 *2450:29 *7094:DIODE 0 +27 *2946:12 *4719:8 0 +28 *3112:82 *4719:7 0 +29 *3651:26 *4719:8 0 +30 *3733:72 *4719:8 0 +31 *3757:22 *4719:8 0 +32 *3820:61 *4719:8 0 +33 *3822:58 *4719:8 0 +34 *4074:24 *4719:8 0 +35 *4331:6 *4719:8 0 +*RES +1 *38033:X *4719:7 14.3357 +2 *4719:7 *4719:8 58.7143 +3 *4719:8 *4719:10 4.5 +4 *4719:10 *4719:11 67.0714 +5 *4719:11 *4719:13 4.5 +6 *4719:13 *7094:DIODE 17.1929 +7 *4719:13 *38991:A 15.7464 +*END + +*D_NET *4720 0.0186098 +*CONN +*I *7095:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38992:A I *D sky130_fd_sc_hd__buf_12 +*I *38035:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *7095:DIODE 0 +2 *38992:A 0.000124066 +3 *38035:X 0.000979116 +4 *4720:36 0.00650265 +5 *4720:24 0.00820171 +6 *4720:17 0.00280225 +7 *38992:A *5003:16 0 +8 *4720:24 *39262:A 0 +9 *4720:36 *4721:23 0 +10 *4720:36 *5010:40 0 +11 *4720:36 *5013:28 0 +12 la_data_in_core[90] *4720:24 0 +13 *39003:A *4720:36 0 +14 *372:13 *4720:24 0 +15 *372:13 *4720:36 0 +16 *375:19 *4720:24 0 +17 *1001:30 *4720:36 0 +18 *1013:74 *4720:36 0 +19 *1538:23 *38992:A 0 +20 *2446:17 *4720:24 0 +21 *2459:16 *4720:24 0 +22 *2718:12 *4720:36 0 +23 *2824:49 *4720:24 0 +24 *2837:67 *4720:17 0 +25 *3014:55 *4720:24 0 +26 *3118:36 *4720:24 0 +27 *4266:18 *4720:17 0 +*RES +1 *38035:X *4720:17 32.6253 +2 *4720:17 *4720:24 44.8743 +3 *4720:24 *4720:36 40.2112 +4 *4720:36 *38992:A 11.8893 +5 *4720:36 *7095:DIODE 9.3 +*END + +*D_NET *4721 0.0118664 +*CONN +*I *7096:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38993:A I *D sky130_fd_sc_hd__buf_12 +*I *38037:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7096:DIODE 4.56186e-05 +2 *38993:A 0.000134333 +3 *38037:X 0.0012811 +4 *4721:25 0.00167559 +5 *4721:23 0.00447216 +6 *4721:9 0.00425763 +7 *38993:A *5002:53 0 +8 *4721:9 *5013:29 0 +9 *4721:23 *5002:39 0 +10 *4721:23 *5006:11 0 +11 *4721:23 *5006:31 0 +12 *4721:25 *39249:A 0 +13 *4721:25 *5002:53 0 +14 *4721:25 *5006:31 0 +15 la_oenb_core[77] *7096:DIODE 0 +16 la_oenb_core[77] *38993:A 0 +17 la_oenb_core[77] *4721:25 0 +18 la_oenb_core[86] *4721:9 0 +19 la_oenb_core[87] *4721:9 0 +20 *369:19 *4721:23 0 +21 *373:16 *4721:9 0 +22 *1004:20 *4721:9 0 +23 *1013:74 *4721:23 0 +24 *1545:49 *4721:9 0 +25 *2475:66 *4721:9 0 +26 *2479:52 *4721:23 0 +27 *2718:12 *4721:23 0 +28 *4718:14 *4721:23 0 +29 *4720:36 *4721:23 0 +*RES +1 *38037:X *4721:9 46.6929 +2 *4721:9 *4721:23 49.0813 +3 *4721:23 *4721:25 31.3393 +4 *4721:25 *38993:A 12.1036 +5 *4721:25 *7096:DIODE 19.3357 +*END + +*D_NET *4722 0.0107885 +*CONN +*I *7097:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38994:A I *D sky130_fd_sc_hd__buf_12 +*I *38039:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7097:DIODE 0 +2 *38994:A 0.000169684 +3 *38039:X 0.00095805 +4 *4722:29 0.00176521 +5 *4722:27 0.002661 +6 *4722:16 0.00267097 +7 *4722:12 0.00256355 +8 *4722:16 *5007:10 0 +9 *4722:27 *5007:23 0 +10 *4722:29 *39250:A 0 +11 *4722:29 *5007:23 0 +12 la_data_in_core[79] *38994:A 0 +13 la_data_in_core[82] *4722:27 0 +14 la_oenb_core[83] *4722:27 0 +15 *38998:A *4722:27 0 +16 *38999:A *4722:27 0 +17 *39001:A *4722:16 0 +18 *361:14 *4722:16 0 +19 *363:7 *38994:A 0 +20 *369:19 *4722:16 0 +21 *370:9 *4722:16 0 +22 *370:19 *4722:12 0 +23 *1004:20 *4722:27 0 +24 *2817:32 *4722:12 0 +25 *2840:68 *4722:12 0 +26 *3770:28 *4722:16 0 +27 *4718:21 *4722:29 0 +28 *4718:23 *38994:A 0 +*RES +1 *38039:X *4722:12 35.6482 +2 *4722:12 *4722:16 40.9911 +3 *4722:16 *4722:27 22.3929 +4 *4722:27 *4722:29 33.3929 +5 *4722:29 *38994:A 21.925 +6 *4722:29 *7097:DIODE 9.3 +*END + +*D_NET *4723 0.00990083 +*CONN +*I *7098:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38995:A I *D sky130_fd_sc_hd__buf_12 +*I *38041:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7098:DIODE 0 +2 *38995:A 0.000111361 +3 *38041:X 0.00122009 +4 *4723:25 0.0011317 +5 *4723:14 0.00361896 +6 *4723:13 0.00381872 +7 *38995:A *5002:53 0 +8 *38995:A *5006:31 0 +9 *4723:25 *39253:A 0 +10 *4723:25 *4738:9 0 +11 *4723:25 *5010:51 0 +12 la_oenb_core[80] *4723:25 0 +13 *5716:DIODE *4723:13 0 +14 *7869:DIODE *4723:13 0 +15 *8685:DIODE *4723:14 0 +16 *40129:A *4723:13 0 +17 *358:15 *4723:14 0 +18 *366:7 *38995:A 0 +19 *368:9 *4723:14 0 +20 *2450:29 *4723:25 0 +21 *2814:11 *4723:14 0 +22 *2817:32 *4723:14 0 +23 *2935:13 *4723:14 0 +24 *3650:59 *4723:13 0 +25 *3685:61 *4723:13 0 +26 *3706:16 *4723:14 0 +27 *3780:26 *4723:13 0 +28 *4040:11 *4723:13 0 +29 *4099:99 *4723:13 0 +*RES +1 *38041:X *4723:13 48.4964 +2 *4723:13 *4723:14 59.0179 +3 *4723:14 *4723:25 25.8571 +4 *4723:25 *38995:A 20.7821 +5 *4723:25 *7098:DIODE 9.3 +*END + +*D_NET *4724 0.0026938 +*CONN +*I *39694:A I *D sky130_fd_sc_hd__buf_2 +*I *37897:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39694:A 0 +2 *37897:X 0.0013469 +3 *4724:14 0.0013469 +4 *4724:14 *4749:13 0 +5 *1365:27 *4724:14 0 +6 *2784:11 *4724:14 0 +7 *3556:41 *4724:14 0 +*RES +1 *37897:X *4724:14 47.1214 +2 *4724:14 *39694:A 9.3 +*END + +*D_NET *4725 0.0127657 +*CONN +*I *7640:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39672:A I *D sky130_fd_sc_hd__buf_2 +*I *38043:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7640:DIODE 0 +2 *39672:A 0.000124066 +3 *38043:X 0.00106043 +4 *4725:11 0.00532242 +5 *4725:10 0.00625878 +6 *4725:10 *4733:20 0 +7 *4725:11 *4727:11 0 +8 *4725:11 *4727:20 0 +9 *1221:14 *4725:10 0 +10 *2380:14 *4725:10 0 +11 *2392:15 *4725:10 0 +12 *2826:33 *39672:A 0 +13 *2826:33 *4725:11 0 +14 *2842:15 *39672:A 0 +15 *2842:15 *4725:11 0 +16 *2991:47 *4725:11 0 +17 *2991:50 *4725:10 0 +18 *3703:11 *4725:11 0 +19 *3703:13 *4725:11 0 +*RES +1 *38043:X *4725:10 42.3357 +2 *4725:10 *4725:11 108.554 +3 *4725:11 *39672:A 11.8893 +4 *4725:11 *7640:DIODE 9.3 +*END + +*D_NET *4726 0.00939845 +*CONN +*I *5868:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37946:C I *D sky130_fd_sc_hd__and3b_1 +*I *38828:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5868:DIODE 7.51709e-05 +2 *37946:C 0.000179356 +3 *38828:X 0.000336221 +4 *4726:20 0.000632896 +5 *4726:19 0.00410848 +6 *4726:8 0.00406633 +7 *4726:8 *39072:A 0 +8 *4726:19 *4809:18 0 +9 la_data_in_mprj[32] *4726:8 0 +10 *6965:DIODE *4726:8 0 +11 *6965:DIODE *4726:19 0 +12 *37946:A_N *5868:DIODE 0 +13 *441:9 *4726:8 0 +14 *1791:6 *4726:19 0 +15 *1799:29 *4726:19 0 +16 *3063:22 *4726:19 0 +17 *3456:36 *4726:19 0 +18 *3458:16 *4726:19 0 +19 *3462:28 *4726:19 0 +20 *3642:98 *4726:19 0 +21 *3867:14 *4726:19 0 +22 *3875:65 *37946:C 0 +23 *3897:92 *37946:C 0 +24 *4123:32 *4726:19 0 +25 *4173:42 *4726:19 0 +26 *4180:67 *37946:C 0 +27 *4183:57 *37946:C 0 +28 *4183:57 *4726:20 0 +29 *4188:17 *4726:19 0 +30 *4205:15 *5868:DIODE 0 +31 *4211:36 *4726:19 0 +32 *4392:106 *4726:20 0 +33 *4395:83 *4726:19 0 +34 *4432:62 *4726:19 0 +*RES +1 *38828:X *4726:8 21.4696 +2 *4726:8 *4726:19 47.6368 +3 *4726:19 *4726:20 8.625 +4 *4726:20 *37946:C 18.7554 +5 *4726:20 *5868:DIODE 15.8268 +*END + +*D_NET *4727 0.011023 +*CONN +*I *7639:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39671:A I *D sky130_fd_sc_hd__buf_2 +*I *38045:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *7639:DIODE 0 +2 *39671:A 0.000124066 +3 *38045:X 0.00131445 +4 *4727:20 0.00114076 +5 *4727:11 0.00407299 +6 *4727:10 0.00437075 +7 *368:39 *4727:10 0 +8 *1547:30 *4727:20 0 +9 *2826:33 *4727:20 0 +10 *2989:19 *39671:A 0 +11 *3094:8 *4727:10 0 +12 *3109:37 *39671:A 0 +13 *3545:42 *4727:20 0 +14 *3703:11 *4727:11 0 +15 *4725:11 *4727:11 0 +16 *4725:11 *4727:20 0 +*RES +1 *38045:X *4727:10 48.1036 +2 *4727:10 *4727:11 63.7857 +3 *4727:11 *4727:20 30.6607 +4 *4727:20 *39671:A 11.8893 +5 *4727:20 *7639:DIODE 9.3 +*END + +*D_NET *4728 0.017585 +*CONN +*I *7638:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39670:A I *D sky130_fd_sc_hd__buf_2 +*I *38047:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7638:DIODE 0 +2 *39670:A 0.000124066 +3 *38047:X 0.00146278 +4 *4728:9 0.00732972 +5 *4728:8 0.00720565 +6 *4728:6 0.00146278 +7 *4728:6 *4733:10 0 +8 *4728:6 *4914:22 0 +9 *270:8 *4728:9 0 +10 *286:8 *4728:9 0 +11 *386:16 *4728:9 0 +12 *1015:125 *4728:6 0 +13 *2380:17 *39670:A 0 +14 *2380:17 *4728:9 0 +15 *2444:6 *4728:6 0 +16 *2807:40 *4728:6 0 +17 *3010:35 *39670:A 0 +18 *3010:35 *4728:9 0 +19 *4623:6 *4728:6 0 +*RES +1 *38047:X *4728:6 47.0143 +2 *4728:6 *4728:8 4.5 +3 *4728:8 *4728:9 150.446 +4 *4728:9 *39670:A 11.8893 +5 *4728:9 *7638:DIODE 9.3 +*END + +*D_NET *4729 0.00969561 +*CONN +*I *7637:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39669:A I *D sky130_fd_sc_hd__buf_2 +*I *38049:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *7637:DIODE 0 +2 *39669:A 0.000102889 +3 *38049:X 0.00111236 +4 *4729:23 0.00134825 +5 *4729:11 0.00363255 +6 *4729:10 0.00349956 +7 *4729:10 *5018:18 0 +8 *265:10 *4729:11 0 +9 *360:20 *4729:10 0 +10 *1016:216 *39669:A 0 +11 *1016:216 *4729:23 0 +12 *2366:28 *4729:10 0 +13 *2444:9 *4729:11 0 +14 *2444:21 *39669:A 0 +15 *2444:21 *4729:23 0 +16 *2446:9 *4729:11 0 +17 *2471:6 *4729:10 0 +18 *2473:34 *4729:23 0 +19 *2721:14 *4729:10 0 +20 *2818:51 *39669:A 0 +21 *2834:41 *4729:23 0 +22 *2922:16 *4729:10 0 +23 *3400:84 *4729:10 0 +24 *3432:97 *4729:10 0 +25 *3474:38 *4729:10 0 +26 *3514:48 *4729:10 0 +27 *3704:36 *4729:23 0 +28 *3718:33 *4729:10 0 +29 *3755:31 *4729:10 0 +30 *3945:38 *4729:10 0 +31 *4601:52 *4729:10 0 +*RES +1 *38049:X *4729:10 43.3714 +2 *4729:10 *4729:11 49.8214 +3 *4729:11 *4729:23 35.1607 +4 *4729:23 *39669:A 11.4786 +5 *4729:23 *7637:DIODE 9.3 +*END + +*D_NET *4730 0.0010491 +*CONN +*I *39668:A I *D sky130_fd_sc_hd__buf_2 +*I *38051:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39668:A 0.00052455 +2 *38051:X 0.00052455 +3 *375:23 *39668:A 0 +4 *2721:15 *39668:A 0 +5 *3708:41 *39668:A 0 +*RES +1 *38051:X *39668:A 38.7071 +*END + +*D_NET *4731 0.0183257 +*CONN +*I *7105:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39002:A I *D sky130_fd_sc_hd__buf_12 +*I *38053:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *7105:DIODE 0 +2 *39002:A 0.000124066 +3 *38053:X 0.00152469 +4 *4731:29 0.000252151 +5 *4731:23 0.0066086 +6 *4731:22 0.007386 +7 *4731:8 0.00243018 +8 *39002:A *5013:29 0 +9 *4731:8 *4909:8 0 +10 *4731:22 *5017:17 0 +11 *4731:23 *5008:11 0 +12 *4731:23 *5014:20 0 +13 *4731:23 *5014:21 0 +14 *4731:23 *5017:37 0 +15 *4731:29 *5013:29 0 +16 la_data_in_core[86] *4731:29 0 +17 la_oenb_core[101] *4731:8 0 +18 la_oenb_core[85] *39002:A 0 +19 la_oenb_core[85] *4731:29 0 +20 *38301:A *4731:8 0 +21 *262:7 *4731:8 0 +22 *372:13 *4731:29 0 +23 *1013:74 *4731:22 0 +24 *1549:44 *4731:8 0 +25 *2447:6 *4731:8 0 +26 *2447:14 *4731:8 0 +27 *2468:27 *4731:23 0 +28 *2475:51 *4731:23 0 +*RES +1 *38053:X *4731:8 48.3089 +2 *4731:8 *4731:22 35.668 +3 *4731:22 *4731:23 135.25 +4 *4731:23 *4731:29 11.8929 +5 *4731:29 *39002:A 11.8893 +6 *4731:29 *7105:DIODE 9.3 +*END + +*D_NET *4732 0.0098116 +*CONN +*I *7636:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39667:A I *D sky130_fd_sc_hd__buf_2 +*I *38055:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *7636:DIODE 0 +2 *39667:A 0.000162303 +3 *38055:X 0.000391358 +4 *4732:11 0.00451444 +5 *4732:10 0.0047435 +6 *39667:A *4902:21 0 +7 *377:46 *4732:10 0 +8 *379:12 *39667:A 0 +9 *379:12 *4732:11 0 +10 *379:24 *4732:11 0 +11 *381:14 *39667:A 0 +12 *381:14 *4732:11 0 +13 *2463:6 *4732:10 0 +14 *4623:6 *4732:10 0 +*RES +1 *38055:X *4732:10 27.0679 +2 *4732:10 *4732:11 90.8929 +3 *4732:11 *39667:A 21.7464 +4 *4732:11 *7636:DIODE 9.3 +*END + +*D_NET *4733 0.0131328 +*CONN +*I *7635:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39666:A I *D sky130_fd_sc_hd__buf_2 +*I *38057:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7635:DIODE 0 +2 *39666:A 0.000169645 +3 *38057:X 0.000833276 +4 *4733:21 0.0022193 +5 *4733:20 0.00302036 +6 *4733:11 0.00351384 +7 *4733:10 0.00337641 +8 *4733:10 *4914:22 0 +9 *1424:36 *39666:A 0 +10 *1460:30 *4733:10 0 +11 *2380:14 *4733:20 0 +12 *2807:35 *4733:11 0 +13 *2807:35 *4733:20 0 +14 *2807:40 *4733:10 0 +15 *3088:19 *39666:A 0 +16 *3088:19 *4733:21 0 +17 *3489:17 *4733:20 0 +18 *3711:7 *4733:21 0 +19 *4611:6 *39666:A 0 +20 *4725:10 *4733:20 0 +21 *4728:6 *4733:10 0 +*RES +1 *38057:X *4733:10 37.175 +2 *4733:10 *4733:11 53.1071 +3 *4733:11 *4733:20 30.1607 +4 *4733:20 *4733:21 42.8393 +5 *4733:21 *39666:A 21.925 +6 *4733:21 *7635:DIODE 9.3 +*END + +*D_NET *4734 0.00829336 +*CONN +*I *39005:A I *D sky130_fd_sc_hd__buf_12 +*I *38059:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *39005:A 0 +2 *38059:X 0.000696228 +3 *4734:45 0.00204922 +4 *4734:26 0.00345045 +5 *4734:11 0.00209746 +6 *4734:26 *5017:36 0 +7 *4734:45 *39264:A 0 +8 *4734:45 *5013:17 0 +9 la_data_in_core[92] *4734:45 0 +10 la_data_in_core[93] *4734:26 0 +11 la_oenb_core[90] *4734:45 0 +12 la_oenb_core[92] *4734:26 0 +13 la_oenb_core[92] *4734:45 0 +14 la_oenb_core[93] *4734:26 0 +15 la_oenb_core[94] *4734:26 0 +16 *39006:A *4734:45 0 +17 *357:38 *4734:11 0 +18 *359:25 *4734:11 0 +19 *371:8 *4734:11 0 +20 *380:5 *4734:26 0 +21 *381:10 *4734:26 0 +22 *1004:20 *4734:26 0 +23 *1004:20 *4734:45 0 +24 *1008:100 *4734:26 0 +25 *1013:74 *4734:26 0 +26 *1572:21 *4734:11 0 +27 *2464:13 *4734:45 0 +*RES +1 *38059:X *4734:11 37.5857 +2 *4734:11 *4734:26 49.6903 +3 *4734:26 *4734:45 43.0179 +4 *4734:45 *39005:A 9.3 +*END + +*D_NET *4735 0.00803895 +*CONN +*I *39665:A I *D sky130_fd_sc_hd__buf_2 +*I *7634:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38061:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39665:A 0.000166912 +2 *7634:DIODE 0 +3 *38061:X 0.000445176 +4 *4735:14 0.000773836 +5 *4735:11 0.00340739 +6 *4735:10 0.00324564 +7 *2336:34 *4735:11 0 +8 *2434:37 *4735:11 0 +9 *2715:8 *4735:14 0 +10 *2945:11 *4735:10 0 +11 *3162:23 *39665:A 0 +12 *3197:38 *4735:11 0 +13 *3252:34 *4735:11 0 +14 *3283:37 *4735:11 0 +15 *3354:30 *39665:A 0 +16 *3354:30 *4735:14 0 +17 *3584:24 *39665:A 0 +18 *3584:24 *4735:14 0 +19 *3655:41 *39665:A 0 +20 *3733:72 *4735:11 0 +21 *3942:80 *4735:14 0 +*RES +1 *38061:X *4735:10 27.675 +2 *4735:10 *4735:11 58.4464 +3 *4735:11 *4735:14 18.2857 +4 *4735:14 *7634:DIODE 13.8 +5 *4735:14 *39665:A 17.425 +*END + +*D_NET *4736 0.0192646 +*CONN +*I *7109:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39007:A I *D sky130_fd_sc_hd__buf_12 +*I *37899:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *7109:DIODE 0.000309299 +2 *39007:A 0.000183104 +3 *37899:X 3.22083e-05 +4 *4736:22 0.000794269 +5 *4736:16 0.00215186 +6 *4736:9 0.0088058 +7 *4736:8 0.00698802 +8 *4736:22 *39252:A 0 +9 *39737:A *4736:16 0 +10 *40052:A *4736:9 0 +11 *365:9 *4736:16 0 +12 *365:11 *4736:16 0 +13 *1024:35 *4736:16 0 +14 *1364:31 *4736:9 0 +15 *2455:23 *4736:9 0 +16 *2501:13 *4736:9 0 +17 *2520:33 *39007:A 0 +18 *2523:46 *7109:DIODE 0 +19 *2554:32 *4736:16 0 +20 *2600:26 *4736:16 0 +21 *2647:61 *4736:22 0 +22 *2663:25 *4736:22 0 +23 *2761:27 *4736:9 0 +24 *2769:13 *4736:9 0 +25 *2779:17 *4736:9 0 +26 *2780:27 *4736:22 0 +27 *2786:24 *4736:16 0 +28 *2906:13 *4736:9 0 +29 *4644:10 *4736:16 0 +30 *4644:20 *4736:16 0 +31 *4663:15 *39007:A 0 +*RES +1 *37899:X *4736:8 19.0321 +2 *4736:8 *4736:9 145.107 +3 *4736:9 *4736:16 46.5714 +4 *4736:16 *4736:22 15.4821 +5 *4736:22 *39007:A 17.6214 +6 *4736:22 *7109:DIODE 20.5143 +*END + +*D_NET *4737 0.0167166 +*CONN +*I *5871:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37948:C I *D sky130_fd_sc_hd__and3b_1 +*I *38829:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5871:DIODE 0.000131802 +2 *37948:C 0.000120458 +3 *38829:X 0.0037152 +4 *4737:18 0.0046431 +5 *4737:14 0.00810604 +6 *4737:18 *4824:23 0 +7 *37948:B *4737:18 0 +8 *1179:20 *4737:18 0 +9 *2358:16 *4737:18 0 +10 *2796:15 *37948:C 0 +11 *2907:10 *5871:DIODE 0 +12 *2907:10 *37948:C 0 +13 *2907:10 *4737:18 0 +14 *3063:22 *4737:18 0 +15 *3067:24 *4737:18 0 +16 *3304:48 *5871:DIODE 0 +17 *3304:48 *4737:18 0 +18 *3344:17 *4737:18 0 +19 *3369:11 *4737:14 0 +20 *3462:28 *4737:14 0 +21 *3464:11 *4737:14 0 +22 *4188:17 *4737:18 0 +23 *4199:13 *4737:18 0 +24 *4285:27 *4737:18 0 +*RES +1 *38829:X *4737:14 38.6367 +2 *4737:14 *4737:18 21.0856 +3 *4737:18 *37948:C 16.5679 +4 *4737:18 *5871:DIODE 16.9607 +*END + +*D_NET *4738 0.016845 +*CONN +*I *39008:A I *D sky130_fd_sc_hd__buf_12 +*I *7110:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38063:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *39008:A 0.00016428 +2 *7110:DIODE 0 +3 *38063:X 0 +4 *4738:31 0.00072279 +5 *4738:29 0.00253736 +6 *4738:21 0.0031018 +7 *4738:9 0.00329367 +8 *4738:6 0.00459791 +9 *4738:5 0.00242719 +10 *39008:A *5010:31 0 +11 *4738:6 *39248:A 0 +12 *4738:6 *5005:8 0 +13 *4738:9 *39253:A 0 +14 *4738:9 *5003:16 0 +15 *4738:9 *5010:51 0 +16 *4738:21 *5010:41 0 +17 *4738:21 *5010:51 0 +18 *4738:29 *5007:10 0 +19 *4738:29 *5010:41 0 +20 *4738:31 *39262:A 0 +21 *4738:31 *5010:31 0 +22 la_data_in_core[90] *39008:A 0 +23 *6454:DIODE *4738:31 0 +24 *38295:A *4738:29 0 +25 *39000:A *4738:21 0 +26 *39260:A *4738:29 0 +27 *1014:74 *4738:6 0 +28 *1540:14 *4738:6 0 +29 *2447:31 *39008:A 0 +30 *2447:31 *4738:29 0 +31 *2447:31 *4738:31 0 +32 *2448:59 *4738:21 0 +33 *2448:59 *4738:29 0 +34 *2450:27 *4738:29 0 +35 *2450:29 *4738:9 0 +36 *2450:29 *4738:29 0 +37 *2720:23 *4738:31 0 +38 *3162:22 *4738:6 0 +39 *3729:18 *4738:6 0 +40 *3770:28 *4738:9 0 +41 *3770:28 *4738:21 0 +42 *3983:20 *4738:6 0 +43 *4217:18 *4738:6 0 +44 *4723:25 *4738:9 0 +*RES +1 *38063:X *4738:5 13.8 +2 *4738:5 *4738:6 55.0714 +3 *4738:6 *4738:9 49.8036 +4 *4738:9 *4738:21 32.6161 +5 *4738:21 *4738:29 41.4554 +6 *4738:29 *4738:31 11.625 +7 *4738:31 *7110:DIODE 9.3 +8 *4738:31 *39008:A 12.8536 +*END + +*D_NET *4739 0.00210781 +*CONN +*I *39664:A I *D sky130_fd_sc_hd__buf_2 +*I *38065:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39664:A 3.21887e-05 +2 *38065:X 0.00102172 +3 *4739:21 0.00105391 +4 *7573:DIODE *4739:21 0 +5 *38306:B *4739:21 0 +6 *2376:24 *4739:21 0 +7 *3094:8 *4739:21 0 +8 *3299:75 *4739:21 0 +9 *3657:58 *4739:21 0 +10 *3700:82 *4739:21 0 +11 *4224:69 *4739:21 0 +*RES +1 *38065:X *4739:21 46.1153 +2 *4739:21 *39664:A 19.0321 +*END + +*D_NET *4740 0.00409792 +*CONN +*I *39663:A I *D sky130_fd_sc_hd__buf_2 +*I *38067:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39663:A 0.0010475 +2 *38067:X 0.00100146 +3 *4740:11 0.00204896 +4 *39663:A *5018:11 0 +5 *39419:A *4740:11 0 +6 *364:11 *4740:11 0 +7 *1451:62 *39663:A 0 +8 *1451:63 *4740:11 0 +9 *1623:11 *4740:11 0 +10 *2366:28 *39663:A 0 +11 *2366:28 *4740:11 0 +12 *2378:11 *39663:A 0 +13 *2836:43 *4740:11 0 +14 *3117:12 *4740:11 0 +15 *4033:77 *39663:A 0 +*RES +1 *38067:X *4740:11 40.425 +2 *4740:11 *39663:A 31.1929 +*END + +*D_NET *4741 0.0173489 +*CONN +*I *39662:A I *D sky130_fd_sc_hd__buf_2 +*I *7633:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38069:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39662:A 0.000170697 +2 *7633:DIODE 0 +3 *38069:X 0.0015026 +4 *4741:15 0.00191144 +5 *4741:9 0.00700113 +6 *4741:8 0.00526039 +7 *4741:6 0.0015026 +8 *265:10 *4741:9 0 +9 *272:10 *4741:15 0 +10 *274:10 *4741:15 0 +11 *277:14 *4741:9 0 +12 *361:41 *4741:15 0 +13 *381:17 *4741:6 0 +14 *1590:42 *4741:6 0 +15 *1593:10 *4741:6 0 +16 *3414:18 *4741:15 0 +17 *3711:9 *39662:A 0 +18 *3711:9 *4741:15 0 +*RES +1 *38069:X *4741:6 47.925 +2 *4741:6 *4741:8 4.5 +3 *4741:8 *4741:9 109.786 +4 *4741:9 *4741:15 45.7321 +5 *4741:15 *7633:DIODE 9.3 +6 *4741:15 *39662:A 12.925 +*END + +*D_NET *4742 0.0156897 +*CONN +*I *39661:A I *D sky130_fd_sc_hd__buf_2 +*I *7632:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38071:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39661:A 0.000156152 +2 *7632:DIODE 0 +3 *38071:X 0.00119548 +4 *4742:15 0.00380283 +5 *4742:14 0.00406668 +6 *4742:9 0.00284656 +7 *4742:8 0.00362204 +8 *4742:8 *4919:12 0 +9 *4742:8 *4920:12 0 +10 *289:16 *4742:9 0 +11 *1023:101 *4742:8 0 +12 *1582:13 *4742:8 0 +13 *3413:133 *39661:A 0 +14 *3413:133 *4742:15 0 +15 *4618:8 *4742:8 0 +16 *4631:8 *4742:8 0 +17 *4634:8 *4742:8 0 +*RES +1 *38071:X *4742:8 45.4429 +2 *4742:8 *4742:9 50.6429 +3 *4742:9 *4742:14 18.5357 +4 *4742:14 *4742:15 76.1071 +5 *4742:15 *7632:DIODE 9.3 +6 *4742:15 *39661:A 12.6214 +*END + +*D_NET *4743 0.0128057 +*CONN +*I *39660:A I *D sky130_fd_sc_hd__buf_2 +*I *7631:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38073:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39660:A 0.000153561 +2 *7631:DIODE 0 +3 *38073:X 0.00040273 +4 *4743:20 0.000239233 +5 *4743:17 0.00428337 +6 *4743:16 0.00576087 +7 *4743:13 0.0019659 +8 *39660:A *4903:6 0 +9 *4743:16 *4910:8 0 +10 *4743:17 *4744:25 0 +11 *4743:20 *4903:6 0 +12 *5524:DIODE *4743:16 0 +13 *39651:A *4743:13 0 +14 *39651:A *4743:16 0 +15 *265:23 *4743:16 0 +16 *265:27 *4743:16 0 +17 *290:17 *4743:16 0 +18 *1016:232 *39660:A 0 +19 *1016:232 *4743:20 0 +20 *1247:22 *4743:16 0 +21 *1464:11 *4743:13 0 +22 *2385:11 *4743:17 0 +23 *2441:11 *39660:A 0 +24 *2803:61 *4743:16 0 +25 *2807:35 *39660:A 0 +26 *2819:21 *4743:17 0 +27 *2985:14 *4743:13 0 +28 *3476:31 *4743:13 0 +29 *4610:10 *4743:16 0 +*RES +1 *38073:X *4743:13 23.7748 +2 *4743:13 *4743:16 39.9911 +3 *4743:16 *4743:17 87.6071 +4 *4743:17 *4743:20 6.44643 +5 *4743:20 *7631:DIODE 13.8 +6 *4743:20 *39660:A 17.1214 +*END + +*D_NET *4744 0.0118706 +*CONN +*I *39659:A I *D sky130_fd_sc_hd__buf_2 +*I *7630:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38075:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *39659:A 0.000153561 +2 *7630:DIODE 0 +3 *38075:X 0.00109812 +4 *4744:28 0.000199179 +5 *4744:25 0.00329871 +6 *4744:24 0.00463799 +7 *4744:16 0.00248302 +8 *37684:A *4744:24 0 +9 *37684:B *4744:24 0 +10 *38075:A *4744:16 0 +11 *38089:A *4744:16 0 +12 *39651:A *4744:16 0 +13 *370:55 *4744:16 0 +14 *1241:9 *4744:24 0 +15 *1737:27 *4744:16 0 +16 *2385:11 *4744:25 0 +17 *2441:11 *39659:A 0 +18 *2803:61 *4744:24 0 +19 *2807:35 *39659:A 0 +20 *3006:20 *4744:16 0 +21 *3019:28 *4744:24 0 +22 *3044:21 *4744:16 0 +23 *3962:15 *4744:16 0 +24 *4609:6 *39659:A 0 +25 *4609:6 *4744:28 0 +26 *4612:14 *4744:24 0 +27 *4743:17 *4744:25 0 +*RES +1 *38075:X *4744:16 46.2286 +2 *4744:16 *4744:24 44.5714 +3 *4744:24 *4744:25 67.8929 +4 *4744:25 *4744:28 5.53571 +5 *4744:28 *7630:DIODE 13.8 +6 *4744:28 *39659:A 17.1214 +*END + +*D_NET *4745 0.0184606 +*CONN +*I *7117:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39015:A I *D sky130_fd_sc_hd__buf_12 +*I *38077:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *7117:DIODE 0 +2 *39015:A 0.000159146 +3 *38077:X 8.4707e-05 +4 *4745:31 0.0021104 +5 *4745:30 0.00310291 +6 *4745:19 0.00342077 +7 *4745:17 0.00401952 +8 *4745:10 0.00361444 +9 *4745:7 0.00194874 +10 *39015:A *4895:29 0 +11 *4745:10 *4908:12 0 +12 *4745:17 *4907:15 0 +13 *4745:17 *4908:12 0 +14 *4745:19 *7197:DIODE 0 +15 *4745:19 *4902:21 0 +16 *4745:31 *39149:A 0 +17 *4745:31 *4895:29 0 +18 la_oenb_core[101] *4745:31 0 +19 la_oenb_core[108] *4745:19 0 +20 *372:33 *4745:10 0 +21 *372:37 *4745:10 0 +22 *1013:74 *4745:30 0 +23 *1017:86 *4745:30 0 +24 *1221:21 *4745:7 0 +25 *1554:14 *4745:19 0 +26 *2447:15 *39015:A 0 +27 *2447:15 *4745:31 0 +28 *2458:36 *39015:A 0 +29 *2459:33 *4745:31 0 +30 *2463:14 *4745:30 0 +31 *2468:18 *4745:17 0 +32 *2468:18 *4745:19 0 +33 *3489:26 *4745:10 0 +34 *4609:18 *4745:17 0 +35 *4618:27 *4745:17 0 +36 *4624:22 *4745:17 0 +*RES +1 *38077:X *4745:7 15.5679 +2 *4745:7 *4745:10 42.3839 +3 *4745:10 *4745:17 42.1339 +4 *4745:17 *4745:19 47.3571 +5 *4745:19 *4745:30 20.4563 +6 *4745:30 *4745:31 40.7857 +7 *4745:31 *39015:A 12.6214 +8 *4745:31 *7117:DIODE 9.3 +*END + +*D_NET *4746 0.00766248 +*CONN +*I *39658:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38079:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39658:A 0 +2 *38079:X 0.000460001 +3 *4746:27 0.00176801 +4 *4746:15 0.00337124 +5 *4746:8 0.00206323 +6 *38019:A *4746:15 0 +7 *1286:12 *4746:27 0 +8 *1821:16 *4746:15 0 +9 *2940:14 *4746:27 0 +10 *2947:24 *4746:27 0 +11 *2947:47 *4746:15 0 +12 *2947:59 *4746:15 0 +13 *2947:70 *4746:15 0 +14 *2948:19 *4746:15 0 +15 *2962:19 *4746:27 0 +16 *3177:57 *4746:15 0 +17 *3177:57 *4746:27 0 +18 *3445:31 *4746:8 0 +19 *3655:18 *4746:8 0 +20 *3670:29 *4746:15 0 +21 *3672:17 *4746:15 0 +22 *3723:61 *4746:27 0 +23 *3736:47 *4746:27 0 +24 *3781:24 *4746:27 0 +25 *4218:24 *4746:8 0 +26 *4332:66 *4746:27 0 +27 *4710:7 *4746:15 0 +*RES +1 *38079:X *4746:8 28.7464 +2 *4746:8 *4746:15 33.5536 +3 *4746:15 *4746:27 46.3214 +4 *4746:27 *39658:A 9.3 +*END + +*D_NET *4747 0.0194069 +*CONN +*I *7628:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39656:A I *D sky130_fd_sc_hd__buf_4 +*I *38081:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *7628:DIODE 0 +2 *39656:A 0.000158621 +3 *38081:X 0.00116169 +4 *4747:15 0.00809541 +5 *4747:14 0.00838314 +6 *4747:10 0.00160805 +7 *39910:A *4747:10 0 +8 *1237:45 *4747:10 0 +9 *2841:41 *4747:10 0 +10 *3047:24 *39656:A 0 +11 *3074:45 *4747:10 0 +12 *3079:51 *39656:A 0 +13 *3079:51 *4747:15 0 +14 *3710:36 *4747:10 0 +15 *4007:12 *39656:A 0 +16 *4114:44 *4747:10 0 +17 *4314:24 *4747:14 0 +18 *4316:23 *4747:10 0 +19 *4316:23 *4747:14 0 +20 *4347:38 *4747:10 0 +21 *4355:9 *4747:15 0 +*RES +1 *38081:X *4747:10 40.1661 +2 *4747:10 *4747:14 14.6696 +3 *4747:14 *4747:15 165.643 +4 *4747:15 *39656:A 21.7464 +5 *4747:15 *7628:DIODE 9.3 +*END + +*D_NET *4748 0.00549573 +*CONN +*I *37950:C I *D sky130_fd_sc_hd__and3b_1 +*I *38830:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *37950:C 0 +2 *38830:X 0.00102419 +3 *4748:23 0.00172368 +4 *4748:18 0.00274786 +5 *4748:18 *4760:9 0 +6 *4748:18 *4825:7 0 +7 *4748:23 *4817:37 0 +8 *4748:23 *4904:52 0 +9 *6291:DIODE *4748:23 0 +10 *6790:DIODE *4748:18 0 +11 *6970:DIODE *4748:18 0 +12 *37562:B *4748:23 0 +13 *38510:A *4748:18 0 +14 *38653:A *4748:18 0 +15 *40466:A *4748:18 0 +16 *296:29 *4748:23 0 +17 *343:13 *4748:23 0 +18 *445:5 *4748:18 0 +19 *828:5 *4748:18 0 +20 *1144:10 *4748:23 0 +21 *1800:36 *4748:23 0 +22 *3136:32 *4748:23 0 +23 *3315:12 *4748:18 0 +24 *3453:32 *4748:23 0 +25 *3872:11 *4748:23 0 +26 *3913:28 *4748:23 0 +27 *3929:20 *4748:23 0 +28 *4068:45 *4748:23 0 +29 *4173:34 *4748:23 0 +30 *4197:36 *4748:23 0 +31 *4391:27 *4748:23 0 +32 *4444:10 *4748:18 0 +*RES +1 *38830:X *4748:18 35.6839 +2 *4748:18 *4748:23 42.7232 +3 *4748:23 *37950:C 9.3 +*END + +*D_NET *4749 0.00288368 +*CONN +*I *39693:A I *D sky130_fd_sc_hd__buf_2 +*I *37901:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39693:A 0 +2 *37901:X 0.00144184 +3 *4749:13 0.00144184 +4 *4749:13 *5054:32 0 +5 *299:30 *4749:13 0 +6 *1364:16 *4749:13 0 +7 *2506:7 *4749:13 0 +8 *2772:36 *4749:13 0 +9 *3579:47 *4749:13 0 +10 *4667:14 *4749:13 0 +11 *4724:14 *4749:13 0 +*RES +1 *37901:X *4749:13 49.2732 +2 *4749:13 *39693:A 9.3 +*END + +*D_NET *4750 0.000987759 +*CONN +*I *39019:A I *D sky130_fd_sc_hd__buf_12 +*I *38396:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39019:A 0.00049388 +2 *38396:Y 0.00049388 +3 la_data_in_mprj[0] *39019:A 0 +*RES +1 *38396:Y *39019:A 38.2607 +*END + +*D_NET *4751 0.00156689 +*CONN +*I *39020:A I *D sky130_fd_sc_hd__buf_12 +*I *37408:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39020:A 0.000783446 +2 *37408:Y 0.000783446 +3 *39020:A *4890:10 0 +4 la_data_in_mprj[101] *39020:A 0 +5 *2371:38 *39020:A 0 +6 *2727:18 *39020:A 0 +7 *3018:20 *39020:A 0 +8 *3250:64 *39020:A 0 +*RES +1 *37408:Y *39020:A 44.6179 +*END + +*D_NET *4752 0.00563933 +*CONN +*I *39021:A I *D sky130_fd_sc_hd__buf_12 +*I *37409:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39021:A 0 +2 *37409:Y 0.000947515 +3 *4752:24 0.00187215 +4 *4752:18 0.00281967 +5 *4752:24 *39023:A 0 +6 *4752:24 *4754:15 0 +7 *4752:24 *4890:10 0 +8 *394:7 *4752:18 0 +9 *522:8 *4752:18 0 +10 *2415:13 *4752:24 0 +11 *2445:48 *4752:24 0 +12 *3018:21 *4752:24 0 +13 *3145:90 *4752:18 0 +14 *3154:14 *4752:18 0 +15 *3217:13 *4752:18 0 +16 *3500:44 *4752:24 0 +*RES +1 *37409:Y *4752:18 39.8536 +2 *4752:18 *4752:24 48.5 +3 *4752:24 *39021:A 9.3 +*END + +*D_NET *4753 0.00788307 +*CONN +*I *39022:A I *D sky130_fd_sc_hd__buf_12 +*I *37410:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39022:A 0.00120301 +2 *37410:Y 0.00120275 +3 *4753:30 0.00273878 +4 *4753:17 0.00273852 +5 *4753:17 *5097:13 0 +6 *4753:30 *7122:DIODE 0 +7 *4753:30 *39026:A 0 +8 *4753:30 *4756:49 0 +9 la_data_in_mprj[105] *4753:30 0 +10 la_data_in_mprj[107] *4753:30 0 +11 la_data_in_mprj[109] *4753:17 0 +12 *37670:B *39022:A 0 +13 *38453:A *39022:A 0 +14 *396:10 *4753:30 0 +15 *397:8 *4753:17 0 +16 *519:8 *39022:A 0 +17 *777:14 *4753:30 0 +18 *780:30 *4753:17 0 +19 *1220:53 *4753:30 0 +20 *1234:10 *39022:A 0 +21 *2738:7 *39022:A 0 +22 *2760:13 *39022:A 0 +23 *3134:22 *4753:17 0 +24 *3417:7 *4753:17 0 +25 *3422:14 *4753:17 0 +26 *3422:31 *4753:17 0 +27 *3430:48 *39022:A 0 +28 *3430:53 *4753:30 0 +29 *3430:55 *4753:30 0 +30 *4182:8 *4753:30 0 +31 *4215:8 *4753:17 0 +*RES +1 *37410:Y *4753:17 48.05 +2 *4753:17 *4753:30 45.8929 +3 *4753:30 *39022:A 34.4071 +*END + +*D_NET *4754 0.0074149 +*CONN +*I *39023:A I *D sky130_fd_sc_hd__buf_12 +*I *37411:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39023:A 0.000564378 +2 *37411:Y 0.00083374 +3 *4754:15 0.00287371 +4 *4754:12 0.00314307 +5 *39023:A *4759:10 0 +6 *4754:12 *39026:A 0 +7 *4754:15 *5193:83 0 +8 *7548:DIODE *4754:12 0 +9 *40248:A *4754:12 0 +10 *40369:A *4754:12 0 +11 *40503:A *4754:12 0 +12 *392:7 *39023:A 0 +13 *520:7 *39023:A 0 +14 *525:17 *4754:12 0 +15 *775:12 *39023:A 0 +16 *781:12 *4754:12 0 +17 *2390:12 *4754:12 0 +18 *2712:190 *4754:12 0 +19 *2984:20 *4754:12 0 +20 *3291:21 *4754:15 0 +21 *3500:44 *4754:15 0 +22 *4182:68 *4754:12 0 +23 *4204:32 *39023:A 0 +24 *4752:24 *39023:A 0 +25 *4752:24 *4754:15 0 +*RES +1 *37411:Y *4754:12 37.3 +2 *4754:12 *4754:15 48.1964 +3 *4754:15 *39023:A 30.8714 +*END + +*D_NET *4755 0.0212768 +*CONN +*I *9007:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41428:A I *D sky130_fd_sc_hd__buf_2 +*I *37412:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *9007:DIODE 0.000105768 +2 *41428:A 4.62038e-05 +3 *37412:Y 0.000317083 +4 *4755:41 0.00177372 +5 *4755:23 0.0102751 +6 *4755:10 0.00875893 +7 *4755:10 *7292:DIODE 0 +8 *4755:10 *39527:B 0 +9 *4755:23 *41415:A 0 +10 *4755:23 *5080:33 0 +11 *4755:23 *5095:16 0 +12 *4755:23 *5166:22 0 +13 *4755:23 *5166:53 0 +14 *4755:23 *5167:58 0 +15 *4755:23 *5169:40 0 +16 *4755:23 *5172:65 0 +17 *4755:23 *5175:74 0 +18 *4755:23 *5179:29 0 +19 *4755:23 *5179:91 0 +20 *4755:23 *5186:28 0 +21 *4755:41 *5175:74 0 +22 *6816:DIODE *4755:23 0 +23 *6931:DIODE *4755:23 0 +24 *542:60 *4755:41 0 +25 *543:12 *4755:41 0 +26 *1240:24 *4755:41 0 +27 *1712:27 *4755:23 0 +28 *1862:46 *4755:41 0 +29 *1863:28 *4755:23 0 +30 *2714:9 *4755:10 0 +31 *2995:54 *4755:23 0 +32 *3004:24 *4755:41 0 +33 *3017:55 *4755:41 0 +34 *3017:61 *4755:23 0 +35 *3077:20 *4755:23 0 +36 *3089:14 *4755:23 0 +37 *3116:22 *4755:23 0 +38 *3130:20 *4755:23 0 +39 *3155:15 *4755:41 0 +40 *3221:16 *4755:23 0 +41 *3221:36 *4755:23 0 +42 *3221:36 *4755:41 0 +43 *3240:25 *4755:23 0 +44 *3254:33 *4755:23 0 +45 *3357:30 *4755:23 0 +46 *3357:61 *4755:23 0 +47 *3395:49 *4755:23 0 +48 *4393:28 *4755:41 0 +49 *4529:16 *4755:23 0 +50 *4529:92 *4755:23 0 +51 *4548:57 *4755:23 0 +52 *4550:63 *4755:41 0 +53 *4553:37 *4755:41 0 +54 *4554:53 *4755:23 0 +55 *4555:19 *4755:23 0 +56 *4556:45 *4755:23 0 +57 *4561:49 *4755:41 0 +58 *4563:58 *4755:23 0 +59 *4568:36 *4755:23 0 +60 *4570:18 *4755:10 0 +61 *4573:19 *4755:23 0 +*RES +1 *37412:Y *4755:10 24.2189 +2 *4755:10 *4755:23 45.9923 +3 *4755:23 *4755:41 49.7599 +4 *4755:41 *41428:A 10.2955 +5 *4755:23 *9007:DIODE 19.6118 +*END + +*D_NET *4756 0.0160629 +*CONN +*I *39025:A I *D sky130_fd_sc_hd__buf_12 +*I *7122:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37413:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *39025:A 0 +2 *7122:DIODE 0.000827139 +3 *37413:Y 0.000496795 +4 *4756:49 0.00222698 +5 *4756:42 0.00310522 +6 *4756:35 0.00323685 +7 *4756:23 0.00360231 +8 *4756:19 0.00256762 +9 *4756:19 *5072:17 0 +10 *4756:23 *5105:39 0 +11 *4756:42 *39026:A 0 +12 *4756:42 *5188:81 0 +13 la_data_in_mprj[106] *4756:49 0 +14 la_data_in_mprj[112] *4756:35 0 +15 *6739:DIODE *4756:42 0 +16 *38460:A *4756:42 0 +17 *40490:A *4756:19 0 +18 *395:8 *4756:49 0 +19 *397:8 *4756:42 0 +20 *525:10 *4756:42 0 +21 *530:31 *4756:35 0 +22 *537:22 *4756:19 0 +23 *781:12 *4756:42 0 +24 *781:30 *4756:42 0 +25 *792:36 *4756:19 0 +26 *792:57 *4756:19 0 +27 *1220:53 *7122:DIODE 0 +28 *1220:53 *4756:49 0 +29 *2349:8 *7122:DIODE 0 +30 *2390:12 *4756:42 0 +31 *2399:8 *4756:49 0 +32 *2442:79 *4756:35 0 +33 *2442:79 *4756:42 0 +34 *2805:17 *4756:42 0 +35 *2805:27 *4756:42 0 +36 *2893:17 *4756:19 0 +37 *2893:17 *4756:23 0 +38 *2978:9 *4756:49 0 +39 *3032:36 *7122:DIODE 0 +40 *3106:71 *4756:35 0 +41 *3106:96 *4756:35 0 +42 *3106:96 *4756:42 0 +43 *3125:48 *7122:DIODE 0 +44 *3266:113 *4756:19 0 +45 *3276:26 *7122:DIODE 0 +46 *3311:61 *7122:DIODE 0 +47 *3402:26 *4756:19 0 +48 *3413:30 *4756:35 0 +49 *3417:18 *4756:23 0 +50 *3430:55 *4756:42 0 +51 *4753:30 *7122:DIODE 0 +52 *4753:30 *4756:49 0 +*RES +1 *37413:Y *4756:19 37.8714 +2 *4756:19 *4756:23 47.75 +3 *4756:23 *4756:35 45.6964 +4 *4756:35 *4756:42 44.75 +5 *4756:42 *4756:49 38.4107 +6 *4756:49 *7122:DIODE 38.461 +7 *4756:49 *39025:A 9.3 +*END + +*D_NET *4757 0.00288478 +*CONN +*I *41427:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37414:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *41427:A 0 +2 *37414:Y 0.00144239 +3 *4757:16 0.00144239 +4 *4757:16 *39324:A 0 +5 *4757:16 *39325:A 0 +6 *4757:16 *4778:9 0 +7 *4757:16 *5190:31 0 +8 *7532:DIODE *4757:16 0 +9 *38752:A *4757:16 0 +10 *1598:21 *4757:16 0 +11 *4543:20 *4757:16 0 +12 *4576:23 *4757:16 0 +13 *4579:17 *4757:16 0 +14 *4580:15 *4757:16 0 +*RES +1 *37414:Y *4757:16 42.3692 +2 *4757:16 *41427:A 9.3 +*END + +*D_NET *4758 0.012518 +*CONN +*I *9005:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41425:A I *D sky130_fd_sc_hd__buf_2 +*I *37415:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *9005:DIODE 0 +2 *41425:A 0.000169684 +3 *37415:Y 0 +4 *4758:49 0.00119316 +5 *4758:38 0.00188412 +6 *4758:27 0.00214732 +7 *4758:5 0.00420522 +8 *4758:4 0.00291854 +9 *6154:DIODE *4758:38 0 +10 *7982:DIODE *4758:49 0 +11 *39601:A *4758:49 0 +12 *39961:A *4758:5 0 +13 *266:48 *4758:27 0 +14 *1248:43 *4758:27 0 +15 *1450:63 *4758:27 0 +16 *1457:17 *4758:27 0 +17 *1457:42 *4758:5 0 +18 *1863:28 *4758:27 0 +19 *2373:67 *4758:38 0 +20 *2804:11 *4758:5 0 +21 *3107:28 *4758:27 0 +22 *3111:59 *4758:49 0 +23 *3113:27 *4758:38 0 +24 *3164:55 *4758:49 0 +25 *3184:35 *4758:38 0 +26 *3184:45 *4758:38 0 +27 *3199:78 *4758:49 0 +28 *3264:37 *4758:38 0 +29 *3389:36 *4758:27 0 +30 *3402:78 *4758:49 0 +31 *3402:102 *4758:27 0 +32 *3416:57 *4758:27 0 +33 *3421:11 *4758:49 0 +34 *3421:23 *4758:49 0 +35 *3421:25 *4758:49 0 +36 *3477:23 *41425:A 0 +37 *3491:13 *4758:5 0 +38 *4427:93 *4758:27 0 +39 *4427:113 *4758:5 0 +40 *4427:113 *4758:27 0 +41 *4563:86 *4758:27 0 +42 *4591:11 *41425:A 0 +43 *4591:11 *4758:49 0 +*RES +1 *37415:Y *4758:4 9.3 +2 *4758:4 *4758:5 60.9107 +3 *4758:5 *4758:27 46.2679 +4 *4758:27 *4758:38 36.375 +5 *4758:38 *4758:49 30.6429 +6 *4758:49 *41425:A 21.925 +7 *4758:49 *9005:DIODE 9.3 +*END + +*D_NET *4759 0.00424645 +*CONN +*I *38084:C I *D sky130_fd_sc_hd__and3b_1 +*I *38831:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *38084:C 0.00149159 +2 *38831:X 0.00063164 +3 *4759:10 0.00212323 +4 *4759:10 *4870:16 0 +5 *6990:DIODE *4759:10 0 +6 *39023:A *4759:10 0 +7 *40510:A *38084:C 0 +8 *40577:A *38084:C 0 +9 *391:8 *4759:10 0 +10 *392:7 *38084:C 0 +11 *520:7 *38084:C 0 +12 *775:12 *4759:10 0 +13 *2516:30 *38084:C 0 +14 *3167:59 *38084:C 0 +15 *3253:32 *38084:C 0 +16 *3300:40 *38084:C 0 +17 *3427:9 *38084:C 0 +18 *3427:34 *38084:C 0 +19 *3441:41 *38084:C 0 +20 *3441:55 *38084:C 0 +21 *4055:82 *38084:C 0 +22 *4204:32 *4759:10 0 +*RES +1 *38831:X *4759:10 26.9964 +2 *4759:10 *38084:C 47.7821 +*END + +*D_NET *4760 0.0112713 +*CONN +*I *37952:C I *D sky130_fd_sc_hd__and3b_1 +*I *5877:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38832:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *37952:C 0.000233688 +2 *5877:DIODE 0 +3 *38832:X 0.00128536 +4 *4760:48 0.000346122 +5 *4760:47 0.00141252 +6 *4760:28 0.00283176 +7 *4760:19 0.00270406 +8 *4760:9 0.00245775 +9 *4760:9 *4825:7 0 +10 *4760:19 *4825:23 0 +11 *4760:19 *4848:9 0 +12 *4760:28 *4822:20 0 +13 *6648:DIODE *4760:9 0 +14 *6975:DIODE *4760:28 0 +15 *38512:A *4760:9 0 +16 *38514:A *4760:9 0 +17 *578:8 *4760:28 0 +18 *1376:23 *4760:28 0 +19 *1395:20 *4760:28 0 +20 *1796:39 *4760:47 0 +21 *1801:22 *4760:28 0 +22 *1895:52 *4760:47 0 +23 *2004:50 *4760:47 0 +24 *2004:65 *4760:47 0 +25 *2031:51 *4760:28 0 +26 *2049:23 *4760:28 0 +27 *2142:29 *4760:28 0 +28 *3059:17 *4760:28 0 +29 *3369:11 *4760:19 0 +30 *3374:14 *4760:9 0 +31 *3393:14 *4760:28 0 +32 *3437:40 *4760:19 0 +33 *3455:47 *4760:9 0 +34 *3455:47 *4760:19 0 +35 *3642:78 *4760:28 0 +36 *4159:29 *37952:C 0 +37 *4173:19 *4760:47 0 +38 *4444:10 *4760:9 0 +39 *4454:22 *4760:19 0 +40 *4748:18 *4760:9 0 +*RES +1 *38832:X *4760:9 36.1571 +2 *4760:9 *4760:19 33.5583 +3 *4760:19 *4760:28 48.0625 +4 *4760:28 *4760:47 49.8393 +5 *4760:47 *4760:48 2.55357 +6 *4760:48 *5877:DIODE 13.8 +7 *4760:48 *37952:C 18.9429 +*END + +*D_NET *4761 0.0162331 +*CONN +*I *9004:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41424:A I *D sky130_fd_sc_hd__buf_2 +*I *37416:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *9004:DIODE 0 +2 *41424:A 0.000566619 +3 *37416:Y 4.55793e-05 +4 *4761:86 0.00108565 +5 *4761:31 0.00373252 +6 *4761:9 0.00641869 +7 *4761:8 0.00438403 +8 *41424:A *7145:DIODE 0 +9 *41424:A *39049:A 0 +10 *41424:A *5184:16 0 +11 *4761:9 *5075:37 0 +12 *4761:9 *5100:49 0 +13 *4761:31 *5100:45 0 +14 *4761:31 *5184:16 0 +15 *4761:86 *5034:78 0 +16 *6936:DIODE *4761:9 0 +17 *37698:A *4761:86 0 +18 *38763:A *4761:9 0 +19 *38801:A *4761:9 0 +20 *38809:A *4761:31 0 +21 *40260:A *4761:9 0 +22 *40260:A *4761:31 0 +23 *802:27 *41424:A 0 +24 *1059:8 *4761:9 0 +25 *1099:5 *4761:31 0 +26 *1728:28 *4761:31 0 +27 *1834:23 *4761:31 0 +28 *2373:47 *4761:31 0 +29 *2849:23 *41424:A 0 +30 *2849:23 *4761:31 0 +31 *3188:31 *4761:86 0 +32 *3205:68 *41424:A 0 +33 *3262:20 *4761:9 0 +34 *3266:20 *4761:31 0 +35 *3403:7 *4761:86 0 +36 *4416:20 *4761:86 0 +37 *4535:15 *4761:9 0 +38 *4540:26 *41424:A 0 +39 *4554:17 *4761:9 0 +40 *4555:19 *4761:9 0 +41 *4555:25 *4761:86 0 +42 *4555:54 *4761:86 0 +43 *4594:45 *4761:9 0 +44 *4602:18 *4761:86 0 +*RES +1 *37416:Y *4761:8 19.3357 +2 *4761:8 *4761:9 90.4821 +3 *4761:9 *4761:31 40.1005 +4 *4761:31 *41424:A 23.7433 +5 *4761:31 *4761:86 45.9368 +6 *4761:86 *9004:DIODE 13.8 +*END + +*D_NET *4762 0.00240614 +*CONN +*I *41423:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37417:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *41423:A 0 +2 *37417:Y 0.00120307 +3 *4762:10 0.00120307 +4 *4762:10 *4781:11 0 +5 *6889:DIODE *4762:10 0 +6 *38753:A *4762:10 0 +7 *40224:A *4762:10 0 +8 *40309:A *4762:10 0 +9 *1603:10 *4762:10 0 +10 *2714:9 *4762:10 0 +11 *3189:10 *4762:10 0 +12 *4544:14 *4762:10 0 +13 *4578:12 *4762:10 0 +*RES +1 *37417:Y *4762:10 43.4786 +2 *4762:10 *41423:A 9.3 +*END + +*D_NET *4763 0.00197918 +*CONN +*I *41397:A I *D sky130_fd_sc_hd__buf_2 +*I *38406:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *41397:A 0.000989592 +2 *38406:Y 0.000989592 +3 *6642:DIODE *41397:A 0 +4 *823:11 *41397:A 0 +5 *3304:9 *41397:A 0 +6 *4430:91 *41397:A 0 +7 *4430:96 *41397:A 0 +8 *4441:9 *41397:A 0 +9 *4704:8 *41397:A 0 +*RES +1 *38406:Y *41397:A 48.3857 +*END + +*D_NET *4764 0.0200844 +*CONN +*I *9002:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41421:A I *D sky130_fd_sc_hd__buf_4 +*I *37418:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *9002:DIODE 0 +2 *41421:A 0.000171134 +3 *37418:Y 5.89896e-05 +4 *4764:49 0.00027552 +5 *4764:48 0.00424505 +6 *4764:25 0.00613973 +7 *4764:9 0.00556703 +8 *4764:8 0.00362695 +9 *41421:A *4780:86 0 +10 *4764:25 *4773:49 0 +11 *4764:48 *5074:44 0 +12 *4764:48 *5171:57 0 +13 *4764:48 *5172:30 0 +14 *4764:48 *5175:48 0 +15 *7381:DIODE *4764:25 0 +16 *7520:DIODE *4764:48 0 +17 *37418:A *4764:8 0 +18 *39420:A *4764:25 0 +19 *39534:A *4764:48 0 +20 *1014:119 *4764:25 0 +21 *1248:24 *4764:48 0 +22 *1248:24 *4764:49 0 +23 *1248:43 *4764:48 0 +24 *1261:56 *4764:9 0 +25 *1717:5 *4764:9 0 +26 *3035:14 *4764:25 0 +27 *3111:26 *4764:48 0 +28 *3116:22 *4764:48 0 +29 *3168:16 *4764:25 0 +30 *3188:27 *4764:49 0 +31 *3189:36 *4764:48 0 +32 *3212:26 *4764:48 0 +33 *3357:68 *4764:25 0 +34 *3370:17 *4764:9 0 +35 *3375:23 *4764:48 0 +36 *3375:38 *4764:48 0 +37 *3392:65 *4764:9 0 +38 *3395:72 *4764:25 0 +39 *3419:28 *4764:25 0 +40 *3475:58 *4764:25 0 +41 *3721:43 *4764:48 0 +42 *4426:9 *4764:9 0 +43 *4449:41 *4764:48 0 +44 *4554:53 *4764:49 0 +45 *4585:28 *4764:48 0 +46 *4586:24 *4764:48 0 +*RES +1 *37418:Y *4764:8 19.6393 +2 *4764:8 *4764:9 74.4643 +3 *4764:9 *4764:25 48.9014 +4 *4764:25 *4764:48 49.0395 +5 *4764:48 *4764:49 2.17857 +6 *4764:49 *41421:A 22.1036 +7 *4764:49 *9002:DIODE 9.3 +*END + +*D_NET *4765 0.0121246 +*CONN +*I *9001:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41420:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37419:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *9001:DIODE 0 +2 *41420:A 0.000209899 +3 *37419:Y 5.89896e-05 +4 *4765:12 0.000346342 +5 *4765:9 0.00579341 +6 *4765:8 0.00571596 +7 *41420:A *5174:19 0 +8 *41420:A *5187:8 0 +9 *4765:9 *41410:A 0 +10 *5515:DIODE *4765:9 0 +11 *37429:A *4765:9 0 +12 *37639:A *4765:9 0 +13 *39402:A *4765:9 0 +14 *265:27 *4765:12 0 +15 *1606:9 *4765:9 0 +16 *1727:9 *4765:9 0 +17 *1744:28 *41420:A 0 +18 *2965:37 *4765:9 0 +19 *3026:5 *4765:9 0 +20 *3026:9 *4765:9 0 +*RES +1 *37419:Y *4765:8 19.6393 +2 *4765:8 *4765:9 118 +3 *4765:9 *4765:12 7.66071 +4 *4765:12 *41420:A 18.2643 +5 *4765:12 *9001:DIODE 13.8 +*END + +*D_NET *4766 0.00560606 +*CONN +*I *41418:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37420:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *41418:A 0 +2 *37420:Y 0.00066311 +3 *4766:13 0.00213992 +4 *4766:7 0.00280303 +5 *4766:13 *4778:9 0 +6 *4766:13 *5209:8 0 +7 *6932:DIODE *4766:13 0 +8 *37423:A *4766:7 0 +9 *37423:A *4766:13 0 +10 *37468:A *4766:13 0 +11 *38760:A *4766:13 0 +12 *1732:19 *4766:13 0 +13 *4548:14 *4766:13 0 +14 *4551:13 *4766:13 0 +*RES +1 *37420:Y *4766:7 23.2018 +2 *4766:7 *4766:13 44.7232 +3 *4766:13 *41418:A 9.3 +*END + +*D_NET *4767 0.00980008 +*CONN +*I *8998:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41416:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37421:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *8998:DIODE 0 +2 *41416:A 0.00016428 +3 *37421:Y 0.00166278 +4 *4767:11 0.00323726 +5 *4767:10 0.00307298 +6 *4767:8 0.00166278 +7 *41416:A *5174:15 0 +8 *4767:11 *41408:A 0 +9 *4767:11 *5174:7 0 +10 *4767:11 *5174:15 0 +11 *37421:A *4767:8 0 +12 *37424:A *4767:8 0 +13 *37425:A *4767:11 0 +14 *37673:A *4767:8 0 +15 *37738:B *4767:8 0 +16 *269:19 *4767:8 0 +17 *1744:29 *4767:11 0 +18 *2979:15 *4767:8 0 +19 *3459:11 *4767:8 0 +*RES +1 *37421:Y *4767:8 48.9786 +2 *4767:8 *4767:10 4.5 +3 *4767:10 *4767:11 64.1964 +4 *4767:11 *41416:A 12.7286 +5 *4767:11 *8998:DIODE 9.3 +*END + +*D_NET *4768 0.00268165 +*CONN +*I *41414:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37422:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *41414:A 0 +2 *37422:Y 0.00134083 +3 *4768:10 0.00134083 +4 *6897:DIODE *4768:10 0 +5 *6932:DIODE *4768:10 0 +6 *6942:DIODE *4768:10 0 +7 *37732:B *4768:10 0 +8 *38761:A *4768:10 0 +9 *38796:A *4768:10 0 +10 *1055:12 *4768:10 0 +11 *1096:10 *4768:10 0 +12 *3537:5 *4768:10 0 +*RES +1 *37422:Y *4768:10 46.3536 +2 *4768:10 *41414:A 9.3 +*END + +*D_NET *4769 0.00129961 +*CONN +*I *41412:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37423:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *41412:A 0.000649807 +2 *37423:Y 0.000649807 +3 *1463:30 *41412:A 0 +4 *1604:10 *41412:A 0 +5 *4588:11 *41412:A 0 +*RES +1 *37423:Y *41412:A 41.3679 +*END + +*D_NET *4770 0.00439269 +*CONN +*I *41410:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37424:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *41410:A 0.000871883 +2 *37424:Y 0.00132446 +3 *4770:10 0.00219634 +4 *37738:A *4770:10 0 +5 *37738:B *4770:10 0 +6 *267:15 *4770:10 0 +7 *2965:37 *41410:A 0 +8 *2979:15 *4770:10 0 +9 *4043:27 *4770:10 0 +10 *4765:9 *41410:A 0 +*RES +1 *37424:Y *4770:10 46.05 +2 *4770:10 *41410:A 27.4964 +*END + +*D_NET *4771 0.00563215 +*CONN +*I *37954:C I *D sky130_fd_sc_hd__and3b_1 +*I *38833:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *37954:C 0.00127275 +2 *38833:X 0.000991911 +3 *4771:25 0.00182416 +4 *4771:17 0.00154332 +5 *4771:17 *4904:46 0 +6 *4771:25 *39078:A 0 +7 *4771:25 *4822:20 0 +8 *4771:25 *4959:44 0 +9 *6650:DIODE *4771:17 0 +10 *6791:DIODE *4771:17 0 +11 *6792:DIODE *4771:17 0 +12 *38656:A *4771:17 0 +13 *387:31 *4771:25 0 +14 *387:45 *4771:25 0 +15 *1798:57 *37954:C 0 +16 *3131:28 *37954:C 0 +17 *3346:14 *37954:C 0 +18 *3367:5 *4771:17 0 +19 *3374:14 *4771:17 0 +20 *4173:19 *37954:C 0 +21 *4446:10 *4771:17 0 +22 *4447:10 *4771:17 0 +23 *4454:22 *37954:C 0 +*RES +1 *38833:X *4771:17 48.1393 +2 *4771:17 *4771:25 25.2143 +3 *4771:25 *37954:C 42.5143 +*END + +*D_NET *4772 0.00162569 +*CONN +*I *41408:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37425:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *41408:A 0.000812845 +2 *37425:Y 0.000812845 +3 *37425:A *41408:A 0 +4 *1744:29 *41408:A 0 +5 *4767:11 *41408:A 0 +*RES +1 *37425:Y *41408:A 35.5643 +*END + +*D_NET *4773 0.0144699 +*CONN +*I *8992:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41406:A I *D sky130_fd_sc_hd__buf_2 +*I *37426:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *8992:DIODE 0 +2 *41406:A 0.000143103 +3 *37426:Y 0.00071389 +4 *4773:49 0.0019622 +5 *4773:42 0.00360204 +6 *4773:30 0.00299676 +7 *4773:20 0.00277591 +8 *4773:14 0.00227599 +9 *4773:14 *5176:9 0 +10 *4773:20 *5174:19 0 +11 *4773:20 *5174:27 0 +12 *4773:49 *5187:9 0 +13 *7971:DIODE *4773:49 0 +14 *37718:A *4773:20 0 +15 *37719:A *4773:20 0 +16 *39513:B *4773:30 0 +17 *1005:91 *4773:30 0 +18 *1248:67 *41406:A 0 +19 *1463:22 *4773:49 0 +20 *1709:13 *4773:30 0 +21 *1730:15 *4773:30 0 +22 *1832:36 *4773:42 0 +23 *1835:5 *4773:20 0 +24 *1845:30 *4773:49 0 +25 *2969:5 *4773:30 0 +26 *3002:5 *4773:42 0 +27 *3024:78 *4773:42 0 +28 *3035:14 *4773:14 0 +29 *3101:16 *4773:42 0 +30 *3164:29 *4773:42 0 +31 *3164:44 *4773:49 0 +32 *3189:17 *41406:A 0 +33 *3189:17 *4773:49 0 +34 *3189:36 *41406:A 0 +35 *3342:32 *4773:20 0 +36 *3357:61 *4773:42 0 +37 *3357:68 *4773:20 0 +38 *3370:16 *4773:30 0 +39 *3375:38 *4773:30 0 +40 *3375:38 *4773:42 0 +41 *3391:55 *4773:20 0 +42 *3392:65 *4773:42 0 +43 *3392:68 *4773:20 0 +44 *3395:72 *4773:49 0 +45 *3695:23 *41406:A 0 +46 *3695:23 *4773:49 0 +47 *4570:27 *4773:42 0 +48 *4764:25 *4773:49 0 +*RES +1 *37426:Y *4773:14 28.1708 +2 *4773:14 *4773:20 41.8571 +3 *4773:20 *4773:30 34.625 +4 *4773:30 *4773:42 45.5953 +5 *4773:42 *4773:49 47.5714 +6 *4773:49 *41406:A 12.3179 +7 *4773:49 *8992:DIODE 9.3 +*END + +*D_NET *4774 0.0197063 +*CONN +*I *7137:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39040:A I *D sky130_fd_sc_hd__buf_12 +*I *37427:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *7137:DIODE 0.0001416 +2 *39040:A 0.000869102 +3 *37427:Y 0.00649093 +4 *4774:57 0.00243581 +5 *4774:35 0.0024931 +6 *4774:25 0.00727572 +7 *4774:25 *5175:48 0 +8 *4774:25 *5179:29 0 +9 *4774:57 *39044:A 0 +10 *4774:57 *39045:A 0 +11 la_data_in_mprj[121] *39040:A 0 +12 la_data_in_mprj[122] *4774:57 0 +13 *6756:DIODE *4774:25 0 +14 *6756:DIODE *4774:35 0 +15 *39525:A *4774:57 0 +16 *39525:B *4774:57 0 +17 *40346:A *4774:25 0 +18 *534:63 *4774:57 0 +19 *540:55 *4774:57 0 +20 *797:54 *4774:57 0 +21 *1008:129 *7137:DIODE 0 +22 *1857:28 *4774:57 0 +23 *1860:57 *4774:25 0 +24 *1862:69 *4774:25 0 +25 *1879:21 *4774:35 0 +26 *2445:22 *39040:A 0 +27 *2445:35 *39040:A 0 +28 *2713:28 *4774:25 0 +29 *2713:49 *4774:25 0 +30 *2893:43 *4774:35 0 +31 *2938:24 *39040:A 0 +32 *2966:14 *4774:25 0 +33 *2993:17 *4774:57 0 +34 *2994:20 *4774:25 0 +35 *3017:55 *4774:25 0 +36 *3106:23 *4774:35 0 +37 *3106:69 *39040:A 0 +38 *3130:20 *4774:25 0 +39 *3209:17 *4774:25 0 +40 *3209:17 *4774:35 0 +41 *3230:27 *4774:25 0 +42 *3266:46 *4774:35 0 +43 *3266:62 *4774:57 0 +44 *3357:30 *4774:25 0 +45 *3571:24 *4774:57 0 +46 *4382:17 *4774:57 0 +47 *4427:42 *4774:57 0 +48 *4524:31 *4774:57 0 +49 *4532:38 *4774:35 0 +50 *4535:51 *4774:25 0 +*RES +1 *37427:Y *4774:25 49.4226 +2 *4774:25 *4774:35 19.9286 +3 *4774:35 *4774:57 38.3036 +4 *4774:57 *39040:A 27.5321 +5 *4774:35 *7137:DIODE 16.9964 +*END + +*D_NET *4775 0.00790486 +*CONN +*I *39041:A I *D sky130_fd_sc_hd__buf_12 +*I *38407:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39041:A 0.00168601 +2 *38407:Y 0.000662855 +3 *4775:30 0.00328957 +4 *4775:16 0.00226642 +5 *39041:A *4789:32 0 +6 *4775:30 *39056:A 0 +7 *4775:30 *4791:35 0 +8 *4775:30 *4866:10 0 +9 *6764:DIODE *4775:30 0 +10 *6767:DIODE *4775:30 0 +11 *6768:DIODE *4775:16 0 +12 *6882:DIODE *4775:30 0 +13 *6894:DIODE *4775:30 0 +14 *6905:DIODE *4775:16 0 +15 *38485:A *39041:A 0 +16 *38616:A *39041:A 0 +17 *38626:A *39041:A 0 +18 *38691:A *39041:A 0 +19 *38713:A *39041:A 0 +20 *38724:A *4775:30 0 +21 *38735:A *4775:30 0 +22 *548:8 *39041:A 0 +23 *805:11 *4775:30 0 +24 *2364:30 *4775:16 0 +25 *3060:10 *39041:A 0 +26 *3390:17 *4775:16 0 +27 *3749:31 *4775:16 0 +28 *4417:10 *39041:A 0 +29 *4418:11 *39041:A 0 +30 *4419:20 *4775:30 0 +31 *4424:33 *4775:30 0 +32 *4430:36 *4775:16 0 +33 *4430:36 *4775:30 0 +34 *4439:42 *39041:A 0 +35 *4504:21 *39041:A 0 +36 *4504:34 *39041:A 0 +37 *4549:15 *4775:30 0 +38 *4582:22 *4775:16 0 +39 *4593:7 *39041:A 0 +40 *4637:30 *4775:16 0 +41 *4637:42 *4775:30 0 +42 *4649:38 *4775:30 0 +*RES +1 *38407:Y *4775:16 36.0841 +2 *4775:16 *4775:30 42.6786 +3 *4775:30 *39041:A 44.675 +*END + +*D_NET *4776 0.0160019 +*CONN +*I *8991:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41405:A I *D sky130_fd_sc_hd__buf_2 +*I *37428:Y O *D sky130_fd_sc_hd__clkinv_4 +*CAP +1 *8991:DIODE 0 +2 *41405:A 0.000262825 +3 *37428:Y 0.000152586 +4 *4776:9 0.00784838 +5 *4776:8 0.00773814 +6 *41405:A *5178:56 0 +7 *4776:9 *5209:33 0 +8 *4776:9 *5209:47 0 +9 *5499:DIODE *4776:9 0 +10 *7506:DIODE *4776:9 0 +11 *7544:DIODE *4776:9 0 +12 *37470:A *4776:9 0 +13 *40318:A *4776:9 0 +14 *40608:A *4776:9 0 +15 *1463:30 *4776:8 0 +16 *1701:29 *41405:A 0 +17 *2964:5 *41405:A 0 +18 *2967:33 *4776:9 0 +19 *2967:38 *4776:9 0 +20 *3091:12 *4776:9 0 +21 *3536:7 *4776:9 0 +22 *4544:17 *4776:9 0 +23 *4551:34 *4776:9 0 +*RES +1 *37428:Y *4776:8 21.7643 +2 *4776:8 *4776:9 158.25 +3 *4776:9 *41405:A 24.0679 +4 *4776:9 *8991:DIODE 9.3 +*END + +*D_NET *4777 0.0127997 +*CONN +*I *8990:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41404:A I *D sky130_fd_sc_hd__buf_2 +*I *37429:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *8990:DIODE 0 +2 *41404:A 0.000131021 +3 *37429:Y 4.56186e-05 +4 *4777:31 0.0016032 +5 *4777:22 0.0034758 +6 *4777:14 0.00238351 +7 *4777:9 0.00274741 +8 *4777:8 0.00241314 +9 *5560:DIODE *4777:22 0 +10 *40231:A *4777:31 0 +11 *263:15 *4777:14 0 +12 *1627:14 *4777:14 0 +13 *1627:14 *4777:22 0 +14 *1744:29 *4777:9 0 +15 *1750:18 *4777:8 0 +16 *1832:36 *4777:31 0 +17 *1835:5 *4777:9 0 +18 *3164:17 *4777:22 0 +19 *3168:16 *4777:31 0 +20 *3189:17 *41404:A 0 +21 *3189:17 *4777:31 0 +22 *3370:8 *4777:31 0 +23 *3486:5 *4777:22 0 +24 *3486:5 *4777:31 0 +25 *3486:11 *4777:22 0 +26 *3486:20 *4777:14 0 +27 *4568:36 *4777:31 0 +28 *4578:20 *4777:22 0 +*RES +1 *37429:Y *4777:8 19.3357 +2 *4777:8 *4777:9 49.4107 +3 *4777:9 *4777:14 17.625 +4 *4777:14 *4777:22 46.4643 +5 *4777:22 *4777:31 44.4464 +6 *4777:31 *41404:A 21.1929 +7 *4777:31 *8990:DIODE 9.3 +*END + +*D_NET *4778 0.0106903 +*CONN +*I *8989:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41403:A I *D sky130_fd_sc_hd__buf_2 +*I *37430:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *8989:DIODE 0.000548859 +2 *41403:A 0 +3 *37430:Y 0.00187938 +4 *4778:32 0.00346577 +5 *4778:9 0.0047963 +6 *8989:DIODE *5077:19 0 +7 *4778:9 *39325:A 0 +8 *4778:9 *5185:10 0 +9 *4778:32 *39324:A 0 +10 *4778:32 *5077:19 0 +11 *4778:32 *5185:14 0 +12 *4778:32 *5190:31 0 +13 mprj_dat_i_core[20] *4778:32 0 +14 *37414:A *4778:9 0 +15 *39535:A *8989:DIODE 0 +16 *918:5 *4778:32 0 +17 *1005:91 *8989:DIODE 0 +18 *1013:84 *8989:DIODE 0 +19 *1044:8 *4778:32 0 +20 *1732:19 *4778:9 0 +21 *1834:10 *4778:32 0 +22 *3091:27 *8989:DIODE 0 +23 *3101:16 *8989:DIODE 0 +24 *3212:12 *8989:DIODE 0 +25 *4540:18 *4778:32 0 +26 *4541:9 *4778:32 0 +27 *4543:20 *4778:32 0 +28 *4543:32 *4778:32 0 +29 *4548:14 *4778:9 0 +30 *4548:39 *8989:DIODE 0 +31 *4552:32 *4778:32 0 +32 *4578:12 *4778:32 0 +33 *4580:15 *4778:9 0 +34 *4757:16 *4778:9 0 +35 *4766:13 *4778:9 0 +*RES +1 *37430:Y *4778:9 48.5857 +2 *4778:9 *4778:32 45.4209 +3 *4778:32 *41403:A 13.8 +4 *4778:32 *8989:DIODE 26.3 +*END + +*D_NET *4779 0.0110893 +*CONN +*I *8988:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41402:A I *D sky130_fd_sc_hd__buf_2 +*I *37431:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *8988:DIODE 0 +2 *41402:A 0.000153747 +3 *37431:Y 0.000577213 +4 *4779:17 0.00303293 +5 *4779:16 0.00481368 +6 *4779:10 0.00251172 +7 *5276:DIODE *4779:10 0 +8 *37426:A *4779:10 0 +9 *37426:A *4779:16 0 +10 *39651:A *4779:10 0 +11 *263:15 *4779:16 0 +12 *280:14 *4779:16 0 +13 *1017:93 *4779:10 0 +14 *1851:14 *4779:10 0 +15 *2804:29 *4779:17 0 +16 *2979:11 *4779:17 0 +17 *3006:20 *4779:10 0 +18 *3391:64 *4779:16 0 +19 *3396:31 *4779:16 0 +20 *3398:75 *4779:10 0 +21 *3484:37 *41402:A 0 +22 *3484:37 *4779:17 0 +23 *4043:17 *41402:A 0 +24 *4043:19 *4779:17 0 +25 *4223:78 *4779:10 0 +*RES +1 *37431:Y *4779:10 31.4071 +2 *4779:10 *4779:16 49.4821 +3 *4779:16 *4779:17 60.0893 +4 *4779:17 *41402:A 21.5679 +5 *4779:17 *8988:DIODE 9.3 +*END + +*D_NET *4780 0.0177469 +*CONN +*I *7142:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39046:A I *D sky130_fd_sc_hd__buf_12 +*I *37432:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *7142:DIODE 0 +2 *39046:A 0.000859893 +3 *37432:Y 0.0014587 +4 *4780:120 0.00204721 +5 *4780:99 0.00149878 +6 *4780:86 0.00185029 +7 *4780:63 0.00336261 +8 *4780:35 0.00351724 +9 *4780:15 0.00315215 +10 *39046:A *5166:78 0 +11 *39046:A *5171:107 0 +12 *4780:35 *8995:DIODE 0 +13 *4780:35 *41411:A 0 +14 *4780:35 *5080:28 0 +15 *4780:63 *8997:DIODE 0 +16 *4780:63 *41415:A 0 +17 *4780:63 *41419:A 0 +18 *4780:63 *5182:28 0 +19 *4780:63 *5186:28 0 +20 *4780:86 *5188:20 0 +21 *4780:120 *5167:85 0 +22 la_data_in_mprj[126] *4780:120 0 +23 *5530:DIODE *4780:86 0 +24 *5554:DIODE *4780:15 0 +25 *6944:DIODE *4780:63 0 +26 *7350:DIODE *4780:35 0 +27 *7558:DIODE *4780:63 0 +28 *7970:DIODE *4780:63 0 +29 *7977:DIODE *4780:35 0 +30 *37709:A *4780:63 0 +31 *39508:A *4780:63 0 +32 *39553:A *4780:63 0 +33 *39637:A *4780:63 0 +34 *39637:A *4780:86 0 +35 *40241:A *4780:63 0 +36 *40486:A *4780:120 0 +37 *41421:A *4780:86 0 +38 *415:10 *39046:A 0 +39 *545:47 *4780:86 0 +40 *801:36 *4780:86 0 +41 *801:36 *4780:99 0 +42 *1259:19 *4780:15 0 +43 *1828:23 *39046:A 0 +44 *1840:20 *4780:63 0 +45 *1856:16 *4780:120 0 +46 *1862:69 *4780:99 0 +47 *1862:69 *4780:120 0 +48 *2442:16 *4780:86 0 +49 *2967:15 *4780:35 0 +50 *2967:23 *4780:15 0 +51 *2967:25 *4780:15 0 +52 *3027:43 *4780:63 0 +53 *3038:26 *4780:86 0 +54 *3113:14 *4780:63 0 +55 *3262:33 *4780:63 0 +56 *3262:49 *4780:63 0 +57 *3262:49 *4780:86 0 +58 *3266:46 *4780:120 0 +59 *3357:61 *4780:35 0 +60 *3375:23 *4780:86 0 +61 *3375:23 *4780:99 0 +62 *3391:32 *4780:35 0 +63 *3398:20 *4780:120 0 +64 *3470:20 *4780:63 0 +65 *3536:33 *4780:35 0 +66 *3721:43 *4780:35 0 +67 *4348:43 *39046:A 0 +68 *4348:43 *4780:120 0 +69 *4460:27 *4780:99 0 +70 *4471:20 *4780:99 0 +71 *4529:67 *4780:63 0 +72 *4529:86 *4780:86 0 +73 *4534:82 *4780:63 0 +74 *4534:92 *4780:63 0 +75 *4534:92 *4780:86 0 +76 *4534:97 *4780:86 0 +77 *4545:74 *4780:63 0 +78 *4554:17 *4780:63 0 +79 *4554:77 *4780:86 0 +80 *4554:77 *4780:99 0 +81 *4555:19 *4780:63 0 +82 *4555:54 *4780:86 0 +83 *4568:37 *4780:63 0 +*RES +1 *37432:Y *4780:15 49.1571 +2 *4780:15 *4780:35 49.0893 +3 *4780:35 *4780:63 43.6786 +4 *4780:63 *4780:86 46.6429 +5 *4780:86 *4780:99 20.25 +6 *4780:99 *4780:120 44.1607 +7 *4780:120 *39046:A 36.4786 +8 *4780:99 *7142:DIODE 9.3 +*END + +*D_NET *4781 0.00727111 +*CONN +*I *41401:A I *D sky130_fd_sc_hd__buf_2 +*I *37433:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *41401:A 0.00126483 +2 *37433:Y 0.000930279 +3 *4781:11 0.00270528 +4 *4781:7 0.00237073 +5 *4781:7 *5178:10 0 +6 *4781:11 *7533:DIODE 0 +7 *5283:DIODE *4781:7 0 +8 *6920:DIODE *41401:A 0 +9 *6922:DIODE *4781:11 0 +10 *37464:A *4781:7 0 +11 *39502:A *41401:A 0 +12 *39502:B *41401:A 0 +13 *39516:B *41401:A 0 +14 *39539:A *4781:7 0 +15 *40219:A *4781:7 0 +16 *40224:A *4781:7 0 +17 *40224:A *4781:11 0 +18 *40228:A *41401:A 0 +19 *40309:A *4781:11 0 +20 *1044:8 *41401:A 0 +21 *1046:8 *41401:A 0 +22 *1046:8 *4781:11 0 +23 *1603:10 *4781:7 0 +24 *1848:15 *41401:A 0 +25 *1873:15 *4781:7 0 +26 *2714:9 *41401:A 0 +27 *3089:14 *4781:7 0 +28 *3189:10 *4781:11 0 +29 *4544:14 *4781:11 0 +30 *4577:16 *41401:A 0 +31 *4584:17 *4781:7 0 +32 *4762:10 *4781:11 0 +*RES +1 *37433:Y *4781:7 28.7464 +2 *4781:7 *4781:11 30.125 +3 *4781:11 *41401:A 35.7286 +*END + +*D_NET *4782 0.00846195 +*CONN +*I *37956:C I *D sky130_fd_sc_hd__and3b_1 +*I *5883:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38834:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *37956:C 0.0002415 +2 *5883:DIODE 0 +3 *38834:X 0.00086338 +4 *4782:21 0.0011906 +5 *4782:20 0.00312609 +6 *4782:11 0.00304037 +7 *4782:11 *4904:27 0 +8 *4782:20 *4804:11 0 +9 *4782:20 *4959:44 0 +10 *4782:20 *4959:58 0 +11 *4782:21 *5015:41 0 +12 *6650:DIODE *4782:11 0 +13 *6971:DIODE *4782:11 0 +14 *38216:A *4782:20 0 +15 *387:31 *4782:20 0 +16 *446:5 *4782:11 0 +17 *574:9 *4782:11 0 +18 *1502:11 *37956:C 0 +19 *1502:11 *4782:21 0 +20 *3241:23 *37956:C 0 +21 *3241:25 *37956:C 0 +22 *3241:25 *4782:21 0 +23 *3347:28 *4782:20 0 +24 *3349:8 *4782:11 0 +25 *3367:5 *4782:11 0 +26 *4123:32 *4782:20 0 +27 *4132:47 *4782:21 0 +28 *4162:62 *4782:20 0 +29 *4446:10 *4782:11 0 +*RES +1 *38834:X *4782:11 41.0679 +2 *4782:11 *4782:20 45.6955 +3 *4782:20 *4782:21 19.8393 +4 *4782:21 *5883:DIODE 9.3 +5 *4782:21 *37956:C 14.3714 +*END + +*D_NET *4783 0.0191253 +*CONN +*I *7144:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39048:A I *D sky130_fd_sc_hd__buf_12 +*I *37434:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *7144:DIODE 0 +2 *39048:A 0.000970554 +3 *37434:Y 0.00269505 +4 *4783:42 0.00686761 +5 *4783:13 0.0085921 +6 *39048:A *5171:77 0 +7 *4783:13 *5169:18 0 +8 *4783:42 *5169:18 0 +9 *4783:42 *5188:20 0 +10 *40231:A *4783:13 0 +11 *40234:A *39048:A 0 +12 *40370:A *39048:A 0 +13 *544:49 *39048:A 0 +14 *801:8 *39048:A 0 +15 *1752:16 *4783:13 0 +16 *1836:26 *4783:42 0 +17 *2983:16 *39048:A 0 +18 *3016:10 *39048:A 0 +19 *3089:38 *4783:42 0 +20 *3101:24 *4783:13 0 +21 *3102:23 *4783:13 0 +22 *3102:23 *4783:42 0 +23 *3194:36 *4783:42 0 +24 *3357:61 *4783:13 0 +25 *3370:8 *4783:13 0 +26 *3370:16 *4783:13 0 +27 *3395:72 *4783:13 0 +28 *3471:17 *4783:42 0 +29 *3471:37 *4783:13 0 +30 *3471:37 *4783:42 0 +31 *3475:42 *4783:13 0 +32 *3475:42 *4783:42 0 +33 *3536:33 *4783:42 0 +34 *3536:44 *4783:42 0 +35 *3695:23 *4783:13 0 +36 *4570:18 *4783:13 0 +37 *4570:27 *4783:13 0 +38 *4586:51 *4783:42 0 +39 *4602:40 *39048:A 0 +40 *4603:16 *4783:42 0 +*RES +1 *37434:Y *4783:13 49.321 +2 *4783:13 *4783:42 44.4698 +3 *4783:42 *39048:A 35.7821 +4 *4783:42 *7144:DIODE 13.8 +*END + +*D_NET *4784 0.0178586 +*CONN +*I *7145:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39049:A I *D sky130_fd_sc_hd__buf_12 +*I *37435:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *7145:DIODE 0.000673022 +2 *39049:A 0.000340069 +3 *37435:Y 0.000683415 +4 *4784:47 0.00101309 +5 *4784:45 0.00142357 +6 *4784:21 0.00329241 +7 *4784:11 0.0058092 +8 *4784:10 0.00462379 +9 *7145:DIODE *5171:77 0 +10 *4784:11 *5083:11 0 +11 *4784:21 *5075:13 0 +12 *4784:21 *5102:39 0 +13 mprj_dat_i_core[14] *4784:11 0 +14 mprj_dat_i_core[1] *4784:45 0 +15 *6876:DIODE *4784:10 0 +16 *6881:DIODE *4784:45 0 +17 *6906:DIODE *4784:45 0 +18 *6943:DIODE *4784:45 0 +19 *6947:DIODE *4784:45 0 +20 *8017:DIODE *4784:45 0 +21 *38741:A *4784:10 0 +22 *39534:B *4784:45 0 +23 *40323:A *4784:10 0 +24 *40443:A *7145:DIODE 0 +25 *40549:A *4784:45 0 +26 *41424:A *7145:DIODE 0 +27 *41424:A *39049:A 0 +28 *802:27 *39049:A 0 +29 *909:11 *4784:10 0 +30 *966:14 *4784:45 0 +31 *1097:8 *4784:45 0 +32 *1105:14 *4784:45 0 +33 *1828:23 *4784:21 0 +34 *1862:69 *7145:DIODE 0 +35 *2373:47 *4784:21 0 +36 *2713:169 *7145:DIODE 0 +37 *2713:169 *39049:A 0 +38 *2713:169 *4784:45 0 +39 *3030:23 *4784:11 0 +40 *3030:23 *4784:21 0 +41 *3142:40 *4784:45 0 +42 *3205:68 *7145:DIODE 0 +43 *3230:27 *7145:DIODE 0 +44 *3395:23 *4784:45 0 +45 *4416:32 *4784:45 0 +46 *4525:11 *4784:11 0 +47 *4531:11 *4784:11 0 +48 *4532:19 *4784:10 0 +49 *4534:15 *4784:10 0 +50 *4535:26 *4784:11 0 +51 *4553:20 *4784:45 0 +52 *4561:27 *4784:45 0 +53 *4562:26 *39049:A 0 +54 *4581:31 *4784:11 0 +55 *4590:47 *7145:DIODE 0 +*RES +1 *37435:Y *4784:10 32.8714 +2 *4784:10 *4784:11 82.2679 +3 *4784:11 *4784:21 48.2679 +4 *4784:21 *4784:45 48.5 +5 *4784:45 *4784:47 4.5 +6 *4784:47 *39049:A 21.425 +7 *4784:47 *7145:DIODE 38.2821 +*END + +*D_NET *4785 0.00214231 +*CONN +*I *39050:A I *D sky130_fd_sc_hd__buf_12 +*I *38408:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39050:A 0 +2 *38408:Y 0.00107115 +3 *4785:17 0.00107115 +4 *4785:17 *4787:29 0 +5 la_data_in_mprj[13] *4785:17 0 +6 *6763:DIODE *4785:17 0 +7 *38484:A *4785:17 0 +8 *548:8 *4785:17 0 +9 *804:9 *4785:17 0 +10 *2359:15 *4785:17 0 +11 *2827:13 *4785:17 0 +12 *3049:11 *4785:17 0 +13 *4482:14 *4785:17 0 +14 *4637:42 *4785:17 0 +*RES +1 *38408:Y *4785:17 41.3179 +2 *4785:17 *39050:A 9.3 +*END + +*D_NET *4786 0.0122982 +*CONN +*I *7146:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39051:A I *D sky130_fd_sc_hd__buf_12 +*I *38409:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *7146:DIODE 0 +2 *39051:A 0.000365351 +3 *38409:Y 0.000165957 +4 *4786:15 0.00115568 +5 *4786:9 0.00561777 +6 *4786:8 0.0049934 +7 *4786:8 *4798:11 0 +8 *7401:DIODE *4786:9 0 +9 *37535:A *4786:9 0 +10 *260:17 *4786:8 0 +11 *803:9 *39051:A 0 +12 *1158:5 *4786:15 0 +13 *1778:15 *4786:9 0 +14 *2362:9 *4786:9 0 +15 *3052:21 *4786:9 0 +16 *3052:27 *4786:9 0 +17 *3060:10 *39051:A 0 +18 *3060:14 *39051:A 0 +19 *3060:14 *4786:15 0 +20 *3382:12 *4786:9 0 +21 *4420:17 *39051:A 0 +22 *4420:28 *39051:A 0 +23 *4493:38 *4786:8 0 +24 *4560:12 *39051:A 0 +25 *4560:12 *4786:15 0 +26 *4593:20 *39051:A 0 +*RES +1 *38409:Y *4786:8 22.0679 +2 *4786:8 *4786:9 100.75 +3 *4786:9 *4786:15 26.9643 +4 *4786:15 *39051:A 26.55 +5 *4786:15 *7146:DIODE 9.3 +*END + +*D_NET *4787 0.0114415 +*CONN +*I *39052:A I *D sky130_fd_sc_hd__buf_12 +*I *7147:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38410:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *39052:A 0.000121071 +2 *7147:DIODE 0 +3 *38410:Y 0.000782008 +4 *4787:29 0.000817739 +5 *4787:25 0.00205656 +6 *4787:11 0.004121 +7 *4787:10 0.00354311 +8 *4787:11 *4797:9 0 +9 la_data_in_mprj[14] *39052:A 0 +10 *6986:DIODE *4787:10 0 +11 *37332:A *4787:25 0 +12 *38410:A *4787:10 0 +13 *39435:B *4787:11 0 +14 *420:5 *4787:29 0 +15 *548:8 *4787:29 0 +16 *1156:9 *4787:11 0 +17 *1760:13 *4787:10 0 +18 *3171:42 *4787:25 0 +19 *3171:48 *4787:11 0 +20 *3749:9 *4787:11 0 +21 *3871:17 *4787:25 0 +22 *3924:39 *4787:11 0 +23 *3928:35 *4787:11 0 +24 *4371:17 *4787:10 0 +25 *4371:18 *4787:10 0 +26 *4418:11 *4787:29 0 +27 *4419:30 *4787:25 0 +28 *4482:14 *39052:A 0 +29 *4482:14 *4787:29 0 +30 *4785:17 *4787:29 0 +*RES +1 *38410:Y *4787:10 34.925 +2 *4787:10 *4787:11 57.625 +3 *4787:11 *4787:25 41.6833 +4 *4787:25 *4787:29 19.9821 +5 *4787:29 *7147:DIODE 9.3 +6 *4787:29 *39052:A 11.8893 +*END + +*D_NET *4788 0.00308148 +*CONN +*I *41396:A I *D sky130_fd_sc_hd__buf_2 +*I *38411:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *41396:A 0 +2 *38411:Y 0.00154074 +3 *4788:12 0.00154074 +4 *4788:12 *4792:12 0 +5 *38150:A *4788:12 0 +6 *38150:B *4788:12 0 +7 *38411:A *4788:12 0 +8 *39953:A *4788:12 0 +9 *4195:5 *4788:12 0 +*RES +1 *38411:Y *4788:12 46.3714 +2 *4788:12 *41396:A 13.8 +*END + +*D_NET *4789 0.0126299 +*CONN +*I *39054:A I *D sky130_fd_sc_hd__buf_12 +*I *7149:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38412:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *39054:A 0 +2 *7149:DIODE 0.000435697 +3 *38412:Y 0.000773437 +4 *4789:45 0.0015713 +5 *4789:32 0.003252 +6 *4789:23 0.00397023 +7 *4789:10 0.00262727 +8 *7149:DIODE *4879:20 0 +9 *4789:10 *5181:10 0 +10 *4789:45 *39053:A 0 +11 la_data_in_mprj[11] *4789:32 0 +12 la_data_in_mprj[15] *4789:45 0 +13 la_data_in_mprj[16] *7149:DIODE 0 +14 la_data_in_mprj[16] *4789:45 0 +15 *6690:DIODE *4789:10 0 +16 *6702:DIODE *4789:23 0 +17 *6765:DIODE *7149:DIODE 0 +18 *6820:DIODE *4789:10 0 +19 *6998:DIODE *4789:10 0 +20 *38462:A *4789:32 0 +21 *38604:A *4789:32 0 +22 *38616:A *4789:32 0 +23 *38691:A *4789:32 0 +24 *38732:A *4789:32 0 +25 *38861:A *4789:10 0 +26 *39041:A *4789:32 0 +27 *423:7 *7149:DIODE 0 +28 *548:8 *4789:32 0 +29 *549:5 *4789:45 0 +30 *805:11 *4789:45 0 +31 *806:5 *7149:DIODE 0 +32 *2694:18 *4789:23 0 +33 *2827:13 *4789:45 0 +34 *3060:10 *4789:32 0 +35 *3175:16 *7149:DIODE 0 +36 *3380:38 *7149:DIODE 0 +37 *3993:14 *4789:23 0 +38 *4249:15 *4789:32 0 +39 *4371:10 *4789:32 0 +40 *4418:11 *4789:32 0 +41 *4420:17 *4789:45 0 +42 *4429:33 *4789:45 0 +43 *4430:36 *7149:DIODE 0 +44 *4433:37 *4789:45 0 +45 *4433:40 *4789:45 0 +46 *4439:42 *4789:32 0 +47 *4451:54 *4789:10 0 +48 *4451:72 *4789:23 0 +49 *4475:8 *4789:10 0 +50 *4504:21 *4789:32 0 +51 *4504:34 *4789:23 0 +52 *4504:34 *4789:32 0 +53 *4504:57 *4789:10 0 +54 *4511:18 *4789:23 0 +55 *4515:11 *4789:45 0 +56 *4537:19 *4789:10 0 +57 *4571:19 *4789:23 0 +*RES +1 *38412:Y *4789:10 34.55 +2 *4789:10 *4789:23 48.1339 +3 *4789:23 *4789:32 48.7857 +4 *4789:32 *4789:45 31.0086 +5 *4789:45 *7149:DIODE 28.1929 +6 *4789:45 *39054:A 9.3 +*END + +*D_NET *4790 0.00099677 +*CONN +*I *39055:A I *D sky130_fd_sc_hd__buf_12 +*I *37325:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39055:A 0.000498385 +2 *37325:Y 0.000498385 +3 *39055:A *4891:40 0 +4 la_data_in_mprj[17] *39055:A 0 +5 *6894:DIODE *39055:A 0 +6 *38747:A *39055:A 0 +7 *424:5 *39055:A 0 +8 *807:5 *39055:A 0 +9 *2827:32 *39055:A 0 +10 *2827:43 *39055:A 0 +11 *3104:19 *39055:A 0 +12 *4433:37 *39055:A 0 +*RES +1 *37325:Y *39055:A 38.7964 +*END + +*D_NET *4791 0.010402 +*CONN +*I *39056:A I *D sky130_fd_sc_hd__buf_12 +*I *7150:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37326:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *39056:A 0.000200797 +2 *7150:DIODE 0 +3 *37326:Y 0.00167874 +4 *4791:35 0.00126319 +5 *4791:25 0.00313621 +6 *4791:22 0.00225905 +7 *4791:7 0.00186396 +8 *39056:A *4866:10 0 +9 *4791:25 *39556:A 0 +10 *4791:25 *4811:27 0 +11 *4791:35 *4797:50 0 +12 la_data_in_mprj[18] *39056:A 0 +13 *6767:DIODE *39056:A 0 +14 *1150:10 *4791:35 0 +15 *1150:15 *4791:25 0 +16 *1778:15 *4791:7 0 +17 *2353:10 *4791:25 0 +18 *2360:36 *4791:35 0 +19 *3060:14 *4791:22 0 +20 *3082:17 *4791:22 0 +21 *3082:17 *4791:25 0 +22 *3104:19 *4791:35 0 +23 *3204:21 *4791:25 0 +24 *3249:49 *4791:35 0 +25 *3382:31 *4791:22 0 +26 *3382:31 *4791:25 0 +27 *3390:17 *4791:35 0 +28 *3466:29 *4791:35 0 +29 *4422:11 *4791:35 0 +30 *4424:21 *39056:A 0 +31 *4526:18 *4791:35 0 +32 *4549:15 *4791:35 0 +33 *4593:7 *39056:A 0 +34 *4593:20 *4791:22 0 +35 *4671:41 *4791:25 0 +36 *4775:30 *39056:A 0 +37 *4775:30 *4791:35 0 +*RES +1 *37326:Y *4791:7 48.8357 +2 *4791:7 *4791:22 17.9107 +3 *4791:22 *4791:25 47.75 +4 *4791:25 *4791:35 30.6247 +5 *4791:35 *7150:DIODE 9.3 +6 *4791:35 *39056:A 22.6571 +*END + +*D_NET *4792 0.00554455 +*CONN +*I *39566:A I *D sky130_fd_sc_hd__buf_2 +*I *37327:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39566:A 0.00063573 +2 *37327:Y 9.91025e-05 +3 *4792:12 0.00267317 +4 *4792:8 0.00213655 +5 *1757:14 *4792:12 0 +6 *2694:22 *4792:8 0 +7 *3175:38 *4792:12 0 +8 *4195:5 *4792:12 0 +9 *4425:10 *4792:12 0 +10 *4439:8 *4792:8 0 +11 *4523:13 *39566:A 0 +12 *4788:12 *4792:12 0 +*RES +1 *37327:Y *4792:8 20.55 +2 *4792:8 *4792:12 47.5714 +3 *4792:12 *39566:A 27.0679 +*END + +*D_NET *4793 0.0117478 +*CONN +*I *5886:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37958:C I *D sky130_fd_sc_hd__and3b_1 +*I *38835:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *5886:DIODE 0.000116367 +2 *37958:C 0.00033103 +3 *38835:X 0.000588231 +4 *4793:22 0.00158251 +5 *4793:19 0.00359804 +6 *4793:17 0.00370315 +7 *4793:10 0.00182846 +8 *4793:10 *39079:A 0 +9 *4793:10 *4804:11 0 +10 *4793:10 *4823:27 0 +11 *4793:10 *4825:23 0 +12 *4793:10 *5197:26 0 +13 *4793:17 *4828:21 0 +14 *4793:17 *5197:23 0 +15 *40458:A *4793:17 0 +16 *40458:A *4793:19 0 +17 *2045:45 *37958:C 0 +18 *3213:18 *37958:C 0 +19 *3347:11 *4793:19 0 +20 *3450:26 *4793:22 0 +21 *3450:37 *4793:22 0 +22 *3461:45 *4793:17 0 +23 *3572:38 *5886:DIODE 0 +24 *3572:38 *4793:22 0 +25 *3616:34 *4793:22 0 +26 *3909:21 *4793:19 0 +27 *3909:36 *4793:17 0 +28 *3909:36 *4793:19 0 +29 *3909:37 *4793:17 0 +30 *4152:33 *37958:C 0 +*RES +1 *38835:X *4793:10 31.175 +2 *4793:10 *4793:17 26.1607 +3 *4793:17 *4793:19 51.4643 +4 *4793:19 *4793:22 30.2768 +5 *4793:22 *37958:C 24.093 +6 *4793:22 *5886:DIODE 16.5054 +*END + +*D_NET *4794 0.00431794 +*CONN +*I *39058:A I *D sky130_fd_sc_hd__buf_12 +*I *38397:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39058:A 0.000834462 +2 *38397:Y 0.00132451 +3 *4794:7 0.00215897 +4 la_data_in_mprj[0] *39058:A 0 +5 la_data_in_mprj[1] *39058:A 0 +6 *6586:DIODE *39058:A 0 +7 *388:7 *39058:A 0 +8 *516:5 *39058:A 0 +9 *1764:11 *4794:7 0 +10 *4504:66 *39058:A 0 +11 *4515:11 *39058:A 0 +12 *4515:16 *39058:A 0 +*RES +1 *38397:Y *4794:7 41.4429 +2 *4794:7 *39058:A 32.1571 +*END + +*D_NET *4795 0.0097491 +*CONN +*I *39565:A I *D sky130_fd_sc_hd__buf_2 +*I *7564:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37328:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39565:A 0 +2 *7564:DIODE 0.000111381 +3 *37328:Y 0.000749585 +4 *4795:46 0.000608584 +5 *4795:45 0.00210863 +6 *4795:27 0.00351638 +7 *4795:11 0.00265454 +8 *38154:B *4795:45 0 +9 *38164:A *4795:46 0 +10 *38174:B *4795:45 0 +11 *39951:A *4795:27 0 +12 *260:17 *4795:45 0 +13 *2449:18 *4795:11 0 +14 *2791:14 *4795:27 0 +15 *3390:47 *7564:DIODE 0 +16 *3390:47 *4795:46 0 +17 *4127:23 *4795:46 0 +18 *4163:35 *4795:45 0 +19 *4192:27 *4795:27 0 +20 *4192:27 *4795:45 0 +21 *4192:38 *4795:27 0 +22 *4192:39 *4795:11 0 +23 *4192:39 *4795:27 0 +24 *4195:5 *4795:45 0 +25 *4210:15 *4795:45 0 +26 *4249:65 *4795:27 0 +27 *4463:32 *4795:45 0 +28 *4515:20 *4795:27 0 +*RES +1 *37328:Y *4795:11 34.0589 +2 *4795:11 *4795:27 48.9732 +3 *4795:27 *4795:45 47.5 +4 *4795:45 *4795:46 11.3571 +5 *4795:46 *7564:DIODE 16.2821 +6 *4795:46 *39565:A 13.8 +*END + +*D_NET *4796 0.0076582 +*CONN +*I *39060:A I *D sky130_fd_sc_hd__buf_12 +*I *37329:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39060:A 0.000125844 +2 *37329:Y 0.00119798 +3 *4796:21 0.00263112 +4 *4796:20 0.00250527 +5 *4796:18 0.00119798 +6 *4796:18 *39066:A 0 +7 *4796:18 *4891:9 0 +8 *4796:21 *5201:11 0 +9 la_data_in_mprj[27] *4796:18 0 +10 *37329:A *4796:18 0 +11 *39067:A *4796:18 0 +12 *435:5 *4796:18 0 +13 *557:7 *39060:A 0 +14 *813:8 *39060:A 0 +15 *818:8 *4796:18 0 +16 *819:8 *4796:18 0 +17 *2357:11 *4796:21 0 +18 *3193:9 *4796:21 0 +19 *3271:14 *4796:18 0 +20 *4434:8 *4796:18 0 +21 *4671:9 *4796:18 0 +*RES +1 *37329:Y *4796:18 48.2286 +2 *4796:18 *4796:20 4.5 +3 *4796:20 *4796:21 52.2857 +4 *4796:21 *39060:A 21.1571 +*END + +*D_NET *4797 0.0177357 +*CONN +*I *39061:A I *D sky130_fd_sc_hd__buf_12 +*I *7153:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37330:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *39061:A 0.000487286 +2 *7153:DIODE 0 +3 *37330:Y 5.89896e-05 +4 *4797:50 0.00361019 +5 *4797:31 0.00534561 +6 *4797:24 0.00249661 +7 *4797:9 0.00297598 +8 *4797:8 0.00276106 +9 *39061:A *4891:19 0 +10 *4797:9 *4815:26 0 +11 la_data_in_mprj[22] *39061:A 0 +12 *6632:DIODE *39061:A 0 +13 *39424:B *4797:50 0 +14 *39437:A *4797:9 0 +15 *39437:A *4797:24 0 +16 *39498:A *4797:50 0 +17 *304:26 *4797:24 0 +18 *343:52 *4797:50 0 +19 *430:11 *39061:A 0 +20 *558:5 *39061:A 0 +21 *1156:9 *4797:9 0 +22 *1628:15 *4797:31 0 +23 *1628:27 *4797:50 0 +24 *3069:9 *4797:31 0 +25 *3069:9 *4797:50 0 +26 *3160:26 *39061:A 0 +27 *3171:14 *4797:50 0 +28 *3171:26 *4797:50 0 +29 *3171:42 *4797:24 0 +30 *3171:42 *4797:31 0 +31 *3171:48 *4797:9 0 +32 *3249:49 *4797:50 0 +33 *3380:20 *39061:A 0 +34 *3390:17 *4797:50 0 +35 *3465:25 *4797:50 0 +36 *3488:13 *39061:A 0 +37 *3488:13 *4797:50 0 +38 *3871:17 *4797:24 0 +39 *3872:37 *39061:A 0 +40 *3872:37 *4797:50 0 +41 *3877:20 *4797:50 0 +42 *3877:38 *4797:50 0 +43 *3877:44 *4797:31 0 +44 *3877:44 *4797:50 0 +45 *3922:33 *4797:50 0 +46 *3924:39 *4797:9 0 +47 *4419:35 *4797:24 0 +48 *4475:8 *4797:8 0 +49 *4787:11 *4797:9 0 +50 *4791:35 *4797:50 0 +*RES +1 *37330:Y *4797:8 19.6393 +2 *4797:8 *4797:9 56.3929 +3 *4797:9 *4797:24 23.9464 +4 *4797:24 *4797:31 46.6964 +5 *4797:31 *4797:50 39.7149 +6 *4797:50 *7153:DIODE 9.3 +7 *4797:50 *39061:A 29.05 +*END + +*D_NET *4798 0.00276871 +*CONN +*I *39564:A I *D sky130_fd_sc_hd__buf_2 +*I *37331:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39564:A 0 +2 *37331:Y 0.00138435 +3 *4798:11 0.00138435 +4 *4798:11 *4818:7 0 +5 *39426:A *4798:11 0 +6 *39439:B *4798:11 0 +7 *1758:7 *4798:11 0 +8 *3874:25 *4798:11 0 +9 *4493:38 *4798:11 0 +10 *4786:8 *4798:11 0 +*RES +1 *37331:Y *4798:11 47.3 +2 *4798:11 *39564:A 9.3 +*END + +*D_NET *4799 0.0122168 +*CONN +*I *7155:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39063:A I *D sky130_fd_sc_hd__buf_12 +*I *37332:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *7155:DIODE 4.23535e-05 +2 *39063:A 0.000640411 +3 *37332:Y 0.00182516 +4 *4799:37 0.00124477 +5 *4799:28 0.00270418 +6 *4799:23 0.00303845 +7 *4799:14 0.00272143 +8 *7155:DIODE *4820:18 0 +9 *4799:14 *4879:23 0 +10 *4799:14 *4891:40 0 +11 *4799:28 *4809:9 0 +12 *4799:37 *4809:9 0 +13 *4799:37 *4820:18 0 +14 la_data_in_mprj[24] *39063:A 0 +15 *6633:DIODE *39063:A 0 +16 *6849:DIODE *4799:14 0 +17 *37518:A *4799:28 0 +18 *38404:A *4799:28 0 +19 *38408:A *4799:14 0 +20 *38408:A *4799:23 0 +21 *559:8 *39063:A 0 +22 *815:8 *39063:A 0 +23 *1158:5 *4799:14 0 +24 *1158:5 *4799:23 0 +25 *1841:17 *4799:37 0 +26 *2359:15 *4799:28 0 +27 *2359:19 *7155:DIODE 0 +28 *2359:19 *4799:28 0 +29 *2359:19 *4799:37 0 +30 *3182:10 *39063:A 0 +31 *3182:17 *4799:28 0 +32 *3872:24 *4799:37 0 +33 *3872:37 *4799:28 0 +34 *3914:18 *4799:14 0 +35 *4429:67 *4799:37 0 +36 *4431:17 *39063:A 0 +37 *4549:15 *4799:23 0 +38 *4626:14 *39063:A 0 +*RES +1 *37332:Y *4799:14 46.4572 +2 *4799:14 *4799:23 23.2679 +3 *4799:23 *4799:28 49.2589 +4 *4799:28 *4799:37 21.5035 +5 *4799:37 *39063:A 32.4607 +6 *4799:37 *7155:DIODE 10.2464 +*END + +*D_NET *4800 0.00824844 +*CONN +*I *39563:A I *D sky130_fd_sc_hd__buf_2 +*I *7563:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37333:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39563:A 0.00016669 +2 *7563:DIODE 0 +3 *37333:Y 0.00131967 +4 *4800:29 0.000900817 +5 *4800:28 0.00263786 +6 *4800:13 0.0032234 +7 *37527:A *4800:13 0 +8 *37940:A_N *4800:28 0 +9 *39441:B *4800:13 0 +10 *40059:A *4800:13 0 +11 *40060:A *4800:28 0 +12 *293:27 *4800:28 0 +13 *306:11 *4800:13 0 +14 *332:37 *39563:A 0 +15 *1154:16 *4800:28 0 +16 *1345:11 *4800:13 0 +17 *1345:11 *4800:28 0 +18 *1354:32 *39563:A 0 +19 *1369:6 *4800:28 0 +20 *1468:14 *4800:28 0 +21 *1477:23 *4800:28 0 +22 *2791:21 *4800:13 0 +23 *3381:40 *4800:28 0 +24 *3381:64 *4800:28 0 +25 *3563:44 *4800:28 0 +26 *3600:53 *4800:13 0 +27 *3621:51 *4800:13 0 +28 *4163:35 *4800:28 0 +29 *4187:41 *39563:A 0 +30 *4187:41 *4800:29 0 +31 *4420:54 *4800:28 0 +32 *4537:23 *4800:28 0 +*RES +1 *37333:Y *4800:13 45.6804 +2 *4800:13 *4800:28 47.7407 +3 *4800:28 *4800:29 15.3214 +4 *4800:29 *7563:DIODE 9.3 +5 *4800:29 *39563:A 21.925 +*END + +*D_NET *4801 0.00374764 +*CONN +*I *39562:A I *D sky130_fd_sc_hd__buf_2 +*I *37334:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39562:A 0 +2 *37334:Y 0.00187382 +3 *4801:15 0.00187382 +4 *4801:15 *4815:15 0 +5 *4801:15 *4815:22 0 +6 *37330:A *4801:15 0 +7 *37473:A *4801:15 0 +8 *39432:B *4801:15 0 +9 *3175:33 *4801:15 0 +10 *3924:36 *4801:15 0 +11 *3928:35 *4801:15 0 +*RES +1 *37334:Y *4801:15 48.5321 +2 *4801:15 *39562:A 9.3 +*END + +*D_NET *4802 0.0165706 +*CONN +*I *39066:A I *D sky130_fd_sc_hd__buf_12 +*I *7158:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37335:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *39066:A 0.000322204 +2 *7158:DIODE 0 +3 *37335:Y 0.00146013 +4 *4802:29 0.00119484 +5 *4802:25 0.00271865 +6 *4802:21 0.00367021 +7 *4802:16 0.0037843 +8 *4802:11 0.00342023 +9 *39066:A *5202:15 0 +10 *4802:16 *4814:8 0 +11 *4802:29 *4827:30 0 +12 *4802:29 *5202:15 0 +13 *6779:DIODE *39066:A 0 +14 *6958:DIODE *4802:29 0 +15 *37948:A_N *4802:16 0 +16 *38822:A *39066:A 0 +17 *39454:A *4802:16 0 +18 *435:5 *39066:A 0 +19 *1294:9 *4802:16 0 +20 *1783:10 *4802:16 0 +21 *1786:11 *4802:16 0 +22 *2362:18 *4802:21 0 +23 *2363:22 *4802:16 0 +24 *2364:21 *4802:11 0 +25 *2364:30 *4802:16 0 +26 *3149:25 *4802:11 0 +27 *3271:20 *39066:A 0 +28 *3271:20 *4802:29 0 +29 *3304:48 *4802:16 0 +30 *3889:21 *4802:21 0 +31 *3889:21 *4802:25 0 +32 *3894:13 *4802:21 0 +33 *3894:13 *4802:25 0 +34 *3894:17 *4802:21 0 +35 *3918:42 *4802:29 0 +36 *3922:11 *4802:29 0 +37 *4660:16 *4802:29 0 +38 *4796:18 *39066:A 0 +*RES +1 *37335:Y *4802:11 40.175 +2 *4802:11 *4802:16 46.2143 +3 *4802:16 *4802:21 42.6339 +4 *4802:21 *4802:25 43.0268 +5 *4802:25 *4802:29 24.1964 +6 *4802:29 *7158:DIODE 9.3 +7 *4802:29 *39066:A 25.6393 +*END + +*D_NET *4803 0.00821405 +*CONN +*I *39561:A I *D sky130_fd_sc_hd__buf_2 +*I *7562:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37336:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39561:A 0.000128085 +2 *7562:DIODE 0 +3 *37336:Y 0.00250375 +4 *4803:19 0.00160328 +5 *4803:11 0.00397894 +6 *39561:A *4810:7 0 +7 *4803:19 *4810:7 0 +8 *37341:A *4803:19 0 +9 *37342:A *4803:19 0 +10 *39430:A *4803:19 0 +11 *293:27 *4803:11 0 +12 *1780:31 *39561:A 0 +13 *1780:31 *4803:19 0 +14 *2358:16 *39561:A 0 +15 *4126:14 *39561:A 0 +16 *4126:28 *4803:11 0 +17 *4210:15 *4803:11 0 +18 *4419:35 *39561:A 0 +19 *4475:8 *4803:11 0 +20 *4475:12 *4803:11 0 +*RES +1 *37336:Y *4803:11 47.3444 +2 *4803:11 *4803:19 38.8296 +3 *4803:19 *7562:DIODE 9.3 +4 *4803:19 *39561:A 21.1929 +*END + +*D_NET *4804 0.0037721 +*CONN +*I *37960:C I *D sky130_fd_sc_hd__and3b_1 +*I *38836:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *37960:C 0 +2 *38836:X 0.000143745 +3 *4804:11 0.00174231 +4 *4804:7 0.00188605 +5 la_data_in_mprj[39] *4804:11 0 +6 *387:31 *4804:11 0 +7 *831:7 *4804:11 0 +8 *3338:25 *4804:11 0 +9 *3346:14 *4804:11 0 +10 *3377:9 *4804:7 0 +11 *4782:20 *4804:11 0 +12 *4793:10 *4804:11 0 +*RES +1 *38836:X *4804:7 16.8 +2 *4804:7 *4804:11 44.0179 +3 *4804:11 *37960:C 9.3 +*END + +*D_NET *4805 0.0064748 +*CONN +*I *39560:A I *D sky130_fd_sc_hd__buf_2 +*I *37337:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39560:A 0.000486418 +2 *37337:Y 8.57316e-05 +3 *4805:15 0.00315167 +4 *4805:8 0.00275098 +5 *39560:A *4810:7 0 +6 *39560:A *4811:16 0 +7 *1774:16 *4805:15 0 +8 *1780:29 *39560:A 0 +9 *2358:16 *4805:15 0 +10 *2572:12 *4805:8 0 +11 *3069:34 *4805:8 0 +12 *4177:19 *4805:15 0 +13 *4187:46 *4805:8 0 +14 *4213:23 *4805:15 0 +15 *4420:28 *39560:A 0 +16 *4420:54 *4805:15 0 +17 *4429:36 *39560:A 0 +18 *4451:75 *4805:15 0 +*RES +1 *37337:Y *4805:8 20.2464 +2 *4805:8 *4805:15 48.2797 +3 *4805:15 *39560:A 27.9868 +*END + +*D_NET *4806 0.000248132 +*CONN +*I *39069:A I *D sky130_fd_sc_hd__buf_12 +*I *38398:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39069:A 0.000124066 +2 *38398:Y 0.000124066 +3 la_data_in_mprj[3] *39069:A 0 +4 *4693:9 *39069:A 0 +*RES +1 *38398:Y *39069:A 21.1893 +*END + +*D_NET *4807 0.00314305 +*CONN +*I *39559:A I *D sky130_fd_sc_hd__buf_2 +*I *37338:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39559:A 0 +2 *37338:Y 0.00157153 +3 *4807:12 0.00157153 +4 *39428:A *4807:12 0 +5 *39428:B *4807:12 0 +6 *332:47 *4807:12 0 +7 *1760:7 *4807:12 0 +8 *3082:19 *4807:12 0 +9 *3467:21 *4807:12 0 +10 *4420:28 *4807:12 0 +*RES +1 *37338:Y *4807:12 46.8179 +2 *4807:12 *39559:A 13.8 +*END + +*D_NET *4808 0.00164537 +*CONN +*I *39558:A I *D sky130_fd_sc_hd__buf_2 +*I *37339:Y O *D sky130_fd_sc_hd__inv_1 +*CAP +1 *39558:A 0.000822684 +2 *37339:Y 0.000822684 +3 *1148:12 *39558:A 0 +4 *3127:25 *39558:A 0 +5 *3874:25 *39558:A 0 +6 *3894:27 *39558:A 0 +*RES +1 *37339:Y *39558:A 35.7696 +*END + +*D_NET *4809 0.0121483 +*CONN +*I *7163:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39072:A I *D sky130_fd_sc_hd__buf_12 +*I *37340:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *7163:DIODE 2.56688e-05 +2 *39072:A 0.000182022 +3 *37340:Y 7.23213e-05 +4 *4809:18 0.00150892 +5 *4809:9 0.00579412 +6 *4809:8 0.00456521 +7 *7163:DIODE *5202:15 0 +8 *4809:9 *4820:18 0 +9 *4809:9 *5202:15 0 +10 *4809:18 *4820:23 0 +11 *4809:18 *5202:15 0 +12 *6786:DIODE *39072:A 0 +13 *38404:A *4809:9 0 +14 *824:5 *39072:A 0 +15 *1841:17 *4809:9 0 +16 *3149:12 *4809:8 0 +17 *3249:18 *4809:18 0 +18 *3337:9 *4809:9 0 +19 *3458:16 *4809:18 0 +20 *3468:10 *4809:8 0 +21 *4726:8 *39072:A 0 +22 *4726:19 *4809:18 0 +23 *4799:28 *4809:9 0 +24 *4799:37 *4809:9 0 +*RES +1 *37340:Y *4809:8 19.9429 +2 *4809:8 *4809:9 93.7679 +3 *4809:9 *4809:18 40.8571 +4 *4809:18 *39072:A 17.8714 +5 *4809:18 *7163:DIODE 14.3357 +*END + +*D_NET *4810 0.00869741 +*CONN +*I *39557:A I *D sky130_fd_sc_hd__buf_2 +*I *7561:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37341:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39557:A 0.000124066 +2 *7561:DIODE 0 +3 *37341:Y 3.50807e-05 +4 *4810:12 0.000450475 +5 *4810:7 0.00418956 +6 *4810:5 0.00389823 +7 *5287:DIODE *4810:7 0 +8 *37341:A *4810:7 0 +9 *37342:A *4810:7 0 +10 *39560:A *4810:7 0 +11 *39561:A *4810:7 0 +12 *1778:15 *39557:A 0 +13 *1780:29 *4810:7 0 +14 *1780:31 *4810:7 0 +15 *2360:24 *4810:7 0 +16 *2363:9 *4810:5 0 +17 *2363:11 *4810:7 0 +18 *3052:21 *39557:A 0 +19 *4417:12 *4810:12 0 +20 *4649:38 *4810:12 0 +21 *4803:19 *4810:7 0 +*RES +1 *37341:Y *4810:5 10.0321 +2 *4810:5 *4810:7 80.625 +3 *4810:7 *4810:12 16.4107 +4 *4810:12 *7561:DIODE 9.3 +5 *4810:12 *39557:A 11.8893 +*END + +*D_NET *4811 0.0100072 +*CONN +*I *39556:A I *D sky130_fd_sc_hd__buf_2 +*I *7560:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37342:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39556:A 0.000153319 +2 *7560:DIODE 0 +3 *37342:Y 0.000357554 +4 *4811:27 0.00106456 +5 *4811:26 0.00236879 +6 *4811:16 0.00358147 +7 *4811:10 0.00248148 +8 *37342:A *4811:10 0 +9 *39429:B *4811:27 0 +10 *39430:B *4811:10 0 +11 *39560:A *4811:16 0 +12 *297:13 *4811:10 0 +13 *317:20 *4811:26 0 +14 *1152:11 *4811:16 0 +15 *1786:30 *4811:10 0 +16 *2353:10 *39556:A 0 +17 *2363:11 *4811:10 0 +18 *3060:15 *4811:16 0 +19 *3082:17 *4811:27 0 +20 *3096:16 *4811:26 0 +21 *3127:32 *4811:10 0 +22 *3149:41 *4811:16 0 +23 *3204:22 *39556:A 0 +24 *3249:50 *39556:A 0 +25 *3885:38 *4811:16 0 +26 *3896:27 *4811:26 0 +27 *4420:28 *4811:16 0 +28 *4430:50 *4811:26 0 +29 *4523:13 *4811:16 0 +30 *4604:30 *4811:26 0 +31 *4671:46 *39556:A 0 +32 *4791:25 *39556:A 0 +33 *4791:25 *4811:27 0 +*RES +1 *37342:Y *4811:10 26.2643 +2 *4811:10 *4811:16 48.9732 +3 *4811:16 *4811:26 45.2232 +4 *4811:26 *4811:27 19.0179 +5 *4811:27 *7560:DIODE 9.3 +6 *4811:27 *39556:A 21.6214 +*END + +*D_NET *4812 0.00439675 +*CONN +*I *39555:A I *D sky130_fd_sc_hd__buf_2 +*I *37343:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39555:A 0.00114312 +2 *37343:Y 0.00105526 +3 *4812:10 0.00219837 +4 *1150:15 *39555:A 0 +5 *1778:15 *4812:10 0 +6 *3204:21 *39555:A 0 +7 *3380:52 *4812:10 0 +*RES +1 *37343:Y *4812:10 40.4071 +2 *4812:10 *39555:A 33.1571 +*END + +*D_NET *4813 0.012645 +*CONN +*I *39076:A I *D sky130_fd_sc_hd__buf_12 +*I *7167:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37344:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *39076:A 0.000614767 +2 *7167:DIODE 0 +3 *37344:Y 0.000283636 +4 *4813:16 0.000660386 +5 *4813:11 0.00542408 +6 *4813:10 0.0056621 +7 la_data_in_mprj[35] *39076:A 0 +8 la_data_in_mprj[36] *39076:A 0 +9 *431:5 *4813:10 0 +10 *559:8 *4813:10 0 +11 *2007:14 *4813:11 0 +12 *2353:11 *4813:11 0 +13 *2360:59 *4813:10 0 +14 *3160:26 *4813:10 0 +15 *3182:10 *4813:10 0 +16 *3293:11 *39076:A 0 +17 *3377:9 *39076:A 0 +*RES +1 *37344:Y *4813:10 24.55 +2 *4813:10 *4813:11 112.25 +3 *4813:11 *4813:16 10.0357 +4 *4813:16 *7167:DIODE 9.3 +5 *4813:16 *39076:A 22.1929 +*END + +*D_NET *4814 0.00376954 +*CONN +*I *39554:A I *D sky130_fd_sc_hd__buf_2 +*I *37345:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39554:A 0.000271447 +2 *37345:Y 0.00161332 +3 *4814:8 0.00188477 +4 *2363:22 *4814:8 0 +5 *3127:24 *4814:8 0 +6 *3215:23 *39554:A 0 +7 *3874:18 *4814:8 0 +8 *3920:18 *39554:A 0 +9 *3920:19 *4814:8 0 +10 *4802:16 *4814:8 0 +*RES +1 *37345:Y *4814:8 47.6036 +2 *4814:8 *39554:A 19.6571 +*END + +*D_NET *4815 0.0124224 +*CONN +*I *5768:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37888:C I *D sky130_fd_sc_hd__and3b_1 +*I *38837:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *5768:DIODE 0.00019673 +2 *37888:C 0 +3 *38837:X 0.00143328 +4 *4815:32 0.00191347 +5 *4815:31 0.00221471 +6 *4815:26 0.00261328 +7 *4815:22 0.00236649 +8 *4815:15 0.00168447 +9 *4815:15 *39080:A 0 +10 *4815:15 *39091:A 0 +11 *37473:A *4815:15 0 +12 *37506:A *4815:32 0 +13 *39432:B *4815:15 0 +14 *39435:B *4815:26 0 +15 *302:13 *4815:32 0 +16 *1350:46 *5768:DIODE 0 +17 *1767:12 *4815:26 0 +18 *1774:22 *4815:32 0 +19 *1776:8 *4815:32 0 +20 *1777:10 *4815:32 0 +21 *2797:35 *5768:DIODE 0 +22 *2904:11 *4815:31 0 +23 *3175:33 *4815:22 0 +24 *3175:37 *4815:15 0 +25 *3505:10 *4815:22 0 +26 *3600:40 *5768:DIODE 0 +27 *3885:38 *4815:32 0 +28 *3924:36 *4815:26 0 +29 *3924:39 *4815:26 0 +30 *4127:23 *4815:15 0 +31 *4158:58 *4815:32 0 +32 *4418:21 *4815:31 0 +33 *4523:10 *4815:26 0 +34 *4797:9 *4815:26 0 +35 *4801:15 *4815:15 0 +36 *4801:15 *4815:22 0 +*RES +1 *38837:X *4815:15 49.1661 +2 *4815:15 *4815:22 14.3661 +3 *4815:22 *4815:26 49.125 +4 *4815:26 *4815:31 19.3929 +5 *4815:31 *4815:32 38.9821 +6 *4815:32 *37888:C 13.8 +7 *4815:32 *5768:DIODE 18.0857 +*END + +*D_NET *4816 0.00410014 +*CONN +*I *41434:A I *D sky130_fd_sc_hd__buf_2 +*I *37346:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *41434:A 0.000891175 +2 *37346:Y 0.00115889 +3 *4816:10 0.00205007 +4 *2356:11 *41434:A 0 +5 *2363:22 *4816:10 0 +6 *2364:30 *4816:10 0 +7 *3894:17 *4816:10 0 +8 *3903:39 *41434:A 0 +*RES +1 *37346:Y *4816:10 43.0857 +2 *4816:10 *41434:A 37.0321 +*END + +*D_NET *4817 0.0162462 +*CONN +*I *39079:A I *D sky130_fd_sc_hd__buf_12 +*I *7170:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37347:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *39079:A 0.000275166 +2 *7170:DIODE 0 +3 *37347:Y 0.000614372 +4 *4817:43 0.00121461 +5 *4817:37 0.00273659 +6 *4817:29 0.00400509 +7 *4817:26 0.00279159 +8 *4817:20 0.00228902 +9 *4817:14 0.00231974 +10 *4817:29 *5200:13 0 +11 *4817:43 *4820:23 0 +12 *4817:43 *4822:20 0 +13 *7365:DIODE *4817:29 0 +14 *37349:A *4817:14 0 +15 *39404:A *4817:29 0 +16 *40470:A *4817:29 0 +17 *40915:A *4817:20 0 +18 *296:55 *4817:14 0 +19 *376:46 *4817:29 0 +20 *376:71 *4817:29 0 +21 *1144:10 *4817:37 0 +22 *1608:31 *4817:29 0 +23 *1736:11 *4817:29 0 +24 *3067:15 *4817:43 0 +25 *3249:24 *4817:20 0 +26 *3346:14 *39079:A 0 +27 *3349:20 *39079:A 0 +28 *3349:20 *4817:43 0 +29 *3352:27 *39079:A 0 +30 *3352:27 *4817:43 0 +31 *3453:17 *4817:37 0 +32 *3457:9 *4817:37 0 +33 *3457:18 *4817:37 0 +34 *3465:5 *4817:26 0 +35 *3488:26 *4817:20 0 +36 *3488:27 *4817:26 0 +37 *3538:18 *4817:43 0 +38 *3872:24 *4817:20 0 +39 *3877:20 *4817:14 0 +40 *3877:20 *4817:20 0 +41 *3878:31 *4817:29 0 +42 *3878:43 *4817:20 0 +43 *3900:9 *4817:26 0 +44 *3901:21 *4817:37 0 +45 *3901:36 *4817:29 0 +46 *3901:36 *4817:37 0 +47 *3901:40 *4817:26 0 +48 *3913:53 *4817:14 0 +49 *3923:46 *4817:29 0 +50 *4434:29 *4817:26 0 +51 *4437:23 *4817:20 0 +52 *4660:16 *4817:14 0 +53 *4748:23 *4817:37 0 +54 *4793:10 *39079:A 0 +*RES +1 *37347:Y *4817:14 27.8105 +2 *4817:14 *4817:20 44.75 +3 *4817:20 *4817:26 21.3393 +4 *4817:26 *4817:29 46.1429 +5 *4817:29 *4817:37 46.7143 +6 *4817:37 *4817:43 28.9107 +7 *4817:43 *7170:DIODE 9.3 +8 *4817:43 *39079:A 24.5321 +*END + +*D_NET *4818 0.00391726 +*CONN +*I *39080:A I *D sky130_fd_sc_hd__buf_12 +*I *38399:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39080:A 0.00118215 +2 *38399:Y 0.000776481 +3 *4818:7 0.00195863 +4 *39080:A *39091:A 0 +5 *6784:DIODE *39080:A 0 +6 *37516:A *4818:7 0 +7 *38399:A *4818:7 0 +8 *39432:B *39080:A 0 +9 *449:7 *39080:A 0 +10 *577:8 *39080:A 0 +11 *3390:47 *39080:A 0 +12 *3874:25 *4818:7 0 +13 *4493:38 *39080:A 0 +14 *4504:57 *39080:A 0 +15 *4798:11 *4818:7 0 +16 *4815:15 *39080:A 0 +*RES +1 *38399:Y *4818:7 29.9429 +2 *4818:7 *39080:A 40.6393 +*END + +*D_NET *4819 0.00252774 +*CONN +*I *39081:A I *D sky130_fd_sc_hd__buf_12 +*I *37348:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39081:A 0.000320218 +2 *37348:Y 0.00094365 +3 *4819:19 0.00126387 +4 *4819:19 *4825:33 0 +5 la_data_in_mprj[41] *4819:19 0 +6 *6797:DIODE *39081:A 0 +7 *579:5 *4819:19 0 +8 *835:5 *4819:19 0 +9 *3377:9 *39081:A 0 +10 *3377:9 *4819:19 0 +11 *3437:40 *4819:19 0 +12 *3448:18 *4819:19 0 +13 *4452:10 *39081:A 0 +14 *4452:10 *4819:19 0 +15 *4455:22 *4819:19 0 +*RES +1 *37348:Y *4819:19 47.3893 +2 *4819:19 *39081:A 16.0143 +*END + +*D_NET *4820 0.0180355 +*CONN +*I *39082:A I *D sky130_fd_sc_hd__buf_12 +*I *7171:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37349:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *39082:A 0.000448753 +2 *7171:DIODE 0 +3 *37349:Y 0.000349042 +4 *4820:29 0.00178658 +5 *4820:23 0.005475 +6 *4820:21 0.00518552 +7 *4820:18 0.00274496 +8 *4820:10 0.00204565 +9 *39082:A *4828:17 0 +10 *39082:A *4837:13 0 +11 *39082:A *4959:44 0 +12 *4820:18 *5202:15 0 +13 *4820:21 *5202:15 0 +14 *4820:23 *4822:20 0 +15 *4820:23 *5202:19 0 +16 *4820:23 *5202:23 0 +17 *4820:29 *4822:20 0 +18 *4820:29 *4828:17 0 +19 *6779:DIODE *4820:18 0 +20 *6961:DIODE *4820:21 0 +21 *6976:DIODE *39082:A 0 +22 *7155:DIODE *4820:18 0 +23 *37487:A *4820:18 0 +24 *37512:A *4820:18 0 +25 *37512:B *4820:18 0 +26 *38824:A *4820:21 0 +27 *1841:17 *4820:10 0 +28 *2359:19 *4820:18 0 +29 *3182:10 *4820:10 0 +30 *3337:9 *4820:21 0 +31 *3337:9 *4820:23 0 +32 *3352:27 *39082:A 0 +33 *3352:33 *4820:29 0 +34 *3466:5 *4820:10 0 +35 *3879:37 *4820:18 0 +36 *4431:17 *4820:10 0 +37 *4452:10 *39082:A 0 +38 *4799:37 *4820:18 0 +39 *4809:9 *4820:18 0 +40 *4809:18 *4820:23 0 +41 *4817:43 *4820:23 0 +*RES +1 *37349:Y *4820:10 25.8893 +2 *4820:10 *4820:18 44.4821 +3 *4820:18 *4820:21 21.9107 +4 *4820:21 *4820:23 86.375 +5 *4820:23 *4820:29 37.0536 +6 *4820:29 *7171:DIODE 9.3 +7 *4820:29 *39082:A 28.4786 +*END + +*D_NET *4821 0.0114997 +*CONN +*I *41433:A I *D sky130_fd_sc_hd__buf_2 +*I *9009:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37350:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *41433:A 0.000141606 +2 *9009:DIODE 0 +3 *37350:Y 0.000874097 +4 *4821:29 0.000984728 +5 *4821:22 0.00236663 +6 *4821:11 0.00389103 +7 *4821:10 0.00324161 +8 *37477:A *4821:10 0 +9 *37531:A *4821:10 0 +10 *365:17 *4821:22 0 +11 *1164:17 *4821:11 0 +12 *1164:19 *4821:11 0 +13 *1294:20 *4821:10 0 +14 *1658:9 *4821:10 0 +15 *1782:14 *4821:10 0 +16 *1782:15 *4821:11 0 +17 *1785:20 *4821:29 0 +18 *1788:19 *4821:29 0 +19 *1794:18 *4821:29 0 +20 *2352:11 *4821:22 0 +21 *2355:20 *4821:22 0 +22 *2360:24 *4821:10 0 +23 *2362:24 *4821:22 0 +24 *3068:24 *4821:22 0 +25 *3149:19 *4821:11 0 +26 *3204:9 *4821:22 0 +27 *3304:45 *4821:22 0 +28 *3376:20 *4821:22 0 +29 *3376:26 *4821:22 0 +30 *3376:27 *4821:11 0 +31 *3378:24 *4821:11 0 +32 *3874:12 *4821:22 0 +33 *3896:27 *4821:10 0 +34 *3903:36 *41433:A 0 +35 *3903:36 *4821:29 0 +36 *3917:13 *41433:A 0 +37 *3917:13 *4821:29 0 +38 *4615:17 *4821:29 0 +39 *4626:14 *4821:29 0 +*RES +1 *37350:Y *4821:10 36.8714 +2 *4821:10 *4821:11 49.4107 +3 *4821:11 *4821:22 49.7939 +4 *4821:22 *4821:29 18.5144 +5 *4821:29 *9009:DIODE 9.3 +6 *4821:29 *41433:A 12.3179 +*END + +*D_NET *4822 0.0144417 +*CONN +*I *7173:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39084:A I *D sky130_fd_sc_hd__buf_12 +*I *37351:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *7173:DIODE 4.23535e-05 +2 *39084:A 0.000326173 +3 *37351:Y 4.56186e-05 +4 *4822:33 0.00172557 +5 *4822:20 0.00262869 +6 *4822:9 0.00544968 +7 *4822:8 0.00422364 +8 *4822:20 *5202:23 0 +9 *4822:33 *4859:21 0 +10 *4822:33 *5197:27 0 +11 *6975:DIODE *4822:20 0 +12 *37356:A *4822:9 0 +13 *37489:A *4822:9 0 +14 *39520:B *4822:9 0 +15 *40540:A *4822:33 0 +16 *343:20 *4822:9 0 +17 *387:45 *4822:20 0 +18 *387:51 *4822:9 0 +19 *1795:21 *4822:9 0 +20 *2012:26 *39084:A 0 +21 *2012:37 *39084:A 0 +22 *3064:17 *4822:33 0 +23 *3066:11 *4822:33 0 +24 *3249:18 *4822:8 0 +25 *3343:5 *7173:DIODE 0 +26 *3343:5 *4822:33 0 +27 *3347:28 *4822:20 0 +28 *3352:15 *4822:33 0 +29 *3352:27 *4822:20 0 +30 *3352:27 *4822:33 0 +31 *3426:10 *39084:A 0 +32 *3451:23 *7173:DIODE 0 +33 *3451:23 *4822:33 0 +34 *3461:50 *4822:9 0 +35 *3538:13 *4822:33 0 +36 *3909:37 *4822:9 0 +37 *4446:10 *4822:20 0 +38 *4454:10 *39084:A 0 +39 *4455:22 *4822:33 0 +40 *4760:28 *4822:20 0 +41 *4771:25 *4822:20 0 +42 *4817:43 *4822:20 0 +43 *4820:23 *4822:20 0 +44 *4820:29 *4822:20 0 +*RES +1 *37351:Y *4822:8 19.3357 +2 *4822:8 *4822:9 87.1964 +3 *4822:9 *4822:20 44.9107 +4 *4822:20 *4822:33 38.8944 +5 *4822:33 *39084:A 25.7107 +6 *4822:33 *7173:DIODE 10.2464 +*END + +*D_NET *4823 0.0118963 +*CONN +*I *7174:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39085:A I *D sky130_fd_sc_hd__buf_12 +*I *37352:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *7174:DIODE 0.000167289 +2 *39085:A 0.000401316 +3 *37352:Y 4.56186e-05 +4 *4823:27 0.0032428 +5 *4823:9 0.00533391 +6 *4823:8 0.00270533 +7 *7174:DIODE *4825:33 0 +8 *7174:DIODE *5026:41 0 +9 *39085:A *5026:41 0 +10 *4823:9 *4825:7 0 +11 *4823:27 *4825:23 0 +12 *4823:27 *4834:29 0 +13 *4823:27 *4848:9 0 +14 la_data_in_mprj[39] *4823:27 0 +15 la_data_in_mprj[44] *39085:A 0 +16 *6644:DIODE *4823:8 0 +17 *6800:DIODE *7174:DIODE 0 +18 *38659:A *4823:27 0 +19 *38829:A *4823:9 0 +20 *39073:A *4823:8 0 +21 *581:5 *39085:A 0 +22 *837:5 *39085:A 0 +23 *3315:12 *4823:9 0 +24 *3361:18 *4823:27 0 +25 *3448:18 *39085:A 0 +26 *3454:5 *7174:DIODE 0 +27 *3454:41 *4823:9 0 +28 *3454:41 *4823:27 0 +29 *3455:9 *39085:A 0 +30 *3455:24 *4823:27 0 +31 *3458:11 *4823:27 0 +32 *4430:96 *4823:9 0 +33 *4793:10 *4823:27 0 +*RES +1 *37352:Y *4823:8 19.3357 +2 *4823:8 *4823:9 55.5714 +3 *4823:9 *4823:27 40.265 +4 *4823:27 *39085:A 22.4696 +5 *4823:27 *7174:DIODE 17.4696 +*END + +*D_NET *4824 0.00811566 +*CONN +*I *41432:A I *D sky130_fd_sc_hd__buf_2 +*I *9008:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37353:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *41432:A 0 +2 *9008:DIODE 0.000111361 +3 *37353:Y 0.000500022 +4 *4824:24 0.000842773 +5 *4824:23 0.00344645 +6 *4824:14 0.00321506 +7 *9008:DIODE *5200:12 0 +8 *40932:A *4824:14 0 +9 *376:71 *9008:DIODE 0 +10 *1179:8 *4824:23 0 +11 *1373:13 *4824:23 0 +12 *1381:13 *4824:23 0 +13 *3344:17 *4824:23 0 +14 *3344:28 *4824:14 0 +15 *3369:21 *4824:23 0 +16 *3641:25 *4824:14 0 +17 *3872:24 *4824:24 0 +18 *3913:48 *9008:DIODE 0 +19 *3923:46 *9008:DIODE 0 +20 *4150:17 *4824:14 0 +21 *4158:11 *4824:14 0 +22 *4184:38 *4824:14 0 +23 *4211:37 *4824:23 0 +24 *4396:11 *4824:23 0 +25 *4737:18 *4824:23 0 +*RES +1 *37353:Y *4824:14 38.175 +2 *4824:14 *4824:23 47.2191 +3 *4824:23 *4824:24 16.6696 +4 *4824:24 *9008:DIODE 16.2821 +5 *4824:24 *41432:A 13.8 +*END + +*D_NET *4825 0.0128218 +*CONN +*I *7176:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39087:A I *D sky130_fd_sc_hd__buf_12 +*I *37354:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *7176:DIODE 0 +2 *39087:A 0.000160928 +3 *37354:Y 4.96263e-05 +4 *4825:33 0.00239368 +5 *4825:31 0.00250568 +6 *4825:23 0.00167877 +7 *4825:7 0.00369465 +8 *4825:5 0.00233843 +9 *39087:A *4834:36 0 +10 *4825:23 *4848:9 0 +11 *4825:23 *4959:44 0 +12 *4825:31 *4834:15 0 +13 *4825:31 *4837:13 0 +14 *4825:33 *39086:A 0 +15 *4825:33 *4834:15 0 +16 *4825:33 *5200:25 0 +17 la_data_in_mprj[39] *4825:23 0 +18 *6658:DIODE *4825:33 0 +19 *6659:DIODE *39087:A 0 +20 *6798:DIODE *4825:33 0 +21 *6799:DIODE *4825:33 0 +22 *6800:DIODE *4825:33 0 +23 *6802:DIODE *4825:33 0 +24 *6978:DIODE *4825:33 0 +25 *7174:DIODE *4825:33 0 +26 *37348:A *4825:33 0 +27 *37354:A *4825:7 0 +28 *38510:A *4825:7 0 +29 *38652:A *4825:7 0 +30 *38653:A *4825:7 0 +31 *38830:A *4825:7 0 +32 *38838:A *4825:23 0 +33 *38839:A *4825:33 0 +34 *38841:A *4825:33 0 +35 *38843:A *4825:33 0 +36 *40542:A *4825:31 0 +37 *40542:A *4825:33 0 +38 *2012:26 *4825:33 0 +39 *3315:12 *4825:7 0 +40 *3367:5 *4825:31 0 +41 *3374:14 *4825:7 0 +42 *3377:24 *39087:A 0 +43 *3454:5 *4825:33 0 +44 *3454:41 *4825:7 0 +45 *3454:41 *4825:23 0 +46 *3455:24 *4825:23 0 +47 *3455:47 *4825:7 0 +48 *3455:47 *4825:23 0 +49 *3461:16 *39087:A 0 +50 *3461:33 *4825:33 0 +51 *4443:8 *4825:7 0 +52 *4748:18 *4825:7 0 +53 *4760:9 *4825:7 0 +54 *4760:19 *4825:23 0 +55 *4793:10 *4825:23 0 +56 *4819:19 *4825:33 0 +57 *4823:9 *4825:7 0 +58 *4823:27 *4825:23 0 +*RES +1 *37354:Y *4825:5 10.3357 +2 *4825:5 *4825:7 47.7679 +3 *4825:7 *4825:23 47.5714 +4 *4825:23 *4825:31 14.8929 +5 *4825:31 *4825:33 46.5357 +6 *4825:33 *39087:A 22.0143 +7 *4825:33 *7176:DIODE 9.3 +*END + +*D_NET *4826 0.0191867 +*CONN +*I *37962:C I *D sky130_fd_sc_hd__and3b_1 +*I *5892:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38838:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37962:C 0 +2 *5892:DIODE 0.000242489 +3 *38838:X 0.00735669 +4 *4826:24 0.00223666 +5 *4826:15 0.00935086 +6 *8976:DIODE *5892:DIODE 0 +7 *37512:B *4826:15 0 +8 *37928:C *5892:DIODE 0 +9 *41382:A *5892:DIODE 0 +10 *3066:11 *4826:15 0 +11 *3067:24 *4826:24 0 +12 *3226:21 *4826:24 0 +13 *3361:18 *4826:15 0 +14 *3367:21 *4826:24 0 +15 *3367:40 *4826:24 0 +16 *3369:11 *4826:15 0 +17 *3369:21 *4826:24 0 +18 *3458:11 *4826:15 0 +19 *4183:57 *5892:DIODE 0 +20 *4205:15 *5892:DIODE 0 +21 *4429:67 *4826:15 0 +22 *4432:12 *4826:24 0 +23 *4436:14 *4826:15 0 +24 *4637:18 *4826:15 0 +*RES +1 *38838:X *4826:15 48.7863 +2 *4826:15 *4826:24 19.6824 +3 *4826:24 *5892:DIODE 23.5679 +4 *4826:24 *37962:C 9.3 +*END + +*D_NET *4827 0.00731625 +*CONN +*I *41431:A I *D sky130_fd_sc_hd__buf_2 +*I *37355:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *41431:A 0.000104386 +2 *37355:Y 0.00131847 +3 *4827:30 0.00233966 +4 *4827:11 0.00355374 +5 *5215:DIODE *4827:11 0 +6 *37349:A *4827:11 0 +7 *37482:B *4827:11 0 +8 *37542:B *4827:30 0 +9 *39509:B *4827:11 0 +10 *40936:A *4827:30 0 +11 *40950:A *4827:30 0 +12 *295:24 *4827:30 0 +13 *296:43 *41431:A 0 +14 *296:43 *4827:30 0 +15 *376:59 *4827:30 0 +16 *1140:15 *4827:11 0 +17 *1841:17 *4827:11 0 +18 *3052:14 *4827:30 0 +19 *3249:24 *4827:11 0 +20 *3249:34 *4827:11 0 +21 *3364:13 *4827:30 0 +22 *3900:24 *4827:11 0 +23 *3903:26 *4827:30 0 +24 *3903:36 *4827:30 0 +25 *3913:29 *41431:A 0 +26 *3917:13 *4827:11 0 +27 *3917:13 *4827:30 0 +28 *3918:42 *4827:30 0 +29 *3922:11 *4827:11 0 +30 *3922:11 *4827:30 0 +31 *4432:12 *4827:30 0 +32 *4802:29 *4827:30 0 +*RES +1 *37355:Y *4827:11 46.05 +2 *4827:11 *4827:30 46.5316 +3 *4827:30 *41431:A 15.9786 +*END + +*D_NET *4828 0.0163558 +*CONN +*I *39089:A I *D sky130_fd_sc_hd__buf_12 +*I *7178:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37356:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *39089:A 0.000678349 +2 *7178:DIODE 0 +3 *37356:Y 0.00160417 +4 *4828:27 0.000848034 +5 *4828:21 0.0032048 +6 *4828:19 0.00305565 +7 *4828:17 0.00266506 +8 *4828:16 0.00267003 +9 *4828:11 0.00162968 +10 *39089:A *4970:36 0 +11 *6984:DIODE *39089:A 0 +12 *37356:A *4828:11 0 +13 *37488:B *4828:11 0 +14 *37489:A *4828:11 0 +15 *37491:A *4828:17 0 +16 *37534:B *4828:11 0 +17 *39082:A *4828:17 0 +18 *39520:B *4828:11 0 +19 *40458:A *4828:21 0 +20 *387:45 *4828:17 0 +21 *387:51 *4828:17 0 +22 *458:7 *39089:A 0 +23 *841:7 *39089:A 0 +24 *1795:21 *4828:11 0 +25 *3067:15 *4828:11 0 +26 *3332:17 *4828:21 0 +27 *3347:11 *39089:A 0 +28 *3347:11 *4828:27 0 +29 *3431:35 *4828:11 0 +30 *3456:19 *4828:11 0 +31 *3909:21 *39089:A 0 +32 *3909:21 *4828:21 0 +33 *3909:21 *4828:27 0 +34 *3909:36 *4828:21 0 +35 *3909:37 *4828:17 0 +36 *3909:37 *4828:21 0 +37 *4452:33 *4828:21 0 +38 *4793:17 *4828:21 0 +39 *4820:29 *4828:17 0 +*RES +1 *37356:Y *4828:11 47.361 +2 *4828:11 *4828:16 8.49036 +3 *4828:16 *4828:17 55.1607 +4 *4828:17 *4828:19 0.428571 +5 *4828:19 *4828:21 63.375 +6 *4828:21 *4828:27 12.625 +7 *4828:27 *7178:DIODE 9.3 +8 *4828:27 *39089:A 33.8357 +*END + +*D_NET *4829 0.00374507 +*CONN +*I *39090:A I *D sky130_fd_sc_hd__buf_12 +*I *37357:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39090:A 0.000517654 +2 *37357:Y 0.00135488 +3 *4829:13 0.00187253 +4 *39090:A *39092:A 0 +5 *39090:A *4831:21 0 +6 *39090:A *5026:13 0 +7 *4829:13 *4831:21 0 +8 *4829:13 *5026:13 0 +9 *4829:13 *5026:32 0 +10 la_data_in_mprj[48] *39090:A 0 +11 la_data_in_mprj[49] *39090:A 0 +12 *6983:DIODE *4829:13 0 +13 *38527:A *4829:13 0 +14 *40539:A *4829:13 0 +15 *840:5 *4829:13 0 +16 *3333:9 *4829:13 0 +17 *3483:12 *4829:13 0 +18 *3616:34 *4829:13 0 +*RES +1 *37357:Y *4829:13 47.7464 +2 *4829:13 *39090:A 20.1036 +*END + +*D_NET *4830 0.00180124 +*CONN +*I *39091:A I *D sky130_fd_sc_hd__buf_12 +*I *38400:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39091:A 0.000900621 +2 *38400:Y 0.000900621 +3 la_data_in_mprj[4] *39091:A 0 +4 *39080:A *39091:A 0 +5 *39393:B *39091:A 0 +6 *577:8 *39091:A 0 +7 *4439:24 *39091:A 0 +8 *4504:57 *39091:A 0 +9 *4571:7 *39091:A 0 +10 *4815:15 *39091:A 0 +*RES +1 *38400:Y *39091:A 46.725 +*END + +*D_NET *4831 0.0119945 +*CONN +*I *39092:A I *D sky130_fd_sc_hd__buf_12 +*I *7179:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37358:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *39092:A 0.000631452 +2 *7179:DIODE 0 +3 *37358:Y 0.00144881 +4 *4831:21 0.00191656 +5 *4831:15 0.00375102 +6 *4831:14 0.00263187 +7 *4831:9 0.00161476 +8 *39092:A *5026:13 0 +9 *4831:14 *5015:41 0 +10 *4831:14 *5200:21 0 +11 *4831:15 *5048:75 0 +12 *4831:15 *5200:21 0 +13 *4831:21 *4836:10 0 +14 *4831:21 *4970:36 0 +15 la_data_in_mprj[48] *4831:21 0 +16 la_data_in_mprj[49] *39092:A 0 +17 la_data_in_mprj[50] *39092:A 0 +18 *39090:A *39092:A 0 +19 *39090:A *4831:21 0 +20 *841:7 *4831:21 0 +21 *1395:23 *4831:15 0 +22 *1803:8 *4831:21 0 +23 *2000:20 *4831:15 0 +24 *2045:45 *4831:15 0 +25 *3329:9 *4831:15 0 +26 *3583:32 *4831:14 0 +27 *3878:30 *4831:15 0 +28 *3908:41 *4831:9 0 +29 *3923:17 *4831:15 0 +30 *4139:26 *4831:15 0 +31 *4829:13 *4831:21 0 +*RES +1 *37358:Y *4831:9 48.6214 +2 *4831:9 *4831:14 12.7679 +3 *4831:14 *4831:15 51.4643 +4 *4831:15 *4831:21 37.2321 +5 *4831:21 *7179:DIODE 9.3 +6 *4831:21 *39092:A 22.6036 +*END + +*D_NET *4832 0.00189012 +*CONN +*I *41430:A I *D sky130_fd_sc_hd__buf_2 +*I *37359:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *41430:A 0.00094506 +2 *37359:Y 0.00094506 +3 *4152:91 *41430:A 0 +4 *4395:83 *41430:A 0 +*RES +1 *37359:Y *41430:A 47.4571 +*END + +*D_NET *4833 0.00158013 +*CONN +*I *41429:A I *D sky130_fd_sc_hd__buf_2 +*I *37360:Y O *D sky130_fd_sc_hd__inv_1 +*CAP +1 *41429:A 0.000790063 +2 *37360:Y 0.000790063 +3 *39455:B *41429:A 0 +4 *3452:19 *41429:A 0 +5 *3889:15 *41429:A 0 +6 *3925:9 *41429:A 0 +*RES +1 *37360:Y *41429:A 44.1982 +*END + +*D_NET *4834 0.0128893 +*CONN +*I *7182:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39095:A I *D sky130_fd_sc_hd__buf_12 +*I *37361:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *7182:DIODE 0.000221884 +2 *39095:A 8.4707e-05 +3 *37361:Y 0.00091775 +4 *4834:40 0.00199943 +5 *4834:36 0.0036875 +6 *4834:29 0.00352745 +7 *4834:15 0.00245054 +8 *39095:A *40196:A 0 +9 *39095:A *5015:11 0 +10 *4834:15 *4837:13 0 +11 *4834:15 *4848:9 0 +12 *4834:15 *4959:44 0 +13 *4834:29 *4959:32 0 +14 *4834:36 *4915:20 0 +15 *4834:36 *4970:19 0 +16 *4834:36 *4970:36 0 +17 la_data_in_mprj[53] *7182:DIODE 0 +18 la_data_in_mprj[53] *39095:A 0 +19 la_data_in_mprj[53] *4834:40 0 +20 *6811:DIODE *7182:DIODE 0 +21 *6977:DIODE *4834:29 0 +22 *6981:DIODE *4834:29 0 +23 *6984:DIODE *4834:36 0 +24 *37348:A *4834:15 0 +25 *38839:A *4834:15 0 +26 *38847:A *4834:36 0 +27 *39087:A *4834:36 0 +28 *459:5 *4834:36 0 +29 *464:13 *7182:DIODE 0 +30 *842:8 *4834:36 0 +31 *847:5 *4834:40 0 +32 *2049:23 *4834:15 0 +33 *3343:5 *7182:DIODE 0 +34 *3352:15 *4834:40 0 +35 *3356:9 *4834:40 0 +36 *3458:11 *4834:29 0 +37 *3461:16 *4834:29 0 +38 *3461:16 *4834:36 0 +39 *3461:33 *4834:15 0 +40 *3616:34 *4834:36 0 +41 *4448:5 *4834:15 0 +42 *4454:83 *4834:40 0 +43 *4462:13 *4834:36 0 +44 *4462:21 *4834:36 0 +45 *4462:48 *7182:DIODE 0 +46 *4823:27 *4834:29 0 +47 *4825:31 *4834:15 0 +48 *4825:33 *4834:15 0 +*RES +1 *37361:Y *4834:15 46.7464 +2 *4834:15 *4834:29 37.8319 +3 *4834:29 *4834:36 49.5796 +4 *4834:36 *4834:40 10.0189 +5 *4834:40 *39095:A 15.5679 +6 *4834:40 *7182:DIODE 18.8179 +*END + +*D_NET *4835 0.0134152 +*CONN +*I *39096:A I *D sky130_fd_sc_hd__buf_12 +*I *7183:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37362:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *39096:A 0.000371397 +2 *7183:DIODE 0 +3 *37362:Y 8.57316e-05 +4 *4835:31 0.00264052 +5 *4835:30 0.00242161 +6 *4835:25 0.00185 +7 *4835:11 0.00382887 +8 *4835:8 0.0022171 +9 *4835:11 *5199:21 0 +10 *4835:25 *4871:10 0 +11 *4835:31 *5197:27 0 +12 *6806:DIODE *4835:30 0 +13 *6812:DIODE *39096:A 0 +14 *40460:A *4835:31 0 +15 *40537:A *4835:25 0 +16 *40538:A *4835:25 0 +17 *848:9 *39096:A 0 +18 *1805:38 *4835:25 0 +19 *1985:9 *39096:A 0 +20 *3058:5 *4835:25 0 +21 *3333:9 *4835:11 0 +22 *3343:5 *39096:A 0 +23 *3343:5 *4835:31 0 +24 *3347:10 *39096:A 0 +25 *3347:11 *4835:25 0 +26 *3349:36 *4835:25 0 +27 *3364:13 *4835:8 0 +28 *3366:7 *39096:A 0 +29 *3366:7 *4835:31 0 +30 *3453:10 *4835:25 0 +31 *3878:30 *4835:8 0 +32 *3908:40 *4835:25 0 +33 *4455:65 *4835:31 0 +34 *4457:6 *4835:25 0 +35 *4458:11 *4835:30 0 +*RES +1 *37362:Y *4835:8 20.2464 +2 *4835:8 *4835:11 48.9821 +3 *4835:11 *4835:25 49.4107 +4 *4835:25 *4835:30 12.4643 +5 *4835:30 *4835:31 47.3571 +6 *4835:31 *7183:DIODE 9.3 +7 *4835:31 *39096:A 26.6571 +*END + +*D_NET *4836 0.00860807 +*CONN +*I *39097:A I *D sky130_fd_sc_hd__buf_12 +*I *37363:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39097:A 0.000232753 +2 *37363:Y 0.000641077 +3 *4836:11 0.00366296 +4 *4836:10 0.00407128 +5 *39097:A *4839:17 0 +6 la_data_in_mprj[55] *39097:A 0 +7 *6663:DIODE *4836:10 0 +8 *37363:A *4836:10 0 +9 *301:40 *4836:11 0 +10 *585:5 *4836:10 0 +11 *841:7 *4836:10 0 +12 *849:5 *39097:A 0 +13 *2048:10 *4836:11 0 +14 *3343:5 *4836:10 0 +15 *3346:8 *39097:A 0 +16 *4831:21 *4836:10 0 +*RES +1 *37363:Y *4836:10 32.6393 +2 *4836:10 *4836:11 71.5893 +3 *4836:11 *39097:A 23.5857 +*END + +*D_NET *4837 0.00469668 +*CONN +*I *40199:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38839:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40199:A 0.00108363 +2 *38839:X 0.00126471 +3 *4837:13 0.00234834 +4 *4837:13 *5199:9 0 +5 *37490:A *40199:A 0 +6 *39082:A *4837:13 0 +7 *40458:A *4837:13 0 +8 *3333:9 *4837:13 0 +9 *3338:21 *40199:A 0 +10 *3343:13 *4837:13 0 +11 *3367:5 *4837:13 0 +12 *3426:20 *4837:13 0 +13 *3457:9 *40199:A 0 +14 *3463:11 *40199:A 0 +15 *3878:31 *4837:13 0 +16 *3901:17 *4837:13 0 +17 *3901:21 *4837:13 0 +18 *3908:41 *40199:A 0 +19 *3909:36 *4837:13 0 +20 *4447:10 *4837:13 0 +21 *4448:5 *4837:13 0 +22 *4825:31 *4837:13 0 +23 *4834:15 *4837:13 0 +*RES +1 *38839:X *4837:13 49.9429 +2 *4837:13 *40199:A 36.55 +*END + +*D_NET *4838 0.00867178 +*CONN +*I *39098:A I *D sky130_fd_sc_hd__buf_12 +*I *7184:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37364:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39098:A 0.000375648 +2 *7184:DIODE 0 +3 *37364:Y 0.00157398 +4 *4838:21 0.000828622 +5 *4838:17 0.00238626 +6 *4838:8 0.00350727 +7 *4838:8 *5197:27 0 +8 *4838:21 *40193:A 0 +9 *6994:DIODE *39098:A 0 +10 *40437:A *39098:A 0 +11 *40444:A *4838:21 0 +12 *40449:A *4838:17 0 +13 *467:5 *39098:A 0 +14 *2023:24 *39098:A 0 +15 *2023:24 *4838:21 0 +16 *2023:32 *4838:8 0 +17 *2088:30 *39098:A 0 +18 *2088:30 *4838:21 0 +19 *3332:17 *4838:17 0 +20 *3336:22 *4838:21 0 +21 *3366:11 *4838:21 0 +22 *3450:13 *4838:17 0 +23 *3450:15 *4838:17 0 +24 *4465:32 *4838:17 0 +*RES +1 *37364:Y *4838:8 46.7821 +2 *4838:8 *4838:17 49.4107 +3 *4838:17 *4838:21 14.1607 +4 *4838:21 *7184:DIODE 9.3 +5 *4838:21 *39098:A 26.8536 +*END + +*D_NET *4839 0.00953155 +*CONN +*I *7185:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39099:A I *D sky130_fd_sc_hd__buf_12 +*I *37365:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *7185:DIODE 0 +2 *39099:A 0.000654982 +3 *37365:Y 0.00142992 +4 *4839:17 0.00163744 +5 *4839:13 0.00268087 +6 *4839:10 0.00312834 +7 *39099:A *5048:37 0 +8 *4839:17 *5026:13 0 +9 *4839:17 *5048:37 0 +10 la_data_in_mprj[56] *39099:A 0 +11 la_data_in_mprj[57] *39099:A 0 +12 *6993:DIODE *4839:17 0 +13 *39097:A *4839:17 0 +14 *849:5 *4839:17 0 +15 *2035:40 *4839:13 0 +16 *2098:13 *4839:17 0 +17 *3058:5 *4839:13 0 +18 *3331:50 *4839:17 0 +19 *3332:17 *4839:10 0 +20 *3338:5 *4839:13 0 +21 *3339:45 *4839:10 0 +22 *3346:8 *4839:17 0 +23 *3347:11 *4839:10 0 +24 *3450:15 *4839:10 0 +*RES +1 *37365:Y *4839:10 48.3 +2 *4839:10 *4839:13 39.9464 +3 *4839:13 *4839:17 26.0357 +4 *4839:17 *39099:A 23.0321 +5 *4839:17 *7185:DIODE 9.3 +*END + +*D_NET *4840 0.00276042 +*CONN +*I *39100:A I *D sky130_fd_sc_hd__buf_12 +*I *37366:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39100:A 0 +2 *37366:Y 0.00138021 +3 *4840:15 0.00138021 +4 *4840:15 *5037:13 0 +5 la_data_in_mprj[58] *4840:15 0 +6 *6817:DIODE *4840:15 0 +7 *6995:DIODE *4840:15 0 +8 *37369:A *4840:15 0 +9 *40449:A *4840:15 0 +10 *596:7 *4840:15 0 +11 *852:5 *4840:15 0 +12 *2082:25 *4840:15 0 +13 *3332:16 *4840:15 0 +14 *3351:7 *4840:15 0 +15 *3450:10 *4840:15 0 +16 *3660:22 *4840:15 0 +*RES +1 *37366:Y *4840:15 47.9429 +2 *4840:15 *39100:A 9.3 +*END + +*D_NET *4841 0.00250157 +*CONN +*I *39101:A I *D sky130_fd_sc_hd__buf_12 +*I *37367:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39101:A 0 +2 *37367:Y 0.00125079 +3 *4841:17 0.00125079 +4 *4841:17 *39104:A 0 +5 la_data_in_mprj[61] *4841:17 0 +6 *6822:DIODE *4841:17 0 +7 *37367:A *4841:17 0 +8 *38543:A *4841:17 0 +9 *38544:A *4841:17 0 +10 *856:12 *4841:17 0 +11 *3331:19 *4841:17 0 +12 *3660:10 *4841:17 0 +13 *4468:34 *4841:17 0 +14 *4476:10 *4841:17 0 +*RES +1 *37367:Y *4841:17 44.675 +2 *4841:17 *39101:A 9.3 +*END + +*D_NET *4842 0.00210295 +*CONN +*I *39102:A I *D sky130_fd_sc_hd__buf_12 +*I *38401:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39102:A 0 +2 *38401:Y 0.00105147 +3 *4842:17 0.00105147 +4 *4842:17 *39113:A 0 +5 *599:8 *4842:17 0 +6 *610:8 *4842:17 0 +7 *1775:10 *4842:17 0 +8 *3505:10 *4842:17 0 +9 *3749:9 *4842:17 0 +10 *4693:9 *4842:17 0 +*RES +1 *38401:Y *4842:17 40.9071 +2 *4842:17 *39102:A 9.3 +*END + +*D_NET *4843 0.00305431 +*CONN +*I *39103:A I *D sky130_fd_sc_hd__buf_12 +*I *37368:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39103:A 0.000904458 +2 *37368:Y 0.000622697 +3 *4843:16 0.00152716 +4 *39103:A *5048:20 0 +5 *39103:A *5070:20 0 +6 *4843:16 *5037:13 0 +7 *6818:DIODE *4843:16 0 +8 *6995:DIODE *4843:16 0 +9 *1807:34 *39103:A 0 +10 *1807:34 *4843:16 0 +11 *2023:24 *4843:16 0 +12 *2082:25 *4843:16 0 +13 *3366:15 *4843:16 0 +14 *3638:12 *39103:A 0 +15 *4462:49 *39103:A 0 +16 *4474:11 *39103:A 0 +17 *4477:24 *39103:A 0 +18 *4477:24 *4843:16 0 +*RES +1 *37368:Y *4843:16 40.5857 +2 *4843:16 *39103:A 46.4429 +*END + +*D_NET *4844 0.00359019 +*CONN +*I *39104:A I *D sky130_fd_sc_hd__buf_12 +*I *37369:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39104:A 0.000538189 +2 *37369:Y 0.0012569 +3 *4844:18 0.00179509 +4 *4844:18 *5048:20 0 +5 *4844:18 *5070:20 0 +6 *38540:A *4844:18 0 +7 *38541:A *4844:18 0 +8 *38543:A *39104:A 0 +9 *38682:A *4844:18 0 +10 *38859:A *4844:18 0 +11 *38879:A *4844:18 0 +12 *40441:A *4844:18 0 +13 *852:5 *4844:18 0 +14 *854:8 *39104:A 0 +15 *856:12 *39104:A 0 +16 *3331:19 *4844:18 0 +17 *3616:7 *4844:18 0 +18 *3660:10 *4844:18 0 +19 *4468:34 *39104:A 0 +20 *4474:11 *39104:A 0 +21 *4477:24 *4844:18 0 +22 *4841:17 *39104:A 0 +*RES +1 *37369:Y *4844:18 49.4607 +2 *4844:18 *39104:A 25.0321 +*END + +*D_NET *4845 0.00535312 +*CONN +*I *39105:A I *D sky130_fd_sc_hd__buf_12 +*I *37370:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39105:A 0.00117537 +2 *37370:Y 0.0015012 +3 *4845:15 0.00267656 +4 *6822:DIODE *39105:A 0 +5 *37367:A *39105:A 0 +6 *37778:B *4845:15 0 +7 *41094:A *4845:15 0 +8 *857:9 *39105:A 0 +9 *1807:38 *39105:A 0 +10 *1998:51 *39105:A 0 +11 *2100:23 *39105:A 0 +12 *2143:45 *39105:A 0 +13 *3132:15 *4845:15 0 +14 *3156:47 *4845:15 0 +15 *3649:11 *39105:A 0 +16 *3934:14 *4845:15 0 +17 *4189:22 *4845:15 0 +18 *4468:34 *39105:A 0 +19 *4479:10 *39105:A 0 +*RES +1 *37370:Y *4845:15 45.3179 +2 *4845:15 *39105:A 40.2821 +*END + +*D_NET *4846 0.0045584 +*CONN +*I *39106:A I *D sky130_fd_sc_hd__buf_12 +*I *37371:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39106:A 0.000462813 +2 *37371:Y 5.89306e-05 +3 *4846:11 0.00222027 +4 *4846:8 0.00181639 +5 *39106:A *5104:10 0 +6 la_data_in_mprj[63] *39106:A 0 +7 *6823:DIODE *39106:A 0 +8 *7003:DIODE *39106:A 0 +9 *40435:A *39106:A 0 +10 *475:5 *39106:A 0 +11 *858:5 *39106:A 0 +12 *2035:28 *4846:11 0 +13 *2082:31 *4846:11 0 +14 *3351:9 *4846:11 0 +15 *4474:26 *4846:11 0 +*RES +1 *37371:Y *4846:8 19.6393 +2 *4846:8 *4846:11 41.1786 +3 *4846:11 *39106:A 24.2464 +*END + +*D_NET *4847 0.000390865 +*CONN +*I *39107:A I *D sky130_fd_sc_hd__buf_12 +*I *37372:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39107:A 0.000195433 +2 *37372:Y 0.000195433 +3 *6824:DIODE *39107:A 0 +4 *476:5 *39107:A 0 +5 *859:5 *39107:A 0 +6 *4479:35 *39107:A 0 +*RES +1 *37372:Y *39107:A 31.975 +*END + +*D_NET *4848 0.00828477 +*CONN +*I *5900:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37966:C I *D sky130_fd_sc_hd__and3b_1 +*I *38840:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5900:DIODE 5.52909e-05 +2 *37966:C 0.000320404 +3 *38840:X 0.00118761 +4 *4848:18 0.00116869 +5 *4848:15 0.00257908 +6 *4848:9 0.0029737 +7 *4848:9 *4959:44 0 +8 *6652:DIODE *4848:15 0 +9 *38519:A *4848:9 0 +10 *38659:A *4848:9 0 +11 *38662:A *4848:9 0 +12 *38838:A *4848:9 0 +13 *38884:A *4848:9 0 +14 *1391:28 *37966:C 0 +15 *1492:42 *4848:18 0 +16 *3062:14 *4848:15 0 +17 *3062:24 *4848:15 0 +18 *3137:32 *4848:15 0 +19 *3437:21 *4848:9 0 +20 *3437:40 *4848:9 0 +21 *3554:29 *37966:C 0 +22 *3639:52 *37966:C 0 +23 *3866:32 *37966:C 0 +24 *4170:30 *4848:15 0 +25 *4200:24 *4848:15 0 +26 *4452:33 *4848:9 0 +27 *4454:22 *4848:15 0 +28 *4454:39 *4848:15 0 +29 *4760:19 *4848:9 0 +30 *4823:27 *4848:9 0 +31 *4825:23 *4848:9 0 +32 *4834:15 *4848:9 0 +*RES +1 *38840:X *4848:9 38.5857 +2 *4848:9 *4848:15 46.9477 +3 *4848:15 *4848:18 6.653 +4 *4848:18 *37966:C 19.1138 +5 *4848:18 *5900:DIODE 18.177 +*END + +*D_NET *4849 0.00158762 +*CONN +*I *39108:A I *D sky130_fd_sc_hd__buf_12 +*I *37373:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39108:A 0.000793812 +2 *37373:Y 0.000793812 +3 *7015:DIODE *39108:A 0 +4 *38548:A *39108:A 0 +5 *38688:A *39108:A 0 +6 *38877:A *39108:A 0 +7 *3146:8 *39108:A 0 +8 *4479:10 *39108:A 0 +9 *4479:35 *39108:A 0 +*RES +1 *37373:Y *39108:A 44.35 +*END + +*D_NET *4850 0.00180718 +*CONN +*I *39109:A I *D sky130_fd_sc_hd__buf_12 +*I *37374:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39109:A 0.000903591 +2 *37374:Y 0.000903591 +3 *39109:A *5126:10 0 +4 *39109:A *5137:11 0 +5 *7006:DIODE *39109:A 0 +6 *37372:A *39109:A 0 +7 *37374:A *39109:A 0 +8 *606:7 *39109:A 0 +9 *4468:37 *39109:A 0 +10 *4479:35 *39109:A 0 +*RES +1 *37374:Y *39109:A 46.7786 +*END + +*D_NET *4851 0.00726607 +*CONN +*I *39110:A I *D sky130_fd_sc_hd__buf_12 +*I *37375:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39110:A 0.000459471 +2 *37375:Y 0.000611858 +3 *4851:20 0.00203186 +4 *4851:13 0.00256171 +5 *4851:10 0.00160118 +6 *4851:13 *5170:27 0 +7 *4851:20 *39114:A 0 +8 *4851:20 *5159:20 0 +9 *6691:DIODE *4851:20 0 +10 *6831:DIODE *4851:20 0 +11 *6836:DIODE *4851:10 0 +12 *37377:A *4851:20 0 +13 *37378:A *4851:20 0 +14 *38415:A *4851:20 0 +15 *38693:A *39110:A 0 +16 *38871:A *39110:A 0 +17 *1982:19 *4851:10 0 +18 *1987:13 *4851:10 0 +19 *2139:38 *4851:10 0 +20 *3328:11 *39110:A 0 +21 *3760:13 *4851:20 0 +22 *3760:17 *4851:13 0 +23 *3760:17 *4851:20 0 +24 *4479:46 *4851:20 0 +25 *4484:14 *4851:20 0 +26 *4491:16 *4851:10 0 +*RES +1 *37375:Y *4851:10 31.425 +2 *4851:10 *4851:13 20.6786 +3 *4851:13 *4851:20 41.9821 +4 *4851:20 *39110:A 18.8893 +*END + +*D_NET *4852 0.00182739 +*CONN +*I *39111:A I *D sky130_fd_sc_hd__buf_12 +*I *37376:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39111:A 0.000913697 +2 *37376:Y 0.000913697 +3 la_data_in_mprj[67] *39111:A 0 +4 *6828:DIODE *39111:A 0 +5 *7007:DIODE *39111:A 0 +6 *37376:A *39111:A 0 +7 *38692:A *39111:A 0 +8 *40430:A *39111:A 0 +9 *479:5 *39111:A 0 +10 *2035:27 *39111:A 0 +11 *2082:41 *39111:A 0 +12 *2088:36 *39111:A 0 +13 *2143:38 *39111:A 0 +14 *3324:14 *39111:A 0 +15 *3341:9 *39111:A 0 +*RES +1 *37376:Y *39111:A 47.6357 +*END + +*D_NET *4853 0.00142621 +*CONN +*I *39112:A I *D sky130_fd_sc_hd__buf_12 +*I *37377:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39112:A 0.000713106 +2 *37377:Y 0.000713106 +3 la_data_in_mprj[70] *39112:A 0 +4 *611:5 *39112:A 0 +5 *867:8 *39112:A 0 +6 *2089:7 *39112:A 0 +7 *3320:12 *39112:A 0 +8 *3341:9 *39112:A 0 +9 *3727:8 *39112:A 0 +*RES +1 *37377:Y *39112:A 42.7786 +*END + +*D_NET *4854 0.00783625 +*CONN +*I *39113:A I *D sky130_fd_sc_hd__buf_12 +*I *38402:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39113:A 0.000139215 +2 *38402:Y 0.000329024 +3 *4854:9 0.0035891 +4 *4854:8 0.00377891 +5 *4854:9 *4866:11 0 +6 la_data_in_mprj[14] *4854:8 0 +7 *6763:DIODE *4854:8 0 +8 *610:8 *39113:A 0 +9 *804:9 *4854:8 0 +10 *866:7 *39113:A 0 +11 *3115:9 *4854:9 0 +12 *3627:9 *4854:9 0 +13 *3627:16 *4854:8 0 +14 *4115:9 *4854:9 0 +15 *4504:21 *4854:8 0 +16 *4842:17 *39113:A 0 +*RES +1 *38402:Y *4854:8 25.7107 +2 *4854:8 *4854:9 72 +3 *4854:9 *39113:A 21.4607 +*END + +*D_NET *4855 0.00119645 +*CONN +*I *39114:A I *D sky130_fd_sc_hd__buf_12 +*I *37378:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39114:A 0.000598227 +2 *37378:Y 0.000598227 +3 la_data_in_mprj[71] *39114:A 0 +4 *6833:DIODE *39114:A 0 +5 *484:5 *39114:A 0 +6 *2089:7 *39114:A 0 +7 *3320:12 *39114:A 0 +8 *3727:8 *39114:A 0 +9 *3760:13 *39114:A 0 +10 *4851:20 *39114:A 0 +*RES +1 *37378:Y *39114:A 40.4393 +*END + +*D_NET *4856 0.0028056 +*CONN +*I *39115:A I *D sky130_fd_sc_hd__buf_12 +*I *37379:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39115:A 0.0014028 +2 *37379:Y 0.0014028 +3 *39115:A *39117:A 0 +4 la_data_in_mprj[72] *39115:A 0 +5 la_data_in_mprj[73] *39115:A 0 +6 *38417:A *39115:A 0 +7 *38560:A *39115:A 0 +8 *615:12 *39115:A 0 +9 *3320:12 *39115:A 0 +10 *3782:9 *39115:A 0 +*RES +1 *37379:Y *39115:A 47.9393 +*END + +*D_NET *4857 0.00827684 +*CONN +*I *39116:A I *D sky130_fd_sc_hd__buf_12 +*I *37380:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39116:A 2.56688e-05 +2 *37380:Y 0.0012121 +3 *4857:30 0.00213951 +4 *4857:26 0.00290065 +5 *4857:18 0.00199891 +6 la_data_in_mprj[73] *4857:30 0 +7 la_data_in_mprj[79] *4857:18 0 +8 *8705:DIODE *4857:18 0 +9 *869:5 *4857:30 0 +10 *1981:16 *4857:30 0 +11 *1982:20 *4857:26 0 +12 *2069:10 *4857:30 0 +13 *2072:13 *4857:30 0 +14 *2077:47 *4857:18 0 +15 *2080:16 *4857:30 0 +16 *2089:8 *4857:26 0 +17 *2089:14 *4857:18 0 +18 *2089:14 *4857:26 0 +19 *2129:14 *4857:30 0 +20 *2372:8 *4857:30 0 +21 *2372:11 *4857:30 0 +22 *2383:20 *4857:26 0 +23 *2383:26 *4857:18 0 +24 *3813:20 *4857:18 0 +25 *3827:22 *4857:18 0 +*RES +1 *37380:Y *4857:18 49.6929 +2 *4857:18 *4857:26 30.0536 +3 *4857:26 *4857:30 48.9464 +4 *4857:30 *39116:A 14.3357 +*END + +*D_NET *4858 0.0106383 +*CONN +*I *7186:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39117:A I *D sky130_fd_sc_hd__buf_12 +*I *37381:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *7186:DIODE 0 +2 *39117:A 0.000296987 +3 *37381:Y 0.000808542 +4 *4858:48 0.00141859 +5 *4858:32 0.0029986 +6 *4858:25 0.00309204 +7 *4858:15 0.00202359 +8 *5244:DIODE *4858:15 0 +9 *6695:DIODE *4858:48 0 +10 *37375:A *4858:48 0 +11 *37382:A *4858:25 0 +12 *39115:A *39117:A 0 +13 *614:5 *39117:A 0 +14 *1978:25 *4858:32 0 +15 *1982:19 *39117:A 0 +16 *1982:19 *4858:48 0 +17 *2053:22 *4858:48 0 +18 *2118:25 *4858:32 0 +19 *2118:25 *4858:48 0 +20 *2135:30 *4858:48 0 +21 *2135:44 *4858:48 0 +22 *2135:50 *4858:48 0 +23 *2139:38 *4858:48 0 +24 *2139:46 *4858:48 0 +25 *2143:31 *4858:32 0 +26 *2143:31 *4858:48 0 +27 *2418:51 *4858:15 0 +28 *2418:53 *4858:15 0 +29 *3055:9 *4858:25 0 +30 *3055:33 *4858:15 0 +31 *3206:47 *4858:25 0 +32 *3206:47 *4858:32 0 +33 *3216:17 *4858:15 0 +34 *3216:25 *4858:15 0 +35 *3216:25 *4858:25 0 +36 *3216:25 *4858:32 0 +37 *3320:12 *39117:A 0 +38 *3438:25 *4858:15 0 +39 *3792:37 *4858:15 0 +40 *3838:11 *4858:32 0 +41 *3838:32 *4858:15 0 +42 *4112:19 *4858:15 0 +*RES +1 *37381:Y *4858:15 35.4429 +2 *4858:15 *4858:25 34.5357 +3 *4858:25 *4858:32 48.4286 +4 *4858:32 *4858:48 28.9107 +5 *4858:48 *39117:A 20.3536 +6 *4858:48 *7186:DIODE 13.8 +*END + +*D_NET *4859 0.00212695 +*CONN +*I *40198:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38841:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40198:A 0 +2 *38841:X 0.00106348 +3 *4859:21 0.00106348 +4 *4859:21 *5197:27 0 +5 *38841:A *4859:21 0 +6 *2012:37 *4859:21 0 +7 *3343:5 *4859:21 0 +8 *3461:33 *4859:21 0 +9 *3583:32 *4859:21 0 +10 *4448:5 *4859:21 0 +11 *4822:33 *4859:21 0 +*RES +1 *38841:X *4859:21 49.9964 +2 *4859:21 *40198:A 9.3 +*END + +*D_NET *4860 0.00491003 +*CONN +*I *39118:A I *D sky130_fd_sc_hd__buf_12 +*I *37382:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39118:A 0 +2 *37382:Y 0.00138534 +3 *4860:23 0.00106967 +4 *4860:11 0.00245501 +5 *4860:23 *5148:20 0 +6 *37379:A *4860:23 0 +7 *37384:A *4860:11 0 +8 *37634:A *4860:23 0 +9 *38419:A *4860:23 0 +10 *2008:13 *4860:11 0 +11 *2143:23 *4860:11 0 +12 *2233:17 *4860:23 0 +13 *2394:19 *4860:23 0 +14 *3328:30 *4860:23 0 +15 *3335:5 *4860:11 0 +16 *3760:17 *4860:23 0 +17 *3760:35 *4860:23 0 +18 *3827:14 *4860:11 0 +19 *4488:16 *4860:23 0 +20 *4490:27 *4860:23 0 +21 *4491:17 *4860:11 0 +*RES +1 *37382:Y *4860:11 47.5679 +2 *4860:11 *4860:23 40.7143 +3 *4860:23 *39118:A 9.3 +*END + +*D_NET *4861 0.00676098 +*CONN +*I *39119:A I *D sky130_fd_sc_hd__buf_12 +*I *37383:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39119:A 0 +2 *37383:Y 0.00108955 +3 *4861:29 0.00229094 +4 *4861:13 0.00338049 +5 la_data_in_mprj[77] *4861:29 0 +6 la_data_in_mprj[78] *4861:29 0 +7 la_data_in_mprj[79] *4861:29 0 +8 la_data_in_mprj[80] *4861:13 0 +9 *6839:DIODE *4861:29 0 +10 *6840:DIODE *4861:29 0 +11 *38423:A *4861:29 0 +12 *38703:A *4861:29 0 +13 *40520:A *4861:13 0 +14 *40591:A *4861:13 0 +15 *492:8 *4861:29 0 +16 *2141:26 *4861:13 0 +17 *2141:26 *4861:29 0 +18 *2372:22 *4861:13 0 +19 *3216:25 *4861:13 0 +20 *3760:35 *4861:29 0 +21 *3760:50 *4861:13 0 +22 *3760:50 *4861:29 0 +*RES +1 *37383:Y *4861:13 42.1214 +2 *4861:13 *4861:29 48.2143 +3 *4861:29 *39119:A 9.3 +*END + +*D_NET *4862 0.00104933 +*CONN +*I *39120:A I *D sky130_fd_sc_hd__buf_12 +*I *37384:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39120:A 0.000524666 +2 *37384:Y 0.000524666 +3 *38562:A *39120:A 0 +4 *617:5 *39120:A 0 +5 *3335:5 *39120:A 0 +6 *3760:35 *39120:A 0 +*RES +1 *37384:Y *39120:A 39.0464 +*END + +*D_NET *4863 0.00215382 +*CONN +*I *39121:A I *D sky130_fd_sc_hd__buf_12 +*I *37385:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39121:A 2.56688e-05 +2 *37385:Y 0.00105124 +3 *4863:14 0.00107691 +4 *41060:A *4863:14 0 +5 *618:5 *4863:14 0 +6 *2040:11 *4863:14 0 +7 *2141:26 *39121:A 0 +8 *3335:5 *4863:14 0 +9 *3760:35 *39121:A 0 +10 *3800:20 *4863:14 0 +11 *3838:11 *4863:14 0 +*RES +1 *37385:Y *4863:14 46.2286 +2 *4863:14 *39121:A 14.3357 +*END + +*D_NET *4864 0.00576771 +*CONN +*I *39122:A I *D sky130_fd_sc_hd__buf_12 +*I *37386:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39122:A 0 +2 *37386:Y 0.000785053 +3 *4864:20 0.0020988 +4 *4864:12 0.00288386 +5 *619:5 *4864:20 0 +6 *875:8 *4864:20 0 +7 *1981:16 *4864:20 0 +8 *2051:21 *4864:20 0 +9 *2053:36 *4864:12 0 +10 *2072:14 *4864:20 0 +11 *2118:56 *4864:12 0 +12 *4494:9 *4864:20 0 +13 *4494:22 *4864:20 0 +*RES +1 *37386:Y *4864:12 36.1929 +2 *4864:12 *4864:20 48.7679 +3 *4864:20 *39122:A 13.8 +*END + +*D_NET *4865 0.00444814 +*CONN +*I *39123:A I *D sky130_fd_sc_hd__buf_12 +*I *37387:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39123:A 0.000729878 +2 *37387:Y 0.00149419 +3 *4865:11 0.00222407 +4 *3228:37 *4865:11 0 +5 *3228:47 *4865:11 0 +6 *3449:26 *39123:A 0 +7 *4489:41 *4865:11 0 +*RES +1 *37387:Y *4865:11 49.5679 +2 *4865:11 *39123:A 34.8179 +*END + +*D_NET *4866 0.011487 +*CONN +*I *39124:A I *D sky130_fd_sc_hd__buf_12 +*I *7187:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38403:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *39124:A 0 +2 *7187:DIODE 0.000399577 +3 *38403:Y 0.000384315 +4 *4866:16 0.000512051 +5 *4866:11 0.00495959 +6 *4866:10 0.00523144 +7 la_data_in_mprj[18] *4866:10 0 +8 la_data_in_mprj[7] *7187:DIODE 0 +9 *39056:A *4866:10 0 +10 *621:8 *4866:16 0 +11 *808:5 *4866:10 0 +12 *877:8 *4866:16 0 +13 *2357:11 *4866:11 0 +14 *3115:9 *4866:11 0 +15 *3627:9 *4866:11 0 +16 *4482:20 *4866:10 0 +17 *4693:9 *7187:DIODE 0 +18 *4775:30 *4866:10 0 +19 *4854:9 *4866:11 0 +*RES +1 *38403:Y *4866:10 26.8714 +2 *4866:10 *4866:11 101.161 +3 *4866:11 *4866:16 11.5536 +4 *4866:16 *7187:DIODE 17.6393 +5 *4866:16 *39124:A 9.3 +*END + +*D_NET *4867 0.00346486 +*CONN +*I *39125:A I *D sky130_fd_sc_hd__buf_12 +*I *37388:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39125:A 0.000648954 +2 *37388:Y 0.00108347 +3 *4867:28 0.00173243 +4 *2089:16 *39125:A 0 +5 *2115:49 *4867:28 0 +6 *2121:45 *4867:28 0 +7 *2427:26 *4867:28 0 +8 *2844:41 *4867:28 0 +9 *3233:29 *4867:28 0 +10 *3807:40 *4867:28 0 +11 *3838:47 *4867:28 0 +*RES +1 *37388:Y *4867:28 41.6808 +2 *4867:28 *39125:A 31.9786 +*END + +*D_NET *4868 0.000409837 +*CONN +*I *39126:A I *D sky130_fd_sc_hd__buf_12 +*I *37389:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39126:A 0.000204919 +2 *37389:Y 0.000204919 +3 *6704:DIODE *39126:A 0 +4 *3442:24 *39126:A 0 +5 *3782:35 *39126:A 0 +6 *3882:13 *39126:A 0 +*RES +1 *37389:Y *39126:A 32.2071 +*END + +*D_NET *4869 0.00241423 +*CONN +*I *39127:A I *D sky130_fd_sc_hd__buf_12 +*I *37390:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39127:A 0 +2 *37390:Y 0.00120711 +3 *4869:18 0.00120711 +4 *40582:A *4869:18 0 +5 *1981:41 *4869:18 0 +6 *2089:16 *4869:18 0 +7 *4503:8 *4869:18 0 +*RES +1 *37390:Y *4869:18 48.6929 +2 *4869:18 *39127:A 13.8 +*END + +*D_NET *4870 0.00439692 +*CONN +*I *38086:C I *D sky130_fd_sc_hd__and3b_1 +*I *38842:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *38086:C 0.000656172 +2 *38842:X 0.00154229 +3 *4870:16 0.00219846 +4 *6589:DIODE *4870:16 0 +5 *6990:DIODE *4870:16 0 +6 *41276:A *38086:C 0 +7 *392:7 *4870:16 0 +8 *775:12 *4870:16 0 +9 *2417:100 *4870:16 0 +10 *2418:8 *4870:16 0 +11 *2419:97 *4870:16 0 +12 *3174:16 *4870:16 0 +13 *3306:29 *38086:C 0 +14 *3313:15 *38086:C 0 +15 *4055:82 *38086:C 0 +16 *4149:8 *4870:16 0 +17 *4149:23 *4870:16 0 +18 *4759:10 *4870:16 0 +*RES +1 *38842:X *4870:16 48.5143 +2 *4870:16 *38086:C 37.8536 +*END + +*D_NET *4871 0.00832088 +*CONN +*I *37970:C I *D sky130_fd_sc_hd__and3b_1 +*I *5907:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38843:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *37970:C 0.000323468 +2 *5907:DIODE 0 +3 *38843:X 0.000616051 +4 *4871:11 0.00166766 +5 *4871:10 0.00322092 +6 *4871:7 0.00249279 +7 *1889:34 *37970:C 0 +8 *1889:34 *4871:11 0 +9 *2048:15 *4871:10 0 +10 *2048:20 *4871:10 0 +11 *3454:5 *4871:7 0 +12 *4139:26 *4871:10 0 +13 *4395:37 *4871:11 0 +14 *4448:5 *4871:7 0 +15 *4457:6 *4871:10 0 +16 *4457:28 *4871:10 0 +17 *4835:25 *4871:10 0 +*RES +1 *38843:X *4871:7 26.6571 +2 *4871:7 *4871:10 47.125 +3 *4871:10 *4871:11 28.0536 +4 *4871:11 *5907:DIODE 9.3 +5 *4871:11 *37970:C 25.4607 +*END + +*D_NET *4872 0.00243194 +*CONN +*I *39128:A I *D sky130_fd_sc_hd__buf_12 +*I *37391:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39128:A 0 +2 *37391:Y 0.00121597 +3 *4872:19 0.00121597 +4 *4872:19 *39129:A 0 +5 la_data_in_mprj[84] *4872:19 0 +6 *6564:DIODE *4872:19 0 +7 *37636:B *4872:19 0 +8 *40167:A *4872:19 0 +9 *2040:25 *4872:19 0 +10 *2115:66 *4872:19 0 +11 *2141:34 *4872:19 0 +12 *2483:19 *4872:19 0 +13 *3442:39 *4872:19 0 +14 *3442:51 *4872:19 0 +15 *4495:40 *4872:19 0 +*RES +1 *37391:Y *4872:19 44.1571 +2 *4872:19 *39128:A 9.3 +*END + +*D_NET *4873 0.00327822 +*CONN +*I *39129:A I *D sky130_fd_sc_hd__buf_12 +*I *37392:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39129:A 0.000752685 +2 *37392:Y 0.000886425 +3 *4873:10 0.00163911 +4 *39129:A *5170:45 0 +5 la_data_in_mprj[85] *39129:A 0 +6 la_data_in_mprj[86] *39129:A 0 +7 *2040:25 *39129:A 0 +8 *2069:19 *4873:10 0 +9 *2401:18 *4873:10 0 +10 *2407:35 *39129:A 0 +11 *2505:15 *4873:10 0 +12 *4495:40 *39129:A 0 +13 *4872:19 *39129:A 0 +*RES +1 *37392:Y *4873:10 38.3893 +2 *4873:10 *39129:A 34.0679 +*END + +*D_NET *4874 0.0014938 +*CONN +*I *39130:A I *D sky130_fd_sc_hd__buf_12 +*I *37393:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39130:A 0.000746899 +2 *37393:Y 0.000746899 +3 *2080:33 *39130:A 0 +4 *2141:57 *39130:A 0 +5 *2361:22 *39130:A 0 +6 *2424:69 *39130:A 0 +7 *3882:23 *39130:A 0 +8 *3882:30 *39130:A 0 +*RES +1 *37393:Y *39130:A 43.9393 +*END + +*D_NET *4875 0.0163105 +*CONN +*I *7188:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39131:A I *D sky130_fd_sc_hd__buf_12 +*I *37394:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *7188:DIODE 0.000204899 +2 *39131:A 0.000167275 +3 *37394:Y 0.00100077 +4 *4875:55 0.00109378 +5 *4875:47 0.00302367 +6 *4875:42 0.00376726 +7 *4875:36 0.00375863 +8 *4875:17 0.0032942 +9 *4875:36 *4889:22 0 +10 *4875:42 *4878:33 0 +11 *4875:47 *39137:A 0 +12 *4875:47 *39138:A 0 +13 *4875:47 *4880:39 0 +14 *4875:55 *39132:A 0 +15 la_data_in_mprj[89] *4875:47 0 +16 *6710:DIODE *7188:DIODE 0 +17 *37395:A *4875:47 0 +18 *38574:A *39131:A 0 +19 *38576:A *4875:47 0 +20 *38576:A *4875:55 0 +21 *40167:A *7188:DIODE 0 +22 *503:10 *4875:47 0 +23 *507:17 *4875:47 0 +24 *508:12 *4875:42 0 +25 *628:16 *39131:A 0 +26 *630:7 *4875:55 0 +27 *1227:67 *4875:17 0 +28 *1238:38 *4875:17 0 +29 *1987:61 *4875:47 0 +30 *2008:48 *4875:42 0 +31 *2390:15 *4875:42 0 +32 *2390:30 *4875:47 0 +33 *2407:35 *39131:A 0 +34 *2407:35 *4875:55 0 +35 *2418:36 *4875:47 0 +36 *2424:19 *4875:36 0 +37 *2424:31 *4875:42 0 +38 *2516:26 *4875:47 0 +39 *2538:19 *4875:36 0 +40 *2549:19 *4875:47 0 +41 *2560:15 *4875:47 0 +42 *2727:34 *4875:42 0 +43 *2749:56 *4875:42 0 +44 *3086:51 *4875:36 0 +45 *3134:45 *4875:36 0 +46 *3207:83 *4875:47 0 +47 *3207:83 *4875:55 0 +48 *3436:41 *4875:17 0 +49 *3444:33 *4875:42 0 +50 *3504:20 *4875:36 0 +51 *3744:63 *4875:36 0 +52 *3938:9 *4875:47 0 +53 *3938:9 *4875:55 0 +54 *4253:72 *4875:55 0 +55 *4297:91 *4875:17 0 +56 *4495:40 *39131:A 0 +57 *4495:40 *4875:55 0 +58 *4501:56 *4875:47 0 +*RES +1 *37394:Y *4875:17 44.1393 +2 *4875:17 *4875:36 40.1948 +3 *4875:36 *4875:42 39.9821 +4 *4875:42 *4875:47 48.1071 +5 *4875:47 *4875:55 24.25 +6 *4875:55 *39131:A 12.7286 +7 *4875:55 *7188:DIODE 22.9071 +*END + +*D_NET *4876 0.000604072 +*CONN +*I *39132:A I *D sky130_fd_sc_hd__buf_12 +*I *37395:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39132:A 0.000302036 +2 *37395:Y 0.000302036 +3 *38576:A *39132:A 0 +4 *2407:35 *39132:A 0 +5 *4875:55 *39132:A 0 +*RES +1 *37395:Y *39132:A 24.9036 +*END + +*D_NET *4877 0.00158601 +*CONN +*I *39133:A I *D sky130_fd_sc_hd__buf_12 +*I *37396:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39133:A 0.000793003 +2 *37396:Y 0.000793003 +3 *6854:DIODE *39133:A 0 +4 *6855:DIODE *39133:A 0 +5 *37674:B *39133:A 0 +6 *2527:19 *39133:A 0 +7 *3807:41 *39133:A 0 +8 *3849:67 *39133:A 0 +9 *3860:61 *39133:A 0 +10 *4505:22 *39133:A 0 +*RES +1 *37396:Y *39133:A 44.4929 +*END + +*D_NET *4878 0.0135923 +*CONN +*I *39134:A I *D sky130_fd_sc_hd__buf_12 +*I *7189:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37397:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *39134:A 0.000259397 +2 *7189:DIODE 2.56688e-05 +3 *37397:Y 0.00100512 +4 *4878:61 0.00106802 +5 *4878:51 0.00246763 +6 *4878:42 0.00277959 +7 *4878:33 0.00303831 +8 *4878:16 0.00294853 +9 *38439:A *4878:51 0 +10 *38581:A *4878:51 0 +11 *40119:A *4878:42 0 +12 *40177:A *4878:42 0 +13 *638:12 *4878:42 0 +14 *1212:16 *4878:51 0 +15 *2089:25 *4878:61 0 +16 *2390:15 *4878:33 0 +17 *2404:16 *4878:33 0 +18 *2431:71 *4878:51 0 +19 *2433:22 *4878:33 0 +20 *2527:19 *4878:51 0 +21 *2549:16 *39134:A 0 +22 *2549:45 *4878:16 0 +23 *2594:21 *4878:51 0 +24 *2727:34 *4878:33 0 +25 *2738:37 *4878:51 0 +26 *3032:20 *4878:61 0 +27 *3134:45 *4878:42 0 +28 *3141:46 *4878:42 0 +29 *3154:47 *4878:16 0 +30 *3174:40 *4878:16 0 +31 *3217:33 *4878:16 0 +32 *3291:10 *4878:33 0 +33 *3312:96 *4878:16 0 +34 *3508:18 *4878:61 0 +35 *3511:52 *4878:61 0 +36 *3785:59 *4878:16 0 +37 *3792:41 *4878:61 0 +38 *3949:44 *4878:61 0 +39 *3960:18 *4878:61 0 +40 *3973:21 *4878:61 0 +41 *4038:49 *4878:51 0 +42 *4060:7 *4878:51 0 +43 *4501:34 *4878:61 0 +44 *4505:22 *4878:61 0 +45 *4508:15 *4878:51 0 +46 *4513:101 *4878:51 0 +47 *4875:42 *4878:33 0 +*RES +1 *37397:Y *4878:16 44.7732 +2 *4878:16 *4878:33 42.4563 +3 *4878:33 *4878:42 37.2321 +4 *4878:42 *4878:51 48.7679 +5 *4878:51 *4878:61 18.4735 +6 *4878:61 *7189:DIODE 9.83571 +7 *4878:61 *39134:A 24.1929 +*END + +*D_NET *4879 0.0130194 +*CONN +*I *7190:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39135:A I *D sky130_fd_sc_hd__buf_12 +*I *38404:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *7190:DIODE 0 +2 *39135:A 0.000363941 +3 *38404:Y 0.00153923 +4 *4879:28 0.00204694 +5 *4879:23 0.00362998 +6 *4879:20 0.00292352 +7 *4879:12 0.00251577 +8 *4879:28 *7127:DIODE 0 +9 *5294:DIODE *4879:12 0 +10 *6856:DIODE *39135:A 0 +11 *6856:DIODE *4879:28 0 +12 *7149:DIODE *4879:20 0 +13 *37519:A *4879:23 0 +14 *38408:A *4879:23 0 +15 *39437:B *4879:28 0 +16 *343:56 *4879:20 0 +17 *888:7 *39135:A 0 +18 *1158:5 *4879:23 0 +19 *2359:14 *4879:28 0 +20 *2359:15 *4879:12 0 +21 *2359:15 *4879:23 0 +22 *2359:15 *4879:28 0 +23 *2359:19 *4879:12 0 +24 *2694:18 *39135:A 0 +25 *2694:18 *4879:28 0 +26 *3390:17 *4879:12 0 +27 *3468:10 *4879:12 0 +28 *3871:14 *4879:28 0 +29 *3993:14 *39135:A 0 +30 *4371:13 *4879:28 0 +31 *4582:22 *4879:12 0 +32 *4693:9 *39135:A 0 +33 *4799:14 *4879:23 0 +*RES +1 *38404:Y *4879:12 46.1929 +2 *4879:12 *4879:20 33.9643 +3 *4879:20 *4879:23 40.6964 +4 *4879:23 *4879:28 39.8393 +5 *4879:28 *39135:A 21.8714 +6 *4879:28 *7190:DIODE 13.8 +*END + +*D_NET *4880 0.00720004 +*CONN +*I *39136:A I *D sky130_fd_sc_hd__buf_12 +*I *37398:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39136:A 0 +2 *37398:Y 0.00181906 +3 *4880:39 0.00178096 +4 *4880:27 0.00360002 +5 *4880:39 *39137:A 0 +6 *4880:39 *39138:A 0 +7 la_data_in_mprj[91] *4880:39 0 +8 la_data_in_mprj[92] *4880:39 0 +9 la_data_in_mprj[93] *4880:39 0 +10 la_data_in_mprj[95] *4880:27 0 +11 *6863:DIODE *4880:27 0 +12 *38442:A *4880:27 0 +13 *38725:A *4880:27 0 +14 *38725:A *4880:39 0 +15 *507:17 *4880:39 0 +16 *508:12 *4880:39 0 +17 *512:11 *4880:27 0 +18 *894:8 *4880:27 0 +19 *1213:7 *4880:27 0 +20 *2124:66 *4880:27 0 +21 *2390:30 *4880:39 0 +22 *2431:71 *4880:39 0 +23 *2516:26 *4880:39 0 +24 *2549:37 *4880:27 0 +25 *2560:15 *4880:39 0 +26 *2627:10 *4880:27 0 +27 *2738:7 *4880:27 0 +28 *3279:12 *4880:27 0 +29 *3788:46 *4880:27 0 +30 *4506:49 *4880:27 0 +31 *4506:49 *4880:39 0 +32 *4517:15 *4880:27 0 +33 *4517:43 *4880:27 0 +34 *4518:33 *4880:27 0 +35 *4875:47 *4880:39 0 +*RES +1 *37398:Y *4880:27 47.7286 +2 *4880:27 *4880:39 46.625 +3 *4880:39 *39136:A 9.3 +*END + +*D_NET *4881 0.0142465 +*CONN +*I *7191:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39137:A I *D sky130_fd_sc_hd__buf_12 +*I *37399:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *7191:DIODE 0 +2 *39137:A 0.000719628 +3 *37399:Y 0.00124144 +4 *4881:73 0.00173474 +5 *4881:62 0.00267784 +6 *4881:48 0.00414709 +7 *4881:22 0.00372579 +8 *5443:DIODE *39137:A 0 +9 *7886:DIODE *4881:22 0 +10 *40264:A *4881:62 0 +11 *1220:28 *39137:A 0 +12 *1235:38 *4881:48 0 +13 *2369:53 *4881:48 0 +14 *2393:63 *39137:A 0 +15 *2393:82 *39137:A 0 +16 *2660:17 *4881:62 0 +17 *3055:89 *4881:73 0 +18 *3134:59 *4881:73 0 +19 *3140:18 *4881:62 0 +20 *3140:18 *4881:73 0 +21 *3148:39 *4881:62 0 +22 *3229:18 *4881:48 0 +23 *3300:21 *4881:73 0 +24 *3305:55 *39137:A 0 +25 *3305:55 *4881:73 0 +26 *3316:46 *4881:62 0 +27 *3441:21 *4881:48 0 +28 *3441:41 *4881:22 0 +29 *3511:73 *4881:62 0 +30 *3807:49 *39137:A 0 +31 *3882:44 *39137:A 0 +32 *3927:84 *4881:73 0 +33 *3977:91 *4881:62 0 +34 *4001:105 *4881:22 0 +35 *4017:97 *4881:22 0 +36 *4017:117 *4881:22 0 +37 *4236:79 *4881:22 0 +38 *4236:79 *4881:48 0 +39 *4246:45 *4881:48 0 +40 *4269:88 *4881:22 0 +41 *4276:20 *4881:48 0 +42 *4288:94 *4881:48 0 +43 *4295:58 *4881:48 0 +44 *4503:16 *39137:A 0 +45 *4503:16 *4881:73 0 +46 *4875:47 *39137:A 0 +47 *4880:39 *39137:A 0 +*RES +1 *37399:Y *4881:22 49.4071 +2 *4881:22 *4881:48 48.7041 +3 *4881:48 *4881:62 44.125 +4 *4881:62 *4881:73 30.8929 +5 *4881:73 *39137:A 43.175 +6 *4881:73 *7191:DIODE 9.3 +*END + +*D_NET *4882 0.00953006 +*CONN +*I *37972:C I *D sky130_fd_sc_hd__and3b_2 +*I *5911:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38844:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *37972:C 0.000599152 +2 *5911:DIODE 0 +3 *38844:X 0.00132223 +4 *4882:25 0.0034428 +5 *4882:8 0.00416588 +6 *4882:8 *39086:A 0 +7 *8833:DIODE *37972:C 0 +8 *8833:DIODE *4882:25 0 +9 *37972:A_N *37972:C 0 +10 *41183:A *37972:C 0 +11 *1384:23 *4882:25 0 +12 *1393:86 *4882:25 0 +13 *1533:57 *37972:C 0 +14 *1533:71 *37972:C 0 +15 *1893:26 *4882:25 0 +16 *2023:41 *4882:25 0 +17 *2028:27 *37972:C 0 +18 *2028:27 *4882:25 0 +19 *2048:15 *4882:8 0 +20 *3213:18 *4882:25 0 +21 *3336:51 *4882:8 0 +22 *3890:50 *4882:25 0 +23 *4456:14 *4882:8 0 +24 *4456:24 *4882:8 0 +25 *4458:11 *4882:25 0 +*RES +1 *38844:X *4882:8 47.2368 +2 *4882:8 *4882:25 49.2325 +3 *4882:25 *5911:DIODE 9.3 +4 *4882:25 *37972:C 21.9295 +*END + +*D_NET *4883 0.00598976 +*CONN +*I *39138:A I *D sky130_fd_sc_hd__buf_12 +*I *37400:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39138:A 0.000237274 +2 *37400:Y 0.000232655 +3 *4883:9 0.00276223 +4 *4883:8 0.00275761 +5 *1220:35 *4883:9 0 +6 *1220:37 *4883:9 0 +7 *1223:15 *4883:9 0 +8 *1236:19 *4883:9 0 +9 *2390:30 *39138:A 0 +10 *2424:31 *4883:9 0 +11 *2431:36 *4883:9 0 +12 *2638:11 *4883:9 0 +13 *3444:27 *4883:9 0 +14 *4875:47 *39138:A 0 +15 *4880:39 *39138:A 0 +*RES +1 *37400:Y *4883:8 23.5857 +2 *4883:8 *4883:9 52.6964 +3 *4883:9 *39138:A 23.5321 +*END + +*D_NET *4884 0.00698912 +*CONN +*I *39139:A I *D sky130_fd_sc_hd__buf_12 +*I *37401:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39139:A 0.000246085 +2 *37401:Y 0.00113679 +3 *4884:17 0.00235777 +4 *4884:14 0.00324847 +5 *6582:DIODE *4884:14 0 +6 *892:5 *39139:A 0 +7 *1220:37 *4884:14 0 +8 *2008:48 *4884:17 0 +9 *2124:55 *4884:17 0 +10 *2390:15 *4884:14 0 +11 *2390:30 *39139:A 0 +12 *2415:26 *4884:17 0 +13 *2428:21 *4884:17 0 +14 *2727:34 *4884:17 0 +15 *3141:41 *4884:17 0 +16 *3504:20 *4884:14 0 +17 *3785:59 *4884:14 0 +*RES +1 *37401:Y *4884:14 47.7286 +2 *4884:14 *4884:17 48.5714 +3 *4884:17 *39139:A 23.8893 +*END + +*D_NET *4885 0.00646494 +*CONN +*I *39140:A I *D sky130_fd_sc_hd__buf_12 +*I *37402:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39140:A 0 +2 *37402:Y 0.00152587 +3 *4885:29 0.0017066 +4 *4885:20 0.00323247 +5 la_data_in_mprj[95] *4885:29 0 +6 *6582:DIODE *4885:20 0 +7 *637:10 *4885:29 0 +8 *893:5 *4885:29 0 +9 *1220:37 *4885:29 0 +10 *2390:15 *4885:29 0 +11 *3210:48 *4885:20 0 +12 *3217:52 *4885:20 0 +13 *4508:23 *4885:29 0 +*RES +1 *37402:Y *4885:20 48.3714 +2 *4885:20 *4885:29 49.4464 +3 *4885:29 *39140:A 9.3 +*END + +*D_NET *4886 0.00399081 +*CONN +*I *39141:A I *D sky130_fd_sc_hd__buf_12 +*I *37403:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39141:A 0.00019782 +2 *37403:Y 0.00179759 +3 *4886:21 0.00199541 +4 *41021:A *4886:21 0 +5 *1532:17 *4886:21 0 +6 *3034:11 *39141:A 0 +7 *3037:15 *4886:21 0 +8 *3784:59 *4886:21 0 +9 *3977:91 *4886:21 0 +10 *4006:45 *4886:21 0 +11 *4072:51 *4886:21 0 +12 *4121:27 *4886:21 0 +13 *4135:96 *4886:21 0 +*RES +1 *37403:Y *4886:21 48.323 +2 *4886:21 *39141:A 21.7189 +*END + +*D_NET *4887 0.0041581 +*CONN +*I *39142:A I *D sky130_fd_sc_hd__buf_12 +*I *37404:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39142:A 0.000759368 +2 *37404:Y 0.00131968 +3 *4887:11 0.00207905 +4 *39142:A *39143:A 0 +5 *4887:11 *39143:A 0 +6 la_data_in_mprj[100] *4887:11 0 +7 la_data_in_mprj[98] *4887:11 0 +8 la_data_in_mprj[99] *4887:11 0 +9 *6580:DIODE *39142:A 0 +10 *511:12 *39142:A 0 +11 *2460:29 *4887:11 0 +12 *2649:7 *39142:A 0 +13 *2760:44 *39142:A 0 +14 *2760:44 *4887:11 0 +15 *4508:41 *4887:11 0 +16 *4648:17 *4887:11 0 +*RES +1 *37404:Y *4887:11 46.05 +2 *4887:11 *39142:A 25.3357 +*END + +*D_NET *4888 0.00480949 +*CONN +*I *39143:A I *D sky130_fd_sc_hd__buf_12 +*I *37405:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39143:A 0.000497974 +2 *37405:Y 0.00190677 +3 *4888:26 0.00240475 +4 la_data_in_mprj[98] *39143:A 0 +5 *38072:C *4888:26 0 +6 *39142:A *39143:A 0 +7 *1432:41 *4888:26 0 +8 *1435:19 *4888:26 0 +9 *2428:17 *4888:26 0 +10 *2460:29 *39143:A 0 +11 *2760:44 *39143:A 0 +12 *3291:10 *4888:26 0 +13 *3443:36 *4888:26 0 +14 *3532:24 *4888:26 0 +15 *3675:53 *4888:26 0 +16 *4112:119 *4888:26 0 +17 *4508:41 *4888:26 0 +18 *4887:11 *39143:A 0 +*RES +1 *37405:Y *4888:26 48.1806 +2 *4888:26 *39143:A 19.6929 +*END + +*D_NET *4889 0.00275553 +*CONN +*I *39144:A I *D sky130_fd_sc_hd__buf_12 +*I *37406:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39144:A 0.000169777 +2 *37406:Y 0.00120799 +3 *4889:22 0.00137777 +4 *37406:A *4889:22 0 +5 *2549:37 *39144:A 0 +6 *3148:36 *4889:22 0 +7 *3207:35 *4889:22 0 +8 *3291:10 *4889:22 0 +9 *3321:90 *4889:22 0 +10 *4104:16 *39144:A 0 +11 *4242:51 *4889:22 0 +12 *4297:91 *4889:22 0 +13 *4875:36 *4889:22 0 +*RES +1 *37406:Y *4889:22 49.9964 +2 *4889:22 *39144:A 26.6036 +*END + +*D_NET *4890 0.00473752 +*CONN +*I *39145:A I *D sky130_fd_sc_hd__buf_12 +*I *37407:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39145:A 0.00120303 +2 *37407:Y 0.00116573 +3 *4890:10 0.00236876 +4 *39020:A *4890:10 0 +5 *642:8 *39145:A 0 +6 *774:11 *4890:10 0 +7 *898:11 *39145:A 0 +8 *1223:23 *39145:A 0 +9 *1230:21 *39145:A 0 +10 *2410:34 *4890:10 0 +11 *2415:13 *39145:A 0 +12 *3018:20 *4890:10 0 +13 *3018:21 *39145:A 0 +14 *3154:47 *4890:10 0 +15 *3250:64 *4890:10 0 +16 *3250:68 *4890:10 0 +17 *3272:51 *4890:10 0 +18 *4233:71 *4890:10 0 +19 *4507:38 *4890:10 0 +20 *4752:24 *4890:10 0 +*RES +1 *37407:Y *4890:10 44.5857 +2 *4890:10 *39145:A 43.8357 +*END + +*D_NET *4891 0.0197313 +*CONN +*I *39146:A I *D sky130_fd_sc_hd__buf_12 +*I *7192:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38405:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *39146:A 0.000246144 +2 *7192:DIODE 6.50276e-05 +3 *38405:Y 0.000165839 +4 *4891:51 0.00196724 +5 *4891:49 0.00228623 +6 *4891:40 0.00293468 +7 *4891:19 0.00480978 +8 *4891:18 0.00256515 +9 *4891:9 0.00229263 +10 *4891:8 0.0023986 +11 la_data_in_mprj[19] *4891:19 0 +12 la_data_in_mprj[20] *4891:19 0 +13 la_data_in_mprj[22] *4891:19 0 +14 la_data_in_mprj[24] *4891:9 0 +15 la_data_in_mprj[26] *4891:9 0 +16 la_data_in_mprj[28] *4891:9 0 +17 *6625:DIODE *4891:40 0 +18 *6726:DIODE *39146:A 0 +19 *6766:DIODE *4891:40 0 +20 *6768:DIODE *4891:40 0 +21 *6868:DIODE *39146:A 0 +22 *37481:A *4891:49 0 +23 *38632:A *4891:19 0 +24 *38643:A *4891:9 0 +25 *38769:A *4891:19 0 +26 *39055:A *4891:40 0 +27 *39059:A *4891:19 0 +28 *39061:A *4891:19 0 +29 *39065:A *4891:9 0 +30 *39067:A *4891:9 0 +31 *39068:A *4891:9 0 +32 *40479:A *4891:19 0 +33 *425:13 *4891:40 0 +34 *559:8 *4891:9 0 +35 *560:10 *4891:9 0 +36 *564:8 *4891:8 0 +37 *818:8 *4891:9 0 +38 *820:5 *4891:8 0 +39 *899:5 *39146:A 0 +40 *1819:11 *4891:49 0 +41 *2360:36 *4891:40 0 +42 *2827:32 *4891:40 0 +43 *2827:43 *4891:40 0 +44 *2904:10 *4891:51 0 +45 *3071:10 *4891:49 0 +46 *3271:20 *4891:9 0 +47 *3380:20 *4891:9 0 +48 *3380:20 *4891:19 0 +49 *3380:30 *4891:19 0 +50 *3390:17 *4891:40 0 +51 *3431:17 *4891:40 0 +52 *3914:18 *4891:40 0 +53 *4126:14 *4891:51 0 +54 *4419:20 *4891:49 0 +55 *4420:17 *4891:40 0 +56 *4420:17 *4891:51 0 +57 *4421:12 *4891:40 0 +58 *4424:21 *4891:40 0 +59 *4429:15 *4891:40 0 +60 *4429:33 *4891:40 0 +61 *4434:8 *4891:9 0 +62 *4493:13 *4891:49 0 +63 *4493:15 *7192:DIODE 0 +64 *4493:15 *4891:51 0 +65 *4504:34 *39146:A 0 +66 *4604:21 *4891:40 0 +67 *4637:30 *4891:19 0 +68 *4637:30 *4891:40 0 +69 *4637:42 *4891:49 0 +70 *4649:33 *4891:40 0 +71 *4693:29 *4891:51 0 +72 *4796:18 *4891:9 0 +73 *4799:14 *4891:40 0 +*RES +1 *38405:Y *4891:8 22.0679 +2 *4891:8 *4891:9 46.5357 +3 *4891:9 *4891:18 10.5 +4 *4891:18 *4891:19 52.2857 +5 *4891:19 *4891:40 45.771 +6 *4891:40 *4891:49 17.9286 +7 *4891:49 *4891:51 34.625 +8 *4891:51 *7192:DIODE 10.6571 +9 *4891:51 *39146:A 23.8893 +*END + +*D_NET *4892 0.00402723 +*CONN +*I *39649:A I *D sky130_fd_sc_hd__buf_2 +*I *38139:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39649:A 0.000778169 +2 *38139:X 0.00123544 +3 *4892:14 0.00201361 +4 *37540:A *39649:A 0 +5 *309:19 *4892:14 0 +6 *327:25 *4892:14 0 +7 *2797:57 *39649:A 0 +8 *2952:10 *4892:14 0 +9 *3204:22 *4892:14 0 +10 *3383:48 *4892:14 0 +11 *3600:7 *4892:14 0 +12 *3601:37 *39649:A 0 +13 *3852:77 *39649:A 0 +14 *3880:23 *39649:A 0 +15 *4210:7 *4892:14 0 +16 *4399:40 *39649:A 0 +*RES +1 *38139:X *4892:14 49.8268 +2 *4892:14 *39649:A 32.505 +*END + +*D_NET *4893 0.00791845 +*CONN +*I *37974:C I *D sky130_fd_sc_hd__and3b_1 +*I *38845:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *37974:C 2.56688e-05 +2 *38845:X 0.00145892 +3 *4893:24 0.00203816 +4 *4893:14 0.00247463 +5 *4893:9 0.00192107 +6 *4893:14 *5048:66 0 +7 *4893:24 *4926:17 0 +8 la_data_in_mprj[49] *4893:14 0 +9 *6985:DIODE *4893:24 0 +10 *41153:A *4893:24 0 +11 *301:40 *4893:9 0 +12 *459:5 *4893:14 0 +13 *459:5 *4893:24 0 +14 *584:8 *4893:9 0 +15 *840:5 *4893:9 0 +16 *842:8 *4893:14 0 +17 *1524:35 *4893:24 0 +18 *1974:21 *4893:24 0 +19 *3156:76 *4893:24 0 +20 *3494:8 *4893:24 0 +21 *4143:36 *4893:24 0 +22 *4143:40 *4893:24 0 +23 *4179:47 *4893:24 0 +24 *4461:8 *4893:14 0 +25 *4461:8 *4893:24 0 +26 *4461:17 *4893:24 0 +*RES +1 *38845:X *4893:9 49.175 +2 *4893:9 *4893:14 15.0268 +3 *4893:14 *4893:24 46.1339 +4 *4893:24 *37974:C 14.3357 +*END + +*D_NET *4894 0.00699878 +*CONN +*I *39148:A I *D sky130_fd_sc_hd__buf_12 +*I *38339:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39148:A 9.45883e-05 +2 *38339:X 0 +3 *4894:6 0.00349939 +4 *4894:5 0.0034048 +5 *39148:A *4898:21 0 +6 la_data_in_core[102] *39148:A 0 +7 la_data_in_core[102] *4894:6 0 +8 *263:7 *4894:6 0 +9 *1553:39 *4894:6 0 +10 *1826:50 *4894:6 0 +11 *2463:14 *4894:6 0 +12 *3490:20 *4894:6 0 +13 *3967:40 *4894:6 0 +*RES +1 *38339:X *4894:5 13.8 +2 *4894:5 *4894:6 77.3839 +3 *4894:6 *39148:A 15.9696 +*END + +*D_NET *4895 0.0115036 +*CONN +*I *39149:A I *D sky130_fd_sc_hd__buf_12 +*I *7194:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38341:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39149:A 0.000143103 +2 *7194:DIODE 0 +3 *38341:X 0.000441562 +4 *4895:29 0.00192174 +5 *4895:28 0.00233449 +6 *4895:20 0.00338852 +7 *4895:19 0.00327422 +8 *4895:20 *5010:13 0 +9 *4895:20 *5029:8 0 +10 *38309:A *4895:20 0 +11 *39015:A *4895:29 0 +12 *40810:A *4895:19 0 +13 *383:12 *4895:20 0 +14 *1013:74 *4895:28 0 +15 *1017:86 *4895:28 0 +16 *1544:8 *4895:20 0 +17 *1552:37 *4895:29 0 +18 *2440:31 *4895:20 0 +19 *2458:36 *4895:29 0 +20 *2459:30 *4895:28 0 +21 *2459:33 *39149:A 0 +22 *2459:33 *4895:29 0 +23 *2467:22 *4895:28 0 +24 *2473:34 *4895:20 0 +25 *2943:10 *4895:20 0 +26 *2954:14 *4895:20 0 +27 *2974:28 *4895:20 0 +28 *3277:52 *4895:20 0 +29 *3479:61 *4895:19 0 +30 *3762:41 *4895:28 0 +31 *3765:34 *4895:20 0 +32 *3780:83 *4895:20 0 +33 *4080:17 *4895:19 0 +34 *4084:62 *4895:19 0 +35 *4087:47 *4895:19 0 +36 *4102:71 *4895:20 0 +37 *4745:31 *39149:A 0 +38 *4745:31 *4895:29 0 +*RES +1 *38341:X *4895:19 32.5321 +2 *4895:19 *4895:20 64.3304 +3 *4895:20 *4895:28 13.8211 +4 *4895:28 *4895:29 37.0893 +5 *4895:29 *7194:DIODE 9.3 +6 *4895:29 *39149:A 12.3179 +*END + +*D_NET *4896 0.01266 +*CONN +*I *39150:A I *D sky130_fd_sc_hd__buf_12 +*I *7195:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38343:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39150:A 0.000155069 +2 *7195:DIODE 0 +3 *38343:X 0.000335128 +4 *4896:22 0.000681925 +5 *4896:19 0.00322892 +6 *4896:18 0.00270207 +7 *4896:16 0.00261088 +8 *4896:14 0.00294601 +9 *39150:A *4909:24 0 +10 *39150:A *5031:25 0 +11 *4896:14 *4901:17 0 +12 *4896:16 *4901:17 0 +13 *4896:16 *4901:18 0 +14 *4896:16 *5019:23 0 +15 *4896:19 *4902:11 0 +16 *261:8 *4896:19 0 +17 *264:7 *39150:A 0 +18 *264:7 *4896:22 0 +19 *281:12 *4896:19 0 +20 *364:11 *4896:16 0 +21 *364:19 *4896:14 0 +22 *377:44 *4896:22 0 +23 *2800:48 *4896:14 0 +24 *2997:30 *4896:16 0 +25 *3712:28 *4896:22 0 +26 *3978:120 *4896:14 0 +27 *4318:79 *4896:14 0 +28 *4609:43 *39150:A 0 +*RES +1 *38343:X *4896:14 21.7286 +2 *4896:14 *4896:16 59.3214 +3 *4896:16 *4896:18 4.5 +4 *4896:18 *4896:19 56.3929 +5 *4896:19 *4896:22 16.4643 +6 *4896:22 *7195:DIODE 13.8 +7 *4896:22 *39150:A 17.3 +*END + +*D_NET *4897 0.00840974 +*CONN +*I *7196:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39151:A I *D sky130_fd_sc_hd__buf_12 +*I *38345:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7196:DIODE 0 +2 *39151:A 0.000159146 +3 *38345:X 0.000580977 +4 *4897:27 0.00112643 +5 *4897:24 0.00346475 +6 *4897:22 0.00307844 +7 *4897:24 *5106:23 0 +8 *39493:B *4897:22 0 +9 *267:7 *4897:24 0 +10 *360:20 *4897:24 0 +11 *360:23 *4897:24 0 +12 *363:29 *4897:24 0 +13 *1020:13 *4897:24 0 +14 *1423:21 *4897:22 0 +15 *2468:25 *39151:A 0 +16 *2468:25 *4897:27 0 +17 *3109:24 *4897:24 0 +18 *3400:84 *4897:22 0 +19 *3400:84 *4897:24 0 +20 *3514:48 *4897:24 0 +21 *3540:33 *4897:22 0 +22 *3666:80 *4897:22 0 +23 *3718:33 *4897:24 0 +24 *3945:38 *4897:22 0 +*RES +1 *38345:X *4897:22 27.9696 +2 *4897:22 *4897:24 56.7411 +3 *4897:24 *4897:27 24.75 +4 *4897:27 *39151:A 12.6214 +5 *4897:27 *7196:DIODE 9.3 +*END + +*D_NET *4898 0.0104041 +*CONN +*I *39152:A I *D sky130_fd_sc_hd__buf_12 +*I *7197:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38347:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39152:A 0.000146875 +2 *7197:DIODE 0.000451113 +3 *38347:X 0 +4 *4898:28 0.00156076 +5 *4898:21 0.00213814 +6 *4898:5 0.00364129 +7 *4898:4 0.00246591 +8 *7197:DIODE *4909:37 0 +9 *4898:21 *39273:A 0 +10 *4898:21 *5017:17 0 +11 *4898:21 *5032:39 0 +12 *4898:28 *5031:25 0 +13 *4898:28 *5032:39 0 +14 la_data_in_core[102] *4898:21 0 +15 la_oenb_core[104] *39152:A 0 +16 *7026:DIODE *7197:DIODE 0 +17 *38895:A *4898:28 0 +18 *39148:A *4898:21 0 +19 *262:7 *4898:21 0 +20 *1004:23 *4898:21 0 +21 *1554:14 *7197:DIODE 0 +22 *2458:40 *7197:DIODE 0 +23 *2462:43 *39152:A 0 +24 *2470:39 *39152:A 0 +25 *2470:39 *4898:21 0 +26 *2470:39 *4898:28 0 +27 *3770:31 *4898:5 0 +28 *3967:35 *4898:5 0 +29 *3967:39 *4898:5 0 +30 *3983:33 *4898:5 0 +31 *4745:19 *7197:DIODE 0 +*RES +1 *38347:X *4898:4 9.3 +2 *4898:4 *4898:5 51.4643 +3 *4898:5 *4898:21 34.4643 +4 *4898:21 *4898:28 24.7411 +5 *4898:28 *7197:DIODE 23.6839 +6 *4898:28 *39152:A 16.9696 +*END + +*D_NET *4899 0.00312886 +*CONN +*I *39153:A I *D sky130_fd_sc_hd__buf_12 +*I *38349:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *39153:A 0.000596371 +2 *38349:X 0.000968059 +3 *4899:8 0.00156443 +4 *39153:A *5032:25 0 +5 la_data_in_core[107] *39153:A 0 +6 *38899:A *4899:8 0 +7 *268:7 *4899:8 0 +8 *1554:6 *4899:8 0 +9 *2468:25 *39153:A 0 +*RES +1 *38349:X *4899:8 40.2821 +2 *4899:8 *39153:A 21.7464 +*END + +*D_NET *4900 0.0102339 +*CONN +*I *39154:A I *D sky130_fd_sc_hd__buf_12 +*I *7198:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38351:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39154:A 0.000141606 +2 *7198:DIODE 0 +3 *38351:X 0.00131373 +4 *4900:25 0.00145837 +5 *4900:18 0.0036616 +6 *4900:16 0.00365856 +7 *4900:25 *7199:DIODE 0 +8 *4900:25 *39155:A 0 +9 la_data_in_core[109] *4900:25 0 +10 la_data_in_core[110] *4900:25 0 +11 la_data_in_core[111] *4900:18 0 +12 la_oenb_core[107] *39154:A 0 +13 la_oenb_core[107] *4900:25 0 +14 la_oenb_core[110] *4900:18 0 +15 la_oenb_core[110] *4900:25 0 +16 *38337:A *4900:18 0 +17 *38901:A *4900:25 0 +18 *273:15 *4900:18 0 +19 *1014:107 *4900:18 0 +20 *2456:12 *4900:18 0 +21 *2456:12 *4900:25 0 +22 *2467:8 *4900:18 0 +23 *2470:19 *39154:A 0 +24 *2470:19 *4900:25 0 +25 *2815:83 *4900:16 0 +26 *3003:30 *4900:16 0 +27 *3003:30 *4900:18 0 +28 *3930:11 *4900:16 0 +29 *4609:6 *4900:16 0 +30 *4609:6 *4900:18 0 +*RES +1 *38351:X *4900:16 43.8268 +2 *4900:16 *4900:18 53.3036 +3 *4900:18 *4900:25 32.1161 +4 *4900:25 *7198:DIODE 9.3 +5 *4900:25 *39154:A 12.3179 +*END + +*D_NET *4901 0.00745702 +*CONN +*I *39155:A I *D sky130_fd_sc_hd__buf_12 +*I *7199:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38353:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39155:A 0.000127573 +2 *7199:DIODE 2.56688e-05 +3 *38353:X 0.000463027 +4 *4901:18 0.00326548 +5 *4901:17 0.00357527 +6 la_data_in_core[109] *39155:A 0 +7 *270:7 *39155:A 0 +8 *270:7 *4901:18 0 +9 *364:11 *4901:18 0 +10 *1422:30 *4901:17 0 +11 *1864:68 *4901:18 0 +12 *2470:8 *39155:A 0 +13 *2470:8 *4901:18 0 +14 *2997:30 *4901:17 0 +15 *2997:30 *4901:18 0 +16 *3044:16 *4901:17 0 +17 *3473:36 *4901:18 0 +18 *3542:56 *4901:17 0 +19 *3663:17 *4901:17 0 +20 *4624:14 *4901:18 0 +21 *4896:14 *4901:17 0 +22 *4896:16 *4901:17 0 +23 *4896:16 *4901:18 0 +24 *4900:25 *7199:DIODE 0 +25 *4900:25 *39155:A 0 +*RES +1 *38353:X *4901:17 30.1772 +2 *4901:17 *4901:18 70.7054 +3 *4901:18 *7199:DIODE 14.3357 +4 *4901:18 *39155:A 16.6036 +*END + +*D_NET *4902 0.0123349 +*CONN +*I *39156:A I *D sky130_fd_sc_hd__buf_12 +*I *7200:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38355:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39156:A 0.000121071 +2 *7200:DIODE 0 +3 *38355:X 0 +4 *4902:21 0.00220302 +5 *4902:11 0.00370165 +6 *4902:6 0.00396442 +7 *4902:5 0.00234472 +8 *4902:6 *4909:8 0 +9 la_data_in_core[105] *4902:21 0 +10 *38053:A *4902:6 0 +11 *39667:A *4902:21 0 +12 *261:8 *4902:11 0 +13 *266:7 *4902:21 0 +14 *375:20 *4902:11 0 +15 *1273:21 *4902:6 0 +16 *1425:18 *4902:6 0 +17 *1554:14 *4902:21 0 +18 *1566:12 *4902:6 0 +19 *2447:6 *4902:6 0 +20 *2468:18 *39156:A 0 +21 *2468:18 *4902:21 0 +22 *2924:10 *4902:6 0 +23 *3295:74 *4902:6 0 +24 *3625:49 *4902:11 0 +25 *4007:20 *4902:6 0 +26 *4609:18 *39156:A 0 +27 *4609:18 *4902:21 0 +28 *4745:19 *4902:21 0 +29 *4896:19 *4902:11 0 +*RES +1 *38355:X *4902:5 13.8 +2 *4902:5 *4902:6 53.25 +3 *4902:6 *4902:11 42.8036 +4 *4902:11 *4902:21 49 +5 *4902:21 *7200:DIODE 9.3 +6 *4902:21 *39156:A 11.8893 +*END + +*D_NET *4903 0.00699715 +*CONN +*I *39157:A I *D sky130_fd_sc_hd__buf_12 +*I *38357:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *39157:A 8.4707e-05 +2 *38357:X 0 +3 *4903:6 0.00349857 +4 *4903:5 0.00341387 +5 *39157:A *5032:25 0 +6 la_oenb_core[109] *39157:A 0 +7 *39660:A *4903:6 0 +8 *272:9 *4903:6 0 +9 *287:17 *4903:6 0 +10 *1016:232 *4903:6 0 +11 *1425:12 *4903:6 0 +12 *2366:12 *4903:6 0 +13 *2398:22 *4903:6 0 +14 *2462:36 *39157:A 0 +15 *2468:6 *4903:6 0 +16 *2970:56 *4903:6 0 +17 *4743:20 *4903:6 0 +*RES +1 *38357:X *4903:5 13.8 +2 *4903:5 *4903:6 77.5357 +3 *4903:6 *39157:A 15.5679 +*END + +*D_NET *4904 0.0164774 +*CONN +*I *37976:C I *D sky130_fd_sc_hd__and3b_2 +*I *5918:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38846:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *37976:C 0.000224426 +2 *5918:DIODE 0 +3 *38846:X 0.001666 +4 *4904:52 0.0020849 +5 *4904:46 0.00296198 +6 *4904:27 0.00448778 +7 *4904:14 0.00505228 +8 *4904:14 *5026:32 0 +9 *4904:27 *4959:44 0 +10 *4904:46 *39078:A 0 +11 *6651:DIODE *4904:46 0 +12 *6792:DIODE *4904:46 0 +13 *6970:DIODE *4904:46 0 +14 *6970:DIODE *4904:52 0 +15 *38198:B *4904:52 0 +16 *38655:A *4904:46 0 +17 *38846:A *4904:14 0 +18 *296:29 *4904:52 0 +19 *387:45 *4904:52 0 +20 *445:5 *4904:46 0 +21 *578:8 *4904:27 0 +22 *582:5 *4904:14 0 +23 *1144:10 *4904:52 0 +24 *2049:23 *4904:27 0 +25 *3064:25 *4904:52 0 +26 *3131:35 *4904:52 0 +27 *3136:14 *4904:52 0 +28 *3337:8 *4904:46 0 +29 *3337:8 *4904:52 0 +30 *3361:36 *4904:52 0 +31 *3367:5 *4904:27 0 +32 *3367:5 *4904:46 0 +33 *3377:23 *4904:14 0 +34 *3453:29 *4904:52 0 +35 *3455:47 *4904:46 0 +36 *3461:16 *4904:14 0 +37 *3583:15 *4904:27 0 +38 *3909:43 *4904:52 0 +39 *3913:28 *4904:52 0 +40 *3929:8 *4904:52 0 +41 *4144:12 *4904:52 0 +42 *4448:5 *4904:27 0 +43 *4454:22 *4904:27 0 +44 *4454:83 *4904:27 0 +45 *4455:62 *4904:14 0 +46 *4462:13 *4904:14 0 +47 *4462:21 *4904:14 0 +48 *4748:23 *4904:52 0 +49 *4771:17 *4904:46 0 +50 *4782:11 *4904:27 0 +*RES +1 *38846:X *4904:14 48.8268 +2 *4904:14 *4904:27 49.0093 +3 *4904:27 *4904:46 37.0446 +4 *4904:46 *4904:52 42.3482 +5 *4904:52 *5918:DIODE 13.8 +6 *4904:52 *37976:C 18.9473 +*END + +*D_NET *4905 0.00148072 +*CONN +*I *39158:A I *D sky130_fd_sc_hd__buf_12 +*I *38159:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39158:A 0.000740359 +2 *38159:X 0.000740359 +3 *1025:62 *39158:A 0 +4 *2626:48 *39158:A 0 +5 *2634:17 *39158:A 0 +6 *2651:20 *39158:A 0 +7 *2687:24 *39158:A 0 +8 *2894:34 *39158:A 0 +*RES +1 *38159:X *39158:A 44.475 +*END + +*D_NET *4906 0.00854151 +*CONN +*I *7201:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39159:A I *D sky130_fd_sc_hd__buf_12 +*I *38359:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7201:DIODE 0 +2 *39159:A 0.000144601 +3 *38359:X 2.56688e-05 +4 *4906:15 0.00147523 +5 *4906:8 0.00410049 +6 *4906:7 0.00279553 +7 *4906:15 *4908:18 0 +8 *4906:15 *4912:23 0 +9 la_data_in_core[112] *4906:15 0 +10 *37723:A *4906:8 0 +11 *38905:A *4906:15 0 +12 *39422:B *4906:8 0 +13 *39506:A *4906:8 0 +14 *373:33 *4906:8 0 +15 *380:29 *4906:8 0 +16 *380:31 *4906:8 0 +17 *1005:91 *4906:8 0 +18 *1251:31 *4906:8 0 +19 *1456:46 *4906:8 0 +20 *2462:36 *39159:A 0 +21 *2462:36 *4906:15 0 +22 *2806:108 *4906:8 0 +23 *3012:14 *4906:8 0 +24 *3019:11 *4906:7 0 +25 *3286:131 *4906:8 0 +26 *3484:46 *4906:8 0 +27 *3656:29 *4906:8 0 +*RES +1 *38359:X *4906:7 14.3357 +2 *4906:7 *4906:8 62.9911 +3 *4906:8 *4906:15 33.6875 +4 *4906:15 *39159:A 12.3179 +5 *4906:15 *7201:DIODE 9.3 +*END + +*D_NET *4907 0.0106814 +*CONN +*I *7202:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39160:A I *D sky130_fd_sc_hd__buf_12 +*I *38361:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7202:DIODE 8.17123e-05 +2 *39160:A 0.00019266 +3 *38361:X 0.000343534 +4 *4907:15 0.00215176 +5 *4907:8 0.00472281 +6 *4907:7 0.00318896 +7 *4907:8 *4912:8 0 +8 la_data_in_core[112] *39160:A 0 +9 la_oenb_core[108] *4907:15 0 +10 la_oenb_core[111] *39160:A 0 +11 *38048:B *4907:7 0 +12 *269:7 *4907:8 0 +13 *274:7 *39160:A 0 +14 *1019:23 *4907:8 0 +15 *1250:85 *4907:7 0 +16 *1332:19 *4907:8 0 +17 *2470:8 *4907:8 0 +18 *2470:8 *4907:15 0 +19 *3779:75 *4907:7 0 +20 *4330:63 *4907:7 0 +21 *4609:18 *4907:15 0 +22 *4618:27 *7202:DIODE 0 +23 *4618:27 *4907:15 0 +24 *4745:17 *4907:15 0 +*RES +1 *38361:X *4907:7 20.9071 +2 *4907:7 *4907:8 64.6339 +3 *4907:8 *4907:15 44.4554 +4 *4907:15 *39160:A 22.675 +5 *4907:15 *7202:DIODE 11.0679 +*END + +*D_NET *4908 0.00991053 +*CONN +*I *7203:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39161:A I *D sky130_fd_sc_hd__buf_12 +*I *38363:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7203:DIODE 0.000148006 +2 *39161:A 0 +3 *38363:X 0.000583537 +4 *4908:18 0.000756946 +5 *4908:12 0.00422372 +6 *4908:11 0.00419832 +7 *4908:18 *4912:29 0 +8 *6518:DIODE *4908:11 0 +9 *6524:DIODE *4908:11 0 +10 *8024:DIODE *4908:11 0 +11 *38906:A *7203:DIODE 0 +12 *38906:A *4908:18 0 +13 *39612:A *4908:11 0 +14 *274:7 *4908:12 0 +15 *372:39 *4908:12 0 +16 *1243:10 *4908:12 0 +17 *1251:16 *4908:12 0 +18 *1831:29 *4908:11 0 +19 *2396:11 *4908:12 0 +20 *2462:30 *7203:DIODE 0 +21 *2462:30 *4908:18 0 +22 *3009:42 *4908:12 0 +23 *3286:114 *4908:12 0 +24 *3425:22 *4908:12 0 +25 *3425:24 *4908:12 0 +26 *3489:26 *4908:12 0 +27 *3962:7 *4908:11 0 +28 *4621:21 *7203:DIODE 0 +29 *4745:10 *4908:12 0 +30 *4745:17 *4908:12 0 +31 *4906:15 *4908:18 0 +*RES +1 *38363:X *4908:11 25.9786 +2 *4908:11 *4908:12 82.0893 +3 *4908:12 *4908:18 21.7679 +4 *4908:18 *39161:A 13.8 +5 *4908:18 *7203:DIODE 17.1036 +*END + +*D_NET *4909 0.018893 +*CONN +*I *39162:A I *D sky130_fd_sc_hd__buf_12 +*I *7204:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38365:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *39162:A 0.000209043 +2 *7204:DIODE 2.56688e-05 +3 *38365:X 6.50276e-05 +4 *4909:42 0.000280331 +5 *4909:39 0.00420097 +6 *4909:37 0.00493752 +7 *4909:24 0.00219981 +8 *4909:8 0.0041636 +9 *4909:7 0.00281099 +10 *4909:24 *5017:8 0 +11 *4909:24 *5030:10 0 +12 *4909:37 *5031:25 0 +13 *4909:37 *5032:38 0 +14 *4909:39 *4912:23 0 +15 *4909:39 *4912:29 0 +16 *4909:39 *5032:25 0 +17 *4909:39 *5032:38 0 +18 la_data_in_core[104] *4909:24 0 +19 la_data_in_core[105] *4909:39 0 +20 la_oenb_core[105] *4909:39 0 +21 la_oenb_core[113] *39162:A 0 +22 *7197:DIODE *4909:37 0 +23 *38311:A *4909:24 0 +24 *39150:A *4909:24 0 +25 *263:7 *4909:24 0 +26 *379:12 *4909:24 0 +27 *381:14 *4909:24 0 +28 *1333:20 *4909:8 0 +29 *1549:44 *4909:8 0 +30 *1554:15 *4909:24 0 +31 *1566:12 *4909:8 0 +32 *2366:40 *4909:8 0 +33 *2447:6 *4909:8 0 +34 *2459:33 *4909:24 0 +35 *2462:36 *4909:39 0 +36 *2468:25 *4909:37 0 +37 *3482:32 *4909:8 0 +38 *3703:8 *4909:8 0 +39 *3773:33 *4909:7 0 +40 *4609:26 *4909:37 0 +41 *4609:43 *4909:24 0 +42 *4609:43 *4909:37 0 +43 *4731:8 *4909:8 0 +44 *4902:6 *4909:8 0 +*RES +1 *38365:X *4909:7 15.1571 +2 *4909:7 *4909:8 62.3571 +3 *4909:8 *4909:24 48.3929 +4 *4909:24 *4909:37 30.0179 +5 *4909:37 *4909:39 86.7857 +6 *4909:39 *4909:42 5.53571 +7 *4909:42 *7204:DIODE 14.3357 +8 *4909:42 *39162:A 18.2464 +*END + +*D_NET *4910 0.0100165 +*CONN +*I *7205:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39163:A I *D sky130_fd_sc_hd__buf_12 +*I *38367:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7205:DIODE 0 +2 *39163:A 0.000173692 +3 *38367:X 0.00032086 +4 *4910:11 0.00125905 +5 *4910:8 0.0045137 +6 *4910:7 0.0037492 +7 *4910:8 *39167:A 0 +8 la_data_in_core[116] *39163:A 0 +9 la_data_in_core[116] *4910:11 0 +10 la_data_in_core[117] *4910:11 0 +11 *38089:A *4910:8 0 +12 *38910:A *4910:11 0 +13 *38911:A *4910:8 0 +14 *39651:A *4910:8 0 +15 *280:10 *4910:8 0 +16 *290:17 *4910:8 0 +17 *1467:16 *4910:8 0 +18 *2457:6 *4910:8 0 +19 *2803:61 *4910:8 0 +20 *2996:15 *4910:7 0 +21 *4625:16 *4910:8 0 +22 *4625:20 *4910:8 0 +23 *4743:16 *4910:8 0 +*RES +1 *38367:X *4910:7 20.4964 +2 *4910:7 *4910:8 77.8393 +3 *4910:8 *4910:11 27.2143 +4 *4910:11 *39163:A 12.925 +5 *4910:11 *7205:DIODE 9.3 +*END + +*D_NET *4911 0.00596113 +*CONN +*I *39164:A I *D sky130_fd_sc_hd__buf_12 +*I *38369:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *39164:A 8.4707e-05 +2 *38369:X 0 +3 *4911:6 0.00298057 +4 *4911:5 0.00289586 +5 la_oenb_core[115] *39164:A 0 +6 *7373:DIODE *4911:6 0 +7 *279:11 *4911:6 0 +8 *384:13 *4911:6 0 +9 *385:11 *4911:6 0 +10 *4618:27 *39164:A 0 +11 *4625:25 *39164:A 0 +12 *4628:6 *4911:6 0 +*RES +1 *38369:X *4911:5 13.8 +2 *4911:5 *4911:6 65.6964 +3 *4911:6 *39164:A 15.5679 +*END + +*D_NET *4912 0.0148669 +*CONN +*I *39165:A I *D sky130_fd_sc_hd__buf_12 +*I *7206:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38371:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39165:A 4.23535e-05 +2 *7206:DIODE 9.90632e-05 +3 *38371:X 4.53482e-05 +4 *4912:29 0.00197331 +5 *4912:23 0.00262099 +6 *4912:13 0.00242847 +7 *4912:8 0.00462571 +8 *4912:7 0.00303168 +9 *7206:DIODE *39166:A 0 +10 *7206:DIODE *4914:28 0 +11 la_data_in_core[114] *4912:29 0 +12 la_oenb_core[112] *4912:29 0 +13 *274:7 *4912:23 0 +14 *278:10 *7206:DIODE 0 +15 *286:17 *4912:8 0 +16 *381:14 *4912:13 0 +17 *1013:77 *4912:23 0 +18 *1334:14 *4912:8 0 +19 *2462:15 *4912:29 0 +20 *2462:30 *4912:29 0 +21 *2462:36 *4912:23 0 +22 *2470:8 *4912:8 0 +23 *2974:34 *4912:8 0 +24 *3013:72 *4912:8 0 +25 *3044:16 *4912:7 0 +26 *4621:20 *39165:A 0 +27 *4621:20 *4912:29 0 +28 *4628:9 *4912:29 0 +29 *4906:15 *4912:23 0 +30 *4907:8 *4912:8 0 +31 *4908:18 *4912:29 0 +32 *4909:39 *4912:23 0 +33 *4909:39 *4912:29 0 +*RES +1 *38371:X *4912:7 14.7464 +2 *4912:7 *4912:8 67.8214 +3 *4912:8 *4912:13 43.2143 +4 *4912:13 *4912:23 22.0179 +5 *4912:23 *4912:29 38.3571 +6 *4912:29 *7206:DIODE 20.55 +7 *4912:29 *39165:A 10.2464 +*END + +*D_NET *4913 0.00149427 +*CONN +*I *39166:A I *D sky130_fd_sc_hd__buf_12 +*I *38373:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39166:A 0.000747135 +2 *38373:X 0.000747135 +3 *39166:A *4914:28 0 +4 *39166:A *4914:29 0 +5 la_oenb_core[117] *39166:A 0 +6 *7206:DIODE *39166:A 0 +7 *279:10 *39166:A 0 +8 *4621:20 *39166:A 0 +*RES +1 *38373:X *39166:A 43.9393 +*END + +*D_NET *4914 0.0121466 +*CONN +*I *39167:A I *D sky130_fd_sc_hd__buf_12 +*I *7207:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38375:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39167:A 0.000293337 +2 *7207:DIODE 0 +3 *38375:X 0.000551406 +4 *4914:29 0.00112586 +5 *4914:28 0.00228198 +6 *4914:25 0.002833 +7 *4914:22 0.00294658 +8 *4914:19 0.00211443 +9 *39167:A *4916:15 0 +10 *39167:A *4924:15 0 +11 *4914:29 *4916:15 0 +12 la_data_in_core[119] *39167:A 0 +13 la_oenb_core[117] *4914:29 0 +14 la_oenb_core[118] *39167:A 0 +15 *7206:DIODE *4914:28 0 +16 *39166:A *4914:28 0 +17 *39166:A *4914:29 0 +18 *264:11 *4914:28 0 +19 *1015:125 *4914:22 0 +20 *1460:30 *4914:22 0 +21 *1461:11 *4914:19 0 +22 *2444:6 *4914:19 0 +23 *2807:40 *4914:22 0 +24 *3703:13 *4914:25 0 +25 *4318:104 *4914:19 0 +26 *4621:20 *4914:29 0 +27 *4728:6 *4914:22 0 +28 *4733:10 *4914:22 0 +29 *4910:8 *39167:A 0 +*RES +1 *38375:X *4914:19 30.5802 +2 *4914:19 *4914:22 39.9911 +3 *4914:22 *4914:25 33.375 +4 *4914:25 *4914:28 37.4107 +5 *4914:28 *4914:29 17.375 +6 *4914:29 *7207:DIODE 9.3 +7 *4914:29 *39167:A 24.7643 +*END + +*D_NET *4915 0.0107278 +*CONN +*I *5922:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37978:C I *D sky130_fd_sc_hd__and3b_2 +*I *38847:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *5922:DIODE 0 +2 *37978:C 0.00034041 +3 *38847:X 0.00123386 +4 *4915:39 0.00082554 +5 *4915:35 0.0037896 +6 *4915:20 0.00453834 +7 *4915:20 *4970:36 0 +8 *4915:20 *5015:31 0 +9 *6804:DIODE *4915:20 0 +10 *6982:DIODE *4915:20 0 +11 *6984:DIODE *4915:20 0 +12 *7018:DIODE *4915:20 0 +13 *38268:B *4915:39 0 +14 *38847:A *4915:20 0 +15 *40539:A *4915:20 0 +16 *1889:45 *37978:C 0 +17 *2071:25 *4915:39 0 +18 *3146:12 *4915:20 0 +19 *3146:12 *4915:35 0 +20 *3170:15 *4915:35 0 +21 *3213:18 *4915:35 0 +22 *3268:29 *4915:35 0 +23 *3377:24 *4915:35 0 +24 *3384:48 *4915:35 0 +25 *3454:5 *4915:20 0 +26 *3583:55 *37978:C 0 +27 *3890:50 *4915:35 0 +28 *3895:76 *4915:35 0 +29 *3901:16 *4915:35 0 +30 *3921:41 *4915:35 0 +31 *4068:37 *4915:35 0 +32 *4123:28 *4915:35 0 +33 *4132:28 *4915:35 0 +34 *4137:44 *4915:35 0 +35 *4156:52 *4915:35 0 +36 *4212:43 *4915:35 0 +37 *4387:50 *37978:C 0 +38 *4392:49 *4915:35 0 +39 *4462:21 *4915:35 0 +40 *4834:36 *4915:20 0 +*RES +1 *38847:X *4915:20 49.0054 +2 *4915:20 *4915:35 46.0223 +3 *4915:35 *4915:39 15.4375 +4 *4915:39 *37978:C 25.7598 +5 *4915:39 *5922:DIODE 9.3 +*END + +*D_NET *4916 0.00285852 +*CONN +*I *39168:A I *D sky130_fd_sc_hd__buf_12 +*I *38377:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *39168:A 0 +2 *38377:X 0.00142926 +3 *4916:15 0.00142926 +4 *4916:15 *4924:15 0 +5 la_data_in_core[118] *4916:15 0 +6 la_oenb_core[119] *4916:15 0 +7 *39167:A *4916:15 0 +8 *280:10 *4916:15 0 +9 *4621:14 *4916:15 0 +10 *4621:20 *4916:15 0 +11 *4914:29 *4916:15 0 +*RES +1 *38377:X *4916:15 49.3357 +2 *4916:15 *39168:A 9.3 +*END + +*D_NET *4917 0.00865417 +*CONN +*I *39169:A I *D sky130_fd_sc_hd__buf_12 +*I *7208:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38161:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39169:A 0.000141417 +2 *7208:DIODE 0 +3 *38161:X 0.00165906 +4 *4917:19 0.000736291 +5 *4917:17 0.00252661 +6 *4917:7 0.0035908 +7 *4917:7 *4934:5 0 +8 *39682:A *4917:17 0 +9 *1007:78 *4917:17 0 +10 *1007:78 *4917:19 0 +11 *1513:24 *4917:17 0 +12 *1922:40 *4917:17 0 +13 *2503:16 *4917:17 0 +14 *2647:51 *39169:A 0 +15 *2647:51 *4917:17 0 +16 *2647:51 *4917:19 0 +17 *2663:25 *4917:17 0 +18 *2663:25 *4917:19 0 +19 *2783:26 *4917:17 0 +20 *2786:24 *4917:17 0 +21 *2894:34 *4917:17 0 +22 *2895:19 *4917:17 0 +23 *2900:20 *4917:7 0 +24 *4632:20 *4917:17 0 +25 *4662:18 *4917:17 0 +26 *4666:31 *4917:17 0 +*RES +1 *38161:X *4917:7 48.425 +2 *4917:7 *4917:17 47.9286 +3 *4917:17 *4917:19 12.4464 +4 *4917:19 *7208:DIODE 9.3 +5 *4917:19 *39169:A 21.4964 +*END + +*D_NET *4918 0.00374017 +*CONN +*I *39170:A I *D sky130_fd_sc_hd__buf_12 +*I *38379:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *39170:A 0.000794393 +2 *38379:X 0.00107569 +3 *4918:11 0.00187008 +4 la_data_in_core[121] *39170:A 0 +5 *267:8 *4918:11 0 +6 *267:12 *4918:11 0 +7 *281:8 *4918:11 0 +8 *284:10 *39170:A 0 +9 *1021:19 *4918:11 0 +10 *4616:14 *4918:11 0 +11 *4621:6 *39170:A 0 +*RES +1 *38379:X *4918:11 45.4071 +2 *4918:11 *39170:A 31.8357 +*END + +*D_NET *4919 0.00895427 +*CONN +*I *7209:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39171:A I *D sky130_fd_sc_hd__buf_12 +*I *38381:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *7209:DIODE 0.00016043 +2 *39171:A 0.000334314 +3 *38381:X 0.000878857 +4 *4919:15 0.00059913 +5 *4919:12 0.00310353 +6 *4919:11 0.00387801 +7 *7209:DIODE *4924:19 0 +8 *4919:12 *39172:A 0 +9 *4919:12 *4920:12 0 +10 *4919:15 *4924:19 0 +11 la_oenb_core[122] *39171:A 0 +12 la_oenb_core[122] *4919:12 0 +13 *37726:A *4919:12 0 +14 *284:13 *4919:12 0 +15 *284:23 *4919:12 0 +16 *285:10 *39171:A 0 +17 *285:10 *4919:12 0 +18 *379:27 *4919:11 0 +19 *1244:30 *4919:11 0 +20 *3656:45 *4919:11 0 +21 *3656:49 *4919:11 0 +22 *3711:12 *4919:12 0 +23 *4633:13 *39171:A 0 +24 *4742:8 *4919:12 0 +*RES +1 *38381:X *4919:11 41.3 +2 *4919:11 *4919:12 68.125 +3 *4919:12 *4919:15 6.67857 +4 *4919:15 *39171:A 25.5857 +5 *4919:15 *7209:DIODE 12.7107 +*END + +*D_NET *4920 0.00793106 +*CONN +*I *39172:A I *D sky130_fd_sc_hd__buf_12 +*I *7210:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38383:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39172:A 0.000133881 +2 *7210:DIODE 0 +3 *38383:X 0.00102894 +4 *4920:12 0.0029366 +5 *4920:11 0.00383165 +6 la_oenb_core[122] *39172:A 0 +7 *6201:DIODE *4920:12 0 +8 *37726:A *4920:12 0 +9 *262:11 *4920:11 0 +10 *1427:22 *4920:11 0 +11 *1464:21 *4920:11 0 +12 *1849:8 *4920:11 0 +13 *2833:62 *4920:11 0 +14 *3711:12 *4920:12 0 +15 *4618:8 *39172:A 0 +16 *4618:8 *4920:12 0 +17 *4618:17 *39172:A 0 +18 *4634:11 *39172:A 0 +19 *4641:8 *39172:A 0 +20 *4742:8 *4920:12 0 +21 *4919:12 *39172:A 0 +22 *4919:12 *4920:12 0 +*RES +1 *38383:X *4920:11 44.9964 +2 *4920:11 *4920:12 63.5714 +3 *4920:12 *7210:DIODE 13.8 +4 *4920:12 *39172:A 16.7107 +*END + +*D_NET *4921 0.00700052 +*CONN +*I *39173:A I *D sky130_fd_sc_hd__buf_12 +*I *38385:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *39173:A 0.000261822 +2 *38385:X 2.56688e-05 +3 *4921:8 0.00347459 +4 *4921:7 0.00323844 +5 *39173:A *4924:19 0 +6 *286:7 *4921:8 0 +7 *1023:101 *4921:8 0 +8 *2973:37 *4921:7 0 +9 *4607:17 *39173:A 0 +10 *4619:11 *4921:8 0 +11 *4634:8 *4921:8 0 +12 *4641:8 *4921:8 0 +*RES +1 *38385:X *4921:7 14.3357 +2 *4921:7 *4921:8 72.9821 +3 *4921:8 *39173:A 19.2643 +*END + +*D_NET *4922 0.00689614 +*CONN +*I *39174:A I *D sky130_fd_sc_hd__buf_12 +*I *38387:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *39174:A 0 +2 *38387:X 0.000340539 +3 *4922:8 0.00310753 +4 *4922:7 0.00344807 +5 la_data_in_core[125] *4922:8 0 +6 *38119:A *4922:7 0 +7 *276:17 *4922:8 0 +8 *288:10 *4922:8 0 +9 *362:17 *4922:8 0 +10 *2990:48 *4922:7 0 +11 *4630:6 *4922:8 0 +12 *4633:12 *4922:8 0 +*RES +1 *38387:X *4922:7 20.9071 +2 *4922:7 *4922:8 70.5536 +3 *4922:8 *39174:A 13.8 +*END + +*D_NET *4923 0.000385399 +*CONN +*I *39175:A I *D sky130_fd_sc_hd__buf_12 +*I *38389:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39175:A 0.000192699 +2 *38389:X 0.000192699 +3 la_data_in_core[126] *39175:A 0 +4 la_oenb_core[125] *39175:A 0 +5 *289:12 *39175:A 0 +*RES +1 *38389:X *39175:A 31.975 +*END + +*D_NET *4924 0.00784965 +*CONN +*I *39176:A I *D sky130_fd_sc_hd__buf_12 +*I *38391:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *39176:A 0.00142847 +2 *38391:X 0.000669167 +3 *4924:19 0.00325566 +4 *4924:15 0.00249636 +5 la_data_in_core[119] *4924:15 0 +6 la_data_in_core[122] *4924:19 0 +7 la_oenb_core[119] *4924:15 0 +8 la_oenb_core[126] *39176:A 0 +9 *7209:DIODE *4924:19 0 +10 *38920:A *39176:A 0 +11 *39167:A *4924:15 0 +12 *39173:A *4924:19 0 +13 *281:7 *4924:15 0 +14 *4607:9 *39176:A 0 +15 *4607:17 *39176:A 0 +16 *4607:17 *4924:19 0 +17 *4621:14 *4924:15 0 +18 *4621:14 *4924:19 0 +19 *4621:20 *4924:15 0 +20 *4635:17 *4924:19 0 +21 *4916:15 *4924:15 0 +22 *4919:15 *4924:19 0 +*RES +1 *38391:X *4924:15 32.6214 +2 *4924:15 *4924:19 38.1339 +3 *4924:19 *39176:A 39.1125 +*END + +*D_NET *4925 0.00434606 +*CONN +*I *39177:A I *D sky130_fd_sc_hd__buf_12 +*I *38393:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *39177:A 0.000242142 +2 *38393:X 0 +3 *4925:8 0.00217303 +4 *4925:5 0.00193089 +5 la_oenb_core[127] *39177:A 0 +6 la_oenb_core[127] *4925:8 0 +7 user_clock2 *4925:8 0 +8 *272:15 *4925:8 0 +9 *382:21 *4925:8 0 +10 *1118:15 *4925:8 0 +*RES +1 *38393:X *4925:5 13.8 +2 *4925:5 *4925:8 48.3393 +3 *4925:8 *39177:A 14.3536 +*END + +*D_NET *4926 0.0105173 +*CONN +*I *5926:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37980:C I *D sky130_fd_sc_hd__and3b_1 +*I *38848:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *5926:DIODE 0 +2 *37980:C 0.000351465 +3 *38848:X 0.00212587 +4 *4926:49 0.000374139 +5 *4926:46 0.00115419 +6 *4926:26 0.00275866 +7 *4926:17 0.00375302 +8 *5885:DIODE *4926:26 0 +9 *37595:A *4926:46 0 +10 *1375:14 *37980:C 0 +11 *1396:43 *4926:46 0 +12 *1398:37 *4926:26 0 +13 *1889:34 *4926:46 0 +14 *1889:45 *4926:46 0 +15 *2023:41 *4926:17 0 +16 *2048:20 *4926:26 0 +17 *2144:61 *4926:17 0 +18 *2741:15 *4926:26 0 +19 *3156:76 *4926:17 0 +20 *3450:26 *4926:26 0 +21 *3450:37 *4926:26 0 +22 *3454:5 *4926:17 0 +23 *3886:12 *4926:46 0 +24 *3886:19 *37980:C 0 +25 *3886:19 *4926:49 0 +26 *3888:40 *4926:26 0 +27 *4133:5 *37980:C 0 +28 *4133:5 *4926:46 0 +29 *4133:5 *4926:49 0 +30 *4144:12 *4926:17 0 +31 *4165:20 *4926:17 0 +32 *4394:20 *4926:46 0 +33 *4395:37 *4926:46 0 +34 *4448:8 *4926:26 0 +35 *4457:48 *4926:46 0 +36 *4461:17 *4926:17 0 +37 *4461:42 *4926:17 0 +38 *4470:59 *37980:C 0 +39 *4893:24 *4926:17 0 +*RES +1 *38848:X *4926:17 46.3915 +2 *4926:17 *4926:26 49.4018 +3 *4926:26 *4926:46 46.625 +4 *4926:46 *4926:49 5.03571 +5 *4926:49 *37980:C 26.425 +6 *4926:49 *5926:DIODE 9.3 +*END + +*D_NET *4927 0.00228329 +*CONN +*I *39178:A I *D sky130_fd_sc_hd__buf_12 +*I *38163:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39178:A 0 +2 *38163:X 0.00114165 +3 *4927:8 0.00114165 +4 *39839:A *4927:8 0 +5 *1281:18 *4927:8 0 +6 *2502:44 *4927:8 0 +7 *2541:46 *4927:8 0 +8 *2775:30 *4927:8 0 +9 *2897:20 *4927:8 0 +*RES +1 *38163:X *4927:8 44.2286 +2 *4927:8 *39178:A 9.3 +*END + +*D_NET *4928 0.000863571 +*CONN +*I *39179:A I *D sky130_fd_sc_hd__buf_12 +*I *38165:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39179:A 0.000431786 +2 *38165:X 0.000431786 +3 *2710:44 *39179:A 0 +*RES +1 *38165:X *39179:A 36.6714 +*END + +*D_NET *4929 0.00406428 +*CONN +*I *39180:A I *D sky130_fd_sc_hd__buf_12 +*I *38167:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *39180:A 0.000491856 +2 *38167:X 0.00154029 +3 *4929:12 0.00203214 +4 *39180:A *4930:10 0 +5 *4929:12 *4930:10 0 +6 *294:19 *39180:A 0 +7 *294:19 *4929:12 0 +8 *1016:137 *4929:12 0 +9 *1375:29 *4929:12 0 +10 *2497:41 *39180:A 0 +11 *2640:23 *39180:A 0 +12 *2698:10 *4929:12 0 +13 *2754:9 *4929:12 0 +14 *2754:20 *4929:12 0 +15 *2888:26 *4929:12 0 +16 *2900:34 *4929:12 0 +*RES +1 *38167:X *4929:12 48.8268 +2 *4929:12 *39180:A 24.6661 +*END + +*D_NET *4930 0.00246068 +*CONN +*I *39181:A I *D sky130_fd_sc_hd__buf_12 +*I *38169:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39181:A 0 +2 *38169:X 0.00123034 +3 *4930:10 0.00123034 +4 *39180:A *4930:10 0 +5 *2623:31 *4930:10 0 +6 *2624:16 *4930:10 0 +7 *2647:26 *4930:10 0 +8 *2667:60 *4930:10 0 +9 *2888:26 *4930:10 0 +10 *4650:14 *4930:10 0 +11 *4929:12 *4930:10 0 +*RES +1 *38169:X *4930:10 45.8357 +2 *4930:10 *39181:A 9.3 +*END + +*D_NET *4931 0.00110454 +*CONN +*I *39182:A I *D sky130_fd_sc_hd__buf_12 +*I *38171:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39182:A 0.000552269 +2 *38171:X 0.000552269 +3 *38928:A *39182:A 0 +4 *1010:63 *39182:A 0 +5 *2497:41 *39182:A 0 +6 *2520:27 *39182:A 0 +7 *4665:14 *39182:A 0 +*RES +1 *38171:X *39182:A 40.1 +*END + +*D_NET *4932 0.00386371 +*CONN +*I *39183:A I *D sky130_fd_sc_hd__buf_12 +*I *38173:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *39183:A 0.000971136 +2 *38173:X 0.000960719 +3 *4932:16 0.00193185 +4 *39183:A *4934:29 0 +5 *4932:16 *4933:61 0 +6 *39836:A *39183:A 0 +7 *1007:86 *4932:16 0 +8 *1008:54 *4932:16 0 +9 *2518:17 *39183:A 0 +10 *2526:13 *4932:16 0 +11 *2647:33 *4932:16 0 +12 *2663:18 *39183:A 0 +13 *2680:25 *39183:A 0 +14 *4647:19 *39183:A 0 +*RES +1 *38173:X *4932:16 47.6661 +2 *4932:16 *39183:A 29.5679 +*END + +*D_NET *4933 0.0144512 +*CONN +*I *39184:A I *D sky130_fd_sc_hd__buf_12 +*I *7211:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38175:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39184:A 4.23535e-05 +2 *7211:DIODE 0.000112356 +3 *38175:X 0.00270497 +4 *4933:77 0.0011271 +5 *4933:61 0.00232909 +6 *4933:35 0.00339351 +7 *4933:20 0.00474178 +8 la_data_in_core[15] *4933:61 0 +9 la_oenb_core[11] *4933:35 0 +10 *38926:A *4933:61 0 +11 *292:12 *4933:35 0 +12 *314:20 *4933:20 0 +13 *318:46 *4933:20 0 +14 *1001:22 *4933:20 0 +15 *1004:8 *4933:35 0 +16 *1004:8 *4933:61 0 +17 *1007:86 *4933:61 0 +18 *1015:48 *4933:20 0 +19 *2490:36 *39184:A 0 +20 *2490:36 *4933:77 0 +21 *2491:13 *4933:35 0 +22 *2492:74 *39184:A 0 +23 *2492:74 *4933:77 0 +24 *2493:32 *4933:61 0 +25 *2497:32 *4933:35 0 +26 *2497:41 *4933:61 0 +27 *2526:13 *4933:35 0 +28 *2526:28 *4933:35 0 +29 *2530:8 *4933:35 0 +30 *2640:23 *4933:35 0 +31 *2640:23 *4933:61 0 +32 *2647:33 *4933:61 0 +33 *2663:25 *4933:35 0 +34 *2680:42 *4933:35 0 +35 *2710:25 *4933:61 0 +36 *2710:25 *4933:77 0 +37 *2874:8 *4933:20 0 +38 *4620:48 *4933:20 0 +39 *4645:10 *4933:61 0 +40 *4647:19 *39184:A 0 +41 *4656:17 *4933:61 0 +42 *4932:16 *4933:61 0 +*RES +1 *38175:X *4933:20 45.2837 +2 *4933:20 *4933:35 48.1629 +3 *4933:35 *4933:61 47.2589 +4 *4933:61 *4933:77 38.8393 +5 *4933:77 *7211:DIODE 20.8536 +6 *4933:77 *39184:A 10.2464 +*END + +*D_NET *4934 0.0168156 +*CONN +*I *7212:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39185:A I *D sky130_fd_sc_hd__buf_12 +*I *38177:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7212:DIODE 0.000141417 +2 *39185:A 0 +3 *38177:X 0 +4 *4934:35 0.000506142 +5 *4934:29 0.00243551 +6 *4934:22 0.00295181 +7 *4934:10 0.00259748 +8 *4934:5 0.00494987 +9 *4934:4 0.00323341 +10 la_data_in_core[17] *4934:29 0 +11 la_oenb_core[18] *4934:29 0 +12 la_oenb_core[19] *7212:DIODE 0 +13 la_oenb_core[19] *4934:35 0 +14 *39183:A *4934:29 0 +15 *39839:A *4934:10 0 +16 *335:13 *4934:10 0 +17 *335:20 *4934:10 0 +18 *341:29 *7212:DIODE 0 +19 *1922:30 *4934:5 0 +20 *1922:40 *4934:5 0 +21 *1925:50 *4934:5 0 +22 *2490:14 *4934:10 0 +23 *2491:13 *4934:29 0 +24 *2493:51 *4934:35 0 +25 *2498:50 *4934:22 0 +26 *2500:26 *4934:10 0 +27 *2500:37 *4934:22 0 +28 *2502:44 *4934:10 0 +29 *2518:17 *4934:29 0 +30 *2520:27 *4934:29 0 +31 *2520:31 *4934:22 0 +32 *2523:28 *4934:10 0 +33 *2663:18 *4934:22 0 +34 *2664:17 *4934:29 0 +35 *2680:25 *4934:22 0 +36 *2680:42 *4934:22 0 +37 *2756:14 *4934:10 0 +38 *2758:32 *7212:DIODE 0 +39 *2759:13 *4934:10 0 +40 *2895:35 *4934:10 0 +41 *2897:9 *4934:5 0 +42 *2900:20 *4934:5 0 +43 *2902:21 *4934:5 0 +44 *4647:19 *4934:29 0 +45 *4647:19 *4934:35 0 +46 *4656:27 *4934:35 0 +47 *4657:11 *7212:DIODE 0 +48 *4657:11 *4934:35 0 +49 *4665:14 *4934:22 0 +50 *4665:30 *4934:35 0 +51 *4917:7 *4934:5 0 +*RES +1 *38177:X *4934:4 9.3 +2 *4934:4 *4934:5 67.4821 +3 *4934:5 *4934:10 47.9821 +4 *4934:10 *4934:22 27.5577 +5 *4934:22 *4934:29 49.95 +6 *4934:29 *4934:35 15.5975 +7 *4934:35 *39185:A 9.3 +8 *4934:35 *7212:DIODE 21.4964 +*END + +*D_NET *4935 0.0166647 +*CONN +*I *39186:A I *D sky130_fd_sc_hd__buf_12 +*I *7213:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38141:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *39186:A 0.000121071 +2 *7213:DIODE 0 +3 *38141:X 0.00190912 +4 *4935:25 0.00240781 +5 *4935:18 0.00419114 +6 *4935:11 0.00401545 +7 *4935:7 0.00402016 +8 la_data_in_core[1] *4935:25 0 +9 *38930:A *4935:25 0 +10 *1030:8 *4935:25 0 +11 *2509:7 *4935:11 0 +12 *2509:7 *4935:18 0 +13 *2512:26 *4935:25 0 +14 *2515:65 *4935:25 0 +15 *2518:59 *4935:25 0 +16 *2523:66 *39186:A 0 +17 *2523:66 *4935:25 0 +18 *2530:73 *39186:A 0 +19 *2530:73 *4935:25 0 +20 *2629:11 *4935:25 0 +21 *2632:41 *4935:18 0 +22 *2663:59 *4935:25 0 +23 *2670:5 *4935:7 0 +24 *2701:9 *4935:11 0 +25 *2701:9 *4935:18 0 +26 *2706:11 *4935:7 0 +27 *2706:11 *4935:11 0 +28 *2899:9 *4935:7 0 +29 *2899:22 *4935:11 0 +*RES +1 *38141:X *4935:7 49.175 +2 *4935:7 *4935:11 44.0893 +3 *4935:11 *4935:18 47.7404 +4 *4935:18 *4935:25 41.4423 +5 *4935:25 *7213:DIODE 9.3 +6 *4935:25 *39186:A 11.8893 +*END + +*D_NET *4936 0.00972845 +*CONN +*I *7214:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39187:A I *D sky130_fd_sc_hd__buf_12 +*I *38179:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7214:DIODE 0 +2 *39187:A 0.000272602 +3 *38179:X 0.00154093 +4 *4936:23 0.00141392 +5 *4936:19 0.00305069 +6 *4936:8 0.0034503 +7 *4936:23 *7765:DIODE 0 +8 *38932:A *39187:A 0 +9 *300:7 *4936:23 0 +10 *1030:8 *4936:19 0 +11 *1375:44 *4936:19 0 +12 *2485:36 *39187:A 0 +13 *2537:19 *4936:19 0 +14 *2541:26 *4936:8 0 +15 *2610:43 *4936:8 0 +16 *2647:13 *4936:23 0 +17 *2758:32 *4936:23 0 +18 *2759:15 *4936:19 0 +19 *2890:42 *4936:19 0 +20 *4655:19 *39187:A 0 +21 *4657:11 *39187:A 0 +22 *4665:33 *4936:23 0 +*RES +1 *38179:X *4936:8 46.0679 +2 *4936:8 *4936:19 43.1846 +3 *4936:19 *4936:23 30.1339 +4 *4936:23 *39187:A 34.3893 +5 *4936:23 *7214:DIODE 9.3 +*END + +*D_NET *4937 0.00635951 +*CONN +*I *37890:C I *D sky130_fd_sc_hd__and3b_1 +*I *38849:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *37890:C 0.000802646 +2 *38849:X 0.000163425 +3 *4937:8 0.00301633 +4 *4937:7 0.00237711 +5 la_data_in_mprj[4] *4937:8 0 +6 *5769:DIODE *37890:C 0 +7 *6653:DIODE *4937:8 0 +8 *6796:DIODE *4937:8 0 +9 *833:5 *4937:8 0 +10 *1350:36 *37890:C 0 +11 *1481:8 *4937:8 0 +12 *1486:10 *37890:C 0 +13 *1771:10 *4937:8 0 +14 *3082:30 *37890:C 0 +15 *3082:30 *4937:8 0 +16 *3382:8 *4937:8 0 +17 *3585:44 *37890:C 0 +18 *3589:38 *37890:C 0 +19 *3609:34 *37890:C 0 +20 *4190:56 *4937:8 0 +21 *4418:26 *4937:8 0 +22 *4420:83 *37890:C 0 +23 *4451:11 *4937:8 0 +24 *4511:52 *37890:C 0 +25 *4511:52 *4937:8 0 +26 *4693:9 *4937:7 0 +*RES +1 *38849:X *4937:7 17.2107 +2 *4937:7 *4937:8 50.2589 +3 *4937:8 *37890:C 34.541 +*END + +*D_NET *4938 0.00424311 +*CONN +*I *39188:A I *D sky130_fd_sc_hd__buf_12 +*I *38181:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *39188:A 4.53482e-05 +2 *38181:X 2.56688e-05 +3 *4938:8 0.00209589 +4 *4938:7 0.00207621 +5 la_data_in_core[22] *4938:8 0 +6 *39749:A *4938:8 0 +7 *301:7 *4938:8 0 +8 *1903:32 *4938:7 0 +9 *1917:22 *4938:7 0 +10 *2452:15 *4938:8 0 +11 *2519:36 *4938:8 0 +12 *2521:38 *4938:8 0 +13 *2564:52 *4938:8 0 +14 *2575:41 *4938:8 0 +15 *2702:9 *4938:8 0 +16 *2703:29 *4938:8 0 +17 *2707:18 *4938:8 0 +18 *2710:24 *4938:8 0 +19 *2758:56 *39188:A 0 +20 *2765:47 *4938:8 0 +21 *2854:14 *4938:8 0 +22 *2868:20 *4938:8 0 +23 *2883:20 *4938:8 0 +24 *4670:32 *39188:A 0 +*RES +1 *38181:X *4938:7 14.3357 +2 *4938:7 *4938:8 46.5714 +3 *4938:8 *39188:A 14.7464 +*END + +*D_NET *4939 0.00489686 +*CONN +*I *39189:A I *D sky130_fd_sc_hd__buf_12 +*I *38183:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *39189:A 0 +2 *38183:X 0.000504819 +3 *4939:14 0.00194361 +4 *4939:11 0.00244843 +5 *4939:14 *4940:12 0 +6 *4939:14 *4942:16 0 +7 *4939:14 *4942:26 0 +8 *38183:A *4939:11 0 +9 *1390:22 *4939:14 0 +10 *1494:33 *4939:14 0 +11 *1904:16 *4939:11 0 +12 *1908:22 *4939:11 0 +13 *1926:33 *4939:14 0 +14 *1953:11 *4939:14 0 +15 *2484:17 *4939:14 0 +16 *2617:36 *4939:14 0 +17 *2692:16 *4939:14 0 +18 *2768:33 *4939:11 0 +19 *2889:42 *4939:14 0 +*RES +1 *38183:X *4939:11 24.3357 +2 *4939:11 *4939:14 48.6429 +3 *4939:14 *39189:A 9.3 +*END + +*D_NET *4940 0.00416235 +*CONN +*I *39190:A I *D sky130_fd_sc_hd__buf_12 +*I *38185:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *39190:A 0.000364069 +2 *38185:X 0.00171711 +3 *4940:12 0.00208118 +4 *4940:12 *4942:26 0 +5 *1004:8 *39190:A 0 +6 *1014:10 *4940:12 0 +7 *2584:24 *4940:12 0 +8 *2623:16 *4940:12 0 +9 *2676:13 *4940:12 0 +10 *2889:42 *4940:12 0 +11 *4670:32 *39190:A 0 +12 *4939:14 *4940:12 0 +*RES +1 *38185:X *4940:12 47.9719 +2 *4940:12 *39190:A 21.4607 +*END + +*D_NET *4941 0.00130451 +*CONN +*I *39191:A I *D sky130_fd_sc_hd__buf_12 +*I *38187:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39191:A 0.000652253 +2 *38187:X 0.000652253 +3 *39191:A *39192:A 0 +4 la_oenb_core[24] *39191:A 0 +5 *7809:DIODE *39191:A 0 +6 *39878:A *39191:A 0 +7 *2485:39 *39191:A 0 +8 *2493:60 *39191:A 0 +9 *2496:54 *39191:A 0 +10 *2680:20 *39191:A 0 +11 *2710:15 *39191:A 0 +*RES +1 *38187:X *39191:A 41.7786 +*END + +*D_NET *4942 0.00751505 +*CONN +*I *39192:A I *D sky130_fd_sc_hd__buf_12 +*I *38189:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *39192:A 0.00114611 +2 *38189:X 0.00103987 +3 *4942:26 0.00271765 +4 *4942:16 0.00261141 +5 *39192:A *4946:24 0 +6 la_oenb_core[24] *39192:A 0 +7 la_oenb_core[25] *39192:A 0 +8 *39191:A *39192:A 0 +9 *1950:25 *4942:16 0 +10 *2168:27 *4942:16 0 +11 *2485:39 *39192:A 0 +12 *2486:44 *4942:26 0 +13 *2490:39 *39192:A 0 +14 *2493:51 *39192:A 0 +15 *2493:60 *39192:A 0 +16 *2496:54 *39192:A 0 +17 *2664:11 *4942:26 0 +18 *2735:32 *4942:16 0 +19 *2854:20 *4942:16 0 +20 *2880:34 *4942:16 0 +21 *2881:17 *4942:16 0 +22 *2881:17 *4942:26 0 +23 *2886:40 *4942:16 0 +24 *3195:39 *4942:16 0 +25 *4657:11 *39192:A 0 +26 *4939:14 *4942:16 0 +27 *4939:14 *4942:26 0 +28 *4940:12 *4942:26 0 +*RES +1 *38189:X *4942:16 37.4071 +2 *4942:16 *4942:26 42.6151 +3 *4942:26 *39192:A 33.2821 +*END + +*D_NET *4943 0.00234327 +*CONN +*I *39193:A I *D sky130_fd_sc_hd__buf_12 +*I *38191:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39193:A 0 +2 *38191:X 0.00117164 +3 *4943:15 0.00117164 +4 *4943:15 *4946:24 0 +5 la_oenb_core[26] *4943:15 0 +6 *339:23 *4943:15 0 +7 *2485:41 *4943:15 0 +8 *2567:31 *4943:15 0 +9 *2636:16 *4943:15 0 +10 *4673:23 *4943:15 0 +*RES +1 *38191:X *4943:15 44.3357 +2 *4943:15 *39193:A 9.3 +*END + +*D_NET *4944 0.00576304 +*CONN +*I *39647:A I *D sky130_fd_sc_hd__buf_2 +*I *38193:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39647:A 3.22083e-05 +2 *38193:X 0.000973392 +3 *4944:17 0.00190813 +4 *4944:10 0.00284931 +5 *335:20 *4944:17 0 +6 *1375:22 *4944:17 0 +7 *1513:15 *4944:10 0 +8 *1513:24 *4944:10 0 +9 *1676:8 *4944:10 0 +10 *1918:27 *4944:10 0 +11 *2745:32 *4944:10 0 +12 *2762:19 *4944:10 0 +13 *2765:30 *4944:17 0 +14 *2770:47 *4944:17 0 +15 *2778:27 *4944:17 0 +16 *2869:55 *4944:17 0 +17 *2884:11 *4944:17 0 +18 *3632:14 *4944:10 0 +19 *3845:77 *4944:10 0 +20 *3892:33 *4944:10 0 +*RES +1 *38193:X *4944:10 40.3179 +2 *4944:10 *4944:17 48.3571 +3 *4944:17 *39647:A 19.0321 +*END + +*D_NET *4945 0.00239375 +*CONN +*I *39195:A I *D sky130_fd_sc_hd__buf_12 +*I *38195:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39195:A 0 +2 *38195:X 0.00119687 +3 *4945:14 0.00119687 +4 *4945:14 *7758:DIODE 0 +5 *1011:171 *4945:14 0 +6 *1031:47 *4945:14 0 +7 *2567:31 *4945:14 0 +8 *2688:29 *4945:14 0 +9 *2751:36 *4945:14 0 +10 *2755:46 *4945:14 0 +11 *2759:47 *4945:14 0 +12 *2877:33 *4945:14 0 +13 *4665:64 *4945:14 0 +*RES +1 *38195:X *4945:14 49.3893 +2 *4945:14 *39195:A 13.8 +*END + +*D_NET *4946 0.00699354 +*CONN +*I *39196:A I *D sky130_fd_sc_hd__buf_12 +*I *38197:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *39196:A 0.000875733 +2 *38197:X 0.00125631 +3 *4946:24 0.00224046 +4 *4946:10 0.00262103 +5 *39196:A *4955:16 0 +6 *39196:A *4955:17 0 +7 la_oenb_core[25] *4946:24 0 +8 la_oenb_core[26] *4946:24 0 +9 *39192:A *4946:24 0 +10 *325:34 *4946:10 0 +11 *2451:19 *4946:24 0 +12 *2484:32 *4946:10 0 +13 *2490:39 *4946:24 0 +14 *2492:85 *39196:A 0 +15 *2493:60 *4946:24 0 +16 *2528:41 *4946:10 0 +17 *2531:28 *4946:10 0 +18 *2644:54 *4946:10 0 +19 *2751:22 *4946:10 0 +20 *4665:64 *4946:24 0 +21 *4670:37 *39196:A 0 +22 *4670:51 *39196:A 0 +23 *4943:15 *4946:24 0 +*RES +1 *38197:X *4946:10 46.3536 +2 *4946:10 *4946:24 46.9107 +3 *4946:24 *39196:A 27.5143 +*END + +*D_NET *4947 0.0126998 +*CONN +*I *39197:A I *D sky130_fd_sc_hd__buf_12 +*I *7216:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38143:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39197:A 0.000245649 +2 *7216:DIODE 8.4707e-05 +3 *38143:X 0 +4 *4947:20 0.00145873 +5 *4947:17 0.00239256 +6 *4947:5 0.00489119 +7 *4947:4 0.003627 +8 *4947:20 *4960:21 0 +9 *299:9 *4947:17 0 +10 *299:24 *4947:17 0 +11 *310:7 *39197:A 0 +12 *310:7 *4947:20 0 +13 *1370:20 *4947:17 0 +14 *1374:16 *4947:17 0 +15 *2500:11 *4947:17 0 +16 *2510:15 *4947:20 0 +17 *2511:16 *4947:17 0 +18 *2523:47 *39197:A 0 +19 *2530:73 *39197:A 0 +20 *2553:29 *7216:DIODE 0 +21 *2589:21 *4947:17 0 +22 *2609:19 *4947:17 0 +23 *2773:15 *4947:5 0 +24 *2775:17 *4947:17 0 +*RES +1 *38143:X *4947:4 9.3 +2 *4947:4 *4947:5 75.6964 +3 *4947:5 *4947:17 45.8571 +4 *4947:17 *4947:20 30.125 +5 *4947:20 *7216:DIODE 15.5679 +6 *4947:20 *39197:A 19.0679 +*END + +*D_NET *4948 0.00490587 +*CONN +*I *37982:C I *D sky130_fd_sc_hd__and3b_1 +*I *38850:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *37982:C 0.000163425 +2 *38850:X 0.000569961 +3 *4948:22 0.00188297 +4 *4948:11 0.00228951 +5 *4948:11 *5070:43 0 +6 *4948:11 *5198:39 0 +7 *5407:DIODE *4948:22 0 +8 *1533:71 *4948:22 0 +9 *1888:17 *37982:C 0 +10 *1894:36 *4948:22 0 +11 *2003:8 *4948:11 0 +12 *2003:17 *4948:22 0 +13 *2018:16 *4948:11 0 +14 *3336:31 *4948:11 0 +15 *3516:13 *4948:11 0 +16 *3605:33 *4948:11 0 +17 *3643:29 *37982:C 0 +18 *3861:70 *4948:22 0 +19 *3915:9 *4948:22 0 +20 *4462:48 *4948:11 0 +21 *4464:12 *4948:22 0 +*RES +1 *38850:X *4948:11 35.4429 +2 *4948:11 *4948:22 48 +3 *4948:22 *37982:C 17.2107 +*END + +*D_NET *4949 0.000324282 +*CONN +*I *39198:A I *D sky130_fd_sc_hd__buf_12 +*I *38199:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39198:A 0.000162141 +2 *38199:X 0.000162141 +3 *2486:49 *39198:A 0 +4 *4670:51 *39198:A 0 +*RES +1 *38199:X *39198:A 22.0464 +*END + +*D_NET *4950 0.00254481 +*CONN +*I *39199:A I *D sky130_fd_sc_hd__buf_12 +*I *38201:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39199:A 0 +2 *38201:X 0.00127241 +3 *4950:10 0.00127241 +4 *4950:10 *4955:17 0 +5 la_oenb_core[31] *4950:10 0 +6 *38944:A *4950:10 0 +*RES +1 *38201:X *4950:10 45.0143 +2 *4950:10 *39199:A 9.3 +*END + +*D_NET *4951 0.00788286 +*CONN +*I *39200:A I *D sky130_fd_sc_hd__buf_12 +*I *38203:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *39200:A 0.000458616 +2 *38203:X 0.000232714 +3 *4951:43 0.00221028 +4 *4951:22 0.0032501 +5 *4951:8 0.00173115 +6 *4951:22 *39810:A 0 +7 la_oenb_core[31] *39200:A 0 +8 la_oenb_core[32] *39200:A 0 +9 *38943:A *4951:43 0 +10 *311:13 *4951:43 0 +11 *344:29 *4951:43 0 +12 *345:31 *4951:22 0 +13 *1005:86 *4951:22 0 +14 *1023:92 *4951:43 0 +15 *2451:37 *39200:A 0 +16 *2451:37 *4951:43 0 +17 *2452:49 *4951:43 0 +18 *2484:49 *39200:A 0 +19 *2484:49 *4951:43 0 +20 *2519:19 *4951:43 0 +21 *2521:23 *4951:22 0 +22 *2606:11 *4951:22 0 +23 *2667:7 *4951:43 0 +24 *2758:73 *4951:43 0 +*RES +1 *38203:X *4951:8 23.5857 +2 *4951:8 *4951:22 41.0179 +3 *4951:22 *4951:43 46.9286 +4 *4951:43 *39200:A 18.8714 +*END + +*D_NET *4952 0.00490913 +*CONN +*I *39201:A I *D sky130_fd_sc_hd__buf_12 +*I *38205:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *39201:A 0.00106118 +2 *38205:X 0.00139339 +3 *4952:7 0.00245457 +4 *39201:A *4954:14 0 +5 *39201:A *4963:42 0 +6 *39201:A *4965:8 0 +7 *314:10 *39201:A 0 +8 *314:19 *39201:A 0 +9 *346:36 *39201:A 0 +10 *2451:37 *39201:A 0 +11 *2484:49 *39201:A 0 +12 *2524:11 *4952:7 0 +13 *2539:46 *39201:A 0 +*RES +1 *38205:X *4952:7 42.8804 +2 *4952:7 *39201:A 37.3714 +*END + +*D_NET *4953 0.00602775 +*CONN +*I *39202:A I *D sky130_fd_sc_hd__buf_12 +*I *38207:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *39202:A 0.000321559 +2 *38207:X 0.000915092 +3 *4953:18 0.00209878 +4 *4953:11 0.00269232 +5 *39202:A *4954:26 0 +6 *4953:11 *5108:17 0 +7 *4953:18 *4954:14 0 +8 *4953:18 *5052:14 0 +9 *39717:A *4953:11 0 +10 *347:50 *4953:18 0 +11 *1001:28 *39202:A 0 +12 *1015:74 *39202:A 0 +13 *2482:18 *4953:18 0 +14 *2528:15 *4953:11 0 +15 *2531:18 *4953:11 0 +16 *2575:15 *39202:A 0 +17 *2575:15 *4953:18 0 +18 *2601:14 *4953:11 0 +19 *2659:10 *4953:18 0 +20 *2711:25 *4953:18 0 +*RES +1 *38207:X *4953:11 32.9607 +2 *4953:11 *4953:18 47.6518 +3 *4953:18 *39202:A 24.7518 +*END + +*D_NET *4954 0.0044858 +*CONN +*I *39203:A I *D sky130_fd_sc_hd__buf_12 +*I *38209:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *39203:A 0.000242142 +2 *38209:X 0.000699019 +3 *4954:26 0.00154388 +4 *4954:14 0.00200076 +5 *4954:14 *4965:8 0 +6 la_oenb_core[34] *4954:26 0 +7 *38209:A *4954:14 0 +8 *39201:A *4954:14 0 +9 *39202:A *4954:26 0 +10 *315:10 *4954:26 0 +11 *344:12 *4954:14 0 +12 *345:20 *4954:14 0 +13 *1004:20 *39203:A 0 +14 *1016:156 *4954:26 0 +15 *1024:87 *4954:14 0 +16 *1026:50 *4954:26 0 +17 *1503:22 *4954:14 0 +18 *2575:15 *4954:26 0 +19 *2711:25 *4954:26 0 +20 *4673:37 *39203:A 0 +21 *4953:18 *4954:14 0 +*RES +1 *38209:X *4954:14 42.55 +2 *4954:14 *4954:26 45.8036 +3 *4954:26 *39203:A 14.3536 +*END + +*D_NET *4955 0.0112366 +*CONN +*I *39204:A I *D sky130_fd_sc_hd__buf_12 +*I *7217:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38211:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39204:A 0.000156152 +2 *7217:DIODE 0 +3 *38211:X 0.00114749 +4 *4955:17 0.00392091 +5 *4955:16 0.00431464 +6 *4955:10 0.00169737 +7 la_data_in_core[27] *4955:16 0 +8 la_data_in_core[33] *4955:17 0 +9 la_data_in_core[34] *4955:17 0 +10 *38945:A *4955:17 0 +11 *38946:A *4955:17 0 +12 *39196:A *4955:16 0 +13 *39196:A *4955:17 0 +14 *1004:20 *4955:17 0 +15 *2451:19 *4955:10 0 +16 *2453:38 *4955:10 0 +17 *2453:42 *4955:10 0 +18 *2485:53 *4955:17 0 +19 *2486:49 *4955:17 0 +20 *2492:85 *4955:16 0 +21 *2493:60 *4955:10 0 +22 *2552:38 *4955:10 0 +23 *2640:16 *4955:10 0 +24 *2878:34 *4955:10 0 +25 *2881:42 *4955:10 0 +26 *2888:51 *4955:10 0 +27 *4670:37 *4955:16 0 +28 *4670:51 *4955:17 0 +29 *4673:37 *4955:17 0 +30 *4673:44 *39204:A 0 +31 *4673:44 *4955:17 0 +32 *4675:39 *39204:A 0 +33 *4675:39 *4955:17 0 +34 *4950:10 *4955:17 0 +*RES +1 *38211:X *4955:10 43.9964 +2 *4955:10 *4955:16 20.5357 +3 *4955:16 *4955:17 78.5714 +4 *4955:17 *7217:DIODE 9.3 +5 *4955:17 *39204:A 12.6214 +*END + +*D_NET *4956 0.00241925 +*CONN +*I *39205:A I *D sky130_fd_sc_hd__buf_12 +*I *38213:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39205:A 0 +2 *38213:X 0.00120962 +3 *4956:15 0.00120962 +4 *4956:15 *4963:57 0 +5 *4956:15 *4965:29 0 +6 la_data_in_core[40] *4956:15 0 +7 la_oenb_core[39] *4956:15 0 +8 *7075:DIODE *4956:15 0 +9 *7218:DIODE *4956:15 0 +10 *38951:A *4956:15 0 +11 *38953:A *4956:15 0 +12 *1004:20 *4956:15 0 +13 *1026:50 *4956:15 0 +14 *2575:14 *4956:15 0 +15 *2711:10 *4956:15 0 +16 *4675:39 *4956:15 0 +*RES +1 *38213:X *4956:15 44.1571 +2 *4956:15 *39205:A 9.3 +*END + +*D_NET *4957 0.00142794 +*CONN +*I *39206:A I *D sky130_fd_sc_hd__buf_12 +*I *38215:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39206:A 0.000713971 +2 *38215:X 0.000713971 +3 *39206:A *4973:10 0 +4 *325:21 *39206:A 0 +5 *346:29 *39206:A 0 +6 *1013:64 *39206:A 0 +7 *1289:28 *39206:A 0 +8 *2529:25 *39206:A 0 +9 *2751:46 *39206:A 0 +10 *4681:10 *39206:A 0 +*RES +1 *38215:X *39206:A 43.8143 +*END + +*D_NET *4958 0.00942649 +*CONN +*I *39646:A I *D sky130_fd_sc_hd__buf_2 +*I *7626:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38217:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39646:A 0.000121071 +2 *7626:DIODE 0 +3 *38217:X 0.002693 +4 *4958:37 0.00202024 +5 *4958:23 0.00459217 +6 *39646:A *5040:21 0 +7 *4958:37 *5040:21 0 +8 *37953:A *4958:37 0 +9 *333:42 *4958:37 0 +10 *1002:8 *4958:23 0 +11 *2515:18 *4958:37 0 +12 *2524:25 *4958:23 0 +13 *2531:50 *4958:23 0 +14 *2547:38 *4958:23 0 +15 *2578:18 *4958:23 0 +16 *2606:22 *4958:37 0 +17 *2626:14 *4958:23 0 +18 *2634:5 *4958:37 0 +19 *2653:17 *4958:23 0 +20 *2667:32 *39646:A 0 +21 *2667:32 *4958:37 0 +22 *2687:11 *4958:37 0 +23 *2707:41 *4958:23 0 +24 *2756:20 *4958:23 0 +25 *2757:14 *4958:23 0 +*RES +1 *38217:X *4958:23 47.0563 +2 *4958:23 *4958:37 41.8574 +3 *4958:37 *7626:DIODE 9.3 +4 *4958:37 *39646:A 11.8893 +*END + +*D_NET *4959 0.018302 +*CONN +*I *37984:C I *D sky130_fd_sc_hd__and3b_1 +*I *5932:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38851:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *37984:C 0 +2 *5932:DIODE 0.000183104 +3 *38851:X 0.000180686 +4 *4959:61 0.000205778 +5 *4959:58 0.00217695 +6 *4959:44 0.00477245 +7 *4959:32 0.0036917 +8 *4959:17 0.00399207 +9 *4959:16 0.00309923 +10 *4959:16 *4970:19 0 +11 *4959:16 *5015:11 0 +12 *4959:17 *5026:13 0 +13 *4959:44 *39078:A 0 +14 la_data_in_mprj[51] *4959:17 0 +15 *37960:A_N *4959:58 0 +16 *38521:A *4959:32 0 +17 *38522:A *4959:32 0 +18 *38662:A *4959:44 0 +19 *38663:A *4959:44 0 +20 *38664:A *4959:32 0 +21 *38840:A *4959:44 0 +22 *38884:A *4959:44 0 +23 *39082:A *4959:44 0 +24 *40461:A *4959:44 0 +25 *387:31 *4959:58 0 +26 *447:5 *4959:44 0 +27 *575:15 *4959:44 0 +28 *580:8 *4959:44 0 +29 *831:7 *4959:44 0 +30 *1521:23 *4959:58 0 +31 *2049:23 *4959:44 0 +32 *3057:12 *4959:32 0 +33 *3338:37 *4959:58 0 +34 *3347:28 *4959:58 0 +35 *3352:33 *4959:58 0 +36 *3415:10 *4959:44 0 +37 *3426:10 *4959:32 0 +38 *3437:21 *4959:44 0 +39 *3437:40 *4959:44 0 +40 *3454:24 *4959:32 0 +41 *3454:24 *4959:44 0 +42 *3454:41 *4959:44 0 +43 *3455:9 *4959:17 0 +44 *3461:16 *4959:32 0 +45 *3464:11 *4959:44 0 +46 *3839:75 *5932:DIODE 0 +47 *4137:60 *4959:58 0 +48 *4168:39 *4959:58 0 +49 *4452:33 *4959:44 0 +50 *4454:22 *4959:44 0 +51 *4455:22 *4959:32 0 +52 *4455:62 *4959:32 0 +53 *4456:14 *4959:32 0 +54 *4771:25 *4959:44 0 +55 *4782:20 *4959:44 0 +56 *4782:20 *4959:58 0 +57 *4825:23 *4959:44 0 +58 *4834:15 *4959:44 0 +59 *4834:29 *4959:32 0 +60 *4848:9 *4959:44 0 +61 *4904:27 *4959:44 0 +*RES +1 *38851:X *4959:16 22.425 +2 *4959:16 *4959:17 60.9107 +3 *4959:17 *4959:32 40.9643 +4 *4959:32 *4959:44 48.7484 +5 *4959:44 *4959:58 49.3482 +6 *4959:58 *4959:61 5.03571 +7 *4959:61 *5932:DIODE 13.1214 +8 *4959:61 *37984:C 9.3 +*END + +*D_NET *4960 0.0112357 +*CONN +*I *7219:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39208:A I *D sky130_fd_sc_hd__buf_12 +*I *38145:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7219:DIODE 0 +2 *39208:A 0.000157822 +3 *38145:X 0.00156902 +4 *4960:21 0.000979467 +5 *4960:17 0.00389101 +6 *4960:10 0.00463838 +7 la_oenb_core[3] *39208:A 0 +8 *38963:A *39208:A 0 +9 *39689:A *4960:17 0 +10 *39762:A *4960:17 0 +11 *39826:A *4960:17 0 +12 *299:24 *4960:10 0 +13 *1024:18 *4960:17 0 +14 *1364:30 *4960:10 0 +15 *1483:49 *4960:10 0 +16 *1484:37 *4960:10 0 +17 *1484:46 *4960:10 0 +18 *2487:8 *4960:10 0 +19 *2497:12 *4960:21 0 +20 *2501:16 *4960:10 0 +21 *2501:16 *4960:17 0 +22 *2509:22 *4960:17 0 +23 *2510:15 *4960:21 0 +24 *2512:24 *4960:17 0 +25 *2518:59 *4960:17 0 +26 *2520:57 *39208:A 0 +27 *2523:66 *4960:17 0 +28 *2526:48 *4960:21 0 +29 *2546:30 *39208:A 0 +30 *2573:14 *4960:17 0 +31 *2582:52 *4960:17 0 +32 *2626:65 *4960:17 0 +33 *2639:11 *4960:17 0 +34 *2645:16 *4960:17 0 +35 *2653:34 *4960:17 0 +36 *2709:7 *4960:21 0 +37 *2778:18 *4960:10 0 +38 *2891:12 *39208:A 0 +39 *2895:10 *4960:10 0 +40 *2896:10 *4960:10 0 +41 *2896:10 *4960:17 0 +42 *3597:44 *4960:10 0 +43 *4947:20 *4960:21 0 +*RES +1 *38145:X *4960:10 48.2554 +2 *4960:10 *4960:17 47.7006 +3 *4960:17 *4960:21 21.9911 +4 *4960:21 *39208:A 21.8 +5 *4960:21 *7219:DIODE 9.3 +*END + +*D_NET *4961 0.00304695 +*CONN +*I *39209:A I *D sky130_fd_sc_hd__buf_12 +*I *38219:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *39209:A 0 +2 *38219:X 0.00152347 +3 *4961:12 0.00152347 +4 *1013:73 *4961:12 0 +5 *1307:22 *4961:12 0 +6 *2602:39 *4961:12 0 +7 *2637:30 *4961:12 0 +8 *3798:22 *4961:12 0 +9 *4678:15 *4961:12 0 +*RES +1 *38219:X *4961:12 48.3268 +2 *4961:12 *39209:A 13.8 +*END + +*D_NET *4962 0.00807281 +*CONN +*I *39210:A I *D sky130_fd_sc_hd__buf_12 +*I *7220:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38221:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39210:A 9.86109e-05 +2 *7220:DIODE 4.38508e-05 +3 *38221:X 0 +4 *4962:10 0.00151145 +5 *4962:5 0.00389394 +6 *4962:4 0.00252495 +7 *7220:DIODE *5069:23 0 +8 *39210:A *5069:23 0 +9 *4962:5 *5110:15 0 +10 *352:29 *4962:10 0 +11 *2260:16 *4962:10 0 +12 *2644:10 *4962:10 0 +13 *2703:11 *4962:5 0 +14 *2854:35 *4962:5 0 +15 *2863:26 *4962:5 0 +16 *3161:70 *4962:10 0 +17 *3799:12 *4962:10 0 +18 *4679:14 *4962:10 0 +19 *4683:5 *4962:5 0 +20 *4687:19 *7220:DIODE 0 +21 *4687:19 *39210:A 0 +*RES +1 *38221:X *4962:4 9.3 +2 *4962:4 *4962:5 52.6964 +3 *4962:5 *4962:10 40.0893 +4 *4962:10 *7220:DIODE 10.2464 +5 *4962:10 *39210:A 11.3893 +*END + +*D_NET *4963 0.0183296 +*CONN +*I *39211:A I *D sky130_fd_sc_hd__buf_12 +*I *7221:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38223:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *39211:A 0.000141606 +2 *7221:DIODE 0 +3 *38223:X 0.00131539 +4 *4963:59 0.00114825 +5 *4963:57 0.00346168 +6 *4963:42 0.00476658 +7 *4963:19 0.00424612 +8 *4963:14 0.00324996 +9 *4963:14 *39791:A 0 +10 *4963:42 *4965:8 0 +11 *4963:42 *4965:24 0 +12 *4963:42 *5049:32 0 +13 *4963:57 *4965:29 0 +14 *4963:57 *4965:31 0 +15 *4963:57 *5049:18 0 +16 *4963:59 *4965:31 0 +17 la_data_in_core[35] *4963:57 0 +18 la_data_in_core[36] *4963:57 0 +19 la_data_in_core[41] *4963:59 0 +20 la_oenb_core[38] *4963:57 0 +21 *38953:A *4963:57 0 +22 *38953:A *4963:59 0 +23 *39201:A *4963:42 0 +24 *317:7 *4963:57 0 +25 *1001:28 *4963:42 0 +26 *1001:28 *4963:57 0 +27 *1001:30 *4963:57 0 +28 *1004:20 *39211:A 0 +29 *1004:20 *4963:57 0 +30 *1004:20 *4963:59 0 +31 *1014:10 *4963:14 0 +32 *2168:18 *4963:14 0 +33 *2174:41 *4963:14 0 +34 *2197:52 *4963:14 0 +35 *2482:14 *4963:42 0 +36 *2484:51 *4963:57 0 +37 *2548:59 *4963:14 0 +38 *2552:38 *4963:14 0 +39 *2561:26 *4963:14 0 +40 *2567:31 *4963:19 0 +41 *2598:10 *4963:14 0 +42 *2613:15 *4963:14 0 +43 *2659:10 *4963:42 0 +44 *2664:11 *4963:42 0 +45 *2664:11 *4963:57 0 +46 *2693:78 *4963:14 0 +47 *2759:47 *4963:14 0 +48 *2877:33 *4963:14 0 +49 *2877:41 *4963:42 0 +50 *2883:41 *4963:14 0 +51 *3798:27 *39211:A 0 +52 *3798:27 *4963:59 0 +53 *4675:26 *4963:42 0 +54 *4678:15 *4963:59 0 +55 *4956:15 *4963:57 0 +*RES +1 *38223:X *4963:14 47.5643 +2 *4963:14 *4963:19 49.375 +3 *4963:19 *4963:42 48.7838 +4 *4963:42 *4963:57 43.3932 +5 *4963:57 *4963:59 21.0714 +6 *4963:59 *7221:DIODE 9.3 +7 *4963:59 *39211:A 12.3179 +*END + +*D_NET *4964 0.0030148 +*CONN +*I *39212:A I *D sky130_fd_sc_hd__buf_12 +*I *38225:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *39212:A 8.57316e-05 +2 *38225:X 0.00142167 +3 *4964:9 0.0015074 +4 la_data_in_core[44] *39212:A 0 +5 *326:10 *39212:A 0 +6 *328:14 *4964:9 0 +7 *1024:105 *4964:9 0 +8 *2451:44 *4964:9 0 +9 *3799:23 *4964:9 0 +10 *3799:25 *4964:9 0 +11 *3804:14 *4964:9 0 +*RES +1 *38225:X *4964:9 48.6214 +2 *4964:9 *39212:A 20.2464 +*END + +*D_NET *4965 0.0160085 +*CONN +*I *39213:A I *D sky130_fd_sc_hd__buf_12 +*I *7222:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38227:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39213:A 0.000157649 +2 *7222:DIODE 0 +3 *38227:X 0 +4 *4965:31 0.00241244 +5 *4965:29 0.00378679 +6 *4965:24 0.00354818 +7 *4965:8 0.00405982 +8 *4965:5 0.00204364 +9 *4965:24 *39837:A 0 +10 la_data_in_core[41] *4965:31 0 +11 la_oenb_core[34] *4965:24 0 +12 *37801:A *4965:8 0 +13 *38951:A *4965:29 0 +14 *38953:A *4965:31 0 +15 *39201:A *4965:8 0 +16 *314:19 *4965:8 0 +17 *317:7 *4965:24 0 +18 *1001:28 *4965:24 0 +19 *2187:11 *4965:8 0 +20 *2564:32 *4965:8 0 +21 *2575:15 *4965:24 0 +22 *2576:9 *39213:A 0 +23 *2576:19 *39213:A 0 +24 *2576:19 *4965:31 0 +25 *2590:8 *4965:8 0 +26 *2656:14 *4965:8 0 +27 *2664:11 *4965:24 0 +28 *2711:25 *4965:24 0 +29 *2742:17 *4965:8 0 +30 *3798:27 *4965:31 0 +31 *3798:29 *39213:A 0 +32 *3798:29 *4965:31 0 +33 *4350:33 *4965:8 0 +34 *4673:44 *4965:24 0 +35 *4675:39 *4965:29 0 +36 *4678:15 *4965:31 0 +37 *4954:14 *4965:8 0 +38 *4956:15 *4965:29 0 +39 *4963:42 *4965:8 0 +40 *4963:42 *4965:24 0 +41 *4963:57 *4965:29 0 +42 *4963:57 *4965:31 0 +43 *4963:59 *4965:31 0 +*RES +1 *38227:X *4965:5 13.8 +2 *4965:5 *4965:8 49.8296 +3 *4965:8 *4965:24 49.6528 +4 *4965:24 *4965:29 36.4732 +5 *4965:29 *4965:31 47.1518 +6 *4965:31 *7222:DIODE 9.3 +7 *4965:31 *39213:A 12.6214 +*END + +*D_NET *4966 0.00917849 +*CONN +*I *39645:A I *D sky130_fd_sc_hd__buf_2 +*I *38229:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39645:A 0.000950601 +2 *38229:X 0.00201817 +3 *4966:26 0.00257107 +4 *4966:14 0.00363864 +5 la_data_in_core[18] *4966:14 0 +6 la_data_in_core[21] *4966:14 0 +7 la_data_in_core[23] *4966:26 0 +8 la_oenb_core[20] *4966:14 0 +9 *38934:A *4966:26 0 +10 *1007:109 *4966:26 0 +11 *2486:44 *4966:26 0 +12 *2664:11 *4966:26 0 +13 *2710:15 *4966:26 0 +14 *2710:24 *4966:14 0 +15 *2757:24 *4966:14 0 +16 *2758:57 *39645:A 0 +17 *4656:27 *4966:14 0 +18 *4656:27 *4966:26 0 +19 *4665:33 *4966:26 0 +20 *4665:53 *39645:A 0 +*RES +1 *38229:X *4966:14 43.3558 +2 *4966:14 *4966:26 47.1221 +3 *4966:26 *39645:A 29.1393 +*END + +*D_NET *4967 0.00426277 +*CONN +*I *39215:A I *D sky130_fd_sc_hd__buf_12 +*I *38231:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *39215:A 0.000841689 +2 *38231:X 0.00128969 +3 *4967:12 0.00213138 +4 *4967:12 *39218:A 0 +5 *4967:12 *4968:16 0 +6 *4967:12 *4971:35 0 +7 *4967:12 *4976:45 0 +8 *4967:12 *4979:15 0 +9 la_data_in_core[48] *39215:A 0 +10 la_data_in_core[49] *39215:A 0 +11 *38961:A *39215:A 0 +12 *2482:35 *39215:A 0 +13 *2752:48 *4967:12 0 +14 *2851:42 *4967:12 0 +15 *3799:25 *39215:A 0 +16 *3804:23 *39215:A 0 +*RES +1 *38231:X *4967:12 45.4429 +2 *4967:12 *39215:A 36.2107 +*END + +*D_NET *4968 0.00406628 +*CONN +*I *39216:A I *D sky130_fd_sc_hd__buf_12 +*I *38233:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *39216:A 0.00113195 +2 *38233:X 0.000901186 +3 *4968:16 0.00203314 +4 *39216:A *39217:A 0 +5 *39216:A *4974:38 0 +6 *4968:16 *4986:24 0 +7 la_oenb_core[48] *39216:A 0 +8 la_oenb_core[49] *39216:A 0 +9 *38964:A *39216:A 0 +10 *333:18 *4968:16 0 +11 *346:10 *4968:16 0 +12 *2576:9 *39216:A 0 +13 *3362:23 *4968:16 0 +14 *3798:29 *39216:A 0 +15 *3799:25 *39216:A 0 +16 *3804:27 *39216:A 0 +17 *4690:19 *4968:16 0 +18 *4967:12 *4968:16 0 +*RES +1 *38233:X *4968:16 46.6929 +2 *4968:16 *39216:A 42.1929 +*END + +*D_NET *4969 0.0106197 +*CONN +*I *7224:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39217:A I *D sky130_fd_sc_hd__buf_12 +*I *38235:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7224:DIODE 0 +2 *39217:A 0.000104386 +3 *38235:X 0.00111505 +4 *4969:32 0.00134418 +5 *4969:22 0.00275043 +6 *4969:16 0.00285061 +7 *4969:10 0.00245503 +8 *4969:16 *39224:A 0 +9 *4969:16 *4994:15 0 +10 *4969:22 *39221:A 0 +11 *4969:22 *4974:42 0 +12 *4969:32 *4976:45 0 +13 *38969:A *4969:16 0 +14 *39216:A *39217:A 0 +15 *338:12 *4969:16 0 +16 *1016:180 *4969:10 0 +17 *1522:27 *4969:16 0 +18 *1535:16 *4969:10 0 +19 *2576:9 *4969:22 0 +20 *2851:45 *4969:10 0 +21 *3798:29 *39217:A 0 +22 *3799:25 *4969:32 0 +23 *4696:9 *4969:16 0 +24 *4711:24 *4969:10 0 +*RES +1 *38235:X *4969:10 41.7286 +2 *4969:10 *4969:16 37.3214 +3 *4969:16 *4969:22 40.5536 +4 *4969:22 *4969:32 35.1429 +5 *4969:32 *39217:A 11.4786 +6 *4969:32 *7224:DIODE 9.3 +*END + +*D_NET *4970 0.0110647 +*CONN +*I *8036:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40197:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38852:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8036:DIODE 0 +2 *40197:A 0.000163425 +3 *38852:X 0.0015059 +4 *4970:37 0.00252795 +5 *4970:36 0.00386303 +6 *4970:19 0.00300441 +7 *4970:19 *5015:11 0 +8 *4970:19 *5015:31 0 +9 *38531:A *4970:19 0 +10 *38532:A *4970:19 0 +11 *38671:A *4970:19 0 +12 *38673:A *4970:19 0 +13 *39089:A *4970:36 0 +14 *842:8 *4970:36 0 +15 *1803:8 *4970:36 0 +16 *1805:38 *4970:36 0 +17 *2150:42 *4970:37 0 +18 *3516:13 *4970:19 0 +19 *3901:11 *4970:36 0 +20 *3909:20 *4970:36 0 +21 *3915:15 *40197:A 0 +22 *3915:15 *4970:37 0 +23 *4148:15 *40197:A 0 +24 *4148:15 *4970:37 0 +25 *4151:20 *40197:A 0 +26 *4179:47 *4970:36 0 +27 *4462:13 *4970:19 0 +28 *4462:13 *4970:36 0 +29 *4462:48 *4970:19 0 +30 *4464:10 *4970:19 0 +31 *4831:21 *4970:36 0 +32 *4834:36 *4970:19 0 +33 *4834:36 *4970:36 0 +34 *4915:20 *4970:36 0 +35 *4959:16 *4970:19 0 +*RES +1 *38852:X *4970:19 40.9786 +2 *4970:19 *4970:36 42.2321 +3 *4970:36 *4970:37 49.4107 +4 *4970:37 *40197:A 12.7107 +5 *4970:37 *8036:DIODE 9.3 +*END + +*D_NET *4971 0.0123149 +*CONN +*I *39218:A I *D sky130_fd_sc_hd__buf_12 +*I *7225:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38237:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39218:A 0.000147426 +2 *7225:DIODE 0 +3 *38237:X 0.00139556 +4 *4971:35 0.0028176 +5 *4971:18 0.00461448 +6 *4971:8 0.00333986 +7 *39218:A *4976:45 0 +8 *4971:35 *4979:15 0 +9 la_oenb_core[40] *4971:18 0 +10 la_oenb_core[49] *39218:A 0 +11 *38273:A *4971:35 0 +12 *38955:A *4971:18 0 +13 *38964:A *39218:A 0 +14 *326:10 *4971:18 0 +15 *1001:30 *4971:35 0 +16 *1013:74 *4971:35 0 +17 *1535:36 *39218:A 0 +18 *1535:46 *4971:35 0 +19 *2451:37 *4971:18 0 +20 *2451:44 *4971:18 0 +21 *2482:35 *4971:35 0 +22 *2693:24 *4971:8 0 +23 *2752:62 *4971:8 0 +24 *2851:42 *4971:35 0 +25 *3799:23 *4971:18 0 +26 *3804:27 *39218:A 0 +27 *4347:19 *4971:35 0 +28 *4678:15 *4971:8 0 +29 *4683:17 *4971:18 0 +30 *4687:24 *4971:35 0 +31 *4967:12 *39218:A 0 +32 *4967:12 *4971:35 0 +*RES +1 *38237:X *4971:8 49.9964 +2 *4971:8 *4971:18 48.5975 +3 *4971:18 *4971:35 48.9392 +4 *4971:35 *7225:DIODE 9.3 +5 *4971:35 *39218:A 21.6214 +*END + +*D_NET *4972 0.00197074 +*CONN +*I *39648:A I *D sky130_fd_sc_hd__buf_2 +*I *38147:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39648:A 0.000101192 +2 *38147:X 0.000884181 +3 *4972:15 0.000985372 +4 *40639:A *4972:15 0 +5 *1278:10 *39648:A 0 +6 *2454:10 *39648:A 0 +7 *2911:17 *4972:15 0 +8 *2911:34 *39648:A 0 +9 *3564:20 *4972:15 0 +10 *3637:23 *4972:15 0 +11 *4402:27 *39648:A 0 +*RES +1 *38147:X *4972:15 46.2821 +2 *4972:15 *39648:A 20.5143 +*END + +*D_NET *4973 0.0168653 +*CONN +*I *39220:A I *D sky130_fd_sc_hd__buf_12 +*I *7227:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38239:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39220:A 0.000186369 +2 *7227:DIODE 0 +3 *38239:X 4.53482e-05 +4 *4973:31 0.00275068 +5 *4973:30 0.00270876 +6 *4973:26 0.00170327 +7 *4973:11 0.00374923 +8 *4973:10 0.00393335 +9 *4973:7 0.00178829 +10 *4973:26 *39879:A 0 +11 *4973:26 *4976:12 0 +12 *4973:26 *5069:23 0 +13 la_data_in_core[51] *39220:A 0 +14 la_oenb_core[45] *4973:30 0 +15 la_oenb_core[51] *39220:A 0 +16 *5620:DIODE *4973:26 0 +17 *5897:DIODE *4973:10 0 +18 *7223:DIODE *4973:30 0 +19 *38956:A *4973:26 0 +20 *39206:A *4973:10 0 +21 *319:5 *4973:10 0 +22 *325:15 *4973:26 0 +23 *327:12 *4973:30 0 +24 *345:20 *4973:11 0 +25 *1008:67 *4973:26 0 +26 *1285:18 *4973:26 0 +27 *1289:28 *4973:10 0 +28 *2522:19 *4973:10 0 +29 *2529:25 *4973:10 0 +30 *2576:9 *39220:A 0 +31 *2576:9 *4973:31 0 +32 *2711:10 *4973:26 0 +33 *2851:22 *4973:26 0 +34 *2886:69 *4973:7 0 +35 *3575:49 *4973:7 0 +36 *4681:21 *4973:26 0 +*RES +1 *38239:X *4973:7 14.7464 +2 *4973:7 *4973:10 44.0893 +3 *4973:10 *4973:11 45.7143 +4 *4973:11 *4973:26 46.7411 +5 *4973:26 *4973:30 7.8125 +6 *4973:30 *4973:31 53.5179 +7 *4973:31 *7227:DIODE 9.3 +8 *4973:31 *39220:A 22.3357 +*END + +*D_NET *4974 0.0116329 +*CONN +*I *39221:A I *D sky130_fd_sc_hd__buf_12 +*I *7228:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38241:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39221:A 0.000186611 +2 *7228:DIODE 0 +3 *38241:X 0.000756282 +4 *4974:42 0.00142678 +5 *4974:38 0.00289283 +6 *4974:32 0.00204571 +7 *4974:27 0.00198074 +8 *4974:14 0.00234397 +9 *4974:27 *4976:12 0 +10 *4974:32 *5154:8 0 +11 la_data_in_core[52] *39221:A 0 +12 la_data_in_core[52] *4974:42 0 +13 la_oenb_core[46] *4974:32 0 +14 la_oenb_core[51] *39221:A 0 +15 *5620:DIODE *4974:14 0 +16 *38225:A *4974:32 0 +17 *38960:A *4974:32 0 +18 *39216:A *4974:38 0 +19 *325:15 *4974:14 0 +20 *328:14 *4974:27 0 +21 *328:14 *4974:32 0 +22 *346:18 *4974:27 0 +23 *1010:74 *4974:14 0 +24 *1010:74 *4974:27 0 +25 *1017:86 *4974:27 0 +26 *1021:16 *4974:27 0 +27 *2730:16 *4974:32 0 +28 *2746:44 *4974:42 0 +29 *2851:33 *4974:27 0 +30 *3798:29 *39221:A 0 +31 *3799:25 *4974:38 0 +32 *3804:14 *4974:32 0 +33 *3804:27 *4974:42 0 +34 *4683:10 *4974:14 0 +35 *4969:22 *39221:A 0 +36 *4969:22 *4974:42 0 +*RES +1 *38241:X *4974:14 34.4964 +2 *4974:14 *4974:27 48.3861 +3 *4974:27 *4974:32 17.9286 +4 *4974:32 *4974:38 43.625 +5 *4974:38 *4974:42 30.5893 +6 *4974:42 *7228:DIODE 13.8 +7 *4974:42 *39221:A 17.8357 +*END + +*D_NET *4975 0.00367222 +*CONN +*I *39222:A I *D sky130_fd_sc_hd__buf_12 +*I *38243:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *39222:A 0.000767435 +2 *38243:X 0.00106868 +3 *4975:7 0.00183611 +4 *39222:A *4980:8 0 +5 *337:29 *39222:A 0 +6 *347:20 *4975:7 0 +7 *1525:32 *39222:A 0 +8 *2282:36 *4975:7 0 +*RES +1 *38243:X *4975:7 36.1036 +2 *4975:7 *39222:A 31.2286 +*END + +*D_NET *4976 0.0122793 +*CONN +*I *39223:A I *D sky130_fd_sc_hd__buf_12 +*I *7229:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38245:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39223:A 4.23535e-05 +2 *7229:DIODE 7.23409e-05 +3 *38245:X 0 +4 *4976:49 0.000705384 +5 *4976:45 0.00229266 +6 *4976:24 0.0034412 +7 *4976:12 0.00373229 +8 *4976:5 0.00199306 +9 *39223:A *4980:12 0 +10 *4976:12 *5116:47 0 +11 *4976:24 *39879:A 0 +12 *4976:24 *4979:13 0 +13 *4976:24 *4979:15 0 +14 *4976:24 *4994:14 0 +15 *4976:45 *4979:15 0 +16 *4976:45 *4994:15 0 +17 *4976:49 *4980:8 0 +18 *4976:49 *4980:12 0 +19 la_oenb_core[52] *4976:49 0 +20 *7083:DIODE *4976:45 0 +21 *7223:DIODE *4976:12 0 +22 *38231:A *4976:45 0 +23 *39218:A *4976:45 0 +24 *327:12 *4976:12 0 +25 *328:14 *4976:12 0 +26 *336:10 *4976:45 0 +27 *1535:30 *7229:DIODE 0 +28 *2254:49 *4976:12 0 +29 *2277:29 *4976:12 0 +30 *2532:28 *4976:12 0 +31 *2637:14 *4976:12 0 +32 *2843:32 *4976:12 0 +33 *2856:20 *4976:12 0 +34 *2875:39 *4976:12 0 +35 *3804:40 *39223:A 0 +36 *3804:40 *4976:49 0 +37 *4316:10 *4976:12 0 +38 *4347:14 *4976:12 0 +39 *4347:19 *4976:24 0 +40 *4967:12 *4976:45 0 +41 *4969:32 *4976:45 0 +42 *4973:26 *4976:12 0 +43 *4974:27 *4976:12 0 +*RES +1 *38245:X *4976:5 13.8 +2 *4976:5 *4976:12 49.8214 +3 *4976:12 *4976:24 46.4486 +4 *4976:24 *4976:45 49.7143 +5 *4976:45 *4976:49 17.0357 +6 *4976:49 *7229:DIODE 19.9429 +7 *4976:49 *39223:A 10.2464 +*END + +*D_NET *4977 0.00156092 +*CONN +*I *39224:A I *D sky130_fd_sc_hd__buf_12 +*I *38247:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39224:A 0.000780461 +2 *38247:X 0.000780461 +3 *2576:9 *39224:A 0 +4 *4969:16 *39224:A 0 +*RES +1 *38247:X *39224:A 44.0464 +*END + +*D_NET *4978 0.00164504 +*CONN +*I *39225:A I *D sky130_fd_sc_hd__buf_12 +*I *38249:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39225:A 0.000822521 +2 *38249:X 0.000822521 +3 *38247:A *39225:A 0 +4 *339:7 *39225:A 0 +5 *2684:5 *39225:A 0 +6 *4709:22 *39225:A 0 +7 *4711:16 *39225:A 0 +*RES +1 *38249:X *39225:A 45.8321 +*END + +*D_NET *4979 0.014514 +*CONN +*I *39226:A I *D sky130_fd_sc_hd__buf_12 +*I *7230:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38251:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39226:A 0.000202127 +2 *7230:DIODE 0 +3 *38251:X 0.000993609 +4 *4979:19 0.00109604 +5 *4979:15 0.00448006 +6 *4979:13 0.00516734 +7 *4979:10 0.00257481 +8 *4979:13 *39879:A 0 +9 *4979:15 *4994:15 0 +10 la_data_in_core[44] *4979:13 0 +11 la_oenb_core[52] *4979:15 0 +12 *7080:DIODE *4979:15 0 +13 *7083:DIODE *4979:15 0 +14 *38231:A *4979:15 0 +15 *38247:A *39226:A 0 +16 *38247:A *4979:19 0 +17 *38273:A *4979:15 0 +18 *38969:A *39226:A 0 +19 *38969:A *4979:19 0 +20 *326:10 *4979:10 0 +21 *328:19 *4979:10 0 +22 *353:19 *4979:10 0 +23 *1293:27 *4979:10 0 +24 *1522:27 *4979:19 0 +25 *1535:36 *4979:15 0 +26 *2677:18 *4979:10 0 +27 *2851:42 *4979:15 0 +28 *3798:42 *39226:A 0 +29 *4684:30 *4979:10 0 +30 *4687:19 *4979:13 0 +31 *4687:24 *4979:13 0 +32 *4687:24 *4979:15 0 +33 *4687:27 *4979:15 0 +34 *4967:12 *4979:15 0 +35 *4971:35 *4979:15 0 +36 *4976:24 *4979:13 0 +37 *4976:24 *4979:15 0 +38 *4976:45 *4979:15 0 +*RES +1 *38251:X *4979:10 40.8179 +2 *4979:10 *4979:13 33 +3 *4979:13 *4979:15 74.875 +4 *4979:15 *4979:19 18.625 +5 *4979:19 *7230:DIODE 9.3 +6 *4979:19 *39226:A 22.7643 +*END + +*D_NET *4980 0.00621474 +*CONN +*I *39227:A I *D sky130_fd_sc_hd__buf_12 +*I *38253:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *39227:A 0.000801721 +2 *38253:X 0.000205952 +3 *4980:12 0.00290142 +4 *4980:8 0.00230565 +5 *4980:8 *4994:14 0 +6 *4980:12 *4986:25 0 +7 la_data_in_core[56] *4980:12 0 +8 la_data_in_core[57] *39227:A 0 +9 la_oenb_core[53] *4980:12 0 +10 la_oenb_core[56] *4980:12 0 +11 la_oenb_core[57] *39227:A 0 +12 *38247:A *39227:A 0 +13 *38247:A *4980:12 0 +14 *38966:A *4980:8 0 +15 *38971:A *39227:A 0 +16 *39222:A *4980:8 0 +17 *39223:A *4980:12 0 +18 *1004:20 *39227:A 0 +19 *2576:9 *39227:A 0 +20 *2746:32 *4980:12 0 +21 *3798:42 *39227:A 0 +22 *3804:40 *4980:12 0 +23 *4691:20 *4980:8 0 +24 *4696:9 *4980:12 0 +25 *4976:49 *4980:8 0 +26 *4976:49 *4980:12 0 +*RES +1 *38253:X *4980:8 22.9786 +2 *4980:8 *4980:12 48.5536 +3 *4980:12 *39227:A 30.6571 +*END + +*D_NET *4981 0.0112756 +*CONN +*I *6112:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38088:C I *D sky130_fd_sc_hd__and3b_1 +*I *38853:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *6112:DIODE 0.000735159 +2 *38088:C 0 +3 *38853:X 0.00227858 +4 *4981:39 0.00335924 +5 *4981:23 0.00490266 +6 *4981:23 *7121:DIODE 0 +7 *6111:DIODE *6112:DIODE 0 +8 *6111:DIODE *4981:39 0 +9 *7012:DIODE *4981:23 0 +10 *8502:DIODE *4981:39 0 +11 *38282:B *6112:DIODE 0 +12 *393:8 *4981:23 0 +13 *1026:101 *4981:39 0 +14 *2937:14 *6112:DIODE 0 +15 *3031:17 *4981:39 0 +16 *3032:36 *4981:23 0 +17 *3032:39 *4981:23 0 +18 *3041:11 *4981:23 0 +19 *3043:26 *4981:23 0 +20 *3154:35 *4981:23 0 +21 *3162:14 *4981:39 0 +22 *3276:26 *4981:23 0 +23 *3296:29 *4981:23 0 +24 *3296:49 *4981:23 0 +25 *3420:20 *4981:39 0 +26 *3435:45 *4981:23 0 +27 *3744:70 *4981:23 0 +28 *4106:21 *4981:39 0 +29 *4357:20 *4981:39 0 +*RES +1 *38853:X *4981:23 47.5372 +2 *4981:23 *4981:39 40.184 +3 *4981:39 *38088:C 9.3 +4 *4981:39 *6112:DIODE 34.9786 +*END + +*D_NET *4982 0.00110088 +*CONN +*I *40196:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38854:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40196:A 0.000550438 +2 *38854:X 0.000550438 +3 *40196:A *5015:11 0 +4 la_data_in_mprj[53] *40196:A 0 +5 *6670:DIODE *40196:A 0 +6 *6812:DIODE *40196:A 0 +7 *38675:A *40196:A 0 +8 *39095:A *40196:A 0 +9 *3339:16 *40196:A 0 +10 *4466:16 *40196:A 0 +11 *4470:16 *40196:A 0 +*RES +1 *38854:X *40196:A 39.1714 +*END + +*D_NET *4983 0.00608497 +*CONN +*I *39228:A I *D sky130_fd_sc_hd__buf_12 +*I *38255:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *39228:A 0.00112759 +2 *38255:X 0.00191489 +3 *4983:5 0.00304249 +4 la_data_in_core[59] *39228:A 0 +5 la_oenb_core[58] *39228:A 0 +6 *38972:A *39228:A 0 +7 *1004:20 *39228:A 0 +8 *2576:9 *39228:A 0 +9 *3668:31 *4983:5 0 +10 *3796:17 *4983:5 0 +11 *4695:14 *39228:A 0 +12 *4699:8 *39228:A 0 +*RES +1 *38255:X *4983:5 49.2643 +2 *4983:5 *39228:A 43.2464 +*END + +*D_NET *4984 0.00533692 +*CONN +*I *39229:A I *D sky130_fd_sc_hd__buf_12 +*I *38257:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *39229:A 0.000986537 +2 *38257:X 0.00168192 +3 *4984:10 0.00266846 +4 *39229:A *5112:11 0 +5 *4984:10 *5112:11 0 +6 la_data_in_core[62] *39229:A 0 +7 *6379:DIODE *4984:10 0 +8 *3804:71 *4984:10 0 +9 *4711:25 *39229:A 0 +10 *4711:25 *4984:10 0 +*RES +1 *38257:X *4984:10 48.9786 +2 *4984:10 *39229:A 34.3893 +*END + +*D_NET *4985 0.00999069 +*CONN +*I *39230:A I *D sky130_fd_sc_hd__buf_12 +*I *7231:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38149:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39230:A 2.26741e-05 +2 *7231:DIODE 0.000222292 +3 *38149:X 0.00103608 +4 *4985:31 0.00205402 +5 *4985:26 0.0037143 +6 *4985:20 0.00294133 +7 *37549:A *4985:26 0 +8 *38974:A *4985:31 0 +9 *328:37 *4985:26 0 +10 *343:7 *4985:26 0 +11 *343:7 *4985:31 0 +12 *1499:26 *4985:26 0 +13 *2454:30 *4985:26 0 +14 *2454:30 *4985:31 0 +15 *2508:20 *4985:31 0 +16 *2518:42 *4985:31 0 +17 *2520:33 *39230:A 0 +18 *2520:33 *4985:31 0 +19 *2526:38 *7231:DIODE 0 +20 *2533:34 *4985:31 0 +21 *2582:40 *4985:31 0 +22 *2769:12 *4985:26 0 +23 *2792:43 *4985:20 0 +24 *2792:43 *4985:26 0 +25 *3614:49 *4985:26 0 +26 *3619:57 *4985:26 0 +27 *3843:15 *4985:26 0 +28 *3865:38 *4985:20 0 +29 *4146:23 *4985:20 0 +30 *4153:22 *4985:20 0 +31 *4380:87 *4985:20 0 +32 *4390:20 *4985:26 0 +33 *4397:37 *4985:20 0 +34 *4663:15 *39230:A 0 +35 *4663:15 *4985:31 0 +36 *4666:10 *4985:31 0 +*RES +1 *38149:X *4985:20 48.7368 +2 *4985:20 *4985:26 38.8626 +3 *4985:26 *4985:31 44.9554 +4 *4985:31 *7231:DIODE 23.55 +5 *4985:31 *39230:A 9.83571 +*END + +*D_NET *4986 0.0144779 +*CONN +*I *39231:A I *D sky130_fd_sc_hd__buf_12 +*I *7232:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38259:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39231:A 0.000218346 +2 *7232:DIODE 0 +3 *38259:X 4.53482e-05 +4 *4986:31 0.00185764 +5 *4986:25 0.00390841 +6 *4986:24 0.00319042 +7 *4986:10 0.00306685 +8 *4986:7 0.0021909 +9 *39231:A *4990:21 0 +10 *4986:10 *5109:16 0 +11 *4986:10 *5117:22 0 +12 *4986:31 *4990:21 0 +13 la_data_in_core[51] *4986:24 0 +14 la_data_in_core[52] *4986:24 0 +15 la_oenb_core[56] *4986:25 0 +16 *7083:DIODE *4986:24 0 +17 *8484:DIODE *4986:10 0 +18 *338:21 *4986:10 0 +19 *340:19 *4986:31 0 +20 *344:7 *39231:A 0 +21 *1535:30 *4986:31 0 +22 *2529:8 *4986:10 0 +23 *2576:8 *39231:A 0 +24 *2684:19 *4986:24 0 +25 *2746:32 *4986:25 0 +26 *3083:67 *4986:7 0 +27 *3651:22 *4986:10 0 +28 *3787:38 *4986:10 0 +29 *3798:42 *4986:31 0 +30 *3799:25 *4986:24 0 +31 *3799:29 *4986:31 0 +32 *3804:27 *4986:24 0 +33 *3804:27 *4986:25 0 +34 *3804:40 *4986:25 0 +35 *3804:40 *4986:31 0 +36 *3804:47 *39231:A 0 +37 *3804:47 *4986:31 0 +38 *4363:53 *4986:7 0 +39 *4367:34 *4986:10 0 +40 *4691:16 *4986:10 0 +41 *4709:39 *39231:A 0 +42 *4968:16 *4986:24 0 +43 *4980:12 *4986:25 0 +*RES +1 *38259:X *4986:7 14.7464 +2 *4986:7 *4986:10 48.7054 +3 *4986:10 *4986:24 34.1339 +4 *4986:24 *4986:25 47.3571 +5 *4986:25 *4986:31 43.3214 +6 *4986:31 *7232:DIODE 9.3 +7 *4986:31 *39231:A 22.9786 +*END + +*D_NET *4987 0.001561 +*CONN +*I *39232:A I *D sky130_fd_sc_hd__buf_12 +*I *38261:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39232:A 0.0007805 +2 *38261:X 0.0007805 +3 *346:9 *39232:A 0 +4 *4702:21 *39232:A 0 +5 *4707:25 *39232:A 0 +*RES +1 *38261:X *39232:A 44.0464 +*END + +*D_NET *4988 0.000476016 +*CONN +*I *39233:A I *D sky130_fd_sc_hd__buf_12 +*I *38263:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39233:A 0.000238008 +2 *38263:X 0.000238008 +3 *38261:A *39233:A 0 +4 *347:10 *39233:A 0 +5 *4709:41 *39233:A 0 +6 *4710:13 *39233:A 0 +*RES +1 *38263:X *39233:A 32.9214 +*END + +*D_NET *4989 0.0112721 +*CONN +*I *7233:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39234:A I *D sky130_fd_sc_hd__buf_12 +*I *38265:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7233:DIODE 0 +2 *39234:A 0.000159146 +3 *38265:X 9.91025e-05 +4 *4989:21 0.00195297 +5 *4989:20 0.0027971 +6 *4989:14 0.0021581 +7 *4989:11 0.00258072 +8 *4989:8 0.001525 +9 *39234:A *4990:21 0 +10 *4989:11 *5144:5 0 +11 *4989:21 *39238:A 0 +12 *4989:21 *39239:A 0 +13 *4989:21 *4990:21 0 +14 *4989:21 *4994:21 0 +15 la_data_in_core[65] *4989:21 0 +16 la_data_in_core[66] *4989:21 0 +17 la_data_in_core[68] *4989:20 0 +18 la_oenb_core[67] *4989:21 0 +19 la_oenb_core[68] *4989:20 0 +20 la_oenb_core[69] *4989:20 0 +21 la_oenb_core[70] *4989:14 0 +22 *37749:A *4989:11 0 +23 *38265:A *4989:8 0 +24 *38980:A *39234:A 0 +25 *38980:A *4989:21 0 +26 *38981:A *4989:21 0 +27 *38983:A *4989:20 0 +28 *352:10 *4989:20 0 +29 *355:16 *4989:14 0 +30 *1004:20 *4989:20 0 +31 *1537:36 *39234:A 0 +32 *1537:36 *4989:21 0 +33 *2726:27 *4989:11 0 +34 *2958:39 *4989:11 0 +35 *3701:20 *4989:8 0 +36 *3979:32 *4989:11 0 +37 *4707:31 *4989:21 0 +38 *4713:14 *4989:14 0 +39 *4714:17 *4989:20 0 +*RES +1 *38265:X *4989:8 20.55 +2 *4989:8 *4989:11 34.1964 +3 *4989:11 *4989:14 30.7321 +4 *4989:14 *4989:20 30.0714 +5 *4989:20 *4989:21 37.5 +6 *4989:21 *39234:A 12.6214 +7 *4989:21 *7233:DIODE 9.3 +*END + +*D_NET *4990 0.0101666 +*CONN +*I *39235:A I *D sky130_fd_sc_hd__buf_12 +*I *7234:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38267:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39235:A 0.000186611 +2 *7234:DIODE 0 +3 *38267:X 0.000663299 +4 *4990:24 0.00023223 +5 *4990:21 0.00235709 +6 *4990:20 0.00418778 +7 *4990:17 0.0025396 +8 *4990:17 *5129:10 0 +9 la_data_in_core[63] *4990:21 0 +10 la_data_in_core[65] *39235:A 0 +11 la_oenb_core[63] *4990:21 0 +12 la_oenb_core[64] *39235:A 0 +13 *38267:A *4990:17 0 +14 *38978:A *4990:21 0 +15 *39231:A *4990:21 0 +16 *39234:A *4990:21 0 +17 *1537:36 *39235:A 0 +18 *1537:36 *4990:21 0 +19 *1537:36 *4990:24 0 +20 *2746:16 *4990:20 0 +21 *2936:15 *4990:17 0 +22 *2945:25 *4990:17 0 +23 *3161:42 *4990:20 0 +24 *3804:47 *4990:21 0 +25 *3804:63 *4990:21 0 +26 *4698:8 *4990:20 0 +27 *4701:26 *4990:20 0 +28 *4705:31 *4990:21 0 +29 *4709:41 *39235:A 0 +30 *4986:31 *4990:21 0 +31 *4989:21 *4990:21 0 +*RES +1 *38267:X *4990:17 37.2821 +2 *4990:17 *4990:20 47.125 +3 *4990:20 *4990:21 48.1786 +4 *4990:21 *4990:24 5.53571 +5 *4990:24 *7234:DIODE 13.8 +6 *4990:24 *39235:A 17.8357 +*END + +*D_NET *4991 0.00373152 +*CONN +*I *39236:A I *D sky130_fd_sc_hd__buf_12 +*I *38269:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39236:A 0.00132843 +2 *38269:X 0.000537333 +3 *4991:7 0.00186576 +4 *39236:A *4992:17 0 +5 la_oenb_core[66] *39236:A 0 +6 *350:10 *39236:A 0 +7 *2466:16 *39236:A 0 +8 *3655:37 *4991:7 0 +*RES +1 *38269:X *4991:7 25.0143 +2 *4991:7 *39236:A 43.9786 +*END + +*D_NET *4992 0.00932307 +*CONN +*I *39237:A I *D sky130_fd_sc_hd__buf_12 +*I *7235:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38271:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39237:A 0.000157649 +2 *7235:DIODE 0 +3 *38271:X 0.000157743 +4 *4992:17 0.00130974 +5 *4992:11 0.00434614 +6 *4992:10 0.00335179 +7 *4992:10 *5131:10 0 +8 *39236:A *4992:17 0 +9 *350:10 *4992:17 0 +10 *1009:104 *4992:11 0 +11 *2298:37 *4992:10 0 +12 *3798:54 *4992:10 0 +13 *3804:74 *4992:17 0 +14 *3804:79 *4992:17 0 +15 *4711:33 *39237:A 0 +*RES +1 *38271:X *4992:10 21.8 +2 *4992:10 *4992:11 66.6607 +3 *4992:11 *4992:17 35.1607 +4 *4992:17 *7235:DIODE 9.3 +5 *4992:17 *39237:A 12.6214 +*END + +*D_NET *4993 0.0164854 +*CONN +*I *8035:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40195:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38855:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8035:DIODE 0 +2 *40195:A 0.00016428 +3 *38855:X 0.0005113 +4 *4993:17 0.00773138 +5 *4993:10 0.0080784 +6 *4993:10 *5015:7 0 +7 *6992:DIODE *4993:10 0 +8 *465:10 *4993:10 0 +9 *1811:25 *4993:17 0 +10 *1811:30 *4993:17 0 +11 *1985:9 *4993:10 0 +12 *2013:9 *4993:10 0 +13 *2064:22 *40195:A 0 +14 *3061:19 *4993:10 0 +15 *3061:19 *4993:17 0 +16 *3062:8 *4993:10 0 +17 *3062:14 *4993:17 0 +18 *3062:24 *4993:17 0 +19 *3131:28 *40195:A 0 +20 *3131:28 *4993:17 0 +21 *3332:31 *4993:17 0 +22 *3347:28 *4993:17 0 +23 *3379:17 *4993:17 0 +24 *3451:20 *4993:17 0 +25 *3572:18 *4993:10 0 +26 *3572:35 *4993:17 0 +27 *3605:33 *4993:17 0 +28 *3616:11 *4993:10 0 +29 *3851:17 *4993:17 0 +30 *3925:9 *40195:A 0 +31 *3925:9 *4993:17 0 +32 *4189:40 *4993:17 0 +33 *4450:8 *4993:17 0 +*RES +1 *38855:X *4993:10 28.6654 +2 *4993:10 *4993:17 48.4182 +3 *4993:17 *40195:A 12.7286 +4 *4993:17 *8035:DIODE 9.3 +*END + +*D_NET *4994 0.0186122 +*CONN +*I *39238:A I *D sky130_fd_sc_hd__buf_12 +*I *7236:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38273:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *39238:A 0.000216687 +2 *7236:DIODE 0 +3 *38273:X 0.00189531 +4 *4994:21 0.00148129 +5 *4994:15 0.00719409 +6 *4994:14 0.0078248 +7 *39238:A *39239:A 0 +8 la_data_in_core[65] *4994:21 0 +9 la_data_in_core[66] *4994:21 0 +10 la_oenb_core[52] *4994:15 0 +11 la_oenb_core[67] *39238:A 0 +12 *38966:A *4994:14 0 +13 *38980:A *4994:21 0 +14 *38981:A *4994:21 0 +15 *336:10 *4994:15 0 +16 *338:12 *4994:15 0 +17 *340:19 *4994:15 0 +18 *347:16 *4994:15 0 +19 *349:9 *4994:21 0 +20 *1013:74 *4994:14 0 +21 *1522:27 *4994:15 0 +22 *1537:36 *4994:21 0 +23 *2746:44 *4994:14 0 +24 *4347:19 *4994:14 0 +25 *4711:25 *4994:15 0 +26 *4969:16 *4994:15 0 +27 *4976:24 *4994:14 0 +28 *4976:45 *4994:15 0 +29 *4979:15 *4994:15 0 +30 *4980:8 *4994:14 0 +31 *4989:21 *39238:A 0 +32 *4989:21 *4994:21 0 +*RES +1 *38273:X *4994:14 34.491 +2 *4994:14 *4994:15 123.75 +3 *4994:15 *4994:21 35.6071 +4 *4994:21 *7236:DIODE 9.3 +5 *4994:21 *39238:A 13.8536 +*END + +*D_NET *4995 0.00201057 +*CONN +*I *39239:A I *D sky130_fd_sc_hd__buf_12 +*I *38275:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39239:A 0.00100529 +2 *38275:X 0.00100529 +3 la_oenb_core[67] *39239:A 0 +4 *39238:A *39239:A 0 +5 *352:10 *39239:A 0 +6 *352:17 *39239:A 0 +7 *356:10 *39239:A 0 +8 *4714:14 *39239:A 0 +9 *4989:21 *39239:A 0 +*RES +1 *38275:X *39239:A 48.8857 +*END + +*D_NET *4996 0.00256211 +*CONN +*I *39240:A I *D sky130_fd_sc_hd__buf_12 +*I *38277:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39240:A 0 +2 *38277:X 0.00128106 +3 *4996:10 0.00128106 +4 la_data_in_core[69] *4996:10 0 +5 la_data_in_core[70] *4996:10 0 +6 la_oenb_core[69] *4996:10 0 +7 *38984:A *4996:10 0 +8 *355:16 *4996:10 0 +9 *1527:19 *4996:10 0 +*RES +1 *38277:X *4996:10 45.3893 +2 *4996:10 *39240:A 9.3 +*END + +*D_NET *4997 0.00661378 +*CONN +*I *39241:A I *D sky130_fd_sc_hd__buf_12 +*I *38151:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *39241:A 0.000242142 +2 *38151:X 6.50276e-05 +3 *4997:8 0.00324186 +4 *4997:7 0.00306475 +5 *38161:A *4997:8 0 +6 *296:19 *4997:8 0 +7 *354:11 *4997:8 0 +8 *1025:40 *4997:8 0 +9 *1374:8 *4997:8 0 +10 *1500:34 *4997:8 0 +11 *1673:8 *4997:8 0 +12 *2559:39 *4997:8 0 +13 *2566:28 *4997:8 0 +14 *2623:57 *4997:8 0 +15 *2647:61 *39241:A 0 +16 *2663:25 *39241:A 0 +17 *2774:22 *4997:8 0 +18 *2783:20 *4997:8 0 +19 *2902:19 *4997:8 0 +20 *3564:16 *4997:8 0 +21 *3610:10 *4997:7 0 +22 *3870:53 *4997:8 0 +23 *3884:71 *4997:7 0 +24 *4620:26 *4997:8 0 +25 *4662:15 *4997:8 0 +26 *4669:17 *4997:8 0 +*RES +1 *38151:X *4997:7 15.1571 +2 *4997:7 *4997:8 68.125 +3 *4997:8 *39241:A 18.8536 +*END + +*D_NET *4998 0.00608975 +*CONN +*I *39242:A I *D sky130_fd_sc_hd__buf_12 +*I *38279:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *39242:A 0.00103017 +2 *38279:X 8.56922e-05 +3 *4998:15 0.00295918 +4 *4998:8 0.0020147 +5 *39242:A *39243:A 0 +6 *4998:8 *39248:A 0 +7 *4998:15 *39243:A 0 +8 *4998:15 *39246:A 0 +9 *4998:15 *5002:53 0 +10 la_data_in_core[72] *39242:A 0 +11 la_data_in_core[73] *39242:A 0 +12 *6406:DIODE *4998:15 0 +13 *38988:A *39242:A 0 +14 *38989:A *4998:15 0 +15 *362:7 *4998:8 0 +16 *1539:19 *39242:A 0 +17 *1539:19 *4998:15 0 +18 *4719:11 *39242:A 0 +19 *4719:11 *4998:15 0 +*RES +1 *38279:X *4998:8 20.2464 +2 *4998:8 *4998:15 40.3214 +3 *4998:15 *39242:A 30.8 +*END + +*D_NET *4999 0.00119415 +*CONN +*I *39243:A I *D sky130_fd_sc_hd__buf_12 +*I *38281:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39243:A 0.000597077 +2 *38281:X 0.000597077 +3 la_data_in_core[73] *39243:A 0 +4 la_oenb_core[72] *39243:A 0 +5 *39242:A *39243:A 0 +6 *4719:11 *39243:A 0 +7 *4998:15 *39243:A 0 +*RES +1 *38281:X *39243:A 40.2429 +*END + +*D_NET *5000 0.00378526 +*CONN +*I *39244:A I *D sky130_fd_sc_hd__buf_12 +*I *38283:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *39244:A 0.00115317 +2 *38283:X 0.000739462 +3 *5000:17 0.00189263 +4 la_data_in_core[73] *39244:A 0 +5 la_oenb_core[72] *39244:A 0 +6 *38283:A *5000:17 0 +7 *358:9 *39244:A 0 +8 *1004:20 *39244:A 0 +9 *2367:17 *5000:17 0 +10 *2720:8 *39244:A 0 +11 *3427:48 *39244:A 0 +12 *3427:52 *39244:A 0 +13 *3664:6 *39244:A 0 +14 *3701:20 *39244:A 0 +15 *4245:33 *5000:17 0 +*RES +1 *38283:X *5000:17 38.5143 +2 *5000:17 *39244:A 39.8714 +*END + +*D_NET *5001 0.00230137 +*CONN +*I *39644:A I *D sky130_fd_sc_hd__buf_2 +*I *38285:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39644:A 0 +2 *38285:X 0.00115069 +3 *5001:13 0.00115069 +4 *5001:13 *5016:25 0 +5 *361:37 *5001:13 0 +6 *373:22 *5001:13 0 +7 *3094:27 *5001:13 0 +8 *3424:25 *5001:13 0 +*RES +1 *38285:X *5001:13 42.675 +2 *5001:13 *39644:A 9.3 +*END + +*D_NET *5002 0.0166389 +*CONN +*I *7238:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39246:A I *D sky130_fd_sc_hd__buf_12 +*I *38287:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *7238:DIODE 8.57316e-05 +2 *39246:A 4.53482e-05 +3 *38287:X 0.00179156 +4 *5002:53 0.00246223 +5 *5002:39 0.00393738 +6 *5002:35 0.0025365 +7 *5002:31 0.00245944 +8 *5002:13 0.00332073 +9 *5002:13 *5016:25 0 +10 *5002:31 *39257:A 0 +11 *5002:31 *5008:11 0 +12 *5002:31 *5014:21 0 +13 *5002:35 *5008:11 0 +14 *5002:35 *5008:23 0 +15 *5002:39 *39255:A 0 +16 *5002:39 *5006:11 0 +17 *5002:39 *5006:31 0 +18 *5002:39 *5008:23 0 +19 *5002:53 *39249:A 0 +20 *5002:53 *5006:31 0 +21 la_data_in_core[79] *5002:53 0 +22 la_oenb_core[77] *5002:53 0 +23 la_oenb_core[84] *5002:35 0 +24 *38993:A *5002:53 0 +25 *38995:A *5002:53 0 +26 *38997:A *5002:39 0 +27 *39001:A *5002:35 0 +28 *39004:A *5002:31 0 +29 *39254:A *5002:39 0 +30 *359:19 *5002:13 0 +31 *360:7 *7238:DIODE 0 +32 *372:13 *5002:13 0 +33 *374:5 *5002:31 0 +34 *375:19 *5002:13 0 +35 *1001:30 *5002:13 0 +36 *1013:74 *5002:13 0 +37 *2446:18 *5002:13 0 +38 *2448:36 *5002:13 0 +39 *2448:36 *5002:31 0 +40 *2448:54 *5002:31 0 +41 *2475:61 *5002:13 0 +42 *3094:31 *5002:13 0 +43 *3097:44 *5002:13 0 +44 *3664:17 *5002:13 0 +45 *4331:37 *5002:13 0 +46 *4719:11 *39246:A 0 +47 *4719:11 *5002:53 0 +48 *4721:23 *5002:39 0 +49 *4721:25 *5002:53 0 +50 *4998:15 *39246:A 0 +51 *4998:15 *5002:53 0 +*RES +1 *38287:X *5002:13 46.6951 +2 *5002:13 *5002:31 49.2939 +3 *5002:31 *5002:35 19.4464 +4 *5002:35 *5002:39 33.6161 +5 *5002:39 *5002:53 49.1161 +6 *5002:53 *39246:A 10.2464 +7 *5002:53 *7238:DIODE 20.2464 +*END + +*D_NET *5003 0.00586708 +*CONN +*I *39247:A I *D sky130_fd_sc_hd__buf_12 +*I *38289:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *39247:A 0 +2 *38289:X 0.00126449 +3 *5003:16 0.00166905 +4 *5003:10 0.00293354 +5 la_data_in_core[76] *5003:16 0 +6 *38279:A *5003:16 0 +7 *38992:A *5003:16 0 +8 *361:7 *5003:16 0 +9 *364:7 *5003:10 0 +10 *1538:23 *5003:16 0 +11 *2450:29 *5003:16 0 +12 *2942:8 *5003:10 0 +13 *2956:10 *5003:10 0 +14 *3654:15 *5003:10 0 +15 *4314:25 *5003:10 0 +16 *4738:9 *5003:16 0 +*RES +1 *38289:X *5003:10 45.6839 +2 *5003:10 *5003:16 43.9286 +3 *5003:16 *39247:A 9.3 +*END + +*D_NET *5004 0.00111146 +*CONN +*I *40194:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38856:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40194:A 0.000555729 +2 *38856:X 0.000555729 +3 *7016:DIODE *40194:A 0 +4 *3605:17 *40194:A 0 +*RES +1 *38856:X *40194:A 30.2607 +*END + +*D_NET *5005 0.0101494 +*CONN +*I *39248:A I *D sky130_fd_sc_hd__buf_12 +*I *7239:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38291:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39248:A 0.000348853 +2 *7239:DIODE 0 +3 *38291:X 0 +4 *5005:8 0.000955738 +5 *5005:5 0.00472587 +6 *5005:4 0.00411898 +7 la_oenb_core[77] *39248:A 0 +8 *362:7 *39248:A 0 +9 *1004:20 *39248:A 0 +10 *1015:109 *5005:8 0 +11 *3427:53 *5005:5 0 +12 *3427:61 *5005:5 0 +13 *3706:11 *5005:5 0 +14 *3983:20 *5005:8 0 +15 *4718:23 *39248:A 0 +16 *4738:6 *39248:A 0 +17 *4738:6 *5005:8 0 +18 *4998:8 *39248:A 0 +*RES +1 *38291:X *5005:4 9.3 +2 *5005:4 *5005:5 85.9643 +3 *5005:5 *5005:8 18.2857 +4 *5005:8 *7239:DIODE 13.8 +5 *5005:8 *39248:A 21.8 +*END + +*D_NET *5006 0.0075597 +*CONN +*I *39249:A I *D sky130_fd_sc_hd__buf_12 +*I *38293:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39249:A 0.000360219 +2 *38293:X 0.00137853 +3 *5006:31 0.00240131 +4 *5006:11 0.00341963 +5 *5006:11 *39255:A 0 +6 *5006:11 *5008:23 0 +7 la_data_in_core[79] *39249:A 0 +8 la_data_in_core[79] *5006:31 0 +9 la_oenb_core[82] *5006:31 0 +10 *38995:A *5006:31 0 +11 *38997:A *5006:31 0 +12 *39254:A *5006:31 0 +13 *369:19 *5006:11 0 +14 *2479:52 *5006:11 0 +15 *2715:18 *5006:11 0 +16 *3676:14 *5006:11 0 +17 *4257:36 *5006:11 0 +18 *4721:23 *5006:11 0 +19 *4721:23 *5006:31 0 +20 *4721:25 *39249:A 0 +21 *4721:25 *5006:31 0 +22 *5002:39 *5006:11 0 +23 *5002:39 *5006:31 0 +24 *5002:53 *39249:A 0 +25 *5002:53 *5006:31 0 +*RES +1 *38293:X *5006:11 49.55 +2 *5006:11 *5006:31 42.9107 +3 *5006:31 *39249:A 16.8179 +*END + +*D_NET *5007 0.00578805 +*CONN +*I *39250:A I *D sky130_fd_sc_hd__buf_12 +*I *38295:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *39250:A 0.000594232 +2 *38295:X 0.00056621 +3 *5007:23 0.00232781 +4 *5007:10 0.00229979 +5 *5007:10 *5010:41 0 +6 *5007:23 *39256:A 0 +7 la_data_in_core[81] *5007:23 0 +8 la_data_in_core[82] *5007:23 0 +9 la_oenb_core[79] *39250:A 0 +10 la_oenb_core[84] *5007:10 0 +11 *7101:DIODE *5007:23 0 +12 *38999:A *5007:23 0 +13 *39001:A *5007:10 0 +14 *1004:20 *39250:A 0 +15 *1004:20 *5007:23 0 +16 *2464:11 *5007:23 0 +17 *4718:21 *39250:A 0 +18 *4722:16 *5007:10 0 +19 *4722:27 *5007:23 0 +20 *4722:29 *39250:A 0 +21 *4722:29 *5007:23 0 +22 *4738:29 *5007:10 0 +*RES +1 *38295:X *5007:10 30.4964 +2 *5007:10 *5007:23 45.4643 +3 *5007:23 *39250:A 21.7643 +*END + +*D_NET *5008 0.00726473 +*CONN +*I *39251:A I *D sky130_fd_sc_hd__buf_12 +*I *38297:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39251:A 0.00015532 +2 *38297:X 0.00178569 +3 *5008:23 0.00184667 +4 *5008:11 0.00347704 +5 *5008:11 *5012:23 0 +6 *5008:23 *5012:23 0 +7 la_data_in_core[86] *5008:11 0 +8 la_data_in_core[87] *5008:11 0 +9 la_oenb_core[80] *39251:A 0 +10 la_oenb_core[84] *5008:11 0 +11 *38997:A *39251:A 0 +12 *39001:A *5008:11 0 +13 *367:7 *39251:A 0 +14 *2448:54 *5008:11 0 +15 *2479:52 *5008:23 0 +16 *2481:24 *39251:A 0 +17 *4731:23 *5008:11 0 +18 *5002:31 *5008:11 0 +19 *5002:35 *5008:11 0 +20 *5002:35 *5008:23 0 +21 *5002:39 *5008:23 0 +22 *5006:11 *5008:23 0 +*RES +1 *38297:X *5008:11 46.7554 +2 *5008:11 *5008:23 48.9018 +3 *5008:23 *39251:A 17.2643 +*END + +*D_NET *5009 0.00572347 +*CONN +*I *39252:A I *D sky130_fd_sc_hd__buf_12 +*I *38153:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *39252:A 0.000551829 +2 *38153:X 0 +3 *5009:6 0.00286173 +4 *5009:5 0.00230991 +5 *39685:A *5009:6 0 +6 *39734:A *5009:6 0 +7 *282:18 *5009:6 0 +8 *365:9 *39252:A 0 +9 *1004:8 *39252:A 0 +10 *1370:27 *5009:6 0 +11 *1499:26 *5009:6 0 +12 *1501:46 *5009:6 0 +13 *2523:46 *39252:A 0 +14 *2523:47 *39252:A 0 +15 *2597:10 *5009:6 0 +16 *2620:15 *5009:6 0 +17 *2768:20 *5009:6 0 +18 *2774:29 *5009:6 0 +19 *2780:27 *5009:6 0 +20 *4620:35 *5009:6 0 +21 *4644:20 *39252:A 0 +22 *4644:20 *5009:6 0 +23 *4669:35 *5009:6 0 +24 *4736:22 *39252:A 0 +*RES +1 *38153:X *5009:5 13.8 +2 *5009:5 *5009:6 52.4911 +3 *5009:6 *39252:A 26.4696 +*END + +*D_NET *5010 0.0174705 +*CONN +*I *7240:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39253:A I *D sky130_fd_sc_hd__buf_12 +*I *38299:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *7240:DIODE 0 +2 *39253:A 0.000124066 +3 *38299:X 0.00125831 +4 *5010:51 0.000609457 +5 *5010:41 0.00352201 +6 *5010:40 0.00361625 +7 *5010:31 0.00273067 +8 *5010:28 0.00325125 +9 *5010:13 0.00235852 +10 *5010:13 *5025:38 0 +11 *5010:13 *5029:8 0 +12 *5010:28 *39269:A 0 +13 *5010:28 *5025:38 0 +14 *5010:31 *39262:A 0 +15 *5010:31 *5014:10 0 +16 *5010:40 *5013:28 0 +17 *5010:40 *5016:41 0 +18 la_data_in_core[90] *5010:31 0 +19 la_data_in_core[94] *5010:28 0 +20 la_oenb_core[95] *5010:13 0 +21 *7248:DIODE *5010:31 0 +22 *38295:A *5010:41 0 +23 *38297:A *5010:40 0 +24 *38307:A *5010:28 0 +25 *38307:A *5010:31 0 +26 *39008:A *5010:31 0 +27 *39009:A *5010:31 0 +28 *39011:A *5010:28 0 +29 *39012:A *5010:28 0 +30 *39261:A *5010:40 0 +31 *375:19 *5010:40 0 +32 *383:12 *5010:13 0 +33 *1003:13 *5010:28 0 +34 *1016:201 *5010:41 0 +35 *1547:64 *5010:31 0 +36 *2450:29 *5010:51 0 +37 *2458:30 *5010:28 0 +38 *2459:19 *5010:31 0 +39 *2465:23 *5010:41 0 +40 *2468:27 *5010:13 0 +41 *2469:25 *5010:28 0 +42 *2471:21 *5010:31 0 +43 *2474:31 *5010:40 0 +44 *2475:51 *5010:13 0 +45 *2475:51 *5010:28 0 +46 *2477:43 *5010:41 0 +47 *2718:12 *5010:40 0 +48 *2720:23 *5010:41 0 +49 *3704:27 *5010:13 0 +50 *3770:28 *5010:41 0 +51 *4720:36 *5010:40 0 +52 *4723:25 *39253:A 0 +53 *4723:25 *5010:51 0 +54 *4738:9 *39253:A 0 +55 *4738:9 *5010:51 0 +56 *4738:21 *5010:41 0 +57 *4738:21 *5010:51 0 +58 *4738:29 *5010:41 0 +59 *4738:31 *5010:31 0 +60 *4895:20 *5010:13 0 +61 *5007:10 *5010:41 0 +*RES +1 *38299:X *5010:13 45.8714 +2 *5010:13 *5010:28 32.2857 +3 *5010:28 *5010:31 49.3929 +4 *5010:31 *5010:40 19.999 +5 *5010:40 *5010:41 63.375 +6 *5010:41 *5010:51 19.3393 +7 *5010:51 *39253:A 11.8893 +8 *5010:51 *7240:DIODE 9.3 +*END + +*D_NET *5011 0.00170367 +*CONN +*I *39643:A I *D sky130_fd_sc_hd__buf_2 +*I *38301:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39643:A 0.000851836 +2 *38301:X 0.000851836 +3 *39643:A *5025:14 0 +4 *261:8 *39643:A 0 +5 *3625:49 *39643:A 0 +6 *3708:41 *39643:A 0 +7 *3770:34 *39643:A 0 +*RES +1 *38301:X *39643:A 45.5107 +*END + +*D_NET *5012 0.0108411 +*CONN +*I *7242:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39255:A I *D sky130_fd_sc_hd__buf_12 +*I *38303:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7242:DIODE 0 +2 *39255:A 0.000175674 +3 *38303:X 0.000993728 +4 *5012:23 0.00130039 +5 *5012:22 0.00242395 +6 *5012:16 0.00312641 +7 *5012:10 0.00282091 +8 *5012:10 *5014:10 0 +9 *5012:16 *5017:43 0 +10 la_data_in_core[86] *5012:22 0 +11 la_data_in_core[89] *5012:16 0 +12 la_oenb_core[87] *5012:22 0 +13 la_oenb_core[88] *5012:16 0 +14 la_oenb_core[91] *5012:10 0 +15 *38297:A *5012:16 0 +16 *39003:A *5012:22 0 +17 *39009:A *5012:10 0 +18 *369:19 *39255:A 0 +19 *374:5 *5012:16 0 +20 *378:13 *5012:10 0 +21 *1020:8 *5012:16 0 +22 *2448:54 *5012:22 0 +23 *2450:27 *5012:22 0 +24 *2464:24 *5012:10 0 +25 *2473:40 *5012:10 0 +26 *2477:5 *5012:10 0 +27 *2479:52 *39255:A 0 +28 *5002:39 *39255:A 0 +29 *5006:11 *39255:A 0 +30 *5008:11 *5012:23 0 +31 *5008:23 *5012:23 0 +*RES +1 *38303:X *5012:10 40.8179 +2 *5012:10 *5012:16 47.6607 +3 *5012:16 *5012:22 36.3214 +4 *5012:22 *5012:23 23.5357 +5 *5012:23 *39255:A 22.05 +6 *5012:23 *7242:DIODE 9.3 +*END + +*D_NET *5013 0.0115575 +*CONN +*I *7243:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39256:A I *D sky130_fd_sc_hd__buf_12 +*I *38305:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7243:DIODE 0 +2 *39256:A 0.000144601 +3 *38305:X 0.00116294 +4 *5013:29 0.00207618 +5 *5013:28 0.0025596 +6 *5013:17 0.00253964 +7 *5013:13 0.00307456 +8 *5013:13 *5016:25 0 +9 *5013:29 *39259:A 0 +10 *5013:29 *5017:43 0 +11 la_data_in_core[85] *5013:29 0 +12 la_data_in_core[88] *5013:29 0 +13 la_data_in_core[91] *5013:17 0 +14 la_oenb_core[85] *5013:29 0 +15 la_oenb_core[86] *5013:29 0 +16 *39002:A *5013:29 0 +17 *378:13 *5013:17 0 +18 *1004:20 *39256:A 0 +19 *1004:20 *5013:29 0 +20 *1006:10 *5013:13 0 +21 *1006:28 *5013:13 0 +22 *1009:120 *5013:13 0 +23 *1013:74 *5013:28 0 +24 *1544:20 *5013:17 0 +25 *2458:20 *5013:17 0 +26 *2464:13 *39256:A 0 +27 *2464:13 *5013:29 0 +28 *2464:24 *5013:28 0 +29 *2479:26 *5013:13 0 +30 *2718:12 *5013:28 0 +31 *2824:49 *5013:13 0 +32 *3040:25 *5013:13 0 +33 *3072:26 *5013:13 0 +34 *3097:44 *5013:17 0 +35 *3414:6 *5013:13 0 +36 *3425:8 *5013:17 0 +37 *4102:53 *5013:13 0 +38 *4241:110 *5013:13 0 +39 *4720:36 *5013:28 0 +40 *4721:9 *5013:29 0 +41 *4731:29 *5013:29 0 +42 *4734:45 *5013:17 0 +43 *5007:23 *39256:A 0 +44 *5010:40 *5013:28 0 +*RES +1 *38305:X *5013:13 31.4508 +2 *5013:13 *5013:17 46.7768 +3 *5013:17 *5013:28 19.5807 +4 *5013:28 *5013:29 40.375 +5 *5013:29 *39256:A 12.3179 +6 *5013:29 *7243:DIODE 9.3 +*END + +*D_NET *5014 0.00795565 +*CONN +*I *7244:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39257:A I *D sky130_fd_sc_hd__buf_12 +*I *38307:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *7244:DIODE 0 +2 *39257:A 0.000159146 +3 *38307:X 0.000872588 +4 *5014:21 0.00140194 +5 *5014:20 0.00294609 +6 *5014:10 0.00257588 +7 *5014:20 *39265:A 0 +8 *5014:20 *5016:41 0 +9 *5014:20 *5017:37 0 +10 *5014:20 *5024:28 0 +11 *38297:A *5014:20 0 +12 *2448:54 *5014:21 0 +13 *2459:19 *5014:10 0 +14 *2475:61 *5014:20 0 +15 *4731:23 *5014:20 0 +16 *4731:23 *5014:21 0 +17 *5002:31 *39257:A 0 +18 *5002:31 *5014:21 0 +19 *5010:31 *5014:10 0 +20 *5012:10 *5014:10 0 +*RES +1 *38307:X *5014:10 36.6929 +2 *5014:10 *5014:20 44.6964 +3 *5014:20 *5014:21 26 +4 *5014:21 *39257:A 12.6214 +5 *5014:21 *7244:DIODE 9.3 +*END + +*D_NET *5015 0.0187291 +*CONN +*I *5952:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37994:C I *D sky130_fd_sc_hd__and3b_1 +*I *38857:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5952:DIODE 2.41714e-05 +2 *37994:C 0.000533515 +3 *38857:X 0.00111531 +4 *5015:50 0.00097726 +5 *5015:41 0.00187725 +6 *5015:31 0.00491497 +7 *5015:11 0.00581432 +8 *5015:7 0.00347233 +9 *5015:7 *5070:30 0 +10 *5015:31 *5048:66 0 +11 *5015:41 *5200:21 0 +12 la_data_in_mprj[53] *5015:11 0 +13 *6806:DIODE *5015:31 0 +14 *8599:DIODE *37994:C 0 +15 *38531:A *5015:11 0 +16 *38532:A *5015:11 0 +17 *38671:A *5015:11 0 +18 *38673:A *5015:11 0 +19 *38675:A *5015:11 0 +20 *38852:A *5015:11 0 +21 *39095:A *5015:11 0 +22 *40196:A *5015:11 0 +23 *40447:A *5015:11 0 +24 *465:10 *5015:7 0 +25 *587:8 *5015:11 0 +26 *1672:43 *37994:C 0 +27 *1796:39 *5015:41 0 +28 *1894:66 *5015:50 0 +29 *1894:89 *37994:C 0 +30 *2004:50 *5015:41 0 +31 *2064:16 *5015:41 0 +32 *2071:23 *5015:41 0 +33 *3064:17 *5015:11 0 +34 *3064:17 *5015:31 0 +35 *3329:43 *5015:41 0 +36 *3329:43 *5015:50 0 +37 *3352:15 *5015:31 0 +38 *3361:18 *5015:31 0 +39 *3384:51 *5015:41 0 +40 *3458:11 *5015:31 0 +41 *3538:13 *5015:31 0 +42 *3583:32 *5015:41 0 +43 *3616:11 *5015:7 0 +44 *3616:11 *5015:11 0 +45 *3616:19 *5015:11 0 +46 *3918:21 *5015:41 0 +47 *4136:25 *37994:C 0 +48 *4151:20 *5015:41 0 +49 *4185:18 *5015:50 0 +50 *4447:27 *5015:41 0 +51 *4457:48 *37994:C 0 +52 *4461:8 *5015:31 0 +53 *4462:13 *5015:31 0 +54 *4462:21 *5015:31 0 +55 *4464:10 *5015:11 0 +56 *4466:16 *5015:11 0 +57 *4470:16 *5015:11 0 +58 *4470:22 *5015:11 0 +59 *4470:43 *5015:31 0 +60 *4782:21 *5015:41 0 +61 *4831:14 *5015:41 0 +62 *4915:20 *5015:31 0 +63 *4959:16 *5015:11 0 +64 *4970:19 *5015:11 0 +65 *4970:19 *5015:31 0 +66 *4993:10 *5015:7 0 +*RES +1 *38857:X *5015:7 32.6393 +2 *5015:7 *5015:11 49.2232 +3 *5015:11 *5015:31 35.5526 +4 *5015:31 *5015:41 46.3661 +5 *5015:41 *5015:50 18.5893 +6 *5015:50 *37994:C 29.9071 +7 *5015:50 *5952:DIODE 9.83571 +*END + +*D_NET *5016 0.0123501 +*CONN +*I *7245:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39258:A I *D sky130_fd_sc_hd__buf_12 +*I *38309:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7245:DIODE 0 +2 *39258:A 0.000169645 +3 *38309:X 0.00149419 +4 *5016:41 0.00132414 +5 *5016:25 0.0045112 +6 *5016:11 0.0048509 +7 *5016:41 *5017:37 0 +8 la_data_in_core[87] *39258:A 0 +9 la_oenb_core[88] *5016:41 0 +10 *6457:DIODE *5016:25 0 +11 *39261:A *5016:41 0 +12 *380:5 *5016:25 0 +13 *1001:30 *5016:25 0 +14 *1013:74 *5016:25 0 +15 *1025:126 *5016:25 0 +16 *1547:64 *5016:25 0 +17 *2458:20 *5016:25 0 +18 *2464:13 *39258:A 0 +19 *2464:13 *5016:41 0 +20 *2471:21 *5016:25 0 +21 *2991:33 *5016:11 0 +22 *3007:31 *5016:11 0 +23 *3094:24 *5016:25 0 +24 *3280:43 *5016:25 0 +25 *3414:6 *5016:25 0 +26 *3424:36 *5016:25 0 +27 *3686:35 *5016:25 0 +28 *5001:13 *5016:25 0 +29 *5002:13 *5016:25 0 +30 *5010:40 *5016:41 0 +31 *5013:13 *5016:25 0 +32 *5014:20 *5016:41 0 +*RES +1 *38309:X *5016:11 49.5679 +2 *5016:11 *5016:25 48.3116 +3 *5016:25 *5016:41 41.3832 +4 *5016:41 *39258:A 21.925 +5 *5016:41 *7245:DIODE 9.3 +*END + +*D_NET *5017 0.0146214 +*CONN +*I *7246:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39259:A I *D sky130_fd_sc_hd__buf_12 +*I *38311:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7246:DIODE 0 +2 *39259:A 0.000124066 +3 *38311:X 0.00019266 +4 *5017:43 0.000265522 +5 *5017:37 0.00233186 +6 *5017:36 0.00319368 +7 *5017:30 0.00226218 +8 *5017:17 0.00365882 +9 *5017:8 0.00259258 +10 *5017:17 *5032:39 0 +11 *5017:30 *39267:A 0 +12 *5017:37 *39265:A 0 +13 *5017:37 *5024:28 0 +14 la_data_in_core[102] *5017:17 0 +15 la_data_in_core[88] *39259:A 0 +16 la_data_in_core[88] *5017:43 0 +17 la_data_in_core[95] *5017:30 0 +18 la_data_in_core[96] *5017:30 0 +19 la_data_in_core[99] *5017:17 0 +20 la_oenb_core[89] *5017:37 0 +21 la_oenb_core[91] *5017:37 0 +22 la_oenb_core[92] *5017:36 0 +23 la_oenb_core[94] *5017:30 0 +24 *6457:DIODE *5017:36 0 +25 *39017:A *5017:17 0 +26 *263:7 *5017:8 0 +27 *374:5 *5017:43 0 +28 *1004:20 *39259:A 0 +29 *1004:20 *5017:17 0 +30 *1004:20 *5017:30 0 +31 *1004:20 *5017:36 0 +32 *1013:74 *5017:17 0 +33 *2464:27 *5017:36 0 +34 *2464:40 *5017:17 0 +35 *2464:40 *5017:30 0 +36 *2467:22 *5017:30 0 +37 *2470:39 *5017:17 0 +38 *2470:47 *5017:17 0 +39 *2470:47 *5017:30 0 +40 *2475:40 *5017:30 0 +41 *2475:51 *5017:37 0 +42 *2475:61 *5017:37 0 +43 *4731:22 *5017:17 0 +44 *4731:23 *5017:37 0 +45 *4734:26 *5017:36 0 +46 *4898:21 *5017:17 0 +47 *4909:24 *5017:8 0 +48 *5012:16 *5017:43 0 +49 *5013:29 *39259:A 0 +50 *5013:29 *5017:43 0 +51 *5014:20 *5017:37 0 +52 *5016:41 *5017:37 0 +*RES +1 *38311:X *5017:8 22.675 +2 *5017:8 *5017:17 49.8791 +3 *5017:17 *5017:30 40.0446 +4 *5017:30 *5017:36 30.0714 +5 *5017:36 *5017:37 45.7143 +6 *5017:37 *5017:43 12.1964 +7 *5017:43 *39259:A 11.8893 +8 *5017:43 *7246:DIODE 9.3 +*END + +*D_NET *5018 0.00699077 +*CONN +*I *39642:A I *D sky130_fd_sc_hd__buf_2 +*I *38313:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39642:A 0 +2 *38313:X 0.00129423 +3 *5018:19 0.00197393 +4 *5018:18 0.00220115 +5 *5018:11 0.00152146 +6 *39663:A *5018:11 0 +7 *1553:39 *5018:19 0 +8 *1844:9 *5018:11 0 +9 *1844:23 *5018:18 0 +10 *2366:28 *5018:11 0 +11 *2366:40 *5018:18 0 +12 *2368:8 *5018:11 0 +13 *2378:10 *5018:11 0 +14 *2378:11 *5018:19 0 +15 *2380:14 *5018:11 0 +16 *2471:6 *5018:18 0 +17 *3428:43 *5018:19 0 +18 *4033:61 *5018:19 0 +19 *4033:77 *5018:11 0 +20 *4033:77 *5018:18 0 +21 *4033:77 *5018:19 0 +22 *4729:10 *5018:18 0 +*RES +1 *38313:X *5018:11 47.1036 +2 *5018:11 *5018:18 13.8571 +3 *5018:18 *5018:19 41.1964 +4 *5018:19 *39642:A 9.3 +*END + +*D_NET *5019 0.00835501 +*CONN +*I *7625:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39641:A I *D sky130_fd_sc_hd__buf_2 +*I *38315:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *7625:DIODE 0 +2 *39641:A 0.000169645 +3 *38315:X 0.000705319 +4 *5019:25 0.00224197 +5 *5019:23 0.00330254 +6 *5019:14 0.00193553 +7 *287:17 *5019:14 0 +8 *1016:232 *5019:14 0 +9 *1221:17 *5019:23 0 +10 *1751:9 *5019:23 0 +11 *2398:23 *39641:A 0 +12 *2398:23 *5019:23 0 +13 *2398:23 *5019:25 0 +14 *2828:33 *39641:A 0 +15 *2957:10 *39641:A 0 +16 *2973:23 *5019:14 0 +17 *2997:30 *5019:23 0 +18 *3097:18 *39641:A 0 +19 *3284:37 *5019:14 0 +20 *3489:25 *5019:23 0 +21 *3542:56 *5019:14 0 +22 *3663:17 *5019:14 0 +23 *4896:16 *5019:23 0 +*RES +1 *38315:X *5019:14 40.1326 +2 *5019:14 *5019:23 35.5357 +3 *5019:23 *5019:25 43.25 +4 *5019:25 *39641:A 21.925 +5 *5019:25 *7625:DIODE 9.3 +*END + +*D_NET *5020 0.00104177 +*CONN +*I *39262:A I *D sky130_fd_sc_hd__buf_12 +*I *38317:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39262:A 0.000520887 +2 *38317:X 0.000520887 +3 *6454:DIODE *39262:A 0 +4 *7248:DIODE *39262:A 0 +5 *375:19 *39262:A 0 +6 *4720:24 *39262:A 0 +7 *4738:31 *39262:A 0 +8 *5010:31 *39262:A 0 +*RES +1 *38317:X *39262:A 38.9036 +*END + +*D_NET *5021 0.00348218 +*CONN +*I *39263:A I *D sky130_fd_sc_hd__buf_12 +*I *38155:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *39263:A 0.00130216 +2 *38155:X 0.000438936 +3 *5021:7 0.00174109 +4 *376:9 *39263:A 0 +5 *2503:5 *5021:7 0 +6 *2504:39 *39263:A 0 +7 *2533:34 *39263:A 0 +8 *2546:20 *39263:A 0 +9 *2574:40 *39263:A 0 +10 *2609:7 *5021:7 0 +11 *2651:20 *39263:A 0 +12 *2710:70 *39263:A 0 +*RES +1 *38155:X *5021:7 22.9607 +2 *5021:7 *39263:A 43.3714 +*END + +*D_NET *5022 0.00139296 +*CONN +*I *39264:A I *D sky130_fd_sc_hd__buf_12 +*I *38319:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39264:A 0.00069648 +2 *38319:X 0.00069648 +3 la_oenb_core[92] *39264:A 0 +4 *39010:A *39264:A 0 +5 *2464:27 *39264:A 0 +6 *4734:45 *39264:A 0 +*RES +1 *38319:X *39264:A 33.1357 +*END + +*D_NET *5023 0.00644841 +*CONN +*I *39265:A I *D sky130_fd_sc_hd__buf_12 +*I *38321:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *39265:A 0.00128579 +2 *38321:X 0.00193842 +3 *5023:9 0.00322421 +4 *39265:A *5024:28 0 +5 la_oenb_core[91] *39265:A 0 +6 *2450:14 *39265:A 0 +7 *2475:61 *39265:A 0 +8 *3427:87 *5023:9 0 +9 *3673:21 *39265:A 0 +10 *3701:30 *5023:9 0 +11 *3704:26 *5023:9 0 +12 *3704:27 *5023:9 0 +13 *3708:19 *5023:9 0 +14 *5014:20 *39265:A 0 +15 *5017:37 *39265:A 0 +*RES +1 *38321:X *5023:9 49.8179 +2 *5023:9 *39265:A 46.6214 +*END + +*D_NET *5024 0.00734494 +*CONN +*I *39266:A I *D sky130_fd_sc_hd__buf_12 +*I *38323:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *39266:A 4.53482e-05 +2 *38323:X 0.000471599 +3 *5024:40 0.00141031 +4 *5024:28 0.00315552 +5 *5024:13 0.00226216 +6 la_data_in_core[93] *5024:40 0 +7 la_oenb_core[89] *5024:28 0 +8 la_oenb_core[92] *39266:A 0 +9 *6457:DIODE *5024:40 0 +10 *39010:A *5024:40 0 +11 *39265:A *5024:28 0 +12 *358:24 *5024:28 0 +13 *373:16 *5024:13 0 +14 *373:22 *5024:13 0 +15 *380:5 *5024:40 0 +16 *1004:20 *39266:A 0 +17 *2446:18 *5024:28 0 +18 *2447:31 *5024:40 0 +19 *2458:23 *5024:40 0 +20 *2459:19 *5024:40 0 +21 *2471:21 *5024:40 0 +22 *2474:31 *5024:28 0 +23 *3424:25 *5024:13 0 +24 *3469:27 *5024:13 0 +25 *4007:13 *5024:13 0 +26 *4245:35 *5024:13 0 +27 *4331:37 *5024:13 0 +28 *4331:37 *5024:28 0 +29 *5014:20 *5024:28 0 +30 *5017:37 *5024:28 0 +*RES +1 *38323:X *5024:13 32.8 +2 *5024:13 *5024:28 48.3571 +3 *5024:28 *5024:40 46.875 +4 *5024:40 *39266:A 14.7464 +*END + +*D_NET *5025 0.0126155 +*CONN +*I *39267:A I *D sky130_fd_sc_hd__buf_12 +*I *7249:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38325:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39267:A 0.000176848 +2 *7249:DIODE 0 +3 *38325:X 0.000827408 +4 *5025:38 0.00108452 +5 *5025:31 0.0026457 +6 *5025:14 0.0043958 +7 *5025:12 0.00348518 +8 *5025:31 *39272:A 0 +9 *5025:31 *5030:13 0 +10 *5025:31 *5031:27 0 +11 *5025:38 *39269:A 0 +12 la_data_in_core[100] *5025:31 0 +13 la_data_in_core[95] *39267:A 0 +14 la_oenb_core[96] *5025:38 0 +15 *38325:A *5025:12 0 +16 *39016:A *5025:31 0 +17 *39643:A *5025:14 0 +18 *261:7 *5025:14 0 +19 *382:17 *39267:A 0 +20 *382:17 *5025:38 0 +21 *385:7 *5025:31 0 +22 *1031:86 *5025:14 0 +23 *1250:35 *5025:12 0 +24 *1548:10 *5025:12 0 +25 *1548:10 *5025:14 0 +26 *1552:37 *5025:31 0 +27 *1552:52 *5025:31 0 +28 *2458:30 *5025:31 0 +29 *2458:30 *5025:38 0 +30 *2464:27 *39267:A 0 +31 *2464:40 *39267:A 0 +32 *2465:34 *5025:31 0 +33 *2467:22 *5025:31 0 +34 *2468:27 *5025:31 0 +35 *2468:27 *5025:38 0 +36 *3024:61 *5025:14 0 +37 *3492:20 *5025:12 0 +38 *3492:20 *5025:14 0 +39 *4051:58 *5025:12 0 +40 *5010:13 *5025:38 0 +41 *5010:28 *5025:38 0 +42 *5017:30 *39267:A 0 +*RES +1 *38325:X *5025:12 32.6839 +2 *5025:12 *5025:14 60.3839 +3 *5025:14 *5025:31 49.9821 +4 *5025:31 *5025:38 23.625 +5 *5025:38 *7249:DIODE 13.8 +6 *5025:38 *39267:A 17.55 +*END + +*D_NET *5026 0.0187714 +*CONN +*I *5956:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37996:C I *D sky130_fd_sc_hd__and3b_1 +*I *38858:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5956:DIODE 0 +2 *37996:C 0.000259474 +3 *38858:X 0.00116007 +4 *5026:42 0.00212142 +5 *5026:41 0.00294674 +6 *5026:32 0.0023606 +7 *5026:13 0.00501939 +8 *5026:11 0.00490365 +9 *5026:11 *5048:37 0 +10 *5026:13 *39093:A 0 +11 *5026:13 *5048:37 0 +12 *5026:32 *39088:A 0 +13 la_data_in_mprj[45] *5026:32 0 +14 la_data_in_mprj[49] *5026:13 0 +15 la_data_in_mprj[50] *5026:13 0 +16 la_data_in_mprj[51] *5026:13 0 +17 la_data_in_mprj[52] *5026:13 0 +18 la_data_in_mprj[54] *5026:13 0 +19 la_data_in_mprj[55] *5026:11 0 +20 *7174:DIODE *5026:41 0 +21 *38523:A *5026:32 0 +22 *38527:A *5026:13 0 +23 *38534:A *5026:13 0 +24 *38665:A *5026:32 0 +25 *38674:A *5026:13 0 +26 *38676:A *5026:13 0 +27 *39085:A *5026:41 0 +28 *39090:A *5026:13 0 +29 *39092:A *5026:13 0 +30 *40535:A *5026:13 0 +31 *41186:A *5026:42 0 +32 *456:8 *5026:32 0 +33 *468:8 *5026:11 0 +34 *581:5 *5026:41 0 +35 *583:8 *5026:32 0 +36 *593:8 *5026:13 0 +37 *846:8 *5026:13 0 +38 *1403:16 *37996:C 0 +39 *2061:56 *5026:42 0 +40 *3329:22 *5026:42 0 +41 *3377:23 *5026:32 0 +42 *3426:10 *5026:41 0 +43 *3426:10 *5026:42 0 +44 *3437:21 *5026:41 0 +45 *3448:11 *5026:32 0 +46 *3448:18 *5026:32 0 +47 *3451:38 *5026:42 0 +48 *3453:17 *5026:41 0 +49 *3455:9 *5026:13 0 +50 *3455:9 *5026:32 0 +51 *3457:7 *5026:41 0 +52 *3583:15 *5026:32 0 +53 *3878:14 *5026:42 0 +54 *4178:25 *5026:42 0 +55 *4179:50 *5026:42 0 +56 *4455:62 *5026:41 0 +57 *4459:31 *5026:13 0 +58 *4459:36 *5026:11 0 +59 *4459:36 *5026:13 0 +60 *4829:13 *5026:13 0 +61 *4829:13 *5026:32 0 +62 *4839:17 *5026:13 0 +63 *4904:14 *5026:32 0 +64 *4959:17 *5026:13 0 +*RES +1 *38858:X *5026:11 42.675 +2 *5026:11 *5026:13 78.1607 +3 *5026:13 *5026:32 41.2088 +4 *5026:32 *5026:41 36.9286 +5 *5026:41 *5026:42 42.3214 +6 *5026:42 *37996:C 19.5679 +7 *5026:42 *5956:DIODE 13.8 +*END + +*D_NET *5027 0.00655212 +*CONN +*I *39268:A I *D sky130_fd_sc_hd__buf_12 +*I *38327:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *39268:A 0.00145799 +2 *38327:X 0.000515877 +3 *5027:24 0.00276018 +4 *5027:21 0.00181807 +5 la_data_in_core[97] *39268:A 0 +6 *385:7 *5027:24 0 +7 *1552:61 *39268:A 0 +8 *1864:57 *5027:21 0 +9 *2447:30 *39268:A 0 +10 *2458:36 *39268:A 0 +11 *2459:30 *39268:A 0 +12 *3010:29 *5027:21 0 +13 *3047:63 *5027:24 0 +14 *3108:30 *5027:21 0 +15 *3278:31 *5027:21 0 +16 *3278:35 *5027:21 0 +17 *3295:40 *5027:21 0 +18 *3299:20 *5027:24 0 +19 *3708:36 *5027:24 0 +*RES +1 *38327:X *5027:21 42.9071 +2 *5027:21 *5027:24 34.0714 +3 *5027:24 *39268:A 39.7286 +*END + +*D_NET *5028 0.00775156 +*CONN +*I *39269:A I *D sky130_fd_sc_hd__buf_12 +*I *7250:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38329:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *39269:A 0.000427005 +2 *7250:DIODE 0.000261822 +3 *38329:X 0 +4 *5028:6 0.00387578 +5 *5028:5 0.00318695 +6 la_oenb_core[94] *39269:A 0 +7 *2400:20 *5028:6 0 +8 *2400:39 *5028:6 0 +9 *2443:10 *5028:6 0 +10 *2458:30 *39269:A 0 +11 *2459:30 *7250:DIODE 0 +12 *2469:25 *39269:A 0 +13 *2469:25 *5028:6 0 +14 *2470:61 *7250:DIODE 0 +15 *3275:85 *5028:6 0 +16 *3424:36 *5028:6 0 +17 *3489:8 *5028:6 0 +18 *3543:38 *5028:6 0 +19 *4102:62 *5028:6 0 +20 *5010:28 *39269:A 0 +21 *5025:38 *39269:A 0 +*RES +1 *38329:X *5028:5 13.8 +2 *5028:5 *5028:6 72.375 +3 *5028:6 *7250:DIODE 19.2643 +4 *5028:6 *39269:A 22.9429 +*END + +*D_NET *5029 0.00690202 +*CONN +*I *39270:A I *D sky130_fd_sc_hd__buf_12 +*I *38331:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *39270:A 0 +2 *38331:X 2.35958e-05 +3 *5029:8 0.00342741 +4 *5029:6 0.00345101 +5 *38341:A *5029:8 0 +6 *1552:52 *5029:8 0 +7 *3277:52 *5029:8 0 +8 *3704:32 *5029:8 0 +9 *3762:42 *5029:8 0 +10 *3983:34 *5029:8 0 +11 *4895:20 *5029:8 0 +12 *5010:13 *5029:8 0 +*RES +1 *38331:X *5029:6 14.3357 +2 *5029:6 *5029:8 77.8393 +3 *5029:8 *39270:A 13.8 +*END + +*D_NET *5030 0.006957 +*CONN +*I *39271:A I *D sky130_fd_sc_hd__buf_12 +*I *38333:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *39271:A 0.000152567 +2 *38333:X 0.00119457 +3 *5030:13 0.00228393 +4 *5030:10 0.00332593 +5 *5030:13 *39272:A 0 +6 *5030:13 *5031:25 0 +7 *5030:13 *5031:27 0 +8 la_oenb_core[98] *39271:A 0 +9 *6052:DIODE *5030:10 0 +10 *38333:A *5030:10 0 +11 *375:23 *5030:10 0 +12 *1565:47 *5030:10 0 +13 *1566:15 *5030:10 0 +14 *2475:40 *39271:A 0 +15 *4609:43 *5030:13 0 +16 *4611:6 *5030:10 0 +17 *4909:24 *5030:10 0 +18 *5025:31 *5030:13 0 +*RES +1 *38333:X *5030:10 44.7643 +2 *5030:10 *5030:13 48.9821 +3 *5030:13 *39271:A 17.2643 +*END + +*D_NET *5031 0.00828757 +*CONN +*I *7251:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39272:A I *D sky130_fd_sc_hd__buf_12 +*I *38335:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7251:DIODE 0 +2 *39272:A 0.000154012 +3 *38335:X 0.000793843 +4 *5031:27 0.00155424 +5 *5031:25 0.00319593 +6 *5031:8 0.00258954 +7 la_oenb_core[102] *5031:25 0 +8 la_oenb_core[105] *5031:8 0 +9 *38892:A *5031:27 0 +10 *38893:A *5031:27 0 +11 *38895:A *5031:25 0 +12 *39150:A *5031:25 0 +13 *265:7 *5031:25 0 +14 *267:7 *5031:8 0 +15 *2462:43 *5031:25 0 +16 *2468:25 *5031:25 0 +17 *2468:27 *5031:25 0 +18 *2468:27 *5031:27 0 +19 *2471:6 *5031:8 0 +20 *4609:43 *5031:25 0 +21 *4609:43 *5031:27 0 +22 *4898:28 *5031:25 0 +23 *4909:37 *5031:25 0 +24 *5025:31 *39272:A 0 +25 *5025:31 *5031:27 0 +26 *5030:13 *39272:A 0 +27 *5030:13 *5031:25 0 +28 *5030:13 *5031:27 0 +*RES +1 *38335:X *5031:8 36.3357 +2 *5031:8 *5031:25 46.8214 +3 *5031:25 *5031:27 29.2857 +4 *5031:27 *39272:A 21.6929 +5 *5031:27 *7251:DIODE 9.3 +*END + +*D_NET *5032 0.0114392 +*CONN +*I *7252:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39273:A I *D sky130_fd_sc_hd__buf_12 +*I *38337:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7252:DIODE 0 +2 *39273:A 0.000169684 +3 *38337:X 0.00099555 +4 *5032:39 0.00163195 +5 *5032:38 0.0026014 +6 *5032:25 0.0030921 +7 *5032:10 0.00294852 +8 la_data_in_core[102] *5032:39 0 +9 la_data_in_core[105] *5032:38 0 +10 la_data_in_core[107] *5032:25 0 +11 la_data_in_core[108] *5032:25 0 +12 la_oenb_core[100] *39273:A 0 +13 la_oenb_core[105] *5032:38 0 +14 la_oenb_core[109] *5032:25 0 +15 *38337:A *5032:10 0 +16 *38899:A *5032:25 0 +17 *38900:A *5032:25 0 +18 *39153:A *5032:25 0 +19 *39157:A *5032:25 0 +20 *266:7 *5032:38 0 +21 *269:10 *5032:10 0 +22 *272:9 *5032:10 0 +23 *2456:12 *5032:10 0 +24 *2457:9 *5032:10 0 +25 *2458:37 *5032:38 0 +26 *2458:40 *5032:38 0 +27 *2462:36 *5032:25 0 +28 *2467:8 *5032:10 0 +29 *2468:25 *5032:25 0 +30 *2468:25 *5032:38 0 +31 *2470:47 *39273:A 0 +32 *4618:33 *5032:25 0 +33 *4898:21 *39273:A 0 +34 *4898:21 *5032:39 0 +35 *4898:28 *5032:39 0 +36 *4909:37 *5032:38 0 +37 *4909:39 *5032:25 0 +38 *4909:39 *5032:38 0 +39 *5017:17 *5032:39 0 +*RES +1 *38337:X *5032:10 40.3893 +2 *5032:10 *5032:25 41.3125 +3 *5032:25 *5032:38 41.8839 +4 *5032:38 *5032:39 30.5179 +5 *5032:39 *39273:A 21.925 +6 *5032:39 *7252:DIODE 9.3 +*END + +*D_NET *5033 0.000318293 +*CONN +*I *39274:A I *D sky130_fd_sc_hd__buf_12 +*I *38157:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39274:A 0.000159146 +2 *38157:X 0.000159146 +3 *2497:32 *39274:A 0 +4 *2526:28 *39274:A 0 +5 *2640:39 *39274:A 0 +*RES +1 *38157:X *39274:A 21.9214 +*END + +*D_NET *5034 0.0173695 +*CONN +*I *7253:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39275:A I *D sky130_fd_sc_hd__buf_12 +*I *37471:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *7253:DIODE 0 +2 *39275:A 0 +3 *37471:Y 0.00208281 +4 *5034:78 0.00104051 +5 *5034:57 0.0033922 +6 *5034:52 0.003861 +7 *5034:29 0.00320975 +8 *5034:16 0.00378325 +9 *5034:16 *5188:32 0 +10 *5034:78 *5100:45 0 +11 *5034:78 *5168:18 0 +12 *6609:DIODE *5034:52 0 +13 *6609:DIODE *5034:57 0 +14 *6705:DIODE *5034:52 0 +15 *8172:DIODE *5034:52 0 +16 *8466:DIODE *5034:52 0 +17 *39580:A *5034:29 0 +18 *40212:A *5034:16 0 +19 *2397:20 *5034:29 0 +20 *2400:11 *5034:57 0 +21 *2712:135 *5034:16 0 +22 *3027:43 *5034:78 0 +23 *3081:16 *5034:16 0 +24 *3092:9 *5034:29 0 +25 *3188:31 *5034:57 0 +26 *3188:46 *5034:57 0 +27 *3188:74 *5034:29 0 +28 *3188:87 *5034:16 0 +29 *3188:87 *5034:29 0 +30 *3203:30 *5034:52 0 +31 *3205:68 *5034:78 0 +32 *3208:27 *5034:29 0 +33 *3211:15 *5034:57 0 +34 *3235:42 *5034:52 0 +35 *3235:63 *5034:52 0 +36 *3235:111 *5034:16 0 +37 *3251:43 *5034:52 0 +38 *3251:67 *5034:52 0 +39 *3434:19 *5034:16 0 +40 *3434:34 *5034:29 0 +41 *3460:22 *5034:16 0 +42 *3479:20 *5034:57 0 +43 *3497:59 *5034:16 0 +44 *4251:41 *5034:16 0 +45 *4591:67 *5034:29 0 +46 *4761:86 *5034:78 0 +*RES +1 *37471:Y *5034:16 45.4795 +2 *5034:16 *5034:29 49.5536 +3 *5034:29 *5034:52 45.5714 +4 *5034:52 *5034:57 49.1429 +5 *5034:57 *5034:78 44.6227 +6 *5034:78 *39275:A 9.3 +7 *5034:57 *7253:DIODE 9.3 +*END + +*D_NET *5035 0.00186563 +*CONN +*I *39845:A I *D sky130_fd_sc_hd__buf_2 +*I *37755:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39845:A 0.000932816 +2 *37755:X 0.000932816 +3 *260:14 *39845:A 0 +4 *307:13 *39845:A 0 +5 *2513:8 *39845:A 0 +6 *2781:11 *39845:A 0 +7 *2898:11 *39845:A 0 +8 *4608:9 *39845:A 0 +*RES +1 *37755:X *39845:A 47.4214 +*END + +*D_NET *5036 0.00351225 +*CONN +*I *39834:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37775:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39834:A 0.0004753 +2 *37775:X 0.00128082 +3 *5036:11 0.00175612 +4 *5036:11 *5121:22 0 +5 *37989:A *5036:11 0 +6 *37995:A *39834:A 0 +7 *38001:A *5036:11 0 +8 *2856:45 *5036:11 0 +9 *2931:22 *5036:11 0 +10 *2931:33 *39834:A 0 +11 *2931:33 *5036:11 0 +12 *3354:26 *39834:A 0 +13 *4124:18 *5036:11 0 +*RES +1 *37775:X *5036:11 45.1393 +2 *5036:11 *39834:A 19.2821 +*END + +*D_NET *5037 0.00463819 +*CONN +*I *40193:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38859:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40193:A 0.000854923 +2 *38859:X 0.00146417 +3 *5037:13 0.0023191 +4 *6673:DIODE *40193:A 0 +5 *6814:DIODE *5037:13 0 +6 *6994:DIODE *5037:13 0 +7 *6995:DIODE *5037:13 0 +8 *37369:A *5037:13 0 +9 *40449:A *40193:A 0 +10 *596:7 *5037:13 0 +11 *3331:35 *5037:13 0 +12 *3336:22 *40193:A 0 +13 *3366:11 *40193:A 0 +14 *3366:11 *5037:13 0 +15 *3572:18 *40193:A 0 +16 *3616:7 *5037:13 0 +17 *3660:22 *5037:13 0 +18 *4465:32 *40193:A 0 +19 *4473:19 *5037:13 0 +20 *4838:21 *40193:A 0 +21 *4840:15 *5037:13 0 +22 *4843:16 *5037:13 0 +*RES +1 *38859:X *5037:13 49.3179 +2 *5037:13 *40193:A 36.4429 +*END + +*D_NET *5038 0.0179942 +*CONN +*I *7770:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39830:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37777:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *7770:DIODE 0 +2 *39830:A 0.000189093 +3 *37777:X 0.000378584 +4 *5038:29 0.00117456 +5 *5038:28 0.00275576 +6 *5038:11 0.00744395 +7 *5038:10 0.00605224 +8 *5038:11 *39785:A 0 +9 *5038:11 *39819:A 0 +10 *37829:A *5038:11 0 +11 *1031:52 *5038:28 0 +12 *1511:18 *5038:10 0 +13 *2232:29 *5038:28 0 +14 *2232:43 *5038:11 0 +15 *2232:51 *5038:11 0 +16 *2256:22 *5038:28 0 +17 *2274:24 *5038:11 0 +18 *2274:40 *5038:11 0 +19 *2278:35 *5038:10 0 +20 *2281:39 *5038:10 0 +21 *2293:22 *5038:10 0 +22 *2522:19 *5038:29 0 +23 *2525:22 *5038:11 0 +24 *2545:16 *5038:28 0 +25 *2567:20 *5038:28 0 +26 *2579:17 *39830:A 0 +27 *2661:40 *5038:11 0 +28 *2661:41 *5038:11 0 +29 *2677:27 *5038:28 0 +30 *2677:39 *39830:A 0 +31 *2677:39 *5038:28 0 +32 *2677:39 *5038:29 0 +33 *2736:34 *39830:A 0 +34 *2736:46 *5038:28 0 +35 *2737:14 *5038:28 0 +36 *2742:29 *5038:11 0 +37 *2742:43 *5038:11 0 +38 *2843:44 *5038:10 0 +39 *3799:12 *5038:28 0 +*RES +1 *37777:X *5038:10 26.8536 +2 *5038:10 *5038:11 118.411 +3 *5038:11 *5038:28 48.1247 +4 *5038:28 *5038:29 20.6607 +5 *5038:29 *39830:A 13.4607 +6 *5038:29 *7770:DIODE 9.3 +*END + +*D_NET *5039 0.0126809 +*CONN +*I *7767:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39827:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37779:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7767:DIODE 0 +2 *39827:A 0.00016428 +3 *37779:X 0.000767344 +4 *5039:46 0.00186782 +5 *5039:38 0.00339757 +6 *5039:28 0.00370528 +7 *5039:16 0.00277859 +8 *5039:16 *5056:11 0 +9 *5039:28 *39797:A 0 +10 *5039:28 *5058:22 0 +11 *5039:28 *5120:37 0 +12 *5923:DIODE *5039:28 0 +13 *311:33 *5039:28 0 +14 *311:33 *5039:38 0 +15 *339:23 *5039:38 0 +16 *345:36 *5039:16 0 +17 *1030:8 *5039:16 0 +18 *1030:8 *5039:28 0 +19 *1494:37 *5039:28 0 +20 *1950:35 *5039:16 0 +21 *2531:31 *39827:A 0 +22 *2531:31 *5039:38 0 +23 *2557:9 *5039:46 0 +24 *2570:49 *5039:16 0 +25 *2570:49 *5039:46 0 +26 *2578:18 *39827:A 0 +27 *2584:11 *5039:28 0 +28 *2587:11 *5039:28 0 +29 *2620:9 *5039:28 0 +30 *2620:9 *5039:46 0 +31 *2623:16 *5039:38 0 +32 *2731:12 *5039:16 0 +33 *2759:47 *5039:28 0 +34 *2888:51 *5039:38 0 +35 *4672:17 *5039:16 0 +*RES +1 *37779:X *5039:16 32.38 +2 *5039:16 *5039:28 47.1546 +3 *5039:28 *5039:38 49.0268 +4 *5039:38 *5039:46 18.2325 +5 *5039:46 *39827:A 12.7286 +6 *5039:46 *7767:DIODE 9.3 +*END + +*D_NET *5040 0.0166182 +*CONN +*I *7765:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39825:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37781:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7765:DIODE 5.39362e-05 +2 *39825:A 0.000259524 +3 *37781:X 0 +4 *5040:21 0.00142423 +5 *5040:11 0.00573993 +6 *5040:10 0.00467477 +7 *5040:5 0.0022557 +8 *5040:4 0.00221008 +9 *5040:5 *5066:13 0 +10 *5040:10 *5052:14 0 +11 *38932:A *39825:A 0 +12 *38932:A *5040:21 0 +13 *39646:A *5040:21 0 +14 *39674:A *5040:21 0 +15 *301:7 *5040:21 0 +16 *342:28 *5040:5 0 +17 *1028:32 *39825:A 0 +18 *1028:32 *5040:21 0 +19 *2482:14 *5040:10 0 +20 *2482:18 *5040:10 0 +21 *2519:9 *5040:5 0 +22 *2519:9 *5040:11 0 +23 *2519:19 *5040:11 0 +24 *2519:36 *5040:21 0 +25 *2519:47 *5040:21 0 +26 *2606:22 *5040:21 0 +27 *2625:35 *5040:21 0 +28 *2667:19 *5040:11 0 +29 *2667:19 *5040:21 0 +30 *2667:32 *5040:21 0 +31 *2758:32 *39825:A 0 +32 *4677:29 *5040:21 0 +33 *4936:23 *7765:DIODE 0 +34 *4958:37 *5040:21 0 +*RES +1 *37781:X *5040:4 9.3 +2 *5040:4 *5040:5 46.125 +3 *5040:5 *5040:10 10.0357 +4 *5040:10 *5040:11 96.6429 +5 *5040:11 *5040:21 32.3571 +6 *5040:21 *39825:A 23.9786 +7 *5040:21 *7765:DIODE 19.6393 +*END + +*D_NET *5041 0.00227097 +*CONN +*I *39823:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37783:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39823:A 0 +2 *37783:X 0.00113548 +3 *5041:9 0.00113548 +4 *2344:37 *5041:9 0 +5 *2482:19 *5041:9 0 +6 *2539:25 *5041:9 0 +7 *2737:26 *5041:9 0 +8 *4681:21 *5041:9 0 +*RES +1 *37783:X *5041:9 42.2286 +2 *5041:9 *39823:A 9.3 +*END + +*D_NET *5042 0.00770828 +*CONN +*I *39820:A I *D sky130_fd_sc_hd__buf_2 +*I *37785:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39820:A 0.000827211 +2 *37785:X 0.00126534 +3 *5042:25 0.00258879 +4 *5042:10 0.00302693 +5 *5042:25 *39816:A 0 +6 *5042:25 *5046:25 0 +7 *310:7 *39820:A 0 +8 *322:25 *5042:25 0 +9 *330:15 *39820:A 0 +10 *2511:16 *39820:A 0 +11 *2515:61 *5042:25 0 +12 *2536:9 *5042:10 0 +13 *2536:9 *5042:25 0 +14 *2556:10 *5042:25 0 +15 *2558:14 *39820:A 0 +16 *2569:28 *39820:A 0 +17 *2623:57 *5042:10 0 +18 *2626:58 *5042:25 0 +19 *2626:59 *5042:25 0 +20 *2635:11 *5042:25 0 +21 *2643:17 *5042:25 0 +22 *2669:48 *39820:A 0 +23 *2686:5 *39820:A 0 +24 *4669:10 *39820:A 0 +*RES +1 *37785:X *5042:10 44.8179 +2 *5042:10 *5042:25 46.2857 +3 *5042:25 *39820:A 45.1929 +*END + +*D_NET *5043 0.0172138 +*CONN +*I *39283:A I *D sky130_fd_sc_hd__buf_12 +*I *7261:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37787:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *39283:A 0.000279476 +2 *7261:DIODE 2.56688e-05 +3 *37787:X 0 +4 *5043:12 0.00115548 +5 *5043:9 0.00646495 +6 *5043:8 0.00745144 +7 *5043:5 0.00183682 +8 *5043:12 *5045:6 0 +9 mprj_dat_o_user[16] *39283:A 0 +10 mprj_dat_o_user[16] *5043:12 0 +11 *7800:DIODE *5043:12 0 +12 *7845:DIODE *5043:8 0 +13 *39679:A *5043:8 0 +14 *39936:A *5043:8 0 +15 *39941:A *5043:8 0 +16 *309:17 *39283:A 0 +17 *1004:8 *39283:A 0 +18 *1007:15 *5043:12 0 +19 *1292:14 *5043:8 0 +20 *1366:16 *5043:8 0 +21 *2514:9 *5043:9 0 +22 *2589:36 *5043:8 0 +23 *2591:46 *5043:12 0 +24 *2599:47 *39283:A 0 +25 *2612:27 *5043:9 0 +26 *2662:29 *7261:DIODE 0 +27 *2685:16 *5043:12 0 +28 *2697:13 *5043:9 0 +29 *2701:21 *5043:9 0 +30 *2701:24 *5043:12 0 +31 *2776:18 *5043:8 0 +32 *2780:8 *5043:8 0 +33 *2892:19 *5043:8 0 +34 *2892:25 *5043:8 0 +35 *2911:44 *5043:8 0 +*RES +1 *37787:X *5043:5 13.8 +2 *5043:5 *5043:8 46.2143 +3 *5043:8 *5043:9 117.179 +4 *5043:9 *5043:12 23.75 +5 *5043:12 *7261:DIODE 14.3357 +6 *5043:12 *39283:A 19.8 +*END + +*D_NET *5044 0.00128541 +*CONN +*I *39819:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37789:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39819:A 0.000642705 +2 *37789:X 0.000642705 +3 *2232:35 *39819:A 0 +4 *2742:29 *39819:A 0 +5 *5038:11 *39819:A 0 +*RES +1 *37789:X *39819:A 41.1714 +*END + +*D_NET *5045 0.00866461 +*CONN +*I *7263:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39285:A I *D sky130_fd_sc_hd__buf_12 +*I *37791:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7263:DIODE 0 +2 *39285:A 0.00013106 +3 *37791:X 0 +4 *5045:9 0.000629034 +5 *5045:6 0.00420124 +6 *5045:5 0.00370327 +7 *5045:6 *39844:A 0 +8 *5045:6 *5047:12 0 +9 mprj_adr_o_user[18] *39285:A 0 +10 mprj_dat_o_user[17] *39285:A 0 +11 *8385:DIODE *5045:6 0 +12 *37763:A *5045:6 0 +13 *39448:B *5045:6 0 +14 *309:17 *5045:6 0 +15 *309:19 *5045:6 0 +16 *1366:8 *5045:6 0 +17 *1366:12 *5045:6 0 +18 *1774:16 *5045:6 0 +19 *2642:63 *39285:A 0 +20 *2654:29 *39285:A 0 +21 *2662:27 *5045:9 0 +22 *2662:29 *5045:9 0 +23 *3556:62 *5045:6 0 +24 *3621:21 *5045:6 0 +25 *4158:54 *5045:6 0 +26 *4174:67 *5045:6 0 +27 *5043:12 *5045:6 0 +*RES +1 *37791:X *5045:5 13.8 +2 *5045:5 *5045:6 83.9107 +3 *5045:6 *5045:9 14.8929 +4 *5045:9 *39285:A 21.1929 +5 *5045:9 *7263:DIODE 9.3 +*END + +*D_NET *5046 0.0161476 +*CONN +*I *7759:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39816:A I *D sky130_fd_sc_hd__buf_2 +*I *37793:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7759:DIODE 0 +2 *39816:A 0.000124066 +3 *37793:X 0.00017925 +4 *5046:25 0.00151242 +5 *5046:9 0.00777047 +6 *5046:8 0.00656136 +7 *39861:A *5046:25 0 +8 *300:7 *5046:8 0 +9 *343:7 *5046:25 0 +10 *1377:17 *5046:9 0 +11 *1922:40 *5046:9 0 +12 *2158:32 *5046:9 0 +13 *2170:28 *5046:9 0 +14 *2495:17 *5046:25 0 +15 *2504:29 *5046:9 0 +16 *2536:9 *39816:A 0 +17 *2536:9 *5046:25 0 +18 *2557:16 *5046:25 0 +19 *2559:39 *5046:25 0 +20 *2623:57 *5046:25 0 +21 *2692:35 *5046:25 0 +22 *2766:19 *5046:8 0 +23 *2783:20 *5046:25 0 +24 *2868:9 *5046:9 0 +25 *2895:19 *5046:9 0 +26 *2896:11 *5046:9 0 +27 *2909:20 *5046:25 0 +28 *4662:15 *5046:25 0 +29 *5042:25 *39816:A 0 +30 *5042:25 *5046:25 0 +*RES +1 *37793:X *5046:8 22.3714 +2 *5046:8 *5046:9 133.196 +3 *5046:9 *5046:25 48.6607 +4 *5046:25 *39816:A 11.8893 +5 *5046:25 *7759:DIODE 9.3 +*END + +*D_NET *5047 0.0130886 +*CONN +*I *39844:A I *D sky130_fd_sc_hd__buf_2 +*I *7780:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37757:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39844:A 0.000209043 +2 *7780:DIODE 0 +3 *37757:X 0.00107493 +4 *5047:12 0.000268033 +5 *5047:9 0.00526034 +6 *5047:8 0.00627628 +7 *39932:A *5047:8 0 +8 *311:34 *39844:A 0 +9 *321:10 *5047:9 0 +10 *334:15 *5047:8 0 +11 *354:14 *5047:9 0 +12 *2787:9 *5047:9 0 +13 *2892:19 *5047:8 0 +14 *4667:24 *5047:8 0 +15 *4700:11 *39844:A 0 +16 *4712:9 *5047:9 0 +17 *5045:6 *39844:A 0 +18 *5045:6 *5047:12 0 +*RES +1 *37757:X *5047:8 42.7107 +2 *5047:8 *5047:9 108.554 +3 *5047:9 *5047:12 5.83929 +4 *5047:12 *7780:DIODE 13.8 +5 *5047:12 *39844:A 18.2464 +*END + +*D_NET *5048 0.0194141 +*CONN +*I *5964:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38000:C I *D sky130_fd_sc_hd__and3b_1 +*I *38860:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *5964:DIODE 2.26741e-05 +2 *38000:C 0.000265672 +3 *38860:X 0.0011134 +4 *5048:82 0.000887012 +5 *5048:75 0.00219751 +6 *5048:66 0.00504355 +7 *5048:54 0.0044 +8 *5048:37 0.00266307 +9 *5048:20 0.00282117 +10 *5048:20 *5070:30 0 +11 *5048:66 *39088:A 0 +12 *5048:75 *5200:21 0 +13 la_data_in_mprj[53] *5048:54 0 +14 la_data_in_mprj[55] *5048:37 0 +15 la_data_in_mprj[56] *5048:37 0 +16 la_data_in_mprj[57] *5048:37 0 +17 *6806:DIODE *5048:66 0 +18 *38539:A *5048:20 0 +19 *38879:A *5048:20 0 +20 *39099:A *5048:37 0 +21 *39103:A *5048:20 0 +22 *464:13 *5048:54 0 +23 *468:8 *5048:20 0 +24 *842:8 *5048:66 0 +25 *1395:23 *5048:75 0 +26 *1399:18 *38000:C 0 +27 *1524:24 *5964:DIODE 0 +28 *1524:24 *38000:C 0 +29 *1985:9 *5048:54 0 +30 *2018:35 *5048:75 0 +31 *2045:45 *5048:82 0 +32 *3057:12 *5048:75 0 +33 *3057:12 *5048:82 0 +34 *3062:8 *5048:54 0 +35 *3146:12 *5048:66 0 +36 *3336:41 *5048:66 0 +37 *3336:41 *5048:75 0 +38 *3336:51 *5964:DIODE 0 +39 *3336:51 *38000:C 0 +40 *3450:26 *5048:66 0 +41 *3538:13 *5048:66 0 +42 *3583:15 *5048:66 0 +43 *3616:7 *5048:20 0 +44 *3616:11 *5048:54 0 +45 *3616:34 *5048:66 0 +46 *3660:22 *5048:20 0 +47 *3919:30 *5048:82 0 +48 *4068:37 *5048:75 0 +49 *4173:17 *5048:75 0 +50 *4394:20 *38000:C 0 +51 *4448:8 *5048:66 0 +52 *4454:83 *5048:66 0 +53 *4459:31 *5048:54 0 +54 *4459:31 *5048:66 0 +55 *4459:36 *5048:37 0 +56 *4468:33 *5048:20 0 +57 *4468:34 *5048:37 0 +58 *4470:34 *5048:66 0 +59 *4477:24 *5048:20 0 +60 *4831:15 *5048:75 0 +61 *4839:17 *5048:37 0 +62 *4844:18 *5048:20 0 +63 *4893:14 *5048:66 0 +64 *5015:31 *5048:66 0 +65 *5026:11 *5048:37 0 +66 *5026:13 *5048:37 0 +*RES +1 *38860:X *5048:20 46.5143 +2 *5048:20 *5048:37 49.375 +3 *5048:37 *5048:54 46.0618 +4 *5048:54 *5048:66 40.8126 +5 *5048:66 *5048:75 48.2321 +6 *5048:75 *5048:82 18.0357 +7 *5048:82 *38000:C 14.9071 +8 *5048:82 *5964:DIODE 9.83571 +*END + +*D_NET *5049 0.0123318 +*CONN +*I *7758:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39815:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37795:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7758:DIODE 0.000131154 +2 *39815:A 0.00027914 +3 *37795:X 0.000855642 +4 *5049:36 0.00101443 +5 *5049:32 0.00290253 +6 *5049:18 0.0042958 +7 *5049:10 0.00285305 +8 la_data_in_core[36] *5049:18 0 +9 la_oenb_core[27] *39815:A 0 +10 la_oenb_core[33] *5049:18 0 +11 *38948:A *5049:18 0 +12 *38950:A *5049:10 0 +13 *38950:A *5049:18 0 +14 *1001:28 *5049:32 0 +15 *1031:47 *7758:DIODE 0 +16 *1031:47 *39815:A 0 +17 *2451:19 *39815:A 0 +18 *2451:34 *5049:32 0 +19 *2452:40 *7758:DIODE 0 +20 *2452:40 *39815:A 0 +21 *2452:49 *5049:18 0 +22 *2452:55 *5049:18 0 +23 *2484:51 *5049:18 0 +24 *2488:29 *5049:32 0 +25 *2575:15 *5049:10 0 +26 *2575:15 *5049:32 0 +27 *2664:11 *5049:32 0 +28 *2711:24 *5049:10 0 +29 *2711:25 *5049:36 0 +30 *2758:73 *5049:32 0 +31 *4665:64 *39815:A 0 +32 *4665:65 *5049:36 0 +33 *4674:20 *5049:10 0 +34 *4675:26 *5049:32 0 +35 *4945:14 *7758:DIODE 0 +36 *4963:42 *5049:32 0 +37 *4963:57 *5049:18 0 +*RES +1 *37795:X *5049:10 36.2821 +2 *5049:10 *5049:18 46.3571 +3 *5049:18 *5049:32 42.5399 +4 *5049:32 *5049:36 17.2232 +5 *5049:36 *39815:A 20.2911 +6 *5049:36 *7758:DIODE 16.8089 +*END + +*D_NET *5050 0.00811139 +*CONN +*I *7267:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39289:A I *D sky130_fd_sc_hd__buf_12 +*I *37797:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7267:DIODE 0 +2 *39289:A 0.000159146 +3 *37797:X 0.000128252 +4 *5050:29 0.000319576 +5 *5050:26 0.00184417 +6 *5050:18 0.00360787 +7 *5050:14 0.00205237 +8 *5050:26 *39843:A 0 +9 *5050:26 *5063:20 0 +10 mprj_adr_o_user[20] *5050:26 0 +11 *7264:DIODE *5050:26 0 +12 *7742:DIODE *5050:26 0 +13 *291:33 *5050:18 0 +14 *319:17 *5050:18 0 +15 *1008:29 *5050:26 0 +16 *1012:9 *5050:26 0 +17 *1352:8 *5050:18 0 +18 *1357:14 *5050:18 0 +19 *2614:19 *5050:26 0 +20 *2619:14 *5050:26 0 +21 *2641:24 *5050:26 0 +22 *2642:47 *39289:A 0 +23 *2642:47 *5050:29 0 +24 *2645:42 *5050:26 0 +25 *2646:59 *39289:A 0 +26 *2654:25 *39289:A 0 +27 *2654:25 *5050:29 0 +28 *2654:29 *5050:29 0 +29 *2657:62 *39289:A 0 +30 *2668:15 *5050:26 0 +*RES +1 *37797:X *5050:14 16.8893 +2 *5050:14 *5050:18 43.7589 +3 *5050:18 *5050:26 46.9018 +4 *5050:26 *5050:29 7.91071 +5 *5050:29 *39289:A 12.6214 +6 *5050:29 *7267:DIODE 9.3 +*END + +*D_NET *5051 0.00532415 +*CONN +*I *39813:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37799:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *39813:A 0 +2 *37799:X 0.000477235 +3 *5051:23 0.00218484 +4 *5051:16 0.00266208 +5 *5051:16 *5117:32 0 +6 *5051:23 *5113:14 0 +7 *5051:23 *5116:47 0 +8 *5051:23 *5117:33 0 +9 *2221:89 *5051:16 0 +10 *2254:50 *5051:23 0 +11 *2279:30 *5051:23 0 +12 *2315:33 *5051:16 0 +*RES +1 *37799:X *5051:16 37.6929 +2 *5051:16 *5051:23 45.875 +3 *5051:23 *39813:A 9.3 +*END + +*D_NET *5052 0.00353719 +*CONN +*I *39810:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37801:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39810:A 0.0013716 +2 *37801:X 0.000397 +3 *5052:14 0.0017686 +4 *311:19 *39810:A 0 +5 *333:42 *5052:14 0 +6 *345:31 *39810:A 0 +7 *1023:92 *39810:A 0 +8 *2482:14 *5052:14 0 +9 *2521:21 *39810:A 0 +10 *2656:18 *5052:14 0 +11 *4675:14 *39810:A 0 +12 *4951:22 *39810:A 0 +13 *4953:18 *5052:14 0 +14 *5040:10 *5052:14 0 +*RES +1 *37801:X *5052:14 30.8507 +2 *5052:14 *39810:A 47.1571 +*END + +*D_NET *5053 0.0123693 +*CONN +*I *39292:A I *D sky130_fd_sc_hd__buf_12 +*I *7270:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37803:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39292:A 0.000206212 +2 *7270:DIODE 0 +3 *37803:X 0.00125313 +4 *5053:22 0.00163736 +5 *5053:17 0.00365577 +6 *5053:13 0.00329416 +7 *5053:10 0.00232267 +8 *5053:13 *5063:17 0 +9 *5053:17 *5063:17 0 +10 *5053:22 *5063:17 0 +11 mprj_adr_o_user[25] *39292:A 0 +12 mprj_adr_o_user[25] *5053:22 0 +13 *37939:A *5053:22 0 +14 *39692:A *5053:17 0 +15 *39782:A *5053:22 0 +16 *39841:A *5053:22 0 +17 *260:11 *5053:10 0 +18 *271:37 *5053:10 0 +19 *320:21 *5053:10 0 +20 *1017:33 *5053:22 0 +21 *1025:13 *5053:22 0 +22 *1028:22 *5053:22 0 +23 *1368:13 *5053:22 0 +24 *1484:46 *5053:10 0 +25 *2577:37 *39292:A 0 +26 *2589:21 *5053:13 0 +27 *2589:36 *5053:17 0 +28 *2591:20 *5053:22 0 +29 *2604:30 *5053:22 0 +30 *2609:19 *5053:13 0 +31 *2609:19 *5053:17 0 +32 *2609:19 *5053:22 0 +33 *2657:33 *39292:A 0 +34 *2665:16 *5053:22 0 +35 *2761:26 *5053:10 0 +36 *3547:30 *5053:10 0 +*RES +1 *37803:X *5053:10 46.6036 +2 *5053:10 *5053:13 22.3214 +3 *5053:13 *5053:17 46.5536 +4 *5053:17 *5053:22 36.6786 +5 *5053:22 *7270:DIODE 13.8 +6 *5053:22 *39292:A 18.2464 +*END + +*D_NET *5054 0.0116621 +*CONN +*I *39293:A I *D sky130_fd_sc_hd__buf_12 +*I *7271:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37805:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39293:A 0.000297296 +2 *7271:DIODE 0 +3 *37805:X 0.00114735 +4 *5054:34 0.00209665 +5 *5054:32 0.00264239 +6 *5054:16 0.00258708 +7 *5054:10 0.00289138 +8 *299:30 *5054:32 0 +9 *310:24 *5054:16 0 +10 *323:13 *39293:A 0 +11 *323:13 *5054:32 0 +12 *323:13 *5054:34 0 +13 *1280:17 *5054:10 0 +14 *2455:14 *5054:16 0 +15 *2506:11 *5054:32 0 +16 *2599:18 *39293:A 0 +17 *2599:18 *5054:34 0 +18 *2646:40 *39293:A 0 +19 *2767:15 *5054:10 0 +20 *2787:12 *5054:34 0 +21 *4749:13 *5054:32 0 +*RES +1 *37805:X *5054:10 42.3536 +2 *5054:10 *5054:16 45.4821 +3 *5054:16 *5054:32 31.6696 +4 *5054:32 *5054:34 40.9196 +5 *5054:34 *7271:DIODE 13.8 +6 *5054:34 *39293:A 20.5679 +*END + +*D_NET *5055 0.0141889 +*CONN +*I *7753:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39808:A I *D sky130_fd_sc_hd__buf_2 +*I *37807:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7753:DIODE 0 +2 *39808:A 0.000242998 +3 *37807:X 0.000874501 +4 *5055:31 0.00187938 +5 *5055:29 0.00376069 +6 *5055:21 0.00226741 +7 *5055:16 0.00221628 +8 *5055:8 0.00294768 +9 *37913:A *5055:31 0 +10 *339:23 *5055:8 0 +11 *339:35 *5055:8 0 +12 *341:29 *5055:16 0 +13 *2487:36 *5055:31 0 +14 *2488:9 *5055:29 0 +15 *2500:37 *5055:31 0 +16 *2534:27 *5055:29 0 +17 *2534:27 *5055:31 0 +18 *2537:30 *39808:A 0 +19 *2537:30 *5055:31 0 +20 *2541:15 *5055:16 0 +21 *2541:40 *5055:31 0 +22 *2590:45 *5055:16 0 +23 *2610:37 *5055:16 0 +24 *2610:39 *5055:16 0 +25 *2692:16 *5055:16 0 +26 *2702:34 *39808:A 0 +27 *2707:25 *5055:31 0 +28 *2754:9 *5055:29 0 +29 *2754:37 *5055:21 0 +30 *2754:37 *5055:29 0 +31 *2758:19 *5055:29 0 +32 *2759:23 *5055:16 0 +33 *2903:21 *5055:31 0 +*RES +1 *37807:X *5055:8 38.1571 +2 *5055:8 *5055:16 48.1964 +3 *5055:16 *5055:21 7.51786 +4 *5055:21 *5055:29 44.6429 +5 *5055:29 *5055:31 34.2143 +6 *5055:31 *39808:A 14.3714 +7 *5055:31 *7753:DIODE 9.3 +*END + +*D_NET *5056 0.018282 +*CONN +*I *7752:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39807:A I *D sky130_fd_sc_hd__buf_2 +*I *37809:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7752:DIODE 0 +2 *39807:A 0.000156294 +3 *37809:X 0.00206753 +4 *5056:57 0.00198589 +5 *5056:46 0.00268434 +6 *5056:31 0.00377179 +7 *5056:29 0.00423284 +8 *5056:11 0.00338332 +9 *5056:11 *5058:16 0 +10 *5056:11 *5120:37 0 +11 *5056:29 *39800:A 0 +12 *5056:46 *5057:38 0 +13 *5056:57 *39806:A 0 +14 *6249:DIODE *5056:57 0 +15 *38211:A *5056:29 0 +16 *2160:25 *5056:11 0 +17 *2173:25 *5056:11 0 +18 *2486:31 *5056:31 0 +19 *2584:11 *5056:11 0 +20 *2601:29 *5056:29 0 +21 *2601:29 *5056:31 0 +22 *2617:36 *5056:31 0 +23 *2623:23 *5056:31 0 +24 *2623:23 *5056:46 0 +25 *2623:31 *5056:46 0 +26 *2623:31 *5056:57 0 +27 *2623:45 *39807:A 0 +28 *2623:45 *5056:57 0 +29 *2630:12 *5056:57 0 +30 *2636:16 *5056:29 0 +31 *2692:23 *5056:31 0 +32 *2692:23 *5056:57 0 +33 *2699:27 *5056:11 0 +34 *2759:47 *5056:11 0 +35 *2873:24 *5056:29 0 +36 *2881:31 *5056:29 0 +37 *2881:42 *5056:29 0 +38 *2883:41 *5056:11 0 +39 *2888:37 *5056:31 0 +40 *2890:42 *5056:11 0 +41 *2895:35 *5056:57 0 +42 *5039:16 *5056:11 0 +*RES +1 *37809:X *5056:11 47.8093 +2 *5056:11 *5056:29 44.8654 +3 *5056:29 *5056:31 60.9107 +4 *5056:31 *5056:46 36.1607 +5 *5056:46 *5056:57 47.3929 +6 *5056:57 *39807:A 21.6214 +7 *5056:57 *7752:DIODE 9.3 +*END + +*D_NET *5057 0.0196889 +*CONN +*I *7751:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39806:A I *D sky130_fd_sc_hd__buf_4 +*I *37811:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *7751:DIODE 0 +2 *39806:A 0.000208148 +3 *37811:X 0.00265077 +4 *5057:39 0.000509329 +5 *5057:38 0.00215521 +6 *5057:17 0.00665879 +7 *5057:16 0.00483033 +8 *5057:11 0.00267633 +9 *5057:11 *5071:20 0 +10 *5057:11 *5118:22 0 +11 *5057:11 *5135:52 0 +12 *5057:17 *39741:A 0 +13 *5057:17 *5108:17 0 +14 *38197:A *5057:17 0 +15 *39763:A *5057:38 0 +16 *1014:10 *5057:38 0 +17 *1940:47 *5057:11 0 +18 *2193:28 *5057:17 0 +19 *2197:38 *5057:11 0 +20 *2528:27 *5057:17 0 +21 *2528:41 *5057:17 0 +22 *2528:43 *5057:17 0 +23 *2531:31 *5057:17 0 +24 *2531:31 *5057:38 0 +25 *2547:9 *5057:17 0 +26 *2564:32 *5057:11 0 +27 *2578:18 *5057:38 0 +28 *2578:34 *39806:A 0 +29 *2582:20 *5057:38 0 +30 *2617:37 *5057:17 0 +31 *2617:37 *5057:38 0 +32 *2623:31 *39806:A 0 +33 *2623:31 *5057:38 0 +34 *2628:14 *5057:11 0 +35 *2676:13 *5057:38 0 +36 *2692:23 *39806:A 0 +37 *2692:23 *5057:39 0 +38 *2693:78 *5057:11 0 +39 *2754:37 *5057:17 0 +40 *2877:33 *5057:16 0 +41 *2883:41 *5057:11 0 +42 *5056:46 *5057:38 0 +43 *5056:57 *39806:A 0 +*RES +1 *37811:X *5057:11 47.7258 +2 *5057:11 *5057:16 8.49036 +3 *5057:16 *5057:17 100.339 +4 *5057:17 *5057:38 45.4008 +5 *5057:38 *5057:39 6.28571 +6 *5057:39 *39806:A 23.0946 +7 *5057:39 *7751:DIODE 9.3 +*END + +*D_NET *5058 0.0180317 +*CONN +*I *7750:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39805:A I *D sky130_fd_sc_hd__buf_2 +*I *37813:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7750:DIODE 0 +2 *39805:A 0.000169606 +3 *37813:X 0.000644397 +4 *5058:48 0.000543849 +5 *5058:33 0.00445387 +6 *5058:32 0.00520982 +7 *5058:22 0.0022185 +8 *5058:16 0.00261778 +9 *5058:10 0.00217387 +10 *5058:16 *5071:21 0 +11 *5058:16 *5135:61 0 +12 *5058:32 *7693:DIODE 0 +13 *294:25 *39805:A 0 +14 *294:25 *5058:48 0 +15 *342:50 *5058:10 0 +16 *1812:13 *5058:10 0 +17 *1940:47 *5058:16 0 +18 *2168:9 *5058:10 0 +19 *2170:9 *5058:10 0 +20 *2198:43 *5058:10 0 +21 *2487:36 *5058:48 0 +22 *2578:18 *39805:A 0 +23 *2579:17 *5058:32 0 +24 *2587:11 *5058:22 0 +25 *2653:11 *5058:48 0 +26 *2693:94 *5058:22 0 +27 *2707:23 *5058:33 0 +28 *2707:25 *5058:33 0 +29 *2707:37 *39805:A 0 +30 *2707:41 *39805:A 0 +31 *2729:10 *5058:10 0 +32 *2735:39 *5058:16 0 +33 *2754:37 *5058:33 0 +34 *2754:39 *5058:32 0 +35 *2754:39 *5058:33 0 +36 *2877:33 *5058:16 0 +37 *2900:34 *5058:48 0 +38 *3195:33 *5058:10 0 +39 *4686:7 *5058:32 0 +40 *5039:28 *5058:22 0 +41 *5056:11 *5058:16 0 +*RES +1 *37813:X *5058:10 32.7464 +2 *5058:10 *5058:16 41.8393 +3 *5058:16 *5058:22 31.8214 +4 *5058:22 *5058:32 33 +5 *5058:32 *5058:33 85.1429 +6 *5058:33 *5058:48 26.3929 +7 *5058:48 *39805:A 21.925 +8 *5058:48 *7750:DIODE 9.3 +*END + +*D_NET *5059 0.00470198 +*CONN +*I *37892:C I *D sky130_fd_sc_hd__and3b_1 +*I *38861:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *37892:C 0 +2 *38861:X 0.000458616 +3 *5059:11 0.00189238 +4 *5059:7 0.00235099 +5 *5059:11 *5181:10 0 +6 *37940:A_N *5059:11 0 +7 *37940:B *5059:11 0 +8 *39476:A *5059:11 0 +9 *3069:34 *5059:11 0 +10 *3376:30 *5059:11 0 +11 *3381:40 *5059:11 0 +12 *3381:55 *5059:11 0 +13 *3749:9 *5059:11 0 +14 *4167:40 *5059:11 0 +15 *4396:36 *5059:11 0 +16 *4419:51 *5059:11 0 +17 *4504:57 *5059:7 0 +*RES +1 *38861:X *5059:7 23.3714 +2 *5059:7 *5059:11 47.3571 +3 *5059:11 *37892:C 9.3 +*END + +*D_NET *5060 0.0179031 +*CONN +*I *39298:A I *D sky130_fd_sc_hd__buf_12 +*I *7276:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37759:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *39298:A 0.000139467 +2 *7276:DIODE 0 +3 *37759:X 4.96263e-05 +4 *5060:12 0.00120128 +5 *5060:7 0.00876245 +6 *5060:5 0.00775026 +7 *1469:15 *5060:7 0 +8 *2685:23 *5060:12 0 +9 *2708:31 *39298:A 0 +10 *4642:17 *5060:5 0 +11 *4642:17 *5060:7 0 +*RES +1 *37759:X *5060:5 10.3357 +2 *5060:5 *5060:7 160.714 +3 *5060:7 *5060:12 33.1071 +4 *5060:12 *7276:DIODE 9.3 +5 *5060:12 *39298:A 12.2107 +*END + +*D_NET *5061 0.000852384 +*CONN +*I *39804:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37815:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39804:A 0.000426192 +2 *37815:X 0.000426192 +3 *2735:39 *39804:A 0 +4 *3899:29 *39804:A 0 +*RES +1 *37815:X *39804:A 36.6536 +*END + +*D_NET *5062 0.00100847 +*CONN +*I *39802:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37817:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39802:A 0.000504234 +2 *37817:X 0.000504234 +3 *318:7 *39802:A 0 +4 *2532:33 *39802:A 0 +5 *2545:19 *39802:A 0 +6 *2742:29 *39802:A 0 +*RES +1 *37817:X *39802:A 38.2071 +*END + +*D_NET *5063 0.0179515 +*CONN +*I *39843:A I *D sky130_fd_sc_hd__buf_2 +*I *7779:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37761:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39843:A 0.000238928 +2 *7779:DIODE 0 +3 *37761:X 0.00101878 +4 *5063:20 0.000284546 +5 *5063:17 0.00688335 +6 *5063:16 0.00767243 +7 *5063:10 0.00185348 +8 *37939:A *5063:17 0 +9 *294:35 *5063:10 0 +10 *322:25 *5063:10 0 +11 *322:27 *5063:10 0 +12 *330:15 *5063:16 0 +13 *332:15 *5063:10 0 +14 *337:41 *5063:10 0 +15 *1012:9 *39843:A 0 +16 *1012:9 *5063:20 0 +17 *1029:31 *5063:10 0 +18 *1368:13 *5063:17 0 +19 *2498:14 *5063:16 0 +20 *2506:31 *5063:10 0 +21 *2509:7 *39843:A 0 +22 *2563:21 *5063:16 0 +23 *2589:21 *5063:17 0 +24 *2597:13 *5063:16 0 +25 *2884:10 *5063:10 0 +26 *2899:9 *39843:A 0 +27 *3597:21 *5063:10 0 +28 *4677:5 *5063:16 0 +29 *5050:26 *39843:A 0 +30 *5050:26 *5063:20 0 +31 *5053:13 *5063:17 0 +32 *5053:17 *5063:17 0 +33 *5053:22 *5063:17 0 +*RES +1 *37761:X *5063:10 41.2464 +2 *5063:10 *5063:16 26.75 +3 *5063:16 *5063:17 142.643 +4 *5063:17 *5063:20 5.53571 +5 *5063:20 *7779:DIODE 13.8 +6 *5063:20 *39843:A 19.0143 +*END + +*D_NET *5064 0.0152336 +*CONN +*I *7280:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39302:A I *D sky130_fd_sc_hd__buf_12 +*I *37763:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *7280:DIODE 0 +2 *39302:A 0.000157822 +3 *37763:X 2.56688e-05 +4 *5064:29 0.0044736 +5 *5064:28 0.00552926 +6 *5064:10 0.00311755 +7 *5064:7 0.00192973 +8 *5064:29 *5160:22 0 +9 *7337:DIODE *39302:A 0 +10 *39280:A *5064:29 0 +11 *39281:A *5064:28 0 +12 *39304:A *5064:29 0 +13 *39342:A *5064:29 0 +14 *39347:A *5064:10 0 +15 *39371:A *5064:29 0 +16 *312:38 *5064:7 0 +17 *317:15 *5064:10 0 +18 *1001:16 *5064:29 0 +19 *1026:7 *39302:A 0 +20 *2514:8 *5064:10 0 +21 *2591:53 *5064:29 0 +22 *2646:83 *5064:28 0 +23 *2650:49 *5064:28 0 +24 *2665:55 *5064:29 0 +25 *2674:15 *5064:28 0 +26 *2678:17 *39302:A 0 +27 *2678:17 *5064:28 0 +28 *2678:17 *5064:29 0 +29 *2678:23 *39302:A 0 +30 *2685:16 *5064:10 0 +31 *2708:13 *5064:28 0 +32 *2708:20 *5064:29 0 +33 *2799:11 *5064:7 0 +*RES +1 *37763:X *5064:7 14.3357 +2 *5064:7 *5064:10 47.7321 +3 *5064:10 *5064:28 34.7321 +4 *5064:28 *5064:29 90.0714 +5 *5064:29 *39302:A 21.8 +6 *5064:29 *7280:DIODE 9.3 +*END + +*D_NET *5065 0.00122229 +*CONN +*I *39842:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37765:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39842:A 0.000611143 +2 *37765:X 0.000611143 +3 *39783:A *39842:A 0 +4 *282:9 *39842:A 0 +5 *331:11 *39842:A 0 +6 *2604:9 *39842:A 0 +7 *4669:50 *39842:A 0 +*RES +1 *37765:X *39842:A 40.6357 +*END + +*D_NET *5066 0.00479608 +*CONN +*I *39840:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37767:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39840:A 0 +2 *37767:X 0.000190954 +3 *5066:13 0.00220709 +4 *5066:11 0.00239804 +5 *5611:DIODE *5066:11 0 +6 *37781:A *5066:11 0 +7 *342:28 *5066:11 0 +8 *342:28 *5066:13 0 +9 *349:10 *5066:11 0 +10 *349:10 *5066:13 0 +11 *2344:51 *5066:11 0 +12 *2519:9 *5066:13 0 +13 *2644:16 *5066:11 0 +14 *5040:5 *5066:13 0 +*RES +1 *37767:X *5066:11 22.4429 +2 *5066:11 *5066:13 46.125 +3 *5066:13 *39840:A 9.3 +*END + +*D_NET *5067 0.0108062 +*CONN +*I *39305:A I *D sky130_fd_sc_hd__buf_12 +*I *7283:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37769:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39305:A 0.000159146 +2 *7283:DIODE 0 +3 *37769:X 0 +4 *5067:10 0.000159146 +5 *5067:8 0.00251921 +6 *5067:7 0.00251921 +7 *5067:5 0.00272474 +8 *5067:4 0.00272474 +9 *39427:A *5067:5 0 +10 *39427:B *5067:5 0 +11 *294:70 *5067:5 0 +12 *306:11 *5067:8 0 +13 *1028:9 *5067:8 0 +14 *1479:10 *5067:8 0 +15 *2668:37 *39305:A 0 +16 *2910:8 *5067:8 0 +17 *4700:10 *5067:8 0 +*RES +1 *37769:X *5067:4 9.3 +2 *5067:4 *5067:5 56.8036 +3 *5067:5 *5067:7 4.5 +4 *5067:7 *5067:8 57.1964 +5 *5067:8 *5067:10 4.5 +6 *5067:10 *7283:DIODE 9.3 +7 *5067:10 *39305:A 12.6214 +*END + +*D_NET *5068 0.0131001 +*CONN +*I *39306:A I *D sky130_fd_sc_hd__buf_12 +*I *7284:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37771:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *39306:A 0.000166932 +2 *7284:DIODE 0 +3 *37771:X 0.00136857 +4 *5068:26 0.00290279 +5 *5068:25 0.00378486 +6 *5068:22 0.00227867 +7 *5068:11 0.00259825 +8 *39306:A *5160:43 0 +9 mprj_dat_o_user[8] *39306:A 0 +10 mprj_dat_o_user[8] *5068:26 0 +11 *39370:A *5068:26 0 +12 *39447:B *5068:22 0 +13 *39956:A *5068:22 0 +14 *40050:A *5068:22 0 +15 *303:13 *5068:26 0 +16 *1030:7 *39306:A 0 +17 *1030:7 *5068:26 0 +18 *1153:14 *5068:25 0 +19 *1340:17 *5068:25 0 +20 *1356:11 *5068:11 0 +21 *1358:25 *5068:22 0 +22 *1358:25 *5068:25 0 +23 *1367:8 *5068:26 0 +24 *1471:12 *5068:22 0 +25 *1486:22 *5068:25 0 +26 *1486:32 *5068:11 0 +27 *1486:32 *5068:22 0 +28 *1486:35 *5068:11 0 +29 *2596:8 *5068:26 0 +30 *2599:69 *39306:A 0 +31 *2772:8 *5068:22 0 +32 *2912:14 *5068:25 0 +*RES +1 *37771:X *5068:11 37.925 +2 *5068:11 *5068:22 34.8393 +3 *5068:22 *5068:25 26.3929 +4 *5068:25 *5068:26 62.0536 +5 *5068:26 *7284:DIODE 13.8 +6 *5068:26 *39306:A 17.425 +*END + +*D_NET *5069 0.00693554 +*CONN +*I *39837:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37773:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *39837:A 0.000340539 +2 *37773:X 0.00125587 +3 *5069:36 0.0022119 +4 *5069:23 0.00312723 +5 la_data_in_core[37] *5069:36 0 +6 la_oenb_core[41] *5069:23 0 +7 *7220:DIODE *5069:23 0 +8 *38949:A *39837:A 0 +9 *38956:A *5069:23 0 +10 *39210:A *5069:23 0 +11 *39756:A *5069:23 0 +12 *1007:112 *39837:A 0 +13 *1007:112 *5069:36 0 +14 *1013:73 *5069:23 0 +15 *2575:14 *5069:23 0 +16 *2575:14 *5069:36 0 +17 *2575:15 *39837:A 0 +18 *2575:15 *5069:36 0 +19 *2711:24 *5069:36 0 +20 *2711:25 *39837:A 0 +21 *3798:22 *5069:23 0 +22 *4687:19 *5069:23 0 +23 *4965:24 *39837:A 0 +24 *4973:26 *5069:23 0 +*RES +1 *37773:X *5069:23 44.9429 +2 *5069:23 *5069:36 48.3214 +3 *5069:36 *39837:A 16.4071 +*END + +*D_NET *5070 0.0145524 +*CONN +*I *8034:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40192:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38862:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8034:DIODE 0 +2 *40192:A 0.000195333 +3 *38862:X 7.23606e-05 +4 *5070:43 0.00187556 +5 *5070:39 0.00371015 +6 *5070:30 0.00368684 +7 *5070:20 0.00329834 +8 *5070:8 0.00171379 +9 *5070:8 *5081:8 0 +10 *5070:20 *40190:A 0 +11 *5070:43 *5198:39 0 +12 *6671:DIODE *5070:30 0 +13 *6675:DIODE *5070:30 0 +14 *6815:DIODE *5070:30 0 +15 *6997:DIODE *5070:20 0 +16 *7000:DIODE *5070:8 0 +17 *38537:A *5070:30 0 +18 *38539:A *5070:30 0 +19 *38679:A *5070:30 0 +20 *38857:A *5070:30 0 +21 *38860:A *5070:20 0 +22 *38879:A *5070:20 0 +23 *39103:A *5070:20 0 +24 *40441:A *5070:20 0 +25 *852:5 *5070:20 0 +26 *1807:34 *5070:20 0 +27 *2013:9 *5070:30 0 +28 *2055:14 *5070:39 0 +29 *2067:29 *5070:43 0 +30 *2071:11 *5070:8 0 +31 *3331:35 *5070:30 0 +32 *3331:60 *5070:43 0 +33 *3336:10 *5070:20 0 +34 *3538:13 *5070:39 0 +35 *3572:18 *5070:30 0 +36 *3605:17 *5070:39 0 +37 *3605:33 *5070:39 0 +38 *3616:7 *5070:30 0 +39 *3616:11 *5070:30 0 +40 *3683:12 *5070:20 0 +41 *3906:11 *40192:A 0 +42 *3906:11 *5070:43 0 +43 *3921:41 *40192:A 0 +44 *4459:45 *5070:20 0 +45 *4462:48 *5070:39 0 +46 *4462:49 *5070:20 0 +47 *4462:49 *5070:39 0 +48 *4474:11 *5070:20 0 +49 *4844:18 *5070:20 0 +50 *4948:11 *5070:43 0 +51 *5015:7 *5070:30 0 +52 *5048:20 *5070:30 0 +*RES +1 *38862:X *5070:8 19.9429 +2 *5070:8 *5070:20 48.441 +3 *5070:20 *5070:30 43.75 +4 *5070:30 *5070:39 47.0131 +5 *5070:39 *5070:43 40.8304 +6 *5070:43 *40192:A 22.4607 +7 *5070:43 *8034:DIODE 9.3 +*END + +*D_NET *5071 0.0146526 +*CONN +*I *7806:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39875:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37741:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *7806:DIODE 0 +2 *39875:A 0.000143745 +3 *37741:X 0.00191061 +4 *5071:26 0.000216086 +5 *5071:21 0.00393549 +6 *5071:20 0.00519962 +7 *5071:13 0.00324708 +8 *39480:A *5071:20 0 +9 *39867:A *5071:21 0 +10 *325:42 *5071:21 0 +11 *325:46 *5071:21 0 +12 *342:50 *5071:20 0 +13 *346:66 *5071:20 0 +14 *1377:26 *5071:21 0 +15 *1388:25 *39875:A 0 +16 *1812:13 *5071:20 0 +17 *1940:47 *5071:20 0 +18 *1944:42 *5071:13 0 +19 *1944:42 *5071:20 0 +20 *2160:25 *5071:21 0 +21 *2197:38 *5071:20 0 +22 *2598:10 *5071:20 0 +23 *2598:22 *5071:21 0 +24 *2703:29 *5071:26 0 +25 *2725:25 *5071:13 0 +26 *2725:33 *5071:13 0 +27 *2735:37 *5071:21 0 +28 *2735:39 *5071:21 0 +29 *2739:20 *5071:21 0 +30 *2765:47 *5071:26 0 +31 *2880:34 *39875:A 0 +32 *5057:11 *5071:20 0 +33 *5058:16 *5071:21 0 +*RES +1 *37741:X *5071:13 49.2375 +2 *5071:13 *5071:20 37.5804 +3 *5071:20 *5071:21 80.625 +4 *5071:21 *5071:26 10.6429 +5 *5071:26 *39875:A 12.3 +6 *5071:26 *7806:DIODE 9.3 +*END + +*D_NET *5072 0.0129965 +*CONN +*I *39309:A I *D sky130_fd_sc_hd__buf_12 +*I *7287:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37439:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *39309:A 0.000398795 +2 *7287:DIODE 0.000979763 +3 *37439:Y 0.00143435 +4 *5072:39 0.00213237 +5 *5072:38 0.00202298 +6 *5072:32 0.00293154 +7 *5072:17 0.00309672 +8 *7287:DIODE *5171:57 0 +9 *39309:A *5075:13 0 +10 *5072:32 *5191:27 0 +11 *5072:38 *5167:85 0 +12 *5072:38 *5171:77 0 +13 *5072:38 *5171:82 0 +14 mprj_dat_i_core[0] *39309:A 0 +15 *38618:A *5072:17 0 +16 *40490:A *5072:17 0 +17 *409:61 *5072:17 0 +18 *537:22 *5072:17 0 +19 *537:43 *5072:17 0 +20 *792:36 *5072:17 0 +21 *792:57 *5072:17 0 +22 *793:49 *5072:32 0 +23 *1731:32 *39309:A 0 +24 *1731:32 *5072:39 0 +25 *1833:19 *39309:A 0 +26 *1833:19 *5072:39 0 +27 *1857:28 *5072:38 0 +28 *1862:22 *5072:32 0 +29 *2406:16 *5072:32 0 +30 *2882:46 *5072:32 0 +31 *2893:17 *5072:17 0 +32 *2938:24 *5072:17 0 +33 *2960:20 *5072:17 0 +34 *2971:10 *5072:17 0 +35 *2983:16 *5072:38 0 +36 *3034:73 *5072:17 0 +37 *3087:34 *5072:32 0 +38 *3087:49 *5072:17 0 +39 *3087:49 *5072:32 0 +40 *3087:74 *5072:17 0 +41 *3106:51 *5072:17 0 +42 *3400:21 *5072:17 0 +43 *4393:16 *5072:32 0 +44 *4535:51 *7287:DIODE 0 +45 *4536:9 *5072:38 0 +46 *4562:26 *39309:A 0 +47 *4603:16 *7287:DIODE 0 +48 *4756:19 *5072:17 0 +*RES +1 *37439:Y *5072:17 48.4071 +2 *5072:17 *5072:32 37.124 +3 *5072:32 *5072:38 35.9643 +4 *5072:38 *5072:39 15.7321 +5 *5072:39 *7287:DIODE 40.925 +6 *5072:39 *39309:A 27.5321 +*END + +*D_NET *5073 0.0111877 +*CONN +*I *7288:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39310:A I *D sky130_fd_sc_hd__buf_12 +*I *37449:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *7288:DIODE 0.000553342 +2 *39310:A 8.4707e-05 +3 *37449:Y 0.000408775 +4 *5073:14 0.000869288 +5 *5073:11 0.00454702 +6 *5073:10 0.00472455 +7 *7288:DIODE *7289:DIODE 0 +8 *5073:11 *5180:19 0 +9 *5073:14 *5180:16 0 +10 *6870:DIODE *7288:DIODE 0 +11 *6904:DIODE *39310:A 0 +12 *1240:37 *5073:11 0 +13 *1728:28 *5073:11 0 +14 *1731:32 *5073:11 0 +15 *1833:17 *5073:11 0 +16 *1833:19 *5073:11 0 +17 *3030:23 *39310:A 0 +18 *3117:9 *7288:DIODE 0 +19 *4562:26 *5073:10 0 +20 *4564:11 *5073:11 0 +21 *4595:11 *5073:11 0 +22 *4595:18 *5073:11 0 +23 *4595:24 *5073:11 0 +*RES +1 *37449:Y *5073:10 27.2107 +2 *5073:10 *5073:11 90.0714 +3 *5073:11 *5073:14 9.78571 +4 *5073:14 *39310:A 15.5679 +5 *5073:14 *7288:DIODE 26.4071 +*END + +*D_NET *5074 0.0170707 +*CONN +*I *7289:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39311:A I *D sky130_fd_sc_hd__buf_12 +*I *37450:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *7289:DIODE 0.000794126 +2 *39311:A 0 +3 *37450:Y 0.00345113 +4 *5074:60 0.00236944 +5 *5074:56 0.00241155 +6 *5074:49 0.00271476 +7 *5074:44 0.00532966 +8 *5074:44 *5165:61 0 +9 *5074:44 *5175:48 0 +10 *5074:44 *5182:28 0 +11 *5074:60 *5078:22 0 +12 *6872:DIODE *7289:DIODE 0 +13 *6948:DIODE *5074:44 0 +14 *7288:DIODE *7289:DIODE 0 +15 *39541:A *7289:DIODE 0 +16 *40443:A *5074:44 0 +17 *40750:A *5074:49 0 +18 *261:15 *5074:56 0 +19 *1248:51 *5074:49 0 +20 *1248:67 *5074:49 0 +21 *1463:25 *5074:60 0 +22 *1829:21 *5074:60 0 +23 *1866:23 *5074:60 0 +24 *1873:8 *7289:DIODE 0 +25 *2849:48 *5074:44 0 +26 *3089:38 *7289:DIODE 0 +27 *3117:9 *7289:DIODE 0 +28 *3184:22 *5074:56 0 +29 *3189:37 *5074:49 0 +30 *3264:17 *5074:49 0 +31 *3395:49 *5074:44 0 +32 *3721:43 *5074:44 0 +33 *4532:19 *7289:DIODE 0 +34 *4764:48 *5074:44 0 +*RES +1 *37450:Y *5074:44 47.9837 +2 *5074:44 *5074:49 48.1429 +3 *5074:49 *5074:56 23.5 +4 *5074:56 *5074:60 37.6607 +5 *5074:60 *39311:A 13.8 +6 *5074:60 *7289:DIODE 31.925 +*END + +*D_NET *5075 0.0149682 +*CONN +*I *7290:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39312:A I *D sky130_fd_sc_hd__buf_12 +*I *37451:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *7290:DIODE 0.000717328 +2 *39312:A 0 +3 *37451:Y 0.000233334 +4 *5075:51 0.0030106 +5 *5075:37 0.00387074 +6 *5075:13 0.00424018 +7 *5075:12 0.00289604 +8 *5075:12 *5102:19 0 +9 *5075:37 *7297:DIODE 0 +10 *5075:51 *5185:14 0 +11 mprj_dat_i_core[0] *5075:13 0 +12 mprj_dat_i_core[8] *5075:51 0 +13 *6901:DIODE *5075:37 0 +14 *6909:DIODE *7290:DIODE 0 +15 *6936:DIODE *5075:37 0 +16 *38763:A *5075:37 0 +17 *38801:A *5075:37 0 +18 *39309:A *5075:13 0 +19 *39504:B *7290:DIODE 0 +20 *40165:A *5075:12 0 +21 *40374:A *5075:37 0 +22 *40374:A *5075:51 0 +23 *368:106 *5075:51 0 +24 *928:15 *5075:37 0 +25 *929:8 *5075:37 0 +26 *930:20 *5075:37 0 +27 *1035:11 *7290:DIODE 0 +28 *1059:8 *5075:37 0 +29 *1062:11 *5075:51 0 +30 *1728:28 *5075:51 0 +31 *2373:47 *5075:37 0 +32 *2714:24 *7290:DIODE 0 +33 *2983:16 *5075:13 0 +34 *3004:24 *5075:12 0 +35 *3016:52 *5075:51 0 +36 *3024:78 *7290:DIODE 0 +37 *3030:21 *5075:13 0 +38 *3030:23 *5075:13 0 +39 *3205:21 *5075:51 0 +40 *3205:47 *5075:13 0 +41 *3262:20 *5075:37 0 +42 *3395:49 *5075:37 0 +43 *3695:23 *7290:DIODE 0 +44 *4527:13 *5075:51 0 +45 *4534:31 *5075:51 0 +46 *4535:15 *7290:DIODE 0 +47 *4535:15 *5075:51 0 +48 *4536:9 *5075:13 0 +49 *4547:54 *7290:DIODE 0 +50 *4550:35 *5075:12 0 +51 *4555:19 *5075:37 0 +52 *4556:21 *5075:37 0 +53 *4562:26 *5075:13 0 +54 *4567:21 *7290:DIODE 0 +55 *4567:21 *5075:51 0 +56 *4591:10 *5075:37 0 +57 *4592:16 *5075:37 0 +58 *4761:9 *5075:37 0 +59 *4784:21 *5075:13 0 +*RES +1 *37451:Y *5075:12 23.3714 +2 *5075:12 *5075:13 55.5714 +3 *5075:13 *5075:37 42.6607 +4 *5075:37 *5075:51 39.4129 +5 *5075:51 *39312:A 9.3 +6 *5075:51 *7290:DIODE 43.2643 +*END + +*D_NET *5076 0.00711034 +*CONN +*I *39313:A I *D sky130_fd_sc_hd__buf_12 +*I *37452:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39313:A 7.23409e-05 +2 *37452:Y 7.23016e-05 +3 *5076:9 0.00348287 +4 *5076:8 0.00348283 +5 *5076:9 *7300:DIODE 0 +6 *5076:9 *5078:28 0 +7 *5076:9 *5180:11 0 +8 mprj_dat_i_core[13] *39313:A 0 +9 *1828:21 *5076:9 0 +10 *1828:23 *5076:9 0 +11 *1829:21 *5076:9 0 +12 *2714:24 *39313:A 0 +13 *4559:9 *5076:9 0 +14 *4592:28 *5076:9 0 +*RES +1 *37452:Y *5076:8 19.9429 +2 *5076:8 *5076:9 71.1786 +3 *5076:9 *39313:A 19.9429 +*END + +*D_NET *5077 0.00208541 +*CONN +*I *39314:A I *D sky130_fd_sc_hd__buf_12 +*I *37453:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39314:A 0 +2 *37453:Y 0.0010427 +3 *5077:19 0.0010427 +4 *5077:19 *39316:A 0 +5 *5077:19 *5168:18 0 +6 mprj_dat_i_core[16] *5077:19 0 +7 *6876:DIODE *5077:19 0 +8 *6877:DIODE *5077:19 0 +9 *8989:DIODE *5077:19 0 +10 *910:10 *5077:19 0 +11 *1867:17 *5077:19 0 +12 *3205:21 *5077:19 0 +13 *3212:26 *5077:19 0 +14 *4531:11 *5077:19 0 +15 *4581:31 *5077:19 0 +16 *4778:32 *5077:19 0 +*RES +1 *37453:Y *5077:19 40.9964 +2 *5077:19 *39314:A 9.3 +*END + +*D_NET *5078 0.0104835 +*CONN +*I *7291:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39315:A I *D sky130_fd_sc_hd__buf_12 +*I *37454:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *7291:DIODE 0.000545063 +2 *39315:A 0 +3 *37454:Y 0.00113566 +4 *5078:29 0.00062977 +5 *5078:28 0.00201584 +6 *5078:22 0.00347633 +7 *5078:13 0.00268086 +8 *5078:13 *5107:43 0 +9 *5078:28 *5180:11 0 +10 mprj_dat_i_core[15] *7291:DIODE 0 +11 mprj_dat_i_core[15] *5078:28 0 +12 mprj_dat_i_core[15] *5078:29 0 +13 *5277:DIODE *5078:13 0 +14 *40608:A *7291:DIODE 0 +15 *908:13 *5078:28 0 +16 *1037:12 *5078:28 0 +17 *1726:20 *5078:22 0 +18 *1829:21 *5078:22 0 +19 *1829:21 *5078:28 0 +20 *1829:30 *5078:22 0 +21 *1866:23 *5078:22 0 +22 *1868:11 *5078:29 0 +23 *1873:9 *5078:28 0 +24 *3030:36 *5078:29 0 +25 *3537:16 *7291:DIODE 0 +26 *4525:17 *5078:22 0 +27 *4531:11 *5078:28 0 +28 *4533:63 *5078:13 0 +29 *4533:65 *5078:13 0 +30 *4534:31 *5078:22 0 +31 *4535:15 *7291:DIODE 0 +32 *4535:15 *5078:29 0 +33 *4547:54 *7291:DIODE 0 +34 *4569:11 *5078:28 0 +35 *4570:18 *7291:DIODE 0 +36 *4573:19 *7291:DIODE 0 +37 *5074:60 *5078:22 0 +38 *5076:9 *5078:28 0 +*RES +1 *37454:Y *5078:13 46.8357 +2 *5078:13 *5078:22 46.4107 +3 *5078:22 *5078:28 49.7321 +4 *5078:28 *5078:29 1.76786 +5 *5078:29 *39315:A 9.3 +6 *5078:29 *7291:DIODE 30.6036 +*END + +*D_NET *5079 0.00130637 +*CONN +*I *39316:A I *D sky130_fd_sc_hd__buf_12 +*I *37455:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39316:A 0.000653184 +2 *37455:Y 0.000653184 +3 *6876:DIODE *39316:A 0 +4 *6877:DIODE *39316:A 0 +5 *37416:A *39316:A 0 +6 *909:11 *39316:A 0 +7 *1848:28 *39316:A 0 +8 *4547:54 *39316:A 0 +9 *4548:57 *39316:A 0 +10 *5077:19 *39316:A 0 +*RES +1 *37455:Y *39316:A 41.8143 +*END + +*D_NET *5080 0.00928632 +*CONN +*I *7292:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39317:A I *D sky130_fd_sc_hd__buf_12 +*I *37456:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *7292:DIODE 0.00024988 +2 *39317:A 0.000610675 +3 *37456:Y 0.000685328 +4 *5080:33 0.00395783 +5 *5080:28 0.00378261 +6 *7292:DIODE *5209:91 0 +7 *39317:A *39319:A 0 +8 *5080:28 *5178:74 0 +9 mprj_dat_i_core[17] *39317:A 0 +10 *5279:DIODE *5080:28 0 +11 *6879:DIODE *7292:DIODE 0 +12 *910:10 *39317:A 0 +13 *1005:91 *7292:DIODE 0 +14 *1712:27 *5080:33 0 +15 *2967:15 *5080:28 0 +16 *2967:23 *5080:28 0 +17 *2976:14 *5080:33 0 +18 *3089:14 *5080:33 0 +19 *3091:12 *7292:DIODE 0 +20 *3212:12 *39317:A 0 +21 *3721:43 *5080:28 0 +22 *4539:22 *39317:A 0 +23 *4543:32 *39317:A 0 +24 *4576:23 *39317:A 0 +25 *4581:21 *39317:A 0 +26 *4755:10 *7292:DIODE 0 +27 *4755:23 *5080:33 0 +28 *4780:35 *5080:28 0 +*RES +1 *37456:Y *5080:28 47.0946 +2 *5080:28 *5080:33 18.0373 +3 *5080:33 *39317:A 27.5411 +4 *5080:33 *7292:DIODE 19.7018 +*END + +*D_NET *5081 0.00558471 +*CONN +*I *40191:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38863:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40191:A 0.00030118 +2 *38863:X 0.000593809 +3 *5081:14 0.00219855 +4 *5081:8 0.00249118 +5 *6680:DIODE *5081:8 0 +6 *7000:DIODE *5081:8 0 +7 *1807:34 *5081:14 0 +8 *1959:9 *5081:14 0 +9 *1959:10 *40191:A 0 +10 *1962:13 *5081:14 0 +11 *1962:21 *40191:A 0 +12 *3156:54 *5081:14 0 +13 *3451:7 *40191:A 0 +14 *3649:11 *5081:8 0 +15 *4145:9 *5081:14 0 +16 *4462:55 *5081:8 0 +17 *4473:25 *40191:A 0 +18 *4479:13 *5081:14 0 +19 *4479:16 *5081:14 0 +20 *5070:8 *5081:8 0 +*RES +1 *38863:X *5081:8 31.7821 +2 *5081:8 *5081:14 48.9286 +3 *5081:14 *40191:A 15.5857 +*END + +*D_NET *5082 0.00051085 +*CONN +*I *39318:A I *D sky130_fd_sc_hd__buf_12 +*I *37457:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39318:A 0.000255425 +2 *37457:Y 0.000255425 +3 *4539:22 *39318:A 0 +*RES +1 *37457:Y *39318:A 33.0643 +*END + +*D_NET *5083 0.00551737 +*CONN +*I *39319:A I *D sky130_fd_sc_hd__buf_12 +*I *37458:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39319:A 0.00105285 +2 *37458:Y 0.000152449 +3 *5083:11 0.00260624 +4 *5083:8 0.00170584 +5 mprj_dat_i_core[17] *39319:A 0 +6 mprj_dat_i_core[19] *39319:A 0 +7 *39317:A *39319:A 0 +8 *40239:A *5083:8 0 +9 *1039:12 *39319:A 0 +10 *3251:12 *5083:8 0 +11 *4528:22 *5083:8 0 +12 *4541:9 *39319:A 0 +13 *4543:32 *39319:A 0 +14 *4581:21 *39319:A 0 +15 *4581:21 *5083:11 0 +16 *4581:31 *5083:11 0 +17 *4784:11 *5083:11 0 +*RES +1 *37458:Y *5083:8 21.7643 +2 *5083:8 *5083:11 32.4821 +3 *5083:11 *39319:A 31.3357 +*END + +*D_NET *5084 0.0252831 +*CONN +*I *7293:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39320:A I *D sky130_fd_sc_hd__buf_12 +*I *37440:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *7293:DIODE 0.000326291 +2 *39320:A 0 +3 *37440:Y 0.000719324 +4 *5084:107 0.00180075 +5 *5084:93 0.0019652 +6 *5084:82 0.00259512 +7 *5084:43 0.00355647 +8 *5084:23 0.00624317 +9 *5084:22 0.00607428 +10 *5084:13 0.00200253 +11 *5084:13 *5105:39 0 +12 *5084:82 *5182:28 0 +13 *5084:93 *5165:75 0 +14 *5084:107 *5165:75 0 +15 *5084:107 *5177:17 0 +16 *6783:DIODE *5084:23 0 +17 *8307:DIODE *5084:23 0 +18 *8694:DIODE *5084:23 0 +19 *8865:DIODE *5084:23 0 +20 *37440:A *5084:13 0 +21 *38112:B *5084:43 0 +22 *401:51 *5084:13 0 +23 *929:8 *7293:DIODE 0 +24 *1009:155 *5084:82 0 +25 *1058:12 *7293:DIODE 0 +26 *1248:43 *5084:82 0 +27 *1450:45 *5084:82 0 +28 *1455:16 *5084:43 0 +29 *1833:19 *5084:107 0 +30 *1840:52 *5084:82 0 +31 *1863:28 *5084:82 0 +32 *2373:47 *5084:82 0 +33 *2712:45 *5084:23 0 +34 *2712:50 *5084:23 0 +35 *2971:48 *5084:93 0 +36 *3030:23 *5084:93 0 +37 *3030:23 *5084:107 0 +38 *3033:29 *5084:93 0 +39 *3037:20 *5084:22 0 +40 *3077:120 *5084:23 0 +41 *3091:90 *5084:82 0 +42 *3100:31 *5084:22 0 +43 *3113:27 *5084:43 0 +44 *3150:32 *5084:23 0 +45 *3155:15 *5084:23 0 +46 *3168:61 *5084:23 0 +47 *3168:61 *5084:43 0 +48 *3168:63 *5084:23 0 +49 *3184:84 *5084:23 0 +50 *3188:87 *5084:13 0 +51 *3188:87 *5084:22 0 +52 *3188:111 *5084:13 0 +53 *3203:68 *5084:23 0 +54 *3225:22 *5084:22 0 +55 *3237:64 *5084:23 0 +56 *3251:35 *5084:82 0 +57 *3261:26 *5084:22 0 +58 *3285:60 *5084:22 0 +59 *3297:35 *5084:23 0 +60 *3297:35 *5084:43 0 +61 *3297:51 *5084:43 0 +62 *3348:121 *5084:82 0 +63 *3395:49 *5084:82 0 +64 *3395:49 *5084:93 0 +65 *3405:41 *5084:23 0 +66 *3407:30 *5084:43 0 +67 *3407:52 *5084:82 0 +68 *3412:71 *5084:43 0 +69 *3416:44 *5084:23 0 +70 *3417:18 *5084:13 0 +71 *3460:22 *5084:13 0 +72 *3460:22 *5084:22 0 +73 *3460:73 *5084:23 0 +74 *3513:68 *5084:22 0 +75 *3793:65 *5084:23 0 +76 *3954:53 *5084:82 0 +77 *4019:37 *5084:23 0 +78 *4019:45 *5084:23 0 +79 *4268:24 *5084:23 0 +80 *4268:48 *5084:23 0 +81 *4404:91 *5084:82 0 +82 *4411:65 *5084:43 0 +83 *4427:93 *5084:82 0 +84 *4563:89 *5084:43 0 +*RES +1 *37440:Y *5084:13 38.8 +2 *5084:13 *5084:22 30.7222 +3 *5084:22 *5084:23 99.9286 +4 *5084:23 *5084:43 48.8929 +5 *5084:43 *5084:82 49.8826 +6 *5084:82 *5084:93 18.7053 +7 *5084:93 *39320:A 9.3 +8 *5084:93 *5084:107 44.7143 +9 *5084:107 *7293:DIODE 21.2107 +*END + +*D_NET *5085 0.000295191 +*CONN +*I *39321:A I *D sky130_fd_sc_hd__buf_12 +*I *37459:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39321:A 0.000147595 +2 *37459:Y 0.000147595 +3 *4541:9 *39321:A 0 +4 *4543:32 *39321:A 0 +5 *4581:11 *39321:A 0 +*RES +1 *37459:Y *39321:A 21.7429 +*END + +*D_NET *5086 0.00183659 +*CONN +*I *39322:A I *D sky130_fd_sc_hd__buf_12 +*I *37460:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39322:A 0.000918294 +2 *37460:Y 0.000918294 +3 *39322:A *5209:69 0 +4 *5282:DIODE *39322:A 0 +5 *5493:DIODE *39322:A 0 +6 *6880:DIODE *39322:A 0 +7 *6884:DIODE *39322:A 0 +8 *6918:DIODE *39322:A 0 +9 *1045:10 *39322:A 0 +10 *2964:5 *39322:A 0 +11 *2983:26 *39322:A 0 +*RES +1 *37460:Y *39322:A 47.2607 +*END + +*D_NET *5087 0.00268237 +*CONN +*I *39323:A I *D sky130_fd_sc_hd__buf_12 +*I *37461:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39323:A 0 +2 *37461:Y 0.00134119 +3 *5087:15 0.00134119 +4 *5087:15 *39324:A 0 +5 mprj_dat_i_core[22] *5087:15 0 +6 *5282:DIODE *5087:15 0 +7 *6885:DIODE *5087:15 0 +8 *6918:DIODE *5087:15 0 +9 *6921:DIODE *5087:15 0 +10 *37671:A *5087:15 0 +11 *914:8 *5087:15 0 +12 *1728:17 *5087:15 0 +13 *1869:15 *5087:15 0 +14 *4539:22 *5087:15 0 +15 *4541:9 *5087:15 0 +16 *4542:25 *5087:15 0 +17 *4576:23 *5087:15 0 +*RES +1 *37461:Y *5087:15 46.675 +2 *5087:15 *39323:A 9.3 +*END + +*D_NET *5088 0.00209918 +*CONN +*I *39324:A I *D sky130_fd_sc_hd__buf_12 +*I *37462:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39324:A 0.00104959 +2 *37462:Y 0.00104959 +3 *39324:A *39325:A 0 +4 mprj_dat_i_core[23] *39324:A 0 +5 *6886:DIODE *39324:A 0 +6 *7532:DIODE *39324:A 0 +7 *37465:A *39324:A 0 +8 *39502:B *39324:A 0 +9 *1047:10 *39324:A 0 +10 *4757:16 *39324:A 0 +11 *4778:32 *39324:A 0 +12 *5087:15 *39324:A 0 +*RES +1 *37462:Y *39324:A 49.9214 +*END + +*D_NET *5089 0.00100998 +*CONN +*I *39325:A I *D sky130_fd_sc_hd__buf_12 +*I *37463:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39325:A 0.000504988 +2 *37463:Y 0.000504988 +3 *38752:A *39325:A 0 +4 *39324:A *39325:A 0 +5 *4543:20 *39325:A 0 +6 *4579:17 *39325:A 0 +7 *4757:16 *39325:A 0 +8 *4778:9 *39325:A 0 +*RES +1 *37463:Y *39325:A 38.2964 +*END + +*D_NET *5090 0.000248132 +*CONN +*I *39326:A I *D sky130_fd_sc_hd__buf_12 +*I *37464:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39326:A 0.000124066 +2 *37464:Y 0.000124066 +3 *39326:A *39327:A 0 +4 *4551:20 *39326:A 0 +*RES +1 *37464:Y *39326:A 21.1893 +*END + +*D_NET *5091 0.0014597 +*CONN +*I *39327:A I *D sky130_fd_sc_hd__buf_12 +*I *37465:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39327:A 0.000729849 +2 *37465:Y 0.000729849 +3 mprj_dat_i_core[25] *39327:A 0 +4 *39326:A *39327:A 0 +5 *921:12 *39327:A 0 +6 *4551:13 *39327:A 0 +7 *4551:20 *39327:A 0 +*RES +1 *37465:Y *39327:A 33.8321 +*END + +*D_NET *5092 0.00539821 +*CONN +*I *38090:C I *D sky130_fd_sc_hd__and3b_1 +*I *38864:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *38090:C 0.00107669 +2 *38864:X 0.00162242 +3 *5092:21 0.00269911 +4 *8695:DIODE *38090:C 0 +5 *1444:32 *38090:C 0 +6 *2415:10 *5092:21 0 +7 *2417:100 *5092:21 0 +8 *3125:48 *5092:21 0 +9 *3158:16 *5092:21 0 +10 *3207:15 *38090:C 0 +11 *3234:27 *5092:21 0 +12 *3318:28 *38090:C 0 +13 *3436:64 *38090:C 0 +14 *3508:28 *5092:21 0 +15 *3520:45 *5092:21 0 +16 *3525:29 *5092:21 0 +17 *3955:8 *38090:C 0 +18 *4008:99 *38090:C 0 +19 *4020:129 *38090:C 0 +20 *4045:43 *5092:21 0 +21 *4261:51 *38090:C 0 +22 *4517:81 *5092:21 0 +*RES +1 *38864:X *5092:21 44.6469 +2 *5092:21 *38090:C 38.4696 +*END + +*D_NET *5093 0.00150932 +*CONN +*I *40190:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38865:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40190:A 0.000754662 +2 *38865:X 0.000754662 +3 *6822:DIODE *40190:A 0 +4 *7002:DIODE *40190:A 0 +5 *38865:A *40190:A 0 +6 *1807:38 *40190:A 0 +7 *3671:14 *40190:A 0 +8 *4462:49 *40190:A 0 +9 *5070:20 *40190:A 0 +*RES +1 *38865:X *40190:A 34.35 +*END + +*D_NET *5094 0.000358657 +*CONN +*I *39328:A I *D sky130_fd_sc_hd__buf_12 +*I *37466:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39328:A 0.000179328 +2 *37466:Y 0.000179328 +3 *6891:DIODE *39328:A 0 +4 *1873:15 *39328:A 0 +*RES +1 *37466:Y *39328:A 31.6714 +*END + +*D_NET *5095 0.0110342 +*CONN +*I *7294:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39329:A I *D sky130_fd_sc_hd__buf_12 +*I *37467:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *7294:DIODE 0.000101392 +2 *39329:A 0.000553224 +3 *37467:Y 0.00187827 +4 *5095:29 0.00155003 +5 *5095:22 0.00298422 +6 *5095:16 0.00396708 +7 *5095:16 *5166:22 0 +8 *5095:22 *5178:15 0 +9 *5095:22 *5209:47 0 +10 *5095:29 *5178:15 0 +11 *6891:DIODE *39329:A 0 +12 *6892:DIODE *39329:A 0 +13 *40327:A *5095:16 0 +14 *923:8 *39329:A 0 +15 *1052:8 *39329:A 0 +16 *1734:32 *5095:16 0 +17 *1750:18 *39329:A 0 +18 *1848:28 *5095:16 0 +19 *2967:33 *5095:22 0 +20 *2967:38 *7294:DIODE 0 +21 *2967:38 *5095:29 0 +22 *3089:14 *39329:A 0 +23 *3089:14 *5095:16 0 +24 *3095:8 *5095:22 0 +25 *3101:16 *5095:16 0 +26 *4544:17 *5095:22 0 +27 *4552:22 *39329:A 0 +28 *4575:14 *5095:16 0 +29 *4579:22 *5095:16 0 +30 *4585:13 *5095:16 0 +31 *4755:23 *5095:16 0 +*RES +1 *37467:Y *5095:16 38.8577 +2 *5095:16 *5095:22 48.1964 +3 *5095:22 *5095:29 23.25 +4 *5095:29 *39329:A 30.925 +5 *5095:29 *7294:DIODE 11.4786 +*END + +*D_NET *5096 0.00136937 +*CONN +*I *39330:A I *D sky130_fd_sc_hd__buf_12 +*I *37468:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39330:A 0.000684684 +2 *37468:Y 0.000684684 +3 *39330:A *39332:A 0 +4 *39330:A *39333:A 0 +5 *6932:DIODE *39330:A 0 +6 *1056:12 *39330:A 0 +7 *1096:10 *39330:A 0 +8 *4587:8 *39330:A 0 +*RES +1 *37468:Y *39330:A 42.3679 +*END + +*D_NET *5097 0.00316592 +*CONN +*I *41400:A I *D sky130_fd_sc_hd__buf_2 +*I *37441:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *41400:A 0.000116955 +2 *37441:Y 0.00146601 +3 *5097:13 0.00158296 +4 *41400:A *5189:66 0 +5 *5097:13 *39029:A 0 +6 *37410:A *5097:13 0 +7 *38461:A *5097:13 0 +8 *400:12 *5097:13 0 +9 *2712:174 *5097:13 0 +10 *2816:19 *5097:13 0 +11 *3015:12 *41400:A 0 +12 *3015:12 *5097:13 0 +13 *3417:7 *5097:13 0 +14 *3422:31 *5097:13 0 +15 *4753:17 *5097:13 0 +*RES +1 *37441:Y *5097:13 49.0679 +2 *5097:13 *41400:A 20.8 +*END + +*D_NET *5098 0.000368852 +*CONN +*I *39332:A I *D sky130_fd_sc_hd__buf_12 +*I *37469:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39332:A 0.000184426 +2 *37469:Y 0.000184426 +3 *39330:A *39332:A 0 +4 *926:12 *39332:A 0 +*RES +1 *37469:Y *39332:A 31.7071 +*END + +*D_NET *5099 0.000847151 +*CONN +*I *39333:A I *D sky130_fd_sc_hd__buf_12 +*I *37470:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39333:A 0.000423576 +2 *37470:Y 0.000423576 +3 *39333:A *5180:10 0 +4 mprj_dat_i_core[31] *39333:A 0 +5 *6897:DIODE *39333:A 0 +6 *37468:A *39333:A 0 +7 *39330:A *39333:A 0 +8 *1056:12 *39333:A 0 +*RES +1 *37470:Y *39333:A 36.9929 +*END + +*D_NET *5100 0.0123815 +*CONN +*I *7296:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39334:A I *D sky130_fd_sc_hd__buf_12 +*I *37442:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *7296:DIODE 0.00068362 +2 *39334:A 0 +3 *37442:Y 0.00138142 +4 *5100:49 0.000977527 +5 *5100:45 0.00246693 +6 *5100:31 0.0038318 +7 *5100:19 0.00304019 +8 *5100:19 *5102:18 0 +9 *5100:19 *5179:115 0 +10 *5100:31 *41426:A 0 +11 *5100:45 *9003:DIODE 0 +12 *5100:45 *5168:18 0 +13 *5100:45 *5190:43 0 +14 mprj_dat_i_core[3] *5100:49 0 +15 *38621:A *5100:31 0 +16 *38669:A *5100:31 0 +17 *40260:A *5100:45 0 +18 *40260:A *5100:49 0 +19 *40378:A *7296:DIODE 0 +20 *40481:A *5100:31 0 +21 *40549:A *5100:31 0 +22 *797:54 *5100:19 0 +23 *801:8 *5100:31 0 +24 *801:36 *5100:31 0 +25 *1240:24 *5100:31 0 +26 *1731:22 *5100:45 0 +27 *1862:46 *5100:31 0 +28 *2713:169 *5100:31 0 +29 *2714:33 *7296:DIODE 0 +30 *2714:57 *7296:DIODE 0 +31 *3030:21 *5100:45 0 +32 *3135:26 *5100:45 0 +33 *3145:13 *5100:19 0 +34 *3145:24 *5100:19 0 +35 *3205:68 *5100:45 0 +36 *3375:23 *5100:31 0 +37 *3392:18 *5100:45 0 +38 *3392:35 *5100:45 0 +39 *3395:23 *5100:31 0 +40 *3477:23 *5100:31 0 +41 *4393:28 *5100:19 0 +42 *4393:28 *5100:31 0 +43 *4412:17 *5100:31 0 +44 *4471:20 *5100:31 0 +45 *4533:97 *7296:DIODE 0 +46 *4535:38 *5100:49 0 +47 *4539:68 *7296:DIODE 0 +48 *4554:17 *5100:49 0 +49 *4556:21 *5100:49 0 +50 *4558:18 *7296:DIODE 0 +51 *4561:27 *5100:31 0 +52 *4561:49 *5100:31 0 +53 *4592:50 *5100:45 0 +54 *4594:45 *7296:DIODE 0 +55 *4594:45 *5100:49 0 +56 *4761:9 *5100:49 0 +57 *4761:31 *5100:45 0 +58 *5034:78 *5100:45 0 +*RES +1 *37442:Y *5100:19 47.2821 +2 *5100:19 *5100:31 48.1786 +3 *5100:31 *5100:45 49.8262 +4 *5100:45 *5100:49 6.19643 +5 *5100:49 *39334:A 9.3 +6 *5100:49 *7296:DIODE 42.1036 +*END + +*D_NET *5101 0.00332811 +*CONN +*I *41399:A I *D sky130_fd_sc_hd__buf_2 +*I *37443:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *41399:A 0.00018396 +2 *37443:Y 0.00148009 +3 *5101:13 0.00166405 +4 *5101:13 *5105:22 0 +5 *38513:A *41399:A 0 +6 *38513:A *5101:13 0 +7 *40499:A *5101:13 0 +8 *528:31 *5101:13 0 +9 *3145:58 *5101:13 0 +10 *3145:74 *5101:13 0 +11 *3291:52 *5101:13 0 +12 *3417:18 *41399:A 0 +13 *3417:18 *5101:13 0 +*RES +1 *37443:Y *5101:13 49.4607 +2 *5101:13 *41399:A 13.1393 +*END + +*D_NET *5102 0.0158007 +*CONN +*I *7298:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39336:A I *D sky130_fd_sc_hd__buf_12 +*I *37444:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *7298:DIODE 0.000518939 +2 *39336:A 0 +3 *37444:Y 0.0012105 +4 *5102:51 0.00181383 +5 *5102:39 0.00318908 +6 *5102:30 0.00218765 +7 *5102:19 0.00298185 +8 *5102:18 0.00389888 +9 *5102:18 *5179:115 0 +10 *5102:19 *39044:A 0 +11 *5102:19 *39045:A 0 +12 *5102:19 *5168:49 0 +13 *5102:19 *5191:27 0 +14 mprj_dat_i_core[6] *7298:DIODE 0 +15 *6615:DIODE *5102:19 0 +16 *37451:A *5102:19 0 +17 *38620:A *5102:19 0 +18 *38622:A *5102:19 0 +19 *39528:B *5102:19 0 +20 *40165:A *5102:19 0 +21 *40255:A *5102:51 0 +22 *40481:A *5102:19 0 +23 *40502:A *5102:18 0 +24 *411:8 *5102:18 0 +25 *411:36 *5102:18 0 +26 *412:12 *5102:18 0 +27 *412:43 *5102:18 0 +28 *416:21 *5102:19 0 +29 *542:22 *5102:19 0 +30 *542:60 *5102:19 0 +31 *543:12 *5102:19 0 +32 *544:12 *5102:19 0 +33 *800:11 *5102:19 0 +34 *1008:129 *5102:19 0 +35 *1059:8 *5102:51 0 +36 *1098:15 *5102:39 0 +37 *1726:20 *5102:51 0 +38 *1726:25 *5102:39 0 +39 *1828:23 *5102:39 0 +40 *1828:23 *5102:51 0 +41 *1829:30 *5102:51 0 +42 *1829:33 *5102:39 0 +43 *1829:33 *5102:51 0 +44 *1860:28 *5102:19 0 +45 *1862:22 *5102:19 0 +46 *1862:46 *5102:19 0 +47 *2713:176 *5102:19 0 +48 *2960:20 *5102:19 0 +49 *2983:16 *5102:19 0 +50 *3004:24 *5102:19 0 +51 *3016:10 *5102:19 0 +52 *3016:30 *5102:39 0 +53 *3016:30 *5102:51 0 +54 *3030:21 *5102:19 0 +55 *3030:21 *5102:30 0 +56 *3030:23 *7298:DIODE 0 +57 *3030:23 *5102:51 0 +58 *3034:73 *5102:18 0 +59 *3142:40 *5102:19 0 +60 *3145:13 *5102:18 0 +61 *3145:24 *5102:18 0 +62 *3392:50 *7298:DIODE 0 +63 *3392:50 *5102:51 0 +64 *4348:34 *5102:19 0 +65 *4393:16 *5102:19 0 +66 *4411:21 *5102:19 0 +67 *4416:32 *5102:39 0 +68 *4524:13 *5102:39 0 +69 *4525:17 *5102:51 0 +70 *4550:11 *5102:19 0 +71 *4550:63 *5102:19 0 +72 *4557:10 *7298:DIODE 0 +73 *4784:21 *5102:39 0 +74 *5075:12 *5102:19 0 +75 *5100:19 *5102:18 0 +*RES +1 *37444:Y *5102:18 43.9607 +2 *5102:18 *5102:19 55.9821 +3 *5102:19 *5102:30 24.5714 +4 *5102:30 *5102:39 48.6964 +5 *5102:39 *5102:51 36.375 +6 *5102:51 *39336:A 9.3 +7 *5102:51 *7298:DIODE 29.7464 +*END + +*D_NET *5103 0.017567 +*CONN +*I *7299:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39337:A I *D sky130_fd_sc_hd__buf_12 +*I *37445:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *7299:DIODE 0.000641259 +2 *39337:A 0 +3 *37445:Y 0.00111 +4 *5103:35 0.000893959 +5 *5103:25 0.00649706 +6 *5103:23 0.00677955 +7 *5103:18 0.0016452 +8 *5103:18 *5175:86 0 +9 *5103:18 *5179:133 0 +10 *5103:23 *5175:86 0 +11 *5103:23 *5177:23 0 +12 *5103:25 *5177:17 0 +13 *5103:25 *5177:21 0 +14 *5103:25 *5177:23 0 +15 mprj_dat_i_core[6] *7299:DIODE 0 +16 mprj_dat_i_core[6] *5103:35 0 +17 *790:29 *5103:18 0 +18 *793:49 *5103:18 0 +19 *1060:15 *5103:35 0 +20 *1240:36 *5103:25 0 +21 *1726:20 *5103:25 0 +22 *1866:23 *5103:25 0 +23 *2408:26 *5103:18 0 +24 *2408:26 *5103:23 0 +25 *2893:28 *5103:23 0 +26 *2893:39 *5103:23 0 +27 *2893:39 *5103:25 0 +28 *2938:50 *5103:25 0 +29 *2938:53 *5103:25 0 +30 *2971:16 *5103:25 0 +31 *2971:45 *5103:25 0 +32 *3016:16 *5103:25 0 +33 *3016:30 *5103:25 0 +34 *3030:23 *7299:DIODE 0 +35 *3030:23 *5103:35 0 +36 *3077:108 *5103:18 0 +37 *3142:91 *5103:18 0 +38 *3266:92 *5103:18 0 +39 *4574:17 *5103:25 0 +40 *4596:28 *5103:35 0 +*RES +1 *37445:Y *5103:18 46.7464 +2 *5103:18 *5103:23 15.7321 +3 *5103:23 *5103:25 130.321 +4 *5103:25 *5103:35 14.7857 +5 *5103:35 *39337:A 9.3 +6 *5103:35 *7299:DIODE 32.925 +*END + +*D_NET *5104 0.00601846 +*CONN +*I *40189:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38866:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *40189:A 0 +2 *38866:X 0.000582676 +3 *5104:11 0.00242656 +4 *5104:10 0.00300923 +5 *6823:DIODE *5104:10 0 +6 *39106:A *5104:10 0 +7 *2012:17 *5104:10 0 +8 *2018:8 *5104:11 0 +9 *2138:24 *5104:11 0 +10 *3671:14 *5104:10 0 +*RES +1 *38866:X *5104:10 31.1571 +2 *5104:10 *5104:11 50.6429 +3 *5104:11 *40189:A 9.3 +*END + +*D_NET *5105 0.0178343 +*CONN +*I *8987:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41398:A I *D sky130_fd_sc_hd__buf_2 +*I *37446:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *8987:DIODE 0.00101586 +2 *41398:A 0 +3 *37446:Y 0.000454337 +4 *5105:66 0.00283071 +5 *5105:39 0.00271086 +6 *5105:22 0.00245023 +7 *5105:9 0.00473609 +8 *5105:7 0.0036362 +9 *5105:7 *7121:DIODE 0 +10 *5105:39 *5186:102 0 +11 *5105:66 *5173:66 0 +12 la_data_in_mprj[116] *5105:39 0 +13 *5492:DIODE *5105:7 0 +14 *6572:DIODE *5105:9 0 +15 *6591:DIODE *5105:7 0 +16 *6592:DIODE *5105:9 0 +17 *6593:DIODE *5105:9 0 +18 *6595:DIODE *5105:9 0 +19 *6660:DIODE *5105:66 0 +20 *6734:DIODE *5105:9 0 +21 *7518:DIODE *5105:66 0 +22 *37440:A *5105:39 0 +23 *37441:A *5105:9 0 +24 *37443:A *5105:9 0 +25 *37444:A *5105:66 0 +26 *37445:A *5105:66 0 +27 *37446:A *5105:7 0 +28 *38607:A *5105:22 0 +29 *40493:A *5105:66 0 +30 *40497:A *5105:22 0 +31 *401:8 *5105:9 0 +32 *401:34 *5105:9 0 +33 *403:33 *5105:66 0 +34 *405:8 *5105:39 0 +35 *405:35 *5105:39 0 +36 *407:36 *5105:66 0 +37 *408:52 *5105:66 0 +38 *533:40 *5105:39 0 +39 *533:40 *5105:66 0 +40 *778:8 *5105:9 0 +41 *781:30 *5105:9 0 +42 *784:10 *5105:9 0 +43 *1000:78 *5105:66 0 +44 *1027:120 *5105:66 0 +45 *1883:13 *5105:7 0 +46 *1883:13 *5105:9 0 +47 *1884:14 *5105:9 0 +48 *2371:20 *5105:66 0 +49 *2712:163 *5105:9 0 +50 *2793:23 *5105:9 0 +51 *2794:13 *5105:9 0 +52 *2860:20 *5105:22 0 +53 *3034:43 *5105:39 0 +54 *3077:108 *5105:66 0 +55 *3087:90 *5105:39 0 +56 *3087:90 *5105:66 0 +57 *3126:29 *5105:9 0 +58 *3126:29 *5105:22 0 +59 *3145:24 *8987:DIODE 0 +60 *3145:46 *5105:66 0 +61 *3145:54 *5105:66 0 +62 *3145:58 *5105:22 0 +63 *3145:74 *5105:9 0 +64 *3145:90 *5105:9 0 +65 *3417:18 *5105:39 0 +66 *3417:32 *5105:66 0 +67 *3422:31 *5105:9 0 +68 *3793:20 *5105:66 0 +69 *4171:7 *5105:7 0 +70 *4238:18 *5105:9 0 +71 *4315:15 *5105:39 0 +72 *4524:73 *5105:66 0 +73 *4756:23 *5105:39 0 +74 *5084:13 *5105:39 0 +75 *5101:13 *5105:22 0 +*RES +1 *37446:Y *5105:7 18.7821 +2 *5105:7 *5105:9 66.25 +3 *5105:9 *5105:22 41.9286 +4 *5105:22 *5105:39 28.5357 +5 *5105:39 *41398:A 9.3 +6 *5105:39 *5105:66 48.4643 +7 *5105:66 *8987:DIODE 39.5857 +*END + +*D_NET *5106 0.0349758 +*CONN +*I *7301:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39339:A I *D sky130_fd_sc_hd__buf_12 +*I *37447:Y O *D sky130_fd_sc_hd__clkinv_2 +*CAP +1 *7301:DIODE 8.4707e-05 +2 *39339:A 0.000368515 +3 *37447:Y 0.0038913 +4 *5106:28 0.000999348 +5 *5106:24 0.00310303 +6 *5106:23 0.00336145 +7 *5106:17 0.0100403 +8 *5106:14 0.0131271 +9 *6940:DIODE *39339:A 0 +10 *39542:A *5106:28 0 +11 *363:29 *5106:23 0 +12 *363:29 *5106:24 0 +13 *363:45 *5106:24 0 +14 *1018:62 *5106:17 0 +15 *1019:20 *5106:17 0 +16 *1020:15 *5106:24 0 +17 *1259:19 *5106:28 0 +18 *1733:18 *5106:24 0 +19 *2373:10 *7301:DIODE 0 +20 *2441:15 *5106:23 0 +21 *2803:42 *5106:24 0 +22 *2836:39 *5106:23 0 +23 *3033:16 *5106:14 0 +24 *3081:38 *5106:14 0 +25 *3429:22 *5106:14 0 +26 *3470:67 *5106:24 0 +27 *3490:17 *5106:17 0 +28 *3499:67 *5106:17 0 +29 *3521:45 *5106:17 0 +30 *3931:34 *5106:24 0 +31 *4539:54 *7301:DIODE 0 +32 *4897:24 *5106:23 0 +*RES +1 *37447:Y *5106:14 40.8701 +2 *5106:14 *5106:17 36.8599 +3 *5106:17 *5106:23 27.0446 +4 *5106:23 *5106:24 58.1071 +5 *5106:24 *5106:28 12.5 +6 *5106:28 *39339:A 30.9071 +7 *5106:28 *7301:DIODE 15.5679 +*END + +*D_NET *5107 0.00711204 +*CONN +*I *39340:A I *D sky130_fd_sc_hd__buf_12 +*I *37448:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39340:A 0 +2 *37448:Y 0.00169435 +3 *5107:43 0.00186167 +4 *5107:27 0.00355602 +5 *5107:27 *5184:16 0 +6 *5107:43 *5177:16 0 +7 mprj_dat_i_core[9] *5107:43 0 +8 *6898:DIODE *5107:27 0 +9 *6935:DIODE *5107:43 0 +10 *6936:DIODE *5107:43 0 +11 *6939:DIODE *5107:43 0 +12 *37448:A *5107:27 0 +13 *37635:A *5107:43 0 +14 *39399:B *5107:27 0 +15 *39501:A *5107:43 0 +16 *39501:B *5107:43 0 +17 *39542:B *5107:43 0 +18 *40266:A *5107:27 0 +19 *40378:A *5107:27 0 +20 *927:12 *5107:27 0 +21 *1058:12 *5107:43 0 +22 *1061:20 *5107:43 0 +23 *1701:14 *5107:43 0 +24 *1833:17 *5107:43 0 +25 *2714:33 *5107:27 0 +26 *2714:33 *5107:43 0 +27 *2714:57 *5107:27 0 +28 *3016:52 *5107:43 0 +29 *3033:29 *5107:43 0 +30 *3142:19 *5107:27 0 +31 *3142:40 *5107:27 0 +32 *4527:13 *5107:43 0 +33 *4533:63 *5107:43 0 +34 *4535:15 *5107:43 0 +35 *4556:36 *5107:27 0 +36 *4590:14 *5107:43 0 +37 *4594:23 *5107:43 0 +38 *5078:13 *5107:43 0 +*RES +1 *37448:Y *5107:27 45.3714 +2 *5107:27 *5107:43 48.1429 +3 *5107:43 *39340:A 9.3 +*END + +*D_NET *5108 0.0149343 +*CONN +*I *7747:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39800:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37819:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *7747:DIODE 0 +2 *39800:A 0.000180323 +3 *37819:X 0.00154098 +4 *5108:23 0.00140556 +5 *5108:17 0.00441929 +6 *5108:16 0.00452063 +7 *5108:7 0.00286756 +8 *39714:A *5108:17 0 +9 *39833:A *5108:16 0 +10 *317:7 *5108:16 0 +11 *2193:28 *5108:17 0 +12 *2525:39 *5108:16 0 +13 *2528:15 *5108:17 0 +14 *2529:31 *5108:16 0 +15 *2531:18 *5108:17 0 +16 *2532:33 *5108:16 0 +17 *2532:33 *5108:17 0 +18 *2545:19 *5108:16 0 +19 *2545:19 *5108:17 0 +20 *2579:16 *5108:16 0 +21 *2601:29 *5108:23 0 +22 *2625:8 *5108:16 0 +23 *2628:10 *5108:16 0 +24 *2637:37 *5108:7 0 +25 *2637:41 *5108:7 0 +26 *2677:39 *5108:16 0 +27 *2688:29 *5108:17 0 +28 *2693:50 *5108:7 0 +29 *2731:27 *5108:7 0 +30 *2736:34 *5108:16 0 +31 *2742:17 *5108:17 0 +32 *2742:28 *5108:16 0 +33 *2888:51 *39800:A 0 +34 *2888:51 *5108:23 0 +35 *4953:11 *5108:17 0 +36 *5056:29 *39800:A 0 +37 *5057:17 *5108:17 0 +*RES +1 *37819:X *5108:7 45.9607 +2 *5108:7 *5108:16 41.625 +3 *5108:16 *5108:17 66.6607 +4 *5108:17 *5108:23 34.7857 +5 *5108:23 *39800:A 13.1571 +6 *5108:23 *7747:DIODE 9.3 +*END + +*D_NET *5109 0.0168203 +*CONN +*I *7717:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39767:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37839:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *7717:DIODE 0 +2 *39767:A 0.000167275 +3 *37839:X 0.00135344 +4 *5109:32 0.00132017 +5 *5109:21 0.00485711 +6 *5109:19 0.00573654 +7 *5109:16 0.00338576 +8 *348:73 *5109:32 0 +9 *352:39 *5109:32 0 +10 *1030:8 *5109:32 0 +11 *2274:24 *5109:19 0 +12 *2277:30 *5109:16 0 +13 *2286:52 *5109:16 0 +14 *2318:33 *5109:16 0 +15 *2532:29 *5109:21 0 +16 *2542:36 *5109:32 0 +17 *2637:41 *39767:A 0 +18 *2689:12 *5109:16 0 +19 *2693:50 *39767:A 0 +20 *2693:57 *39767:A 0 +21 *2731:27 *39767:A 0 +22 *2736:34 *5109:32 0 +23 *2742:29 *5109:19 0 +24 *2742:29 *5109:21 0 +25 *3324:48 *5109:32 0 +26 *3651:22 *5109:16 0 +27 *4986:10 *5109:16 0 +*RES +1 *37839:X *5109:16 42.9202 +2 *5109:16 *5109:19 42.4464 +3 *5109:19 *5109:21 77.3393 +4 *5109:21 *5109:32 23.5226 +5 *5109:32 *39767:A 12.8536 +6 *5109:32 *7717:DIODE 9.3 +*END + +*D_NET *5110 0.0163168 +*CONN +*I *7714:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39764:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37841:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *7714:DIODE 0 +2 *39764:A 0.000183104 +3 *37841:X 0.000621869 +4 *5110:20 0.000268836 +5 *5110:15 0.00735342 +6 *5110:14 0.00788956 +7 *5110:15 *5135:13 0 +8 *317:7 *5110:20 0 +9 *336:23 *5110:14 0 +10 *2264:12 *5110:15 0 +11 *2264:19 *5110:15 0 +12 *2268:22 *5110:15 0 +13 *2290:42 *5110:15 0 +14 *2317:41 *5110:14 0 +15 *2318:18 *5110:15 0 +16 *2420:76 *5110:14 0 +17 *2570:27 *39764:A 0 +18 *2595:22 *5110:15 0 +19 *2703:11 *5110:15 0 +20 *2863:26 *5110:15 0 +21 *2880:39 *39764:A 0 +22 *4683:5 *5110:15 0 +23 *4684:23 *5110:15 0 +24 *4962:5 *5110:15 0 +*RES +1 *37841:X *5110:14 41.0857 +2 *5110:14 *5110:15 151.679 +3 *5110:15 *5110:20 10.9464 +4 *5110:20 *39764:A 13.1214 +5 *5110:20 *7714:DIODE 9.3 +*END + +*D_NET *5111 0.00321811 +*CONN +*I *39761:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37843:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39761:A 0.000223507 +2 *37843:X 0.00138555 +3 *5111:15 0.00160905 +4 *39761:A *5141:12 0 +5 *37831:A *39761:A 0 +6 *37835:A *39761:A 0 +7 *37835:A *5111:15 0 +8 *1314:19 *5111:15 0 +9 *1316:31 *5111:15 0 +10 *2266:72 *5111:15 0 +11 *2278:54 *5111:15 0 +12 *2292:68 *39761:A 0 +13 *2292:68 *5111:15 0 +14 *3655:18 *5111:15 0 +15 *3753:8 *39761:A 0 +16 *4367:46 *5111:15 0 +17 *4697:11 *5111:15 0 +*RES +1 *37843:X *5111:15 47.5321 +2 *5111:15 *39761:A 23.2107 +*END + +*D_NET *5112 0.00244994 +*CONN +*I *39757:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37845:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39757:A 0 +2 *37845:X 0.00122497 +3 *5112:11 0.00122497 +4 la_data_in_core[62] *5112:11 0 +5 *39229:A *5112:11 0 +6 *346:9 *5112:11 0 +7 *4702:21 *5112:11 0 +8 *4711:25 *5112:11 0 +9 *4984:10 *5112:11 0 +*RES +1 *37845:X *5112:11 43.925 +2 *5112:11 *39757:A 9.3 +*END + +*D_NET *5113 0.0116479 +*CONN +*I *7705:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39753:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37847:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *7705:DIODE 0 +2 *39753:A 0.00016428 +3 *37847:X 0.000910076 +4 *5113:19 0.00428027 +5 *5113:17 0.00474958 +6 *5113:14 0.00154367 +7 *37847:A *5113:14 0 +8 *1508:28 *39753:A 0 +9 *1508:28 *5113:19 0 +10 *2221:89 *5113:14 0 +11 *2242:23 *5113:19 0 +12 *2242:31 *5113:19 0 +13 *2242:34 *5113:17 0 +14 *2259:44 *5113:17 0 +15 *2259:44 *5113:19 0 +16 *2260:10 *5113:19 0 +17 *2265:20 *5113:19 0 +18 *2270:35 *5113:14 0 +19 *2279:30 *5113:14 0 +20 *2312:15 *5113:14 0 +21 *2317:26 *5113:14 0 +22 *3358:48 *5113:14 0 +23 *3787:38 *5113:14 0 +24 *5051:23 *5113:14 0 +*RES +1 *37847:X *5113:14 47.05 +2 *5113:14 *5113:17 13.2857 +3 *5113:17 *5113:19 85.9643 +4 *5113:19 *39753:A 12.7286 +5 *5113:19 *7705:DIODE 9.3 +*END + +*D_NET *5114 0.00787603 +*CONN +*I *39750:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7702:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37849:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *39750:A 0.000161286 +2 *7702:DIODE 0 +3 *37849:X 0.000715303 +4 *5114:15 0.00113157 +5 *5114:12 0.00306143 +6 *5114:7 0.00280645 +7 *338:28 *5114:7 0 +8 *338:36 *5114:12 0 +9 *340:54 *5114:7 0 +10 *340:54 *5114:12 0 +11 *2677:27 *39750:A 0 +12 *2677:27 *5114:15 0 +13 *2693:14 *5114:12 0 +14 *2693:24 *5114:15 0 +15 *2693:40 *39750:A 0 +16 *2736:47 *5114:15 0 +17 *2853:15 *5114:7 0 +18 *2853:15 *5114:12 0 +*RES +1 *37849:X *5114:7 24.2286 +2 *5114:7 *5114:12 48.5357 +3 *5114:12 *5114:15 24.75 +4 *5114:15 *7702:DIODE 9.3 +5 *5114:15 *39750:A 12.7286 +*END + +*D_NET *5115 0.00668827 +*CONN +*I *38010:C I *D sky130_fd_sc_hd__and3b_1 +*I *38867:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *38010:C 0.000104386 +2 *38867:X 0.00323975 +3 *5115:20 0.00334413 +4 *37372:A *5115:20 0 +5 *1299:21 *5115:20 0 +6 *1814:26 *5115:20 0 +7 *2859:24 *5115:20 0 +8 *3172:29 *5115:20 0 +9 *3195:26 *5115:20 0 +10 *3356:9 *5115:20 0 +11 *3385:17 *5115:20 0 +12 *3555:19 *5115:20 0 +13 *3640:19 *38010:C 0 +14 *3694:19 *5115:20 0 +15 *4479:35 *5115:20 0 +*RES +1 *38867:X *5115:20 46.1627 +2 *5115:20 *38010:C 15.9786 +*END + +*D_NET *5116 0.0169943 +*CONN +*I *7699:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39747:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37851:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *7699:DIODE 0 +2 *39747:A 0.00016428 +3 *37851:X 0.00119939 +4 *5116:55 0.00158419 +5 *5116:54 0.00238377 +6 *5116:47 0.00287987 +7 *5116:30 0.00349073 +8 *5116:24 0.00283368 +9 *5116:16 0.00245835 +10 *5116:24 *5134:7 0 +11 *5116:24 *5142:8 0 +12 *5116:30 *39721:A 0 +13 *5116:30 *39781:A 0 +14 *5116:30 *5124:23 0 +15 *5116:47 *5117:33 0 +16 *5116:54 *5117:33 0 +17 *5116:54 *5140:28 0 +18 *39486:B *5116:47 0 +19 *2221:89 *5116:30 0 +20 *2254:49 *5116:47 0 +21 *2270:35 *5116:30 0 +22 *2279:28 *5116:47 0 +23 *2279:30 *5116:47 0 +24 *2280:35 *5116:24 0 +25 *2315:33 *5116:30 0 +26 *2322:40 *5116:30 0 +27 *2336:25 *5116:16 0 +28 *2412:44 *5116:16 0 +29 *2725:33 *39747:A 0 +30 *2725:47 *39747:A 0 +31 *2725:47 *5116:55 0 +32 *2729:11 *5116:54 0 +33 *2752:10 *5116:16 0 +34 *2950:54 *5116:47 0 +35 *2950:55 *5116:54 0 +36 *2962:56 *5116:16 0 +37 *3252:55 *5116:16 0 +38 *3710:17 *5116:24 0 +39 *3795:14 *5116:54 0 +40 *3808:19 *5116:16 0 +41 *4332:25 *5116:16 0 +42 *4976:12 *5116:47 0 +43 *5051:23 *5116:47 0 +*RES +1 *37851:X *5116:16 44.4827 +2 *5116:16 *5116:24 35.6964 +3 *5116:24 *5116:30 42.0714 +4 *5116:30 *5116:47 49.8036 +5 *5116:47 *5116:54 29.4286 +6 *5116:54 *5116:55 29.6964 +7 *5116:55 *39747:A 12.7286 +8 *5116:55 *7699:DIODE 9.3 +*END + +*D_NET *5117 0.017253 +*CONN +*I *7696:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39744:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37853:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *7696:DIODE 0 +2 *39744:A 0.000177462 +3 *37853:X 0.00152301 +4 *5117:36 0.000300514 +5 *5117:33 0.00449787 +6 *5117:32 0.00528206 +7 *5117:22 0.00242818 +8 *5117:14 0.00304394 +9 *5117:22 *5134:10 0 +10 *5117:33 *5140:28 0 +11 *8924:DIODE *5117:22 0 +12 *8952:DIODE *5117:22 0 +13 *37607:A *5117:36 0 +14 *37837:A *5117:22 0 +15 *1950:61 *39744:A 0 +16 *1950:61 *5117:36 0 +17 *2248:18 *39744:A 0 +18 *2254:38 *5117:33 0 +19 *2254:50 *5117:32 0 +20 *2254:50 *5117:33 0 +21 *2279:30 *5117:32 0 +22 *2279:30 *5117:33 0 +23 *2317:26 *5117:32 0 +24 *2325:30 *5117:22 0 +25 *2329:24 *5117:32 0 +26 *2345:19 *5117:22 0 +27 *2729:11 *5117:33 0 +28 *2740:43 *39744:A 0 +29 *2870:5 *39744:A 0 +30 *2948:25 *5117:14 0 +31 *2950:54 *5117:33 0 +32 *3181:50 *5117:14 0 +33 *3191:59 *5117:14 0 +34 *3659:14 *5117:14 0 +35 *3691:20 *5117:14 0 +36 *3741:16 *5117:22 0 +37 *4324:20 *5117:14 0 +38 *4332:17 *5117:22 0 +39 *4679:11 *5117:33 0 +40 *4986:10 *5117:22 0 +41 *5051:16 *5117:32 0 +42 *5051:23 *5117:33 0 +43 *5116:47 *5117:33 0 +44 *5116:54 *5117:33 0 +*RES +1 *37853:X *5117:14 48.9412 +2 *5117:14 *5117:22 46.6607 +3 *5117:22 *5117:32 28.7679 +4 *5117:32 *5117:33 91.3036 +5 *5117:33 *5117:36 7.35714 +6 *5117:36 *39744:A 17.7107 +7 *5117:36 *7696:DIODE 13.8 +*END + +*D_NET *5118 0.0199308 +*CONN +*I *39741:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7693:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37855:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *39741:A 2.56688e-05 +2 *7693:DIODE 0.000109268 +3 *37855:X 0.000490911 +4 *5118:22 0.00769885 +5 *5118:18 0.00933955 +6 *5118:12 0.00226655 +7 *37969:A *5118:22 0 +8 *338:36 *5118:18 0 +9 *339:23 *7693:DIODE 0 +10 *340:62 *5118:18 0 +11 *1030:8 *5118:22 0 +12 *1031:52 *5118:22 0 +13 *2264:12 *5118:12 0 +14 *2528:41 *39741:A 0 +15 *2545:16 *5118:22 0 +16 *2567:29 *5118:22 0 +17 *2579:16 *5118:22 0 +18 *2584:11 *5118:22 0 +19 *2584:24 *5118:22 0 +20 *2628:14 *5118:22 0 +21 *2693:14 *5118:12 0 +22 *2734:10 *5118:18 0 +23 *2742:28 *5118:22 0 +24 *2753:36 *5118:22 0 +25 *2873:24 *5118:22 0 +26 *2883:41 *5118:22 0 +27 *3795:14 *5118:12 0 +28 *4684:23 *5118:12 0 +29 *5057:11 *5118:22 0 +30 *5057:17 *39741:A 0 +31 *5058:32 *7693:DIODE 0 +*RES +1 *37855:X *5118:12 29.5143 +2 *5118:12 *5118:18 45.5796 +3 *5118:18 *5118:22 31.0471 +4 *5118:22 *7693:DIODE 16.2821 +5 *5118:22 *39741:A 14.3357 +*END + +*D_NET *5119 0.00157264 +*CONN +*I *39739:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37857:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39739:A 0.00078632 +2 *37857:X 0.00078632 +3 *2276:20 *39739:A 0 +*RES +1 *37857:X *39739:A 35.0107 +*END + +*D_NET *5120 0.0208019 +*CONN +*I *7744:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39797:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37821:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *7744:DIODE 0.000168007 +2 *39797:A 0.000141595 +3 *37821:X 0.000504459 +4 *5120:37 0.00639821 +5 *5120:30 0.00806917 +6 *5120:24 0.00349827 +7 *5120:12 0.00202216 +8 *319:5 *5120:30 0 +9 *340:54 *5120:24 0 +10 *340:62 *5120:30 0 +11 *352:39 *5120:30 0 +12 *1009:92 *5120:24 0 +13 *1009:92 *5120:37 0 +14 *1030:8 *39797:A 0 +15 *1030:8 *5120:37 0 +16 *1293:27 *5120:12 0 +17 *1309:32 *5120:12 0 +18 *2254:38 *5120:12 0 +19 *2259:43 *5120:12 0 +20 *2531:18 *5120:37 0 +21 *2537:18 *7744:DIODE 0 +22 *2541:11 *7744:DIODE 0 +23 *2542:36 *5120:24 0 +24 *2542:36 *5120:37 0 +25 *2584:11 *5120:37 0 +26 *2610:30 *7744:DIODE 0 +27 *2625:32 *5120:37 0 +28 *2637:14 *5120:12 0 +29 *2693:94 *7744:DIODE 0 +30 *2759:47 *5120:37 0 +31 *2883:41 *5120:37 0 +32 *3799:12 *5120:24 0 +33 *5039:28 *39797:A 0 +34 *5039:28 *5120:37 0 +35 *5056:11 *5120:37 0 +*RES +1 *37821:X *5120:12 29.8179 +2 *5120:12 *5120:24 41.2356 +3 *5120:24 *5120:30 49.3654 +4 *5120:30 *5120:37 31.5506 +5 *5120:37 *39797:A 18.5013 +6 *5120:37 *7744:DIODE 21.1654 +*END + +*D_NET *5121 0.0140001 +*CONN +*I *39736:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7689:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37859:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *39736:A 0.000161285 +2 *7689:DIODE 0 +3 *37859:X 0.000339151 +4 *5121:35 0.00219425 +5 *5121:34 0.00357723 +6 *5121:22 0.00446665 +7 *5121:12 0.00326154 +8 *1006:10 *5121:22 0 +9 *1522:16 *5121:22 0 +10 *2260:32 *5121:35 0 +11 *2260:59 *5121:12 0 +12 *2298:28 *5121:22 0 +13 *2522:5 *39736:A 0 +14 *2522:5 *5121:34 0 +15 *2522:5 *5121:35 0 +16 *2532:19 *5121:35 0 +17 *2535:22 *39736:A 0 +18 *2535:22 *5121:35 0 +19 *2724:11 *5121:22 0 +20 *2726:18 *5121:12 0 +21 *2843:35 *5121:35 0 +22 *2843:44 *5121:35 0 +23 *2843:61 *5121:22 0 +24 *2856:45 *5121:22 0 +25 *2863:46 *5121:22 0 +26 *2931:33 *5121:22 0 +27 *3655:31 *5121:22 0 +28 *3668:20 *5121:34 0 +29 *3795:43 *5121:35 0 +30 *4709:10 *5121:22 0 +31 *4711:16 *5121:22 0 +32 *5036:11 *5121:22 0 +*RES +1 *37859:X *5121:12 26.0679 +2 *5121:12 *5121:22 47.0035 +3 *5121:22 *5121:34 46.0179 +4 *5121:34 *5121:35 42.4286 +5 *5121:35 *7689:DIODE 9.3 +6 *5121:35 *39736:A 12.7286 +*END + +*D_NET *5122 0.00346429 +*CONN +*I *39733:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37861:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39733:A 0.000472692 +2 *37861:X 0.00125945 +3 *5122:13 0.00173214 +4 *5122:13 *5134:22 0 +5 *338:36 *39733:A 0 +6 *2264:30 *5122:13 0 +7 *2298:11 *39733:A 0 +8 *2539:14 *5122:13 0 +9 *2542:19 *5122:13 0 +10 *2728:16 *5122:13 0 +11 *2853:15 *39733:A 0 +*RES +1 *37861:X *5122:13 49.3179 +2 *5122:13 *39733:A 23.8714 +*END + +*D_NET *5123 0.0026061 +*CONN +*I *39730:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37863:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39730:A 0 +2 *37863:X 0.00130305 +3 *5123:11 0.00130305 +4 *2522:5 *5123:11 0 +5 *2677:7 *5123:11 0 +6 *3362:21 *5123:11 0 +7 *3693:8 *5123:11 0 +8 *3795:43 *5123:11 0 +*RES +1 *37863:X *5123:11 45.6036 +2 *5123:11 *39730:A 9.3 +*END + +*D_NET *5124 0.0106749 +*CONN +*I *7682:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39727:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37865:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *7682:DIODE 0 +2 *39727:A 0.000143745 +3 *37865:X 0.00144837 +4 *5124:23 0.00242677 +5 *5124:17 0.00374535 +6 *5124:9 0.0029107 +7 *5124:17 *5139:16 0 +8 *5124:23 *39721:A 0 +9 *5124:23 *39781:A 0 +10 *39890:A *5124:23 0 +11 *1298:36 *5124:17 0 +12 *2225:28 *5124:23 0 +13 *2225:43 *5124:17 0 +14 *2266:50 *5124:17 0 +15 *2281:55 *5124:9 0 +16 *2292:14 *5124:23 0 +17 *2309:30 *5124:9 0 +18 *2322:40 *39727:A 0 +19 *2322:40 *5124:23 0 +20 *2324:52 *5124:23 0 +21 *2535:10 *5124:23 0 +22 *2725:65 *39727:A 0 +23 *2725:65 *5124:23 0 +24 *2752:10 *5124:17 0 +25 *3252:55 *5124:17 0 +26 *3717:10 *5124:9 0 +27 *5116:30 *5124:23 0 +*RES +1 *37865:X *5124:9 49.2286 +2 *5124:9 *5124:17 39.7321 +3 *5124:17 *5124:23 47.8036 +4 *5124:23 *39727:A 12.3 +5 *5124:23 *7682:DIODE 9.3 +*END + +*D_NET *5125 0.0130703 +*CONN +*I *39724:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7679:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37867:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *39724:A 0.000161285 +2 *7679:DIODE 0 +3 *37867:X 0.00108952 +4 *5125:51 0.00158419 +5 *5125:50 0.00163804 +6 *5125:31 0.00274009 +7 *5125:30 0.00364631 +8 *5125:22 0.00221088 +9 *37799:A *5125:51 0 +10 *2292:50 *5125:51 0 +11 *2329:64 *39724:A 0 +12 *2865:39 *39724:A 0 +13 *2865:39 *5125:50 0 +14 *2865:39 *5125:51 0 +15 *2870:23 *39724:A 0 +16 *2870:23 *5125:51 0 +17 *2870:31 *5125:51 0 +18 *2936:17 *5125:30 0 +19 *2962:46 *5125:22 0 +20 *3083:45 *5125:22 0 +21 *3655:18 *5125:22 0 +22 *3710:17 *5125:30 0 +23 *3741:23 *5125:22 0 +24 *3787:38 *5125:50 0 +25 *3789:43 *5125:30 0 +26 *3808:19 *5125:50 0 +27 *4332:33 *5125:22 0 +28 *4367:35 *5125:31 0 +*RES +1 *37867:X *5125:22 46.6571 +2 *5125:22 *5125:30 37.0357 +3 *5125:30 *5125:31 52.6964 +4 *5125:31 *5125:50 28.2618 +5 *5125:50 *5125:51 29.6964 +6 *5125:51 *7679:DIODE 9.3 +7 *5125:51 *39724:A 12.7286 +*END + +*D_NET *5126 0.00330292 +*CONN +*I *38012:C I *D sky130_fd_sc_hd__and3b_1 +*I *38868:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *38012:C 0.000344389 +2 *38868:X 0.00130707 +3 *5126:10 0.00165146 +4 *6684:DIODE *5126:10 0 +5 *37372:A *5126:10 0 +6 *39109:A *5126:10 0 +7 *40339:A *5126:10 0 +8 *41289:A *38012:C 0 +9 *2033:27 *5126:10 0 +10 *2048:9 *5126:10 0 +11 *2122:38 *38012:C 0 +12 *2122:38 *5126:10 0 +13 *2139:16 *5126:10 0 +14 *3132:15 *38012:C 0 +15 *3206:64 *5126:10 0 +16 *4243:21 *38012:C 0 +17 *4474:26 *5126:10 0 +*RES +1 *38868:X *5126:10 47.2107 +2 *5126:10 *38012:C 16.55 +*END + +*D_NET *5127 0.0142256 +*CONN +*I *7676:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39721:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37869:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *7676:DIODE 0 +2 *39721:A 0.000124017 +3 *37869:X 0.00072284 +4 *5127:47 0.000334549 +5 *5127:41 0.00240478 +6 *5127:36 0.00347305 +7 *5127:30 0.00290551 +8 *5127:24 0.00258237 +9 *5127:18 0.00167849 +10 *37847:A *5127:41 0 +11 *1316:29 *5127:24 0 +12 *1559:26 *5127:24 0 +13 *2221:89 *5127:41 0 +14 *2227:48 *5127:41 0 +15 *2272:53 *5127:41 0 +16 *2317:16 *5127:41 0 +17 *2322:40 *39721:A 0 +18 *2324:52 *5127:47 0 +19 *2846:22 *5127:18 0 +20 *2936:17 *5127:36 0 +21 *3080:83 *5127:30 0 +22 *3674:33 *5127:24 0 +23 *3674:44 *5127:18 0 +24 *3687:21 *5127:30 0 +25 *3710:17 *5127:41 0 +26 *3753:8 *5127:30 0 +27 *3787:38 *5127:41 0 +28 *3808:40 *5127:24 0 +29 *4024:37 *5127:18 0 +30 *4100:13 *5127:30 0 +31 *4367:26 *5127:41 0 +32 *5116:30 *39721:A 0 +33 *5124:23 *39721:A 0 +*RES +1 *37869:X *5127:18 43.6571 +2 *5127:18 *5127:24 29.5714 +3 *5127:24 *5127:30 43.1071 +4 *5127:30 *5127:36 35.8214 +5 *5127:36 *5127:41 45.8571 +6 *5127:41 *5127:47 13.625 +7 *5127:47 *39721:A 20.9964 +8 *5127:47 *7676:DIODE 9.3 +*END + +*D_NET *5128 0.0151429 +*CONN +*I *39718:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7673:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37871:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *39718:A 0.000324367 +2 *7673:DIODE 0.000143745 +3 *37871:X 0.00123346 +4 *5128:30 0.0006206 +5 *5128:27 0.00470442 +6 *5128:26 0.00571737 +7 *5128:19 0.0023989 +8 *1029:84 *5128:19 0 +9 *1316:29 *5128:26 0 +10 *1559:36 *5128:19 0 +11 *1559:36 *5128:26 0 +12 *2254:50 *5128:27 0 +13 *2277:30 *39718:A 0 +14 *2286:52 *39718:A 0 +15 *2305:47 *5128:19 0 +16 *2309:38 *5128:19 0 +17 *2315:34 *5128:27 0 +18 *2336:26 *5128:27 0 +19 *2846:17 *7673:DIODE 0 +20 *2846:22 *5128:26 0 +21 *2961:36 *5128:19 0 +22 *3252:37 *5128:19 0 +23 *3252:41 *5128:19 0 +24 *3252:41 *5128:26 0 +25 *3710:23 *5128:19 0 +26 *3791:45 *5128:26 0 +27 *3799:38 *5128:26 0 +28 *4114:28 *5128:19 0 +29 *4690:15 *39718:A 0 +*RES +1 *37871:X *5128:19 41.7378 +2 *5128:19 *5128:26 33.6429 +3 *5128:26 *5128:27 95 +4 *5128:27 *5128:30 7.96429 +5 *5128:30 *7673:DIODE 16.8 +6 *5128:30 *39718:A 20.7107 +*END + +*D_NET *5129 0.00994466 +*CONN +*I *7670:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39715:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37873:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *7670:DIODE 0 +2 *39715:A 0.000143745 +3 *37873:X 0.00144073 +4 *5129:11 0.0035316 +5 *5129:10 0.00482858 +6 *2260:59 *5129:10 0 +7 *2264:34 *39715:A 0 +8 *2264:34 *5129:11 0 +9 *2264:39 *5129:11 0 +10 *2266:72 *5129:10 0 +11 *2268:35 *5129:11 0 +12 *2312:18 *39715:A 0 +13 *2312:18 *5129:11 0 +14 *2315:34 *5129:10 0 +15 *2931:22 *5129:11 0 +16 *2945:23 *5129:10 0 +17 *2945:25 *5129:10 0 +18 *4695:11 *5129:10 0 +19 *4990:17 *5129:10 0 +*RES +1 *37873:X *5129:10 48.9429 +2 *5129:10 *5129:11 70.7679 +3 *5129:11 *39715:A 12.3 +4 *5129:11 *7670:DIODE 9.3 +*END + +*D_NET *5130 0.0208586 +*CONN +*I *39712:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7667:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37875:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *39712:A 0.000152734 +2 *7667:DIODE 0 +3 *37875:X 0.00100805 +4 *5130:32 0.00033451 +5 *5130:21 0.00211521 +6 *5130:14 0.00219294 +7 *5130:9 0.00715329 +8 *5130:8 0.00790183 +9 *39712:A *5134:10 0 +10 *1267:28 *5130:14 0 +11 *1287:46 *5130:9 0 +12 *2254:50 *5130:21 0 +13 *2279:38 *5130:21 0 +14 *2315:34 *5130:21 0 +15 *2945:10 *5130:8 0 +16 *2950:35 *39712:A 0 +17 *2950:35 *5130:21 0 +18 *3659:39 *5130:8 0 +19 *3679:41 *5130:9 0 +20 *3740:17 *5130:8 0 +21 *4709:10 *5130:14 0 +22 *4713:14 *5130:8 0 +*RES +1 *37875:X *5130:8 41.1929 +2 *5130:8 *5130:9 143.875 +3 *5130:9 *5130:14 14.8929 +4 *5130:14 *5130:21 49.4107 +5 *5130:21 *5130:32 9.39286 +6 *5130:32 *7667:DIODE 13.8 +7 *5130:32 *39712:A 17.7286 +*END + +*D_NET *5131 0.00706142 +*CONN +*I *39709:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37877:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *39709:A 0.000205952 +2 *37877:X 0.001095 +3 *5131:11 0.00243571 +4 *5131:10 0.00332476 +5 *38271:A *5131:10 0 +6 *2276:41 *5131:10 0 +7 *2281:39 *39709:A 0 +8 *2281:39 *5131:11 0 +9 *2308:34 *5131:10 0 +10 *2317:42 *5131:10 0 +11 *2317:55 *5131:10 0 +12 *2752:20 *39709:A 0 +13 *2843:44 *39709:A 0 +14 *2843:56 *5131:11 0 +15 *2917:11 *5131:11 0 +16 *2940:32 *5131:10 0 +17 *3753:13 *5131:11 0 +18 *4699:8 *5131:10 0 +19 *4992:10 *5131:10 0 +*RES +1 *37877:X *5131:10 41.925 +2 *5131:10 *5131:11 46.5357 +3 *5131:11 *39709:A 22.9786 +*END + +*D_NET *5132 0.0119789 +*CONN +*I *7741:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39794:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37823:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *7741:DIODE 0 +2 *39794:A 0.000189344 +3 *37823:X 0.0014813 +4 *5132:23 0.00153054 +5 *5132:22 0.00279394 +6 *5132:14 0.0029776 +7 *5132:7 0.00300616 +8 *37815:A *5132:7 0 +9 *39906:A *5132:14 0 +10 *1932:30 *5132:7 0 +11 *1935:28 *5132:14 0 +12 *2170:10 *39794:A 0 +13 *2170:10 *5132:23 0 +14 *2182:29 *5132:14 0 +15 *2743:42 *5132:14 0 +16 *2744:17 *5132:14 0 +17 *2744:22 *5132:7 0 +18 *2868:33 *5132:22 0 +19 *2880:39 *5132:22 0 +*RES +1 *37823:X *5132:7 40.2464 +2 *5132:7 *5132:14 41.4821 +3 *5132:14 *5132:22 39.4464 +4 *5132:22 *5132:23 28.0536 +5 *5132:23 *39794:A 22.3357 +6 *5132:23 *7741:DIODE 9.3 +*END + +*D_NET *5133 0.0171828 +*CONN +*I *7662:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39706:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37879:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *7662:DIODE 0 +2 *39706:A 0.000190833 +3 *37879:X 0.000379657 +4 *5133:27 0.00144676 +5 *5133:15 0.0068145 +6 *5133:14 0.00676496 +7 *5133:8 0.00158604 +8 *37987:A *5133:15 0 +9 *37991:A *5133:15 0 +10 *338:21 *5133:8 0 +11 *351:14 *5133:15 0 +12 *1005:88 *5133:27 0 +13 *1014:10 *5133:27 0 +14 *1522:16 *5133:8 0 +15 *2260:40 *5133:8 0 +16 *2688:7 *5133:15 0 +17 *2688:9 *5133:15 0 +18 *2845:26 *5133:15 0 +19 *2873:39 *39706:A 0 +20 *2873:39 *5133:27 0 +21 *2873:41 *5133:15 0 +22 *3651:23 *5133:14 0 +23 *4691:16 *5133:15 0 +*RES +1 *37879:X *5133:8 26.925 +2 *5133:8 *5133:14 34.2857 +3 *5133:14 *5133:15 115.946 +4 *5133:15 *5133:27 39.1935 +5 *5133:27 *39706:A 22.5143 +6 *5133:27 *7662:DIODE 9.3 +*END + +*D_NET *5134 0.0189224 +*CONN +*I *7660:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39704:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37881:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *7660:DIODE 0 +2 *39704:A 0.000181821 +3 *37881:X 0.00112771 +4 *5134:42 0.000267552 +5 *5134:37 0.00192078 +6 *5134:30 0.00313364 +7 *5134:22 0.00334779 +8 *5134:17 0.00420496 +9 *5134:10 0.00288309 +10 *5134:7 0.00185506 +11 *5134:10 *5142:8 0 +12 *39712:A *5134:10 0 +13 *1012:10 *5134:30 0 +14 *1022:10 *5134:30 0 +15 *1950:36 *39704:A 0 +16 *2222:83 *5134:7 0 +17 *2227:75 *5134:7 0 +18 *2293:21 *5134:17 0 +19 *2305:39 *5134:10 0 +20 *2308:33 *5134:17 0 +21 *2525:16 *5134:10 0 +22 *2542:19 *5134:22 0 +23 *2570:27 *5134:37 0 +24 *2579:16 *5134:37 0 +25 *2580:23 *5134:17 0 +26 *2580:23 *5134:22 0 +27 *2595:16 *5134:10 0 +28 *2595:23 *5134:22 0 +29 *2602:39 *5134:37 0 +30 *2880:39 *5134:37 0 +31 *2936:17 *5134:7 0 +32 *2936:37 *5134:7 0 +33 *3710:17 *5134:7 0 +34 *5116:24 *5134:7 0 +35 *5117:22 *5134:10 0 +36 *5122:13 *5134:22 0 +*RES +1 *37881:X *5134:7 37.3357 +2 *5134:7 *5134:10 21.0179 +3 *5134:10 *5134:17 45.0536 +4 *5134:17 *5134:22 47.4018 +5 *5134:22 *5134:30 16.2561 +6 *5134:30 *5134:37 47.3571 +7 *5134:37 *5134:42 10.9464 +8 *5134:42 *39704:A 13.1571 +9 *5134:42 *7660:DIODE 9.3 +*END + +*D_NET *5135 0.0181305 +*CONN +*I *7738:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39791:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37825:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *7738:DIODE 0 +2 *39791:A 0.000217594 +3 *37825:X 0.00114778 +4 *5135:61 0.00190291 +5 *5135:52 0.00314265 +6 *5135:38 0.00318588 +7 *5135:17 0.00439125 +8 *5135:16 0.00282867 +9 *5135:13 0.00131374 +10 *37975:A *5135:17 0 +11 *39482:B *5135:52 0 +12 *314:19 *5135:52 0 +13 *318:7 *5135:38 0 +14 *338:36 *5135:17 0 +15 *338:48 *5135:38 0 +16 *1000:14 *5135:61 0 +17 *1019:8 *5135:38 0 +18 *1019:8 *5135:61 0 +19 *1517:22 *5135:38 0 +20 *1950:26 *39791:A 0 +21 *1950:26 *5135:61 0 +22 *1953:51 *5135:61 0 +23 *1953:64 *5135:17 0 +24 *2264:19 *5135:13 0 +25 *2344:59 *5135:38 0 +26 *2517:10 *5135:38 0 +27 *2570:27 *5135:52 0 +28 *2584:11 *5135:38 0 +29 *2595:49 *5135:38 0 +30 *2602:39 *5135:52 0 +31 *2693:14 *5135:16 0 +32 *2693:78 *39791:A 0 +33 *2693:78 *5135:61 0 +34 *2703:19 *5135:52 0 +35 *2854:34 *5135:52 0 +36 *2856:20 *5135:13 0 +37 *2867:11 *5135:52 0 +38 *2880:39 *5135:52 0 +39 *3795:14 *5135:16 0 +40 *3899:27 *5135:13 0 +41 *4684:5 *5135:17 0 +42 *4684:23 *5135:16 0 +43 *4963:14 *39791:A 0 +44 *5057:11 *5135:52 0 +45 *5058:16 *5135:61 0 +46 *5110:15 *5135:13 0 +*RES +1 *37825:X *5135:13 46.9607 +2 *5135:13 *5135:16 8.26786 +3 *5135:16 *5135:17 55.5714 +4 *5135:17 *5135:38 47.0998 +5 *5135:38 *5135:52 48.9018 +6 *5135:52 *5135:61 20.3173 +7 *5135:61 *39791:A 23.1214 +8 *5135:61 *7738:DIODE 9.3 +*END + +*D_NET *5136 0.020241 +*CONN +*I *7735:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39788:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37827:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *7735:DIODE 0 +2 *39788:A 0.000143745 +3 *37827:X 0.00120627 +4 *5136:65 0.00117277 +5 *5136:53 0.00524791 +6 *5136:51 0.00547173 +7 *5136:40 0.00352258 +8 *5136:18 0.003476 +9 *5136:18 *5140:22 0 +10 *5136:51 *5140:38 0 +11 *8388:DIODE *5136:40 0 +12 *37967:A *5136:51 0 +13 *40015:A *5136:53 0 +14 *40022:A *5136:53 0 +15 *40833:A *5136:40 0 +16 *41367:A *5136:18 0 +17 *315:24 *5136:65 0 +18 *1029:84 *5136:40 0 +19 *1406:49 *5136:40 0 +20 *1930:33 *39788:A 0 +21 *1930:33 *5136:65 0 +22 *1932:44 *5136:18 0 +23 *2198:43 *5136:65 0 +24 *2217:30 *5136:51 0 +25 *2248:18 *5136:40 0 +26 *2253:17 *5136:40 0 +27 *2256:13 *5136:40 0 +28 *2275:26 *5136:18 0 +29 *2322:60 *5136:51 0 +30 *2327:38 *5136:18 0 +31 *2564:21 *5136:53 0 +32 *2732:15 *5136:18 0 +33 *2740:43 *5136:51 0 +34 *2863:10 *5136:53 0 +35 *2864:64 *39788:A 0 +36 *2864:64 *5136:65 0 +37 *2864:65 *5136:53 0 +38 *2870:5 *5136:51 0 +39 *2872:25 *5136:53 0 +40 *3581:19 *5136:18 0 +41 *4350:80 *5136:40 0 +42 *4379:22 *5136:18 0 +*RES +1 *37827:X *5136:18 49.1214 +2 *5136:18 *5136:40 48.441 +3 *5136:40 *5136:51 26.3036 +4 *5136:51 *5136:53 88.0179 +5 *5136:53 *5136:65 23.6961 +6 *5136:65 *39788:A 12.3 +7 *5136:65 *7735:DIODE 9.3 +*END + +*D_NET *5137 0.00713797 +*CONN +*I *38014:C I *D sky130_fd_sc_hd__and3b_1 +*I *38869:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *38014:C 0.00222837 +2 *38869:X 0.00134062 +3 *5137:11 0.00356898 +4 la_data_in_mprj[66] *5137:11 0 +5 *6826:DIODE *5137:11 0 +6 *7006:DIODE *5137:11 0 +7 *37602:A *38014:C 0 +8 *37602:B *38014:C 0 +9 *39109:A *5137:11 0 +10 *478:5 *5137:11 0 +11 *861:5 *5137:11 0 +12 *1406:19 *38014:C 0 +13 *1517:14 *38014:C 0 +14 *1815:42 *38014:C 0 +15 *1957:20 *5137:11 0 +16 *1963:16 *5137:11 0 +17 *2029:31 *5137:11 0 +18 *2044:18 *38014:C 0 +19 *2103:24 *5137:11 0 +20 *2135:28 *5137:11 0 +21 *2213:23 *38014:C 0 +22 *2215:13 *38014:C 0 +23 *3269:39 *5137:11 0 +24 *3624:58 *38014:C 0 +25 *4002:13 *5137:11 0 +26 *4468:37 *5137:11 0 +*RES +1 *38869:X *5137:11 49.4282 +2 *5137:11 *38014:C 43.4588 +*END + +*D_NET *5138 0.00212313 +*CONN +*I *39785:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37829:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39785:A 0.00106157 +2 *37829:X 0.00106157 +3 *337:29 *39785:A 0 +4 *2274:40 *39785:A 0 +5 *5038:11 *39785:A 0 +*RES +1 *37829:X *39785:A 49.8143 +*END + +*D_NET *5139 0.0053759 +*CONN +*I *39781:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37831:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39781:A 0.00125467 +2 *37831:X 0.00143328 +3 *5139:16 0.00268795 +4 *5139:16 *5141:12 0 +5 *2225:43 *39781:A 0 +6 *2225:43 *5139:16 0 +7 *2242:34 *39781:A 0 +8 *2259:44 *39781:A 0 +9 *2266:50 *5139:16 0 +10 *2292:68 *5139:16 0 +11 *2315:33 *39781:A 0 +12 *2950:35 *5139:16 0 +13 *3786:59 *5139:16 0 +14 *5116:30 *39781:A 0 +15 *5124:17 *5139:16 0 +16 *5124:23 *39781:A 0 +*RES +1 *37831:X *5139:16 48.675 +2 *5139:16 *39781:A 44.8893 +*END + +*D_NET *5140 0.0163637 +*CONN +*I *7726:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39777:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37833:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *7726:DIODE 0 +2 *39777:A 0.00016428 +3 *37833:X 0.000935301 +4 *5140:52 0.000209879 +5 *5140:47 0.00361356 +6 *5140:46 0.00391882 +7 *5140:38 0.00198528 +8 *5140:28 0.00311783 +9 *5140:22 0.00241871 +10 *37967:A *5140:38 0 +11 *40672:A *5140:22 0 +12 *350:23 *5140:38 0 +13 *1029:84 *5140:46 0 +14 *1311:18 *5140:22 0 +15 *1932:44 *5140:22 0 +16 *2242:17 *5140:38 0 +17 *2254:19 *5140:28 0 +18 *2254:38 *5140:28 0 +19 *2266:37 *5140:22 0 +20 *2278:16 *5140:22 0 +21 *2281:15 *5140:22 0 +22 *2282:23 *5140:22 0 +23 *2324:60 *5140:38 0 +24 *2564:18 *5140:38 0 +25 *2564:18 *5140:46 0 +26 *2564:21 *5140:47 0 +27 *2725:25 *39777:A 0 +28 *2725:47 *5140:38 0 +29 *2729:11 *5140:28 0 +30 *2740:27 *5140:47 0 +31 *2740:32 *5140:47 0 +32 *2740:38 *5140:38 0 +33 *2744:22 *5140:46 0 +34 *2870:5 *5140:38 0 +35 *3599:34 *5140:22 0 +36 *3787:22 *5140:22 0 +37 *3799:8 *5140:28 0 +38 *4350:33 *5140:47 0 +39 *5116:54 *5140:28 0 +40 *5117:33 *5140:28 0 +41 *5136:18 *5140:22 0 +42 *5136:51 *5140:38 0 +*RES +1 *37833:X *5140:22 48.55 +2 *5140:22 *5140:28 40.2143 +3 *5140:28 *5140:38 48.0625 +4 *5140:38 *5140:46 14.8651 +5 *5140:46 *5140:47 74.4643 +6 *5140:47 *5140:52 10.0357 +7 *5140:52 *39777:A 12.7286 +8 *5140:52 *7726:DIODE 9.3 +*END + +*D_NET *5141 0.00415119 +*CONN +*I *39774:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37835:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39774:A 0.000521504 +2 *37835:X 0.00155409 +3 *5141:12 0.00207559 +4 *37829:A *5141:12 0 +5 *37831:A *5141:12 0 +6 *39761:A *5141:12 0 +7 *2225:43 *5141:12 0 +8 *2242:34 *39774:A 0 +9 *2242:47 *39774:A 0 +10 *2259:44 *39774:A 0 +11 *2292:68 *5141:12 0 +12 *2315:33 *5141:12 0 +13 *2344:7 *5141:12 0 +14 *2950:35 *5141:12 0 +15 *5139:16 *5141:12 0 +*RES +1 *37835:X *5141:12 46.675 +2 *5141:12 *39774:A 24.7464 +*END + +*D_NET *5142 0.0194173 +*CONN +*I *7720:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39770:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37837:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *7720:DIODE 0 +2 *39770:A 0.000175973 +3 *37837:X 0.000626981 +4 *5142:16 0.00908167 +5 *5142:8 0.00953268 +6 *8924:DIODE *5142:8 0 +7 *37811:A *39770:A 0 +8 *318:28 *5142:16 0 +9 *1029:83 *5142:16 0 +10 *1029:84 *5142:16 0 +11 *1814:19 *39770:A 0 +12 *1814:19 *5142:16 0 +13 *2217:73 *5142:16 0 +14 *2292:14 *5142:16 0 +15 *2345:19 *5142:8 0 +16 *2725:25 *39770:A 0 +17 *2936:37 *5142:16 0 +18 *3566:21 *5142:16 0 +19 *3566:51 *5142:16 0 +20 *3791:45 *5142:16 0 +21 *5116:24 *5142:8 0 +22 *5134:10 *5142:8 0 +*RES +1 *37837:X *5142:8 31.4511 +2 *5142:8 *5142:16 35.9319 +3 *5142:16 *39770:A 17.5321 +4 *5142:16 *7720:DIODE 13.8 +*END + +*D_NET *5143 0.00298916 +*CONN +*I *39864:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37747:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39864:A 0.000328628 +2 *37747:X 0.00116595 +3 *5143:13 0.00149458 +4 *2309:38 *39864:A 0 +5 *2848:22 *39864:A 0 +6 *2915:17 *5143:13 0 +7 *2939:46 *5143:13 0 +8 *2944:42 *5143:13 0 +9 *3710:23 *39864:A 0 +10 *3789:75 *5143:13 0 +*RES +1 *37747:X *5143:13 47.1929 +2 *5143:13 *39864:A 20.8893 +*END + +*D_NET *5144 0.00596911 +*CONN +*I *39859:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37749:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39859:A 8.56922e-05 +2 *37749:X 0 +3 *5144:5 0.00298455 +4 *5144:4 0.00289886 +5 *2726:23 *5144:5 0 +6 *2726:27 *5144:5 0 +7 *3166:37 *5144:5 0 +8 *3979:32 *5144:5 0 +9 *4314:24 *39859:A 0 +10 *4316:23 *39859:A 0 +11 *4989:11 *5144:5 0 +*RES +1 *37749:X *5144:4 9.3 +2 *5144:4 *5144:5 60.5 +3 *5144:5 *39859:A 20.2464 +*END + +*D_NET *5145 0.00170612 +*CONN +*I *39854:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37751:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39854:A 0.000853059 +2 *37751:X 0.000853059 +3 *355:16 *39854:A 0 +*RES +1 *37751:X *39854:A 36.4036 +*END + +*D_NET *5146 0.0111498 +*CONN +*I *7784:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39849:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37753:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *7784:DIODE 0 +2 *39849:A 0.00016428 +3 *37753:X 0.000192562 +4 *5146:9 0.00538231 +5 *5146:8 0.0054106 +6 *2256:27 *5146:8 0 +7 *2260:48 *5146:9 0 +8 *2298:20 *39849:A 0 +9 *2298:20 *5146:9 0 +10 *2298:37 *5146:8 0 +11 *2726:21 *5146:9 0 +12 *3795:49 *5146:9 0 +13 *3798:54 *5146:8 0 +14 *4701:16 *5146:8 0 +*RES +1 *37753:X *5146:8 22.675 +2 *5146:8 *5146:9 108.964 +3 *5146:9 *39849:A 12.7286 +4 *5146:9 *7784:DIODE 9.3 +*END + +*D_NET *5147 0.00912306 +*CONN +*I *39872:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *7803:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *37743:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *39872:A 0.000377759 +2 *7803:DIODE 0 +3 *37743:X 0.00186982 +4 *5147:49 0.00158305 +5 *5147:42 0.00231395 +6 *5147:25 0.00297848 +7 *8117:DIODE *5147:42 0 +8 *40834:A *5147:42 0 +9 *40852:A *5147:25 0 +10 *41310:A *5147:42 0 +11 *1406:49 *5147:42 0 +12 *2221:52 *5147:42 0 +13 *2221:52 *5147:49 0 +14 *2222:28 *39872:A 0 +15 *2222:28 *5147:49 0 +16 *2222:43 *5147:42 0 +17 *2222:43 *5147:49 0 +18 *2222:58 *5147:25 0 +19 *2222:58 *5147:42 0 +20 *2227:48 *5147:25 0 +21 *2235:38 *5147:42 0 +22 *2245:18 *39872:A 0 +23 *2275:46 *5147:25 0 +24 *2310:16 *5147:25 0 +25 *2331:10 *5147:25 0 +26 *2343:54 *5147:42 0 +27 *2851:14 *5147:42 0 +28 *2852:33 *39872:A 0 +29 *2857:57 *39872:A 0 +30 *3191:83 *5147:25 0 +31 *3588:13 *5147:25 0 +32 *3588:32 *5147:42 0 +33 *3588:35 *39872:A 0 +34 *3588:35 *5147:49 0 +35 *3786:44 *5147:25 0 +36 *3787:22 *5147:42 0 +37 *4317:31 *5147:42 0 +38 *4342:38 *5147:25 0 +39 *4368:47 *5147:25 0 +*RES +1 *37743:X *5147:25 49.5287 +2 *5147:25 *5147:42 49.1511 +3 *5147:42 *5147:49 34.2143 +4 *5147:49 *7803:DIODE 9.3 +5 *5147:49 *39872:A 17.2464 +*END + +*D_NET *5148 0.0120036 +*CONN +*I *40188:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8033:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38870:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40188:A 0.000294629 +2 *8033:DIODE 0 +3 *38870:X 0.00162965 +4 *5148:21 0.00142234 +5 *5148:20 0.00191262 +6 *5148:12 0.00294978 +7 *5148:7 0.00379452 +8 *6829:DIODE *5148:7 0 +9 *2051:9 *5148:20 0 +10 *2081:32 *5148:21 0 +11 *2081:50 *5148:21 0 +12 *2116:19 *5148:21 0 +13 *2143:23 *5148:20 0 +14 *2350:10 *5148:12 0 +15 *3335:5 *5148:20 0 +16 *3738:13 *5148:7 0 +17 *3760:13 *5148:12 0 +18 *3760:17 *5148:12 0 +19 *3800:9 *5148:21 0 +20 *3807:17 *40188:A 0 +21 *3807:17 *5148:21 0 +22 *4479:46 *5148:7 0 +23 *4484:14 *5148:7 0 +24 *4492:14 *5148:12 0 +25 *4860:23 *5148:20 0 +*RES +1 *38870:X *5148:7 43.3268 +2 *5148:7 *5148:12 49.8125 +3 *5148:12 *5148:20 30.4821 +4 *5148:20 *5148:21 23.5357 +5 *5148:21 *8033:DIODE 9.3 +6 *5148:21 *40188:A 24.9429 +*END + +*D_NET *5149 0.00813121 +*CONN +*I *39868:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37745:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *39868:A 0.000852204 +2 *37745:X 0.00131466 +3 *5149:28 0.00275094 +4 *5149:9 0.0032134 +5 *1404:27 *39868:A 0 +6 *1519:23 *5149:28 0 +7 *2222:28 *39868:A 0 +8 *2222:43 *5149:28 0 +9 *2227:23 *39868:A 0 +10 *2238:10 *5149:9 0 +11 *2262:11 *5149:9 0 +12 *2269:25 *5149:9 0 +13 *2272:26 *5149:28 0 +14 *2275:46 *5149:9 0 +15 *2297:12 *5149:9 0 +16 *2300:16 *5149:9 0 +17 *2852:47 *39868:A 0 +18 *3180:14 *5149:28 0 +19 *3201:43 *5149:9 0 +20 *3358:23 *5149:9 0 +21 *3386:42 *5149:28 0 +22 *3553:27 *5149:28 0 +23 *3575:49 *39868:A 0 +24 *4310:20 *5149:9 0 +25 *4317:31 *5149:28 0 +26 *4363:28 *5149:28 0 +27 *4678:10 *39868:A 0 +*RES +1 *37745:X *5149:9 46.1929 +2 *5149:9 *5149:28 32.5026 +3 *5149:28 *39868:A 27.0857 +*END + +*D_NET *5150 0.0124176 +*CONN +*I *40757:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8451:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38890:HI[461] O *D mprj_logic_high +*CAP +1 *40757:A 0 +2 *8451:DIODE 0.000799674 +3 *38890:HI[461] 0.00540913 +4 *5150:21 0.00620881 +5 *8490:DIODE *5150:21 0 +6 *38264:A *8451:DIODE 0 +7 *1999:29 *5150:21 0 +8 *1999:52 *5150:21 0 +9 *2073:72 *5150:21 0 +10 *2229:18 *5150:21 0 +11 *2272:78 *5150:21 0 +12 *3201:26 *5150:21 0 +13 *3340:20 *5150:21 0 +14 *3354:12 *5150:21 0 +15 *3358:23 *5150:21 0 +16 *3438:57 *8451:DIODE 0 +17 *3438:71 *8451:DIODE 0 +18 *3747:30 *5150:21 0 +19 *3947:27 *5150:21 0 +20 *4064:24 *5150:21 0 +21 *4064:40 *5150:21 0 +22 *4110:57 *8451:DIODE 0 +23 *4119:18 *8451:DIODE 0 +24 *4119:21 *8451:DIODE 0 +25 *4230:25 *8451:DIODE 0 +26 *4321:26 *5150:21 0 +27 *4376:49 *5150:21 0 +28 *4379:22 *5150:21 0 +*RES +1 *38890:HI[461] *5150:21 44.0294 +2 *5150:21 *8451:DIODE 44.2821 +3 *5150:21 *40757:A 9.3 +*END + +*D_NET *5151 0.0211627 +*CONN +*I *8329:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40611:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39389:mprj_vdd_logic1 O *D mgmt_protect_hv +*CAP +1 *8329:DIODE 0.000611934 +2 *40611:A 0 +3 *39389:mprj_vdd_logic1 0.000688133 +4 *5151:31 0.00128954 +5 *5151:17 0.0024859 +6 *5151:11 0.00860368 +7 *5151:10 0.00748351 +8 *41029:A *5151:31 0 +9 *1454:24 *5151:31 0 +10 *2384:28 *5151:17 0 +11 *3107:91 *5151:11 0 +12 *3432:49 *5151:31 0 +13 *3746:19 *5151:17 0 +14 *3932:11 *5151:17 0 +15 *3932:21 *5151:17 0 +16 *3937:61 *5151:17 0 +17 *3951:39 *5151:17 0 +18 *3955:38 *5151:10 0 +19 *3955:49 *5151:11 0 +20 *3959:27 *5151:11 0 +21 *3963:10 *5151:17 0 +22 *3964:33 *5151:17 0 +23 *3970:19 *5151:31 0 +24 *3972:39 *5151:31 0 +25 *3978:20 *5151:17 0 +26 *4000:35 *5151:17 0 +27 *4003:26 *8329:DIODE 0 +28 *4003:26 *5151:31 0 +29 *4005:39 *5151:17 0 +30 *4008:102 *5151:10 0 +31 *4028:29 *5151:11 0 +32 *4028:41 *5151:17 0 +33 *4037:24 *5151:10 0 +34 *4058:38 *5151:10 0 +35 *4059:51 *5151:10 0 +36 *4059:51 *5151:11 0 +37 *4059:53 *5151:11 0 +38 *4073:22 *8329:DIODE 0 +39 *4073:22 *5151:31 0 +40 *4229:28 *5151:10 0 +41 *4235:15 *5151:11 0 +42 *4245:51 *5151:17 0 +43 *4248:45 *5151:11 0 +44 *4520:107 *5151:10 0 +*RES +1 *39389:mprj_vdd_logic1 *5151:10 18.2903 +2 *5151:10 *5151:11 141.821 +3 *5151:11 *5151:17 47.3393 +4 *5151:17 *5151:31 23.375 +5 *5151:31 *40611:A 9.3 +6 *5151:31 *8329:DIODE 31.1929 +*END + +*D_NET *5152 0.0164864 +*CONN +*I *8986:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41395:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38889:HI O *D mprj2_logic_high +*CAP +1 *8986:DIODE 0 +2 *41395:A 0.000195653 +3 *38889:HI 0.003293 +4 *5152:51 0.00181639 +5 *5152:44 0.00282791 +6 *5152:23 0.00313379 +7 *5152:15 0.00521963 +8 *5373:DIODE *5152:23 0 +9 *37360:A *5152:15 0 +10 *40910:A *5152:15 0 +11 *1492:27 *5152:23 0 +12 *1800:37 *5152:15 0 +13 *1895:46 *5152:51 0 +14 *1896:69 *5152:51 0 +15 *1899:41 *41395:A 0 +16 *1899:56 *41395:A 0 +17 *1899:56 *5152:51 0 +18 *2012:37 *5152:44 0 +19 *2064:16 *5152:44 0 +20 *2064:16 *5152:51 0 +21 *2064:31 *5152:15 0 +22 *3059:17 *5152:15 0 +23 *3059:21 *5152:15 0 +24 *3246:19 *5152:51 0 +25 *3282:15 *5152:15 0 +26 *3344:17 *5152:15 0 +27 *3374:25 *5152:23 0 +28 *3384:51 *5152:23 0 +29 *3384:51 *5152:44 0 +30 *3384:51 *5152:51 0 +31 *3384:63 *5152:23 0 +32 *3452:19 *5152:15 0 +33 *3873:53 *5152:15 0 +34 *3887:10 *5152:15 0 +35 *3974:23 *5152:44 0 +36 *4068:9 *41395:A 0 +37 *4068:37 *5152:51 0 +38 *4150:13 *5152:15 0 +39 *4200:35 *5152:15 0 +40 *4209:27 *5152:23 0 +41 *4443:8 *5152:15 0 +*RES +1 *38889:HI *5152:15 46.356 +2 *5152:15 *5152:23 49.3929 +3 *5152:23 *5152:44 43.8214 +4 *5152:44 *5152:51 43.1071 +5 *5152:51 *41395:A 22.4429 +6 *5152:51 *8986:DIODE 9.3 +*END + +*D_NET *5153 0.00324841 +*CONN +*I *40614:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *39389:mprj2_vdd_logic1 O *D mgmt_protect_hv +*CAP +1 *40614:A 0 +2 *39389:mprj2_vdd_logic1 0.00162421 +3 *5153:16 0.00162421 +4 *8091:DIODE *5153:16 0 +5 *3306:29 *5153:16 0 +6 *3759:57 *5153:16 0 +7 *3995:25 *5153:16 0 +8 *4272:38 *5153:16 0 +9 *4272:39 *5153:16 0 +10 *4276:34 *5153:16 0 +*RES +1 *39389:mprj2_vdd_logic1 *5153:16 46.5242 +2 *5153:16 *40614:A 13.8 +*END + +*D_NET *5154 0.00328009 +*CONN +*I *39879:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *37737:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39879:A 0.00154098 +2 *37737:X 9.90632e-05 +3 *5154:8 0.00164005 +4 la_data_in_core[44] *39879:A 0 +5 *38957:A *39879:A 0 +6 *38960:A *5154:8 0 +7 *4681:21 *39879:A 0 +8 *4973:26 *39879:A 0 +9 *4974:32 *5154:8 0 +10 *4976:24 *39879:A 0 +11 *4979:13 *39879:A 0 +*RES +1 *37737:X *5154:8 20.55 +2 *5154:8 *39879:A 41.4607 +*END + +*D_NET *5155 0.00316397 +*CONN +*I *39384:A I *D sky130_fd_sc_hd__buf_12 +*I *37739:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *39384:A 0 +2 *37739:X 0.00158198 +3 *5155:8 0.00158198 +4 *382:21 *5155:8 0 +5 *1118:12 *5155:8 0 +6 *1588:6 *5155:8 0 +7 *4638:8 *5155:8 0 +*RES +1 *37739:X *5155:8 48.3714 +2 *5155:8 *39384:A 13.8 +*END + +*D_NET *5156 0.00319348 +*CONN +*I *39385:A I *D sky130_fd_sc_hd__buf_12 +*I *37436:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39385:A 0.000596371 +2 *37436:Y 0.00100037 +3 *5156:10 0.00159674 +4 *1594:8 *5156:10 0 +5 *4639:6 *5156:10 0 +*RES +1 *37436:Y *5156:10 40.3179 +2 *5156:10 *39385:A 21.7464 +*END + +*D_NET *5157 0.00247316 +*CONN +*I *39386:A I *D sky130_fd_sc_hd__buf_12 +*I *37437:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39386:A 0 +2 *37437:Y 0.00123658 +3 *5157:11 0.00123658 +4 *4606:11 *5157:11 0 +5 *4639:6 *5157:11 0 +*RES +1 *37437:Y *5157:11 44.6571 +2 *5157:11 *39386:A 9.3 +*END + +*D_NET *5158 0.00190499 +*CONN +*I *39387:A I *D sky130_fd_sc_hd__buf_12 +*I *37438:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 *39387:A 0.000952495 +2 *37438:Y 0.000952495 +3 *4639:6 *39387:A 0 +*RES +1 *37438:Y *39387:A 47.8321 +*END + +*D_NET *5159 0.019942 +*CONN +*I *40187:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *8032:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38871:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *40187:A 0.000457506 +2 *8032:DIODE 0 +3 *38871:X 0.00563596 +4 *5159:63 0.000863002 +5 *5159:56 0.00164233 +6 *5159:41 0.00347206 +7 *5159:20 0.00787118 +8 *5159:20 *5192:9 0 +9 *5159:63 *5170:52 0 +10 *6688:DIODE *5159:20 0 +11 *40582:A *5159:41 0 +12 *40599:A *5159:63 0 +13 *40603:A *40187:A 0 +14 *1969:37 *40187:A 0 +15 *1981:15 *5159:20 0 +16 *2053:36 *5159:41 0 +17 *2115:24 *5159:20 0 +18 *2115:49 *5159:20 0 +19 *2118:39 *5159:41 0 +20 *2118:56 *5159:41 0 +21 *2233:17 *5159:20 0 +22 *2394:46 *5159:41 0 +23 *2424:69 *5159:41 0 +24 *2424:74 *5159:41 0 +25 *2439:38 *5159:20 0 +26 *3054:24 *40187:A 0 +27 *3055:35 *5159:56 0 +28 *3172:29 *5159:20 0 +29 *3228:37 *5159:56 0 +30 *3243:27 *40187:A 0 +31 *3259:77 *5159:41 0 +32 *3312:23 *5159:63 0 +33 *3321:9 *5159:56 0 +34 *3449:26 *5159:20 0 +35 *3517:10 *5159:41 0 +36 *3523:32 *5159:41 0 +37 *3530:41 *5159:63 0 +38 *3533:19 *5159:20 0 +39 *3716:17 *5159:20 0 +40 *3771:17 *5159:20 0 +41 *3816:61 *5159:56 0 +42 *3838:32 *5159:41 0 +43 *3849:46 *5159:41 0 +44 *4122:74 *5159:41 0 +45 *4253:39 *40187:A 0 +46 *4484:8 *5159:20 0 +47 *4495:36 *5159:41 0 +48 *4851:20 *5159:20 0 +*RES +1 *38871:X *5159:20 48.3159 +2 *5159:20 *5159:41 48.1381 +3 *5159:41 *5159:56 49.4911 +4 *5159:56 *5159:63 13.7768 +5 *5159:63 *8032:DIODE 9.3 +6 *5159:63 *40187:A 28.6929 +*END + +*D_NET *5160 0.0185757 +*CONN +*I *7345:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39388:A I *D sky130_fd_sc_hd__buf_12 +*I *37735:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *7345:DIODE 0.00020607 +2 *39388:A 8.4707e-05 +3 *37735:X 0.00143616 +4 *5160:57 0.00126662 +5 *5160:45 0.00481632 +6 *5160:43 0.00521975 +7 *5160:22 0.00274459 +8 *5160:6 0.00280148 +9 mprj_cyc_o_user *7345:DIODE 0 +10 mprj_dat_o_user[10] *5160:22 0 +11 mprj_sel_o_user[0] *5160:57 0 +12 mprj_stb_o_user *7345:DIODE 0 +13 *39276:A *5160:57 0 +14 *39306:A *5160:43 0 +15 *39366:A *5160:45 0 +16 *39367:A *5160:45 0 +17 *39368:A *5160:45 0 +18 *39369:A *5160:45 0 +19 *39373:A *5160:45 0 +20 *39374:A *5160:45 0 +21 *39375:A *5160:45 0 +22 *297:13 *5160:22 0 +23 *2599:69 *5160:43 0 +24 *2599:69 *5160:45 0 +25 *2652:12 *5160:6 0 +26 *2668:31 *5160:22 0 +27 *2673:31 *5160:22 0 +28 *2678:17 *5160:22 0 +29 *2678:23 *5160:45 0 +30 *2685:23 *5160:45 0 +31 *2708:21 *5160:45 0 +32 *2708:30 *5160:45 0 +33 *5064:29 *5160:22 0 +*RES +1 *37735:X *5160:6 46.4071 +2 *5160:6 *5160:22 47.0179 +3 *5160:22 *5160:43 33.9643 +4 *5160:43 *5160:45 80.2143 +5 *5160:45 *5160:57 20.5536 +6 *5160:57 *39388:A 11.0679 +7 *5160:57 *7345:DIODE 22.9786 +*END + +*D_NET *5161 0.018759 +*CONN +*I *7148:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39053:A I *D sky130_fd_sc_hd__buf_12 +*I *41396:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *7148:DIODE 2.56688e-05 +2 *39053:A 0.000325336 +3 *41396:X 0.000954845 +4 *5161:30 0.00130471 +5 *5161:23 0.00306908 +6 *5161:9 0.00711993 +7 *5161:8 0.0059594 +8 la_data_in_mprj[15] *39053:A 0 +9 *37478:A *5161:9 0 +10 *39432:A *5161:9 0 +11 *40514:A *39053:A 0 +12 *295:24 *5161:23 0 +13 *304:23 *5161:23 0 +14 *422:7 *39053:A 0 +15 *1764:10 *5161:9 0 +16 *2359:15 *7148:DIODE 0 +17 *3071:10 *5161:30 0 +18 *3175:28 *5161:9 0 +19 *3381:30 *5161:23 0 +20 *3381:39 *5161:9 0 +21 *3390:43 *5161:9 0 +22 *3871:17 *5161:30 0 +23 *3877:63 *5161:23 0 +24 *3917:25 *5161:9 0 +25 *3917:37 *5161:9 0 +26 *3922:72 *5161:9 0 +27 *3922:72 *5161:23 0 +28 *4417:10 *39053:A 0 +29 *4417:12 *5161:30 0 +30 *4429:36 *5161:23 0 +31 *4499:16 *5161:9 0 +32 *4649:38 *39053:A 0 +33 *4649:38 *5161:30 0 +34 *4789:45 *39053:A 0 +*RES +1 *41396:X *5161:8 39.9786 +2 *5161:8 *5161:9 104.446 +3 *5161:9 *5161:23 45.4378 +4 *5161:23 *5161:30 29.7679 +5 *5161:30 *39053:A 21.1393 +6 *5161:30 *7148:DIODE 14.3357 +*END + +*D_NET *5162 0.0191944 +*CONN +*I *7127:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39030:A I *D sky130_fd_sc_hd__buf_12 +*I *41397:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *7127:DIODE 2.56688e-05 +2 *39030:A 0.000381533 +3 *41397:X 0.00128843 +4 *5162:30 0.00203865 +5 *5162:19 0.00790158 +6 *5162:17 0.00755857 +7 la_data_in_mprj[11] *39030:A 0 +8 *343:20 *5162:17 0 +9 *343:30 *5162:19 0 +10 *343:58 *5162:30 0 +11 *437:11 *5162:17 0 +12 *783:5 *39030:A 0 +13 *3049:11 *39030:A 0 +14 *3115:12 *39030:A 0 +15 *3115:12 *5162:30 0 +16 *3175:16 *5162:19 0 +17 *3215:16 *5162:19 0 +18 *3249:18 *5162:17 0 +19 *3431:31 *5162:17 0 +20 *3467:7 *5162:19 0 +21 *3467:9 *5162:19 0 +22 *3467:9 *5162:30 0 +23 *3749:17 *5162:30 0 +24 *3871:14 *7127:DIODE 0 +25 *3993:25 *5162:19 0 +26 *4371:10 *39030:A 0 +27 *4429:33 *5162:30 0 +28 *4430:91 *5162:17 0 +29 *4571:32 *39030:A 0 +30 *4682:16 *5162:17 0 +31 *4879:28 *7127:DIODE 0 +*RES +1 *41397:X *5162:17 45.675 +2 *5162:17 *5162:19 130.938 +3 *5162:19 *5162:30 38.8661 +4 *5162:30 *39030:A 22.3536 +5 *5162:30 *7127:DIODE 14.3357 +*END + +*D_NET *5163 0.0225506 +*CONN +*I *39338:A I *D sky130_fd_sc_hd__buf_12 +*I *7300:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41398:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *39338:A 0.000109603 +2 *7300:DIODE 0.00086252 +3 *41398:X 0.000606436 +4 *5163:22 0.0106689 +5 *5163:18 0.0103032 +6 *5163:22 *5164:24 0 +7 *5163:22 *5184:26 0 +8 *6940:DIODE *7300:DIODE 0 +9 *534:22 *5163:18 0 +10 *786:21 *5163:18 0 +11 *789:49 *5163:18 0 +12 *933:8 *39338:A 0 +13 *1829:21 *7300:DIODE 0 +14 *2377:24 *5163:22 0 +15 *2379:30 *5163:22 0 +16 *2382:110 *5163:18 0 +17 *2714:24 *5163:22 0 +18 *2849:23 *5163:22 0 +19 *2893:17 *5163:18 0 +20 *2916:29 *5163:22 0 +21 *2983:26 *5163:22 0 +22 *3412:7 *5163:18 0 +23 *3412:30 *5163:18 0 +24 *4413:33 *5163:22 0 +25 *4559:9 *7300:DIODE 0 +26 *4592:16 *5163:22 0 +27 *4595:11 *5163:22 0 +28 *4596:48 *5163:22 0 +29 *5076:9 *7300:DIODE 0 +*RES +1 *41398:X *5163:18 39.7725 +2 *5163:18 *5163:22 40.2913 +3 *5163:22 *7300:DIODE 41.5679 +4 *5163:22 *39338:A 16.3536 +*END + +*D_NET *5164 0.0217298 +*CONN +*I *7297:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39335:A I *D sky130_fd_sc_hd__buf_12 +*I *41399:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *7297:DIODE 0.00111407 +2 *39335:A 0 +3 *41399:X 0.0015898 +4 *5164:24 0.00927508 +5 *5164:19 0.00975081 +6 *7297:DIODE *5171:27 0 +7 *7297:DIODE *5175:22 0 +8 *5164:24 *5165:61 0 +9 mprj_dat_i_core[4] *5164:24 0 +10 *6899:DIODE *7297:DIODE 0 +11 *40563:A *5164:19 0 +12 *405:8 *5164:19 0 +13 *531:33 *5164:19 0 +14 *786:21 *5164:19 0 +15 *786:21 *5164:24 0 +16 *1712:27 *7297:DIODE 0 +17 *1726:20 *5164:24 0 +18 *2373:47 *5164:24 0 +19 *2377:24 *5164:24 0 +20 *2379:30 *5164:24 0 +21 *2406:16 *5164:19 0 +22 *2445:43 *5164:19 0 +23 *2967:15 *7297:DIODE 0 +24 *3111:33 *7297:DIODE 0 +25 *3412:7 *5164:19 0 +26 *4529:32 *7297:DIODE 0 +27 *4535:51 *5164:24 0 +28 *4539:68 *7297:DIODE 0 +29 *4590:14 *7297:DIODE 0 +30 *4594:45 *7297:DIODE 0 +31 *4596:48 *5164:24 0 +32 *5075:37 *7297:DIODE 0 +33 *5163:22 *5164:24 0 +*RES +1 *41399:X *5164:19 47.2147 +2 *5164:19 *5164:24 37.3095 +3 *5164:24 *39335:A 13.8 +4 *5164:24 *7297:DIODE 47.6036 +*END + +*D_NET *5165 0.022824 +*CONN +*I *39331:A I *D sky130_fd_sc_hd__buf_12 +*I *7295:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41400:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *39331:A 2.26741e-05 +2 *7295:DIODE 0.000964612 +3 *41400:X 0.00116215 +4 *5165:75 0.0014431 +5 *5165:61 0.00750301 +6 *5165:35 0.00880677 +7 *5165:10 0.00292172 +8 *5165:35 *5182:120 0 +9 *5165:35 *5182:142 0 +10 *5165:61 *5184:16 0 +11 la_data_in_mprj[115] *5165:35 0 +12 la_data_in_mprj[117] *5165:35 0 +13 mprj_dat_i_core[2] *39331:A 0 +14 mprj_dat_i_core[2] *5165:75 0 +15 *6919:DIODE *7295:DIODE 0 +16 *6919:DIODE *5165:75 0 +17 *38610:A *5165:35 0 +18 *40493:A *5165:35 0 +19 *40496:A *5165:35 0 +20 *407:10 *5165:61 0 +21 *531:33 *5165:35 0 +22 *532:10 *5165:35 0 +23 *534:22 *5165:35 0 +24 *788:34 *5165:35 0 +25 *1043:26 *5165:75 0 +26 *2377:14 *5165:61 0 +27 *2382:110 *5165:35 0 +28 *2406:16 *5165:35 0 +29 *2849:23 *5165:61 0 +30 *2916:29 *5165:61 0 +31 *2978:9 *5165:35 0 +32 *2984:58 *5165:61 0 +33 *3030:23 *5165:75 0 +34 *3106:71 *5165:35 0 +35 *3135:26 *5165:61 0 +36 *3266:20 *5165:61 0 +37 *3291:37 *5165:10 0 +38 *3412:7 *5165:10 0 +39 *3417:7 *5165:10 0 +40 *3417:18 *5165:10 0 +41 *3475:17 *7295:DIODE 0 +42 *3776:89 *7295:DIODE 0 +43 *4574:14 *5165:75 0 +44 *5074:44 *5165:61 0 +45 *5084:93 *5165:75 0 +46 *5084:107 *5165:75 0 +47 *5164:24 *5165:61 0 +*RES +1 *41400:X *5165:10 42.8357 +2 *5165:10 *5165:35 49.0883 +3 *5165:35 *5165:61 49.4794 +4 *5165:61 *5165:75 17.6332 +5 *5165:75 *7295:DIODE 39.9607 +6 *5165:75 *39331:A 9.83571 +*END + +*D_NET *5166 0.0218207 +*CONN +*I *7143:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39047:A I *D sky130_fd_sc_hd__buf_12 +*I *41401:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *7143:DIODE 2.919e-05 +2 *39047:A 0 +3 *41401:X 0.00417017 +4 *5166:78 0.00162882 +5 *5166:58 0.00188677 +6 *5166:53 0.00508218 +7 *5166:22 0.00902359 +8 *5166:53 *5167:58 0 +9 *5166:53 *5169:18 0 +10 *5166:53 *5179:91 0 +11 *5166:53 *5182:28 0 +12 *6878:DIODE *5166:22 0 +13 *6879:DIODE *5166:22 0 +14 *8142:DIODE *5166:58 0 +15 *39046:A *5166:78 0 +16 *39537:A *5166:22 0 +17 *801:8 *5166:78 0 +18 *1015:125 *5166:22 0 +19 *1726:25 *5166:78 0 +20 *1755:29 *5166:22 0 +21 *1828:18 *5166:22 0 +22 *1828:23 *5166:78 0 +23 *1848:15 *5166:22 0 +24 *3077:51 *5166:53 0 +25 *3099:12 *5166:22 0 +26 *3116:41 *5166:53 0 +27 *3122:31 *5166:78 0 +28 *3130:20 *5166:78 0 +29 *3189:17 *5166:53 0 +30 *3189:36 *5166:53 0 +31 *3212:26 *5166:22 0 +32 *3212:26 *5166:53 0 +33 *3262:20 *5166:53 0 +34 *3262:33 *5166:53 0 +35 *3375:23 *5166:53 0 +36 *3375:38 *5166:53 0 +37 *3403:39 *5166:53 0 +38 *3695:18 *5166:53 0 +39 *3695:22 *5166:53 0 +40 *4348:43 *5166:78 0 +41 *4529:16 *5166:22 0 +42 *4529:92 *5166:53 0 +43 *4556:45 *5166:78 0 +44 *4568:36 *5166:53 0 +45 *4573:19 *5166:22 0 +46 *4585:13 *5166:22 0 +47 *4585:28 *5166:22 0 +48 *4585:28 *5166:53 0 +49 *4592:61 *5166:53 0 +50 *4602:40 *5166:58 0 +51 *4755:23 *5166:22 0 +52 *4755:23 *5166:53 0 +53 *5095:16 *5166:22 0 +*RES +1 *41401:X *5166:22 48.4068 +2 *5166:22 *5166:53 49.3883 +3 *5166:53 *5166:58 8.73143 +4 *5166:58 *5166:78 48.5239 +5 *5166:78 *39047:A 13.8 +6 *5166:58 *7143:DIODE 14.6839 +*END + +*D_NET *5167 0.0190878 +*CONN +*I *7141:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39045:A I *D sky130_fd_sc_hd__buf_12 +*I *41402:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *7141:DIODE 0 +2 *39045:A 0.0002416 +3 *41402:X 0.000227387 +4 *5167:85 0.00186516 +5 *5167:67 0.00199203 +6 *5167:58 0.00236816 +7 *5167:36 0.00327218 +8 *5167:30 0.00188913 +9 *5167:19 0.0038107 +10 *5167:18 0.00342144 +11 *39045:A *39044:A 0 +12 *5167:58 *5169:40 0 +13 *5508:DIODE *5167:67 0 +14 *5508:DIODE *5167:85 0 +15 *6757:DIODE *5167:85 0 +16 *38620:A *5167:85 0 +17 *39525:A *5167:85 0 +18 *40249:A *5167:85 0 +19 *415:10 *5167:85 0 +20 *415:28 *5167:85 0 +21 *799:8 *5167:85 0 +22 *1836:26 *5167:58 0 +23 *1857:28 *5167:85 0 +24 *1862:22 *39045:A 0 +25 *2893:39 *5167:85 0 +26 *3022:13 *5167:30 0 +27 *3027:20 *5167:67 0 +28 *3077:51 *5167:58 0 +29 *3077:70 *5167:67 0 +30 *3099:15 *5167:36 0 +31 *3116:54 *5167:58 0 +32 *3164:55 *5167:58 0 +33 *3184:35 *5167:36 0 +34 *3262:49 *5167:67 0 +35 *3264:37 *5167:36 0 +36 *3286:49 *5167:19 0 +37 *3398:20 *5167:58 0 +38 *3402:78 *5167:58 0 +39 *3484:14 *5167:19 0 +40 *3484:14 *5167:30 0 +41 *3484:37 *5167:18 0 +42 *4427:42 *39045:A 0 +43 *4529:92 *5167:58 0 +44 *4536:9 *5167:85 0 +45 *4553:37 *5167:85 0 +46 *4573:38 *5167:19 0 +47 *4578:25 *5167:19 0 +48 *4599:18 *5167:30 0 +49 *4602:54 *5167:85 0 +50 *4755:23 *5167:58 0 +51 *4774:57 *39045:A 0 +52 *4780:120 *5167:85 0 +53 *5072:38 *5167:85 0 +54 *5102:19 *39045:A 0 +55 *5166:53 *5167:58 0 +*RES +1 *41402:X *5167:18 23.5321 +2 *5167:18 *5167:19 66.6607 +3 *5167:19 *5167:30 31.1607 +4 *5167:30 *5167:36 35.7143 +5 *5167:36 *5167:58 49.1576 +6 *5167:58 *5167:67 12.5536 +7 *5167:67 *5167:85 48.6964 +8 *5167:85 *39045:A 19.1929 +9 *5167:67 *7141:DIODE 9.3 +*END + +*D_NET *5168 0.0228417 +*CONN +*I *7140:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39044:A I *D sky130_fd_sc_hd__buf_12 +*I *41403:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *7140:DIODE 0.000905547 +2 *39044:A 0.000831971 +3 *41403:X 0.00743249 +4 *5168:49 0.00398834 +5 *5168:18 0.00968331 +6 *7140:DIODE *5169:57 0 +7 *5168:18 *9003:DIODE 0 +8 *5168:18 *5190:31 0 +9 *5168:18 *5190:43 0 +10 *5168:18 *5195:27 0 +11 *5168:49 *5171:107 0 +12 *5168:49 *5191:27 0 +13 *5168:49 *5195:27 0 +14 *7502:DIODE *7140:DIODE 0 +15 *7508:DIODE *5168:18 0 +16 *39045:A *39044:A 0 +17 *39525:A *7140:DIODE 0 +18 *39525:B *39044:A 0 +19 *40252:A *39044:A 0 +20 *40252:A *5168:49 0 +21 *368:106 *5168:18 0 +22 *416:7 *5168:49 0 +23 *544:49 *5168:49 0 +24 *909:11 *5168:18 0 +25 *1731:51 *5168:49 0 +26 *1829:51 *7140:DIODE 0 +27 *1862:22 *39044:A 0 +28 *2882:46 *5168:49 0 +29 *3033:16 *5168:49 0 +30 *3033:29 *5168:18 0 +31 *3122:31 *5168:49 0 +32 *3135:26 *5168:18 0 +33 *3199:81 *7140:DIODE 0 +34 *3205:21 *5168:18 0 +35 *3571:48 *5168:49 0 +36 *4393:16 *39044:A 0 +37 *4393:28 *39044:A 0 +38 *4393:28 *5168:49 0 +39 *4528:22 *5168:18 0 +40 *4532:19 *5168:18 0 +41 *4534:49 *5168:18 0 +42 *4540:18 *5168:18 0 +43 *4540:26 *5168:18 0 +44 *4550:35 *5168:18 0 +45 *4553:37 *5168:18 0 +46 *4561:49 *5168:49 0 +47 *4596:28 *5168:18 0 +48 *4774:57 *39044:A 0 +49 *5034:78 *5168:18 0 +50 *5077:19 *5168:18 0 +51 *5100:45 *5168:18 0 +52 *5102:19 *39044:A 0 +53 *5102:19 *5168:49 0 +*RES +1 *41403:X *5168:18 48.2332 +2 *5168:18 *5168:49 49.2689 +3 *5168:49 *39044:A 45.2464 +4 *5168:49 *7140:DIODE 38.7107 +*END + +*D_NET *5169 0.0197133 +*CONN +*I *7139:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39043:A I *D sky130_fd_sc_hd__buf_12 +*I *41404:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *7139:DIODE 0.000124066 +2 *39043:A 0.000969429 +3 *41404:X 0.00591146 +4 *5169:57 0.00239732 +5 *5169:40 0.00297574 +6 *5169:18 0.00733524 +7 *39043:A *5171:118 0 +8 *5169:18 *5175:74 0 +9 *5169:18 *5179:56 0 +10 *5169:18 *5182:28 0 +11 *5169:40 *5172:65 0 +12 *5169:40 *5179:91 0 +13 *5169:40 *5188:20 0 +14 *5169:57 *5171:107 0 +15 *7140:DIODE *5169:57 0 +16 *39525:A *5169:57 0 +17 *415:10 *5169:57 0 +18 *1006:38 *5169:57 0 +19 *1008:129 *5169:57 0 +20 *1752:16 *5169:18 0 +21 *1836:26 *5169:18 0 +22 *1836:26 *5169:40 0 +23 *2882:17 *39043:A 0 +24 *2882:17 *5169:57 0 +25 *2882:46 *5169:57 0 +26 *2993:17 *5169:57 0 +27 *3031:66 *5169:18 0 +28 *3077:51 *5169:18 0 +29 *3102:23 *5169:18 0 +30 *3111:18 *5169:18 0 +31 *3116:41 *5169:18 0 +32 *3194:36 *5169:40 0 +33 *3251:12 *5169:18 0 +34 *3262:20 *5169:18 0 +35 *3262:33 *5169:18 0 +36 *3264:66 *5169:40 0 +37 *3281:24 *5169:40 0 +38 *3375:38 *5169:18 0 +39 *3479:20 *5169:40 0 +40 *4348:34 *5169:57 0 +41 *4427:42 *5169:40 0 +42 *4529:92 *5169:18 0 +43 *4555:65 *5169:57 0 +44 *4585:28 *5169:18 0 +45 *4755:23 *5169:40 0 +46 *4783:13 *5169:18 0 +47 *4783:42 *5169:18 0 +48 *5166:53 *5169:18 0 +49 *5167:58 *5169:40 0 +*RES +1 *41404:X *5169:18 48.6663 +2 *5169:18 *5169:40 28.6904 +3 *5169:40 *5169:57 45.1607 +4 *5169:57 *39043:A 38.7464 +5 *5169:40 *7139:DIODE 16.3893 +*END + +*D_NET *5170 0.0194705 +*CONN +*I *38020:C I *D sky130_fd_sc_hd__and3b_1 +*I *6000:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38872:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *38020:C 0.000334406 +2 *6000:DIODE 0 +3 *38872:X 0.0012445 +4 *5170:52 0.00171534 +5 *5170:45 0.00418976 +6 *5170:31 0.00499923 +7 *5170:30 0.00224931 +8 *5170:27 0.00177618 +9 *5170:14 0.00296177 +10 *6553:DIODE *5170:27 0 +11 *6567:DIODE *5170:52 0 +12 *6696:DIODE *5170:27 0 +13 *6697:DIODE *5170:30 0 +14 *6834:DIODE *5170:14 0 +15 *37634:A *5170:27 0 +16 *38418:A *5170:27 0 +17 *38555:A *5170:14 0 +18 *38564:A *5170:31 0 +19 *38565:A *5170:31 0 +20 *38698:A *5170:14 0 +21 *39129:A *5170:45 0 +22 *40605:A *5170:27 0 +23 *488:5 *5170:27 0 +24 *488:5 *5170:30 0 +25 *867:8 *5170:14 0 +26 *875:8 *5170:31 0 +27 *1216:17 *5170:45 0 +28 *1981:41 *5170:45 0 +29 *1987:36 *5170:45 0 +30 *2008:27 *5170:45 0 +31 *2072:34 *5170:45 0 +32 *2117:64 *38020:C 0 +33 *2233:17 *5170:27 0 +34 *2361:22 *5170:52 0 +35 *2383:10 *5170:27 0 +36 *2394:52 *5170:52 0 +37 *2407:35 *5170:45 0 +38 *2416:15 *5170:31 0 +39 *3312:23 *5170:52 0 +40 *3320:29 *5170:31 0 +41 *3320:54 *5170:31 0 +42 *3328:22 *5170:14 0 +43 *3328:30 *5170:27 0 +44 *3511:15 *5170:52 0 +45 *3518:39 *5170:52 0 +46 *3760:13 *5170:14 0 +47 *3760:17 *5170:27 0 +48 *3760:50 *5170:31 0 +49 *3816:20 *5170:31 0 +50 *3860:37 *5170:31 0 +51 *3927:23 *5170:45 0 +52 *4025:61 *38020:C 0 +53 *4061:53 *5170:52 0 +54 *4253:39 *38020:C 0 +55 *4297:38 *5170:52 0 +56 *4488:11 *5170:14 0 +57 *4488:16 *5170:31 0 +58 *4488:24 *5170:31 0 +59 *4488:74 *5170:52 0 +60 *4489:15 *5170:14 0 +61 *4490:27 *5170:27 0 +62 *4494:22 *5170:45 0 +63 *4495:16 *5170:31 0 +64 *4495:40 *5170:45 0 +65 *4496:33 *5170:45 0 +66 *4851:13 *5170:27 0 +67 *5159:63 *5170:52 0 +*RES +1 *38872:X *5170:14 44.55 +2 *5170:14 *5170:27 49.4286 +3 *5170:27 *5170:30 5.83929 +4 *5170:30 *5170:31 45.7143 +5 *5170:31 *5170:45 36.4997 +6 *5170:45 *5170:52 40.0714 +7 *5170:52 *6000:DIODE 13.8 +8 *5170:52 *38020:C 21.2643 +*END + +*D_NET *5171 0.0212384 +*CONN +*I *7138:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39042:A I *D sky130_fd_sc_hd__buf_12 +*I *41405:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *7138:DIODE 2.56688e-05 +2 *39042:A 0 +3 *41405:X 0.000509277 +4 *5171:118 0.00164773 +5 *5171:107 0.00296867 +6 *5171:82 0.00166946 +7 *5171:77 0.00182577 +8 *5171:57 0.00328095 +9 *5171:27 0.00373351 +10 *5171:16 0.00351178 +11 *5171:10 0.00206558 +12 *5171:10 *5178:56 0 +13 *5171:57 *5179:56 0 +14 *5171:118 *5179:133 0 +15 la_data_in_mprj[127] *5171:77 0 +16 *6869:DIODE *5171:57 0 +17 *7145:DIODE *5171:77 0 +18 *7287:DIODE *5171:57 0 +19 *7297:DIODE *5171:27 0 +20 *8017:DIODE *5171:77 0 +21 *39043:A *5171:118 0 +22 *39046:A *5171:107 0 +23 *39048:A *5171:77 0 +24 *40482:A *5171:27 0 +25 *793:49 *5171:118 0 +26 *1828:23 *5171:107 0 +27 *1857:28 *5171:77 0 +28 *1857:28 *5171:82 0 +29 *1860:57 *5171:77 0 +30 *2408:26 *5171:118 0 +31 *2713:169 *5171:77 0 +32 *2882:17 *5171:118 0 +33 *2882:46 *5171:107 0 +34 *2893:39 *5171:107 0 +35 *2893:39 *5171:118 0 +36 *2938:24 *5171:118 0 +37 *2971:16 *5171:107 0 +38 *2983:16 *5171:77 0 +39 *3017:55 *5171:77 0 +40 *3111:33 *5171:27 0 +41 *3111:44 *5171:27 0 +42 *3111:44 *5171:57 0 +43 *3117:9 *5171:10 0 +44 *3486:5 *5171:16 0 +45 *3486:5 *5171:27 0 +46 *3486:5 *5171:57 0 +47 *3571:48 *5171:107 0 +48 *4348:34 *5171:107 0 +49 *4348:43 *5171:107 0 +50 *4438:19 *7138:DIODE 0 +51 *4438:19 *5171:77 0 +52 *4449:41 *5171:57 0 +53 *4449:49 *5171:27 0 +54 *4449:49 *5171:57 0 +55 *4449:51 *5171:27 0 +56 *4471:20 *5171:77 0 +57 *4529:86 *5171:57 0 +58 *4562:26 *5171:77 0 +59 *4563:74 *5171:27 0 +60 *4564:21 *5171:27 0 +61 *4590:46 *5171:57 0 +62 *4590:47 *5171:57 0 +63 *4764:48 *5171:57 0 +64 *5072:38 *5171:77 0 +65 *5072:38 *5171:82 0 +66 *5168:49 *5171:107 0 +67 *5169:57 *5171:107 0 +*RES +1 *41405:X *5171:10 29.5321 +2 *5171:10 *5171:16 41.4821 +3 *5171:16 *5171:27 49.9643 +4 *5171:27 *5171:57 46.75 +5 *5171:57 *5171:77 46.05 +6 *5171:77 *5171:82 11.4107 +7 *5171:82 *5171:107 35.3383 +8 *5171:107 *5171:118 44.3214 +9 *5171:118 *39042:A 9.3 +10 *5171:82 *7138:DIODE 14.3357 +*END + +*D_NET *5172 0.0214229 +*CONN +*I *7136:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39039:A I *D sky130_fd_sc_hd__buf_12 +*I *41406:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *7136:DIODE 0.000265293 +2 *39039:A 0 +3 *41406:X 0.00484865 +4 *5172:94 0.00182403 +5 *5172:84 0.00285579 +6 *5172:73 0.00147701 +7 *5172:65 0.00274173 +8 *5172:30 0.00741043 +9 *5172:30 *5175:74 0 +10 *5172:65 *5179:91 0 +11 *5172:84 *5179:115 0 +12 *6606:DIODE *5172:84 0 +13 *6609:DIODE *5172:65 0 +14 *7517:DIODE *5172:65 0 +15 *39616:A *7136:DIODE 0 +16 *39616:A *5172:65 0 +17 *406:49 *5172:94 0 +18 *534:63 *5172:94 0 +19 *541:59 *5172:65 0 +20 *787:22 *5172:94 0 +21 *1248:67 *5172:30 0 +22 *1828:47 *5172:65 0 +23 *2712:65 *7136:DIODE 0 +24 *2927:41 *5172:94 0 +25 *3017:11 *5172:94 0 +26 *3034:54 *5172:94 0 +27 *3077:51 *5172:30 0 +28 *3087:49 *5172:84 0 +29 *3116:41 *5172:30 0 +30 *3155:15 *5172:65 0 +31 *3188:66 *7136:DIODE 0 +32 *3194:63 *5172:65 0 +33 *3211:15 *7136:DIODE 0 +34 *3221:36 *5172:65 0 +35 *3221:36 *5172:73 0 +36 *3254:33 *5172:30 0 +37 *3264:93 *5172:65 0 +38 *3281:24 *5172:65 0 +39 *3375:23 *5172:30 0 +40 *3394:32 *5172:30 0 +41 *3402:56 *5172:73 0 +42 *3416:26 *7136:DIODE 0 +43 *3721:43 *5172:30 0 +44 *3783:76 *7136:DIODE 0 +45 *4015:26 *5172:65 0 +46 *4015:26 *5172:73 0 +47 *4251:69 *5172:65 0 +48 *4360:25 *5172:73 0 +49 *4415:32 *5172:65 0 +50 *4563:58 *5172:30 0 +51 *4586:92 *5172:65 0 +52 *4590:93 *5172:65 0 +53 *4755:23 *5172:65 0 +54 *4764:48 *5172:30 0 +55 *5169:40 *5172:65 0 +*RES +1 *41406:X *5172:30 48.6195 +2 *5172:30 *5172:65 48.6586 +3 *5172:65 *5172:73 10.9366 +4 *5172:73 *5172:84 28.0625 +5 *5172:84 *5172:94 47.6071 +6 *5172:94 *39039:A 9.3 +7 *5172:73 *7136:DIODE 19.5768 +*END + +*D_NET *5173 0.0215557 +*CONN +*I *7135:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39038:A I *D sky130_fd_sc_hd__buf_12 +*I *41407:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *7135:DIODE 5.22648e-05 +2 *39038:A 0.000104386 +3 *41407:X 0.00121444 +4 *5173:66 0.00308093 +5 *5173:39 0.0030651 +6 *5173:36 0.00643022 +7 *5173:14 0.00760837 +8 *5173:14 *8996:DIODE 0 +9 *5173:36 *5175:74 0 +10 *5173:36 *5193:26 0 +11 *5173:66 *5188:32 0 +12 *5173:66 *5193:26 0 +13 *7996:DIODE *5173:36 0 +14 *40212:A *5173:66 0 +15 *2368:40 *5173:36 0 +16 *2382:110 *39038:A 0 +17 *2387:35 *5173:66 0 +18 *2387:63 *5173:66 0 +19 *2916:29 *5173:66 0 +20 *2976:14 *5173:14 0 +21 *2982:51 *5173:36 0 +22 *2982:62 *5173:36 0 +23 *3017:55 *5173:14 0 +24 *3070:32 *5173:66 0 +25 *3106:71 *39038:A 0 +26 *3116:22 *5173:14 0 +27 *3142:106 *5173:66 0 +28 *3264:34 *5173:36 0 +29 *3276:83 *5173:66 0 +30 *3281:24 *5173:39 0 +31 *3281:24 *5173:66 0 +32 *3357:30 *5173:14 0 +33 *3422:67 *5173:66 0 +34 *3430:104 *5173:66 0 +35 *3434:34 *5173:66 0 +36 *3471:37 *5173:36 0 +37 *3497:59 *5173:66 0 +38 *3536:44 *5173:36 0 +39 *3724:62 *5173:36 0 +40 *4238:98 *5173:36 0 +41 *4238:98 *5173:66 0 +42 *4529:46 *5173:36 0 +43 *4591:10 *5173:14 0 +44 *5105:66 *5173:66 0 +*RES +1 *41407:X *5173:14 37.6383 +2 *5173:14 *5173:36 49.7291 +3 *5173:36 *5173:39 3.5651 +4 *5173:39 *5173:66 47.8967 +5 *5173:66 *39038:A 15.9786 +6 *5173:39 *7135:DIODE 18.3975 +*END + +*D_NET *5174 0.0190318 +*CONN +*I *41407:A I *D sky130_fd_sc_hd__buf_4 +*I *8993:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41408:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41407:A 6.50276e-05 +2 *8993:DIODE 0.000138142 +3 *41408:X 0.00015444 +4 *5174:38 0.000486576 +5 *5174:37 0.00245413 +6 *5174:34 0.00355718 +7 *5174:27 0.00351953 +8 *5174:19 0.00309309 +9 *5174:15 0.00318464 +10 *5174:7 0.00237907 +11 *7473:DIODE *5174:15 0 +12 *7485:DIODE *5174:27 0 +13 *37718:A *5174:27 0 +14 *39503:A *5174:15 0 +15 *39503:B *5174:15 0 +16 *41416:A *5174:15 0 +17 *41420:A *5174:19 0 +18 *368:79 *5174:38 0 +19 *1744:29 *5174:7 0 +20 *1744:29 *5174:15 0 +21 *1744:29 *5174:19 0 +22 *1746:17 *5174:37 0 +23 *1835:5 *5174:19 0 +24 *2967:15 *8993:DIODE 0 +25 *3109:24 *5174:37 0 +26 *3164:29 *5174:37 0 +27 *3168:16 *5174:34 0 +28 *3184:22 *41407:A 0 +29 *3342:19 *5174:27 0 +30 *3342:19 *5174:34 0 +31 *3342:32 *5174:27 0 +32 *3357:68 *5174:34 0 +33 *3392:63 *5174:37 0 +34 *3395:60 *5174:37 0 +35 *3724:94 *5174:34 0 +36 *4545:74 *41407:A 0 +37 *4570:27 *5174:37 0 +38 *4767:11 *5174:7 0 +39 *4767:11 *5174:15 0 +40 *4773:20 *5174:19 0 +41 *4773:20 *5174:27 0 +*RES +1 *41408:X *5174:7 12.5232 +2 *5174:7 *5174:15 46.4911 +3 *5174:15 *5174:19 20.1607 +4 *5174:19 *5174:27 44.6429 +5 *5174:27 *5174:34 38.3393 +6 *5174:34 *5174:37 49.8036 +7 *5174:37 *5174:38 6.5 +8 *5174:38 *8993:DIODE 16.8893 +9 *5174:38 *41407:A 15.1571 +*END + +*D_NET *5175 0.0227173 +*CONN +*I *7134:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39037:A I *D sky130_fd_sc_hd__buf_12 +*I *41409:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *7134:DIODE 2.56688e-05 +2 *39037:A 0.00105599 +3 *41409:X 0.00122166 +4 *5175:108 0.00196247 +5 *5175:86 0.00258364 +6 *5175:74 0.00604229 +7 *5175:48 0.00647169 +8 *5175:22 0.00335389 +9 *5175:48 *5182:28 0 +10 *5175:74 *5179:91 0 +11 *5175:86 *5177:23 0 +12 *5175:86 *5179:133 0 +13 *5277:DIODE *5175:22 0 +14 *6899:DIODE *5175:22 0 +15 *6944:DIODE *5175:22 0 +16 *7297:DIODE *5175:22 0 +17 *7520:DIODE *5175:48 0 +18 *37413:A *5175:86 0 +19 *38810:A *5175:22 0 +20 *409:29 *5175:108 0 +21 *786:21 *39037:A 0 +22 *789:49 *39037:A 0 +23 *790:29 *5175:108 0 +24 *796:31 *5175:74 0 +25 *796:31 *5175:86 0 +26 *1618:14 *5175:22 0 +27 *1726:43 *5175:74 0 +28 *1834:23 *5175:74 0 +29 *1840:93 *5175:86 0 +30 *1860:57 *5175:22 0 +31 *1860:57 *5175:48 0 +32 *1862:103 *5175:22 0 +33 *2408:26 *5175:86 0 +34 *2408:26 *5175:108 0 +35 *2408:35 *5175:108 0 +36 *2411:93 *7134:DIODE 0 +37 *2411:93 *5175:74 0 +38 *2713:49 *5175:22 0 +39 *2882:17 *5175:108 0 +40 *2893:28 *5175:86 0 +41 *2927:33 *5175:108 0 +42 *2994:14 *5175:22 0 +43 *3106:69 *5175:108 0 +44 *3113:70 *5175:74 0 +45 *3142:91 *5175:86 0 +46 *3142:91 *5175:108 0 +47 *3203:60 *5175:74 0 +48 *3221:36 *5175:74 0 +49 *3235:22 *5175:74 0 +50 *3235:63 *7134:DIODE 0 +51 *3250:49 *5175:108 0 +52 *3281:24 *5175:74 0 +53 *3357:30 *5175:48 0 +54 *3375:23 *5175:74 0 +55 *3400:52 *5175:74 0 +56 *3402:56 *5175:74 0 +57 *3536:44 *5175:74 0 +58 *3571:11 *39037:A 0 +59 *3571:11 *5175:108 0 +60 *3571:48 *5175:74 0 +61 *4251:41 *5175:74 0 +62 *4360:25 *5175:74 0 +63 *4404:25 *5175:74 0 +64 *4449:41 *5175:48 0 +65 *4529:32 *5175:22 0 +66 *4545:74 *5175:22 0 +67 *4586:51 *5175:48 0 +68 *4592:61 *5175:74 0 +69 *4601:19 *5175:22 0 +70 *4755:23 *5175:74 0 +71 *4755:41 *5175:74 0 +72 *4764:48 *5175:48 0 +73 *4774:25 *5175:48 0 +74 *5074:44 *5175:48 0 +75 *5103:18 *5175:86 0 +76 *5103:23 *5175:86 0 +77 *5169:18 *5175:74 0 +78 *5172:30 *5175:74 0 +79 *5173:36 *5175:74 0 +*RES +1 *41409:X *5175:22 49.0232 +2 *5175:22 *5175:48 47.0445 +3 *5175:48 *5175:74 48.4552 +4 *5175:74 *5175:86 45.6964 +5 *5175:86 *5175:108 46.8036 +6 *5175:108 *39037:A 44.9964 +7 *5175:74 *7134:DIODE 14.3357 +*END + +*D_NET *5176 0.0192451 +*CONN +*I *8994:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41409:A I *D sky130_fd_sc_hd__buf_4 +*I *41410:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8994:DIODE 0 +2 *41409:A 0.000147595 +3 *41410:X 0.0081776 +4 *5176:20 0.00144495 +5 *5176:9 0.00947496 +6 *1014:118 *5176:9 0 +7 *1023:101 *5176:9 0 +8 *2977:90 *5176:20 0 +9 *3036:76 *5176:20 0 +10 *3168:43 *5176:20 0 +11 *3251:35 *5176:9 0 +12 *3288:24 *5176:9 0 +13 *3392:93 *5176:9 0 +14 *3398:40 *5176:9 0 +15 *3398:71 *5176:9 0 +16 *3405:71 *5176:9 0 +17 *3421:35 *5176:20 0 +18 *3421:65 *5176:9 0 +19 *3491:42 *5176:9 0 +20 *3724:78 *5176:20 0 +21 *3936:79 *5176:9 0 +22 *3948:35 *5176:9 0 +23 *3975:127 *5176:9 0 +24 *3999:52 *5176:9 0 +25 *4026:124 *5176:9 0 +26 *4529:32 *41409:A 0 +27 *4545:74 *41409:A 0 +28 *4773:14 *5176:9 0 +*RES +1 *41410:X *5176:9 48.8458 +2 *5176:9 *5176:20 45.4189 +3 *5176:20 *41409:A 12.4429 +4 *5176:20 *8994:DIODE 9.3 +*END + +*D_NET *5177 0.019361 +*CONN +*I *7133:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39036:A I *D sky130_fd_sc_hd__buf_12 +*I *41411:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *7133:DIODE 0.000165938 +2 *39036:A 0.000179289 +3 *41411:X 0.000643807 +4 *5177:23 0.00325227 +5 *5177:21 0.00345373 +6 *5177:17 0.00578441 +7 *5177:16 0.00588152 +8 *5177:16 *5180:26 0 +9 *5177:23 *5179:133 0 +10 *5177:23 *5184:29 0 +11 *5177:23 *5191:31 0 +12 *37413:A *5177:23 0 +13 *790:29 *5177:23 0 +14 *1043:26 *5177:17 0 +15 *1059:8 *5177:16 0 +16 *1240:36 *5177:17 0 +17 *1728:42 *5177:17 0 +18 *1731:67 *5177:21 0 +19 *1731:67 *5177:23 0 +20 *1833:17 *5177:17 0 +21 *1833:19 *5177:17 0 +22 *1855:22 *5177:17 0 +23 *1855:22 *5177:21 0 +24 *1866:23 *5177:17 0 +25 *2714:33 *5177:16 0 +26 *2893:28 *5177:23 0 +27 *2938:44 *5177:23 0 +28 *2938:50 *5177:17 0 +29 *2938:50 *5177:21 0 +30 *2971:20 *5177:17 0 +31 *2971:45 *5177:17 0 +32 *3016:16 *5177:17 0 +33 *3017:31 *5177:17 0 +34 *3033:29 *5177:16 0 +35 *3250:49 *5177:23 0 +36 *4540:18 *5177:16 0 +37 *4594:23 *5177:16 0 +38 *5084:107 *5177:17 0 +39 *5103:23 *5177:23 0 +40 *5103:25 *5177:17 0 +41 *5103:25 *5177:21 0 +42 *5103:25 *5177:23 0 +43 *5107:43 *5177:16 0 +44 *5175:86 *5177:23 0 +*RES +1 *41411:X *5177:16 32.6393 +2 *5177:16 *5177:17 109.375 +3 *5177:17 *5177:21 7.94643 +4 *5177:21 *5177:23 64.1964 +5 *5177:23 *39036:A 22.3714 +6 *5177:17 *7133:DIODE 22.0679 +*END + +*D_NET *5178 0.0205963 +*CONN +*I *8995:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41411:A I *D sky130_fd_sc_hd__buf_4 +*I *41412:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8995:DIODE 4.23535e-05 +2 *41411:A 0.00048805 +3 *41412:X 0.00153603 +4 *5178:74 0.00160103 +5 *5178:56 0.00266815 +6 *5178:33 0.00349683 +7 *5178:15 0.00535219 +8 *5178:14 0.00366424 +9 *5178:10 0.00174739 +10 *5178:14 *39539:B 0 +11 *5178:15 *5209:47 0 +12 *5178:15 *5209:54 0 +13 *5178:15 *5209:69 0 +14 *5178:15 *5209:74 0 +15 *5178:15 *5209:86 0 +16 *5178:33 *7529:DIODE 0 +17 *5178:33 *5209:86 0 +18 *5178:33 *5209:91 0 +19 *5178:33 *5209:120 0 +20 *5279:DIODE *5178:74 0 +21 *6876:DIODE *5178:33 0 +22 *6879:DIODE *5178:33 0 +23 *6890:DIODE *5178:15 0 +24 *6909:DIODE *5178:56 0 +25 *6912:DIODE *5178:33 0 +26 *6913:DIODE *5178:33 0 +27 *6928:DIODE *5178:14 0 +28 *8099:DIODE *5178:15 0 +29 *8327:DIODE *5178:33 0 +30 *37427:A *5178:56 0 +31 *37469:A *5178:10 0 +32 *37732:B *5178:10 0 +33 *37733:A *5178:10 0 +34 *39574:A *5178:56 0 +35 *40108:A *5178:56 0 +36 *40219:A *5178:10 0 +37 *41405:A *5178:56 0 +38 *1017:93 *5178:14 0 +39 *1259:19 *5178:56 0 +40 *1701:29 *5178:56 0 +41 *1753:11 *5178:10 0 +42 *1829:18 *5178:56 0 +43 *2964:5 *5178:56 0 +44 *2967:15 *8995:DIODE 0 +45 *2967:15 *41411:A 0 +46 *2967:25 *5178:33 0 +47 *2967:25 *5178:56 0 +48 *2967:33 *5178:15 0 +49 *2967:38 *5178:15 0 +50 *3089:38 *5178:56 0 +51 *3168:16 *5178:33 0 +52 *3184:22 *5178:74 0 +53 *3392:50 *41411:A 0 +54 *3536:7 *5178:33 0 +55 *4529:32 *5178:74 0 +56 *4533:63 *5178:74 0 +57 *4539:54 *5178:74 0 +58 *4544:17 *5178:15 0 +59 *4544:17 *5178:33 0 +60 *4545:48 *5178:33 0 +61 *4545:74 *5178:74 0 +62 *4547:54 *5178:56 0 +63 *4548:57 *5178:33 0 +64 *4570:18 *5178:33 0 +65 *4583:12 *5178:14 0 +66 *4780:35 *8995:DIODE 0 +67 *4780:35 *41411:A 0 +68 *4781:7 *5178:10 0 +69 *5080:28 *5178:74 0 +70 *5095:22 *5178:15 0 +71 *5095:29 *5178:15 0 +72 *5171:10 *5178:56 0 +*RES +1 *41412:X *5178:10 45.9518 +2 *5178:10 *5178:14 9.33036 +3 *5178:14 *5178:15 72 +4 *5178:15 *5178:33 48.7857 +5 *5178:33 *5178:56 47.3393 +6 *5178:56 *5178:74 45.4464 +7 *5178:74 *41411:A 28.9429 +8 *5178:74 *8995:DIODE 10.2464 +*END + +*D_NET *5179 0.0231217 +*CONN +*I *7132:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39035:A I *D sky130_fd_sc_hd__buf_12 +*I *41413:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *7132:DIODE 0 +2 *39035:A 0 +3 *41413:X 0.00210483 +4 *5179:148 0.00215242 +5 *5179:144 0.00249354 +6 *5179:133 0.0016548 +7 *5179:115 0.00239126 +8 *5179:91 0.00465622 +9 *5179:56 0.00457122 +10 *5179:29 0.0030974 +11 *5179:29 *41415:A 0 +12 *5179:91 *9006:DIODE 0 +13 *5179:91 *5186:65 0 +14 *6931:DIODE *5179:29 0 +15 *6935:DIODE *5179:29 0 +16 *7597:DIODE *5179:115 0 +17 *37413:A *5179:133 0 +18 *38619:A *5179:115 0 +19 *39547:A *5179:91 0 +20 *40252:A *5179:115 0 +21 *406:32 *5179:148 0 +22 *412:12 *5179:133 0 +23 *412:43 *5179:115 0 +24 *532:10 *5179:148 0 +25 *534:43 *5179:148 0 +26 *790:29 *5179:133 0 +27 *797:54 *5179:91 0 +28 *797:54 *5179:115 0 +29 *1010:169 *5179:115 0 +30 *1834:23 *5179:91 0 +31 *1860:57 *5179:29 0 +32 *1879:21 *5179:115 0 +33 *2371:21 *5179:148 0 +34 *2408:16 *5179:115 0 +35 *2410:25 *5179:148 0 +36 *2442:16 *5179:56 0 +37 *2713:49 *5179:29 0 +38 *2782:39 *5179:91 0 +39 *2849:48 *5179:56 0 +40 *2882:17 *5179:133 0 +41 *2882:17 *5179:144 0 +42 *2960:20 *5179:115 0 +43 *2995:54 *5179:29 0 +44 *3038:42 *5179:91 0 +45 *3077:20 *5179:29 0 +46 *3087:34 *5179:115 0 +47 *3116:54 *5179:56 0 +48 *3142:91 *5179:133 0 +49 *3205:68 *5179:91 0 +50 *3209:17 *5179:91 0 +51 *3221:36 *5179:91 0 +52 *3250:48 *5179:144 0 +53 *3250:49 *5179:148 0 +54 *3254:33 *5179:29 0 +55 *3375:23 *5179:91 0 +56 *3400:21 *5179:133 0 +57 *3481:22 *5179:91 0 +58 *3571:48 *5179:91 0 +59 *3721:24 *5179:91 0 +60 *3721:43 *5179:56 0 +61 *4348:14 *5179:115 0 +62 *4404:25 *5179:115 0 +63 *4427:42 *5179:91 0 +64 *4532:38 *5179:91 0 +65 *4534:82 *5179:29 0 +66 *4586:24 *5179:56 0 +67 *4592:61 *5179:56 0 +68 *4755:23 *5179:29 0 +69 *4755:23 *5179:91 0 +70 *4774:25 *5179:29 0 +71 *5100:19 *5179:115 0 +72 *5102:18 *5179:115 0 +73 *5103:18 *5179:133 0 +74 *5166:53 *5179:91 0 +75 *5169:18 *5179:56 0 +76 *5169:40 *5179:91 0 +77 *5171:57 *5179:56 0 +78 *5171:118 *5179:133 0 +79 *5172:65 *5179:91 0 +80 *5172:84 *5179:115 0 +81 *5175:74 *5179:91 0 +82 *5175:86 *5179:133 0 +83 *5177:23 *5179:133 0 +*RES +1 *41413:X *5179:29 48.0369 +2 *5179:29 *5179:56 45.6597 +3 *5179:56 *5179:91 48.1243 +4 *5179:91 *5179:115 40.2404 +5 *5179:115 *5179:133 46.0893 +6 *5179:133 *5179:144 25.4107 +7 *5179:144 *5179:148 49.6786 +8 *5179:148 *39035:A 13.8 +9 *5179:115 *7132:DIODE 9.3 +*END + +*D_NET *5180 0.0208521 +*CONN +*I *8996:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41413:A I *D sky130_fd_sc_hd__buf_4 +*I *41414:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8996:DIODE 0.000322485 +2 *41413:A 0 +3 *41414:X 0.000390663 +4 *5180:26 0.000799496 +5 *5180:19 0.00260838 +6 *5180:16 0.00224372 +7 *5180:11 0.00710453 +8 *5180:10 0.00738284 +9 mprj_dat_i_core[26] *5180:11 0 +10 mprj_dat_i_core[31] *5180:10 0 +11 mprj_dat_i_core[5] *5180:26 0 +12 *6897:DIODE *5180:10 0 +13 *37468:A *5180:10 0 +14 *39333:A *5180:10 0 +15 *908:13 *5180:11 0 +16 *916:13 *5180:11 0 +17 *926:12 *5180:10 0 +18 *1056:12 *5180:10 0 +19 *1096:10 *5180:10 0 +20 *1463:27 *5180:11 0 +21 *1829:30 *5180:26 0 +22 *1833:17 *5180:19 0 +23 *1866:23 *5180:19 0 +24 *1873:9 *5180:11 0 +25 *3030:42 *5180:11 0 +26 *3130:20 *8996:DIODE 0 +27 *3391:32 *8996:DIODE 0 +28 *4531:11 *5180:11 0 +29 *4564:11 *5180:19 0 +30 *4569:11 *5180:11 0 +31 *4591:10 *8996:DIODE 0 +32 *4595:11 *5180:19 0 +33 *4595:18 *5180:19 0 +34 *5073:11 *5180:19 0 +35 *5073:14 *5180:16 0 +36 *5076:9 *5180:11 0 +37 *5078:28 *5180:11 0 +38 *5173:14 *8996:DIODE 0 +39 *5177:16 *5180:26 0 +*RES +1 *41414:X *5180:10 26.9786 +2 *5180:10 *5180:11 145.929 +3 *5180:11 *5180:16 11.5536 +4 *5180:16 *5180:19 48.9821 +5 *5180:19 *5180:26 19.7143 +6 *5180:26 *41413:A 13.8 +7 *5180:26 *8996:DIODE 21.1393 +*END + +*D_NET *5181 0.0126029 +*CONN +*I *37894:C I *D sky130_fd_sc_hd__and3b_1 +*I *5775:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38873:X O *D sky130_fd_sc_hd__dlymetal6s2s_1 +*CAP +1 *37894:C 0.000239702 +2 *5775:DIODE 0 +3 *38873:X 0.000545218 +4 *5181:14 0.00226698 +5 *5181:13 0.00202728 +6 *5181:11 0.00348924 +7 *5181:10 0.00403446 +8 *6820:DIODE *5181:10 0 +9 *7010:DIODE *5181:10 0 +10 *7349:DIODE *5181:11 0 +11 *38873:A *5181:10 0 +12 *39425:A *5181:14 0 +13 *292:17 *37894:C 0 +14 *292:17 *5181:14 0 +15 *1347:23 *5181:14 0 +16 *1757:8 *5181:14 0 +17 *3600:57 *37894:C 0 +18 *4439:24 *5181:10 0 +19 *4475:8 *5181:10 0 +20 *4487:9 *5181:11 0 +21 *4571:19 *5181:10 0 +22 *4789:10 *5181:10 0 +23 *5059:11 *5181:10 0 +*RES +1 *38873:X *5181:10 30.2464 +2 *5181:10 *5181:11 72.8214 +3 *5181:11 *5181:13 4.5 +4 *5181:13 *5181:14 45.9643 +5 *5181:14 *5775:DIODE 13.8 +6 *5181:14 *37894:C 19.1036 +*END + +*D_NET *5182 0.0262838 +*CONN +*I *39034:A I *D sky130_fd_sc_hd__buf_12 +*I *7131:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41415:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *39034:A 0 +2 *7131:DIODE 0.000136012 +3 *41415:X 0.00207402 +4 *5182:142 0.00139145 +5 *5182:120 0.0034388 +6 *5182:84 0.00272803 +7 *5182:81 0.00382862 +8 *5182:66 0.00538882 +9 *5182:46 0.00366446 +10 *5182:28 0.00363361 +11 *5182:28 *5195:21 0 +12 *5182:120 *5186:65 0 +13 *5182:142 *5186:102 0 +14 la_data_in_mprj[114] *5182:142 0 +15 la_data_in_mprj[115] *5182:142 0 +16 la_data_in_mprj[116] *5182:142 0 +17 la_data_in_mprj[117] *5182:120 0 +18 *8852:DIODE *5182:66 0 +19 *38116:C *5182:46 0 +20 *40496:A *5182:142 0 +21 *404:58 *5182:84 0 +22 *408:52 *7131:DIODE 0 +23 *787:16 *5182:142 0 +24 *1009:155 *5182:28 0 +25 *1454:41 *5182:66 0 +26 *1861:20 *5182:28 0 +27 *2366:61 *5182:81 0 +28 *2380:60 *5182:81 0 +29 *2389:21 *5182:120 0 +30 *2395:24 *5182:66 0 +31 *2397:21 *5182:81 0 +32 *2414:89 *5182:46 0 +33 *2713:101 *5182:28 0 +34 *2782:14 *5182:120 0 +35 *2916:29 *5182:120 0 +36 *3073:29 *5182:81 0 +37 *3106:71 *5182:142 0 +38 *3113:27 *5182:46 0 +39 *3122:35 *5182:81 0 +40 *3163:40 *5182:81 0 +41 *3179:64 *5182:84 0 +42 *3209:17 *5182:28 0 +43 *3251:35 *5182:46 0 +44 *3411:61 *5182:66 0 +45 *3412:7 *5182:142 0 +46 *3412:30 *5182:84 0 +47 *3413:30 *5182:142 0 +48 *3419:23 *5182:46 0 +49 *3434:45 *5182:81 0 +50 *3471:37 *5182:28 0 +51 *3571:10 *5182:142 0 +52 *3571:11 *5182:120 0 +53 *3682:46 *5182:81 0 +54 *3697:99 *5182:84 0 +55 *3722:69 *5182:81 0 +56 *3904:49 *5182:81 0 +57 *3936:23 *5182:66 0 +58 *3936:40 *5182:66 0 +59 *3970:19 *5182:81 0 +60 *4013:24 *5182:46 0 +61 *4014:66 *5182:66 0 +62 *4073:22 *5182:66 0 +63 *4216:24 *5182:66 0 +64 *4229:69 *5182:81 0 +65 *4238:59 *5182:84 0 +66 *4244:11 *5182:46 0 +67 *4524:73 *5182:84 0 +68 *4529:67 *5182:28 0 +69 *4586:24 *5182:28 0 +70 *4586:51 *5182:28 0 +71 *4598:20 *5182:28 0 +72 *4780:63 *5182:28 0 +73 *5074:44 *5182:28 0 +74 *5084:82 *5182:28 0 +75 *5165:35 *5182:120 0 +76 *5165:35 *5182:142 0 +77 *5166:53 *5182:28 0 +78 *5169:18 *5182:28 0 +79 *5175:48 *5182:28 0 +*RES +1 *41415:X *5182:28 49.177 +2 *5182:28 *5182:46 47.4155 +3 *5182:46 *5182:66 49.2768 +4 *5182:66 *5182:81 48.5169 +5 *5182:81 *5182:84 12.4107 +6 *5182:84 *7131:DIODE 16.8 +7 *5182:84 *5182:120 46.4297 +8 *5182:120 *5182:142 47.8036 +9 *5182:142 *39034:A 9.3 +*END + +*D_NET *5183 0.0187508 +*CONN +*I *41415:A I *D sky130_fd_sc_hd__buf_4 +*I *8997:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41416:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41415:A 0.000356168 +2 *8997:DIODE 6.45233e-05 +3 *41416:X 4.56186e-05 +4 *5183:24 0.000817809 +5 *5183:23 0.00238622 +6 *5183:9 0.00851197 +7 *5183:8 0.00656848 +8 *5183:9 *5187:9 0 +9 *5183:23 *5187:39 0 +10 *39398:A *5183:9 0 +11 *39398:B *5183:9 0 +12 *40107:A *5183:23 0 +13 *1017:93 *5183:8 0 +14 *1602:9 *5183:9 0 +15 *1744:28 *5183:9 0 +16 *1836:16 *5183:23 0 +17 *2968:53 *5183:24 0 +18 *2969:5 *5183:9 0 +19 *3035:14 *5183:23 0 +20 *3036:76 *5183:23 0 +21 *3113:14 *41415:A 0 +22 *3471:37 *5183:23 0 +23 *4755:23 *41415:A 0 +24 *4780:63 *8997:DIODE 0 +25 *4780:63 *41415:A 0 +26 *5179:29 *41415:A 0 +*RES +1 *41416:X *5183:8 19.3357 +2 *5183:8 *5183:9 136.071 +3 *5183:9 *5183:23 45.9142 +4 *5183:23 *5183:24 9.08036 +5 *5183:24 *8997:DIODE 15.2196 +6 *5183:24 *41415:A 23.0956 +*END + +*D_NET *5184 0.0196914 +*CONN +*I *7130:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39033:A I *D sky130_fd_sc_hd__buf_12 +*I *41417:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *7130:DIODE 0.000137819 +2 *39033:A 0.000165918 +3 *41417:X 0.00204685 +4 *5184:29 0.00308446 +5 *5184:28 0.00291854 +6 *5184:26 0.00471438 +7 *5184:16 0.00662341 +8 *5184:29 *5188:60 0 +9 *5184:29 *5191:31 0 +10 *38607:A *39033:A 0 +11 *41424:A *5184:16 0 +12 *530:9 *39033:A 0 +13 *790:29 *7130:DIODE 0 +14 *1884:24 *5184:29 0 +15 *2373:47 *5184:16 0 +16 *2382:110 *7130:DIODE 0 +17 *2849:23 *5184:26 0 +18 *2983:26 *5184:26 0 +19 *2984:36 *5184:29 0 +20 *3205:47 *5184:16 0 +21 *3250:49 *5184:29 0 +22 *3266:20 *5184:16 0 +23 *3266:20 *5184:26 0 +24 *4540:26 *5184:16 0 +25 *4556:36 *5184:16 0 +26 *4761:31 *5184:16 0 +27 *5107:27 *5184:16 0 +28 *5163:22 *5184:26 0 +29 *5165:61 *5184:16 0 +30 *5177:23 *5184:29 0 +*RES +1 *41417:X *5184:16 36.3414 +2 *5184:16 *5184:26 22.6621 +3 *5184:26 *5184:28 4.5 +4 *5184:28 *5184:29 60.9107 +5 *5184:29 *39033:A 22.0679 +6 *5184:26 *7130:DIODE 16.9607 +*END + +*D_NET *5185 0.0208848 +*CONN +*I *41417:A I *D sky130_fd_sc_hd__buf_4 +*I *8999:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41418:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41417:A 3.40763e-05 +2 *8999:DIODE 0.000559649 +3 *41418:X 0.000421808 +4 *5185:14 0.0100206 +5 *5185:10 0.00984869 +6 *5185:14 *5190:31 0 +7 *5185:14 *5190:43 0 +8 *6945:DIODE *8999:DIODE 0 +9 *7511:DIODE *8999:DIODE 0 +10 *922:10 *5185:10 0 +11 *928:15 *5185:14 0 +12 *1099:5 *5185:14 0 +13 *1728:17 *5185:14 0 +14 *1728:28 *5185:14 0 +15 *2373:47 *5185:14 0 +16 *2714:57 *8999:DIODE 0 +17 *3016:52 *5185:14 0 +18 *3113:14 *8999:DIODE 0 +19 *3205:21 *5185:14 0 +20 *3205:47 *5185:14 0 +21 *3392:35 *5185:14 0 +22 *3486:5 *8999:DIODE 0 +23 *4527:13 *5185:14 0 +24 *4534:31 *5185:14 0 +25 *4546:16 *5185:10 0 +26 *4556:36 *8999:DIODE 0 +27 *4584:17 *5185:10 0 +28 *4589:18 *8999:DIODE 0 +29 *4778:9 *5185:10 0 +30 *4778:32 *5185:14 0 +31 *5075:51 *5185:14 0 +*RES +1 *41418:X *5185:10 26.3796 +2 *5185:10 *5185:14 41.7339 +3 *5185:14 *8999:DIODE 26.5589 +4 *5185:14 *41417:A 14.6125 +*END + +*D_NET *5186 0.0211663 +*CONN +*I *7129:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39032:A I *D sky130_fd_sc_hd__buf_12 +*I *41419:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *7129:DIODE 2.55228e-05 +2 *39032:A 0 +3 *41419:X 0.00141678 +4 *5186:106 0.00211537 +5 *5186:102 0.00288018 +6 *5186:85 0.00228684 +7 *5186:65 0.0062862 +8 *5186:28 0.00615543 +9 *5186:106 *5188:81 0 +10 *5270:DIODE *5186:65 0 +11 *39637:A *5186:28 0 +12 *40556:A *5186:65 0 +13 *403:18 *5186:102 0 +14 *403:18 *5186:106 0 +15 *405:35 *5186:102 0 +16 *407:10 *5186:85 0 +17 *407:36 *5186:65 0 +18 *411:36 *5186:65 0 +19 *534:22 *5186:85 0 +20 *534:43 *5186:85 0 +21 *535:9 *5186:85 0 +22 *787:22 *5186:102 0 +23 *801:36 *5186:28 0 +24 *1000:78 *5186:65 0 +25 *1010:169 *5186:65 0 +26 *1011:273 *5186:65 0 +27 *1828:47 *5186:65 0 +28 *1860:28 *5186:65 0 +29 *1860:57 *5186:65 0 +30 *1884:14 *5186:106 0 +31 *2389:21 *5186:85 0 +32 *2406:16 *5186:85 0 +33 *2838:9 *5186:106 0 +34 *2893:17 *5186:85 0 +35 *3018:72 *5186:65 0 +36 *3018:95 *5186:65 0 +37 *3033:16 *5186:65 0 +38 *3033:16 *5186:85 0 +39 *3087:90 *5186:85 0 +40 *3130:20 *5186:28 0 +41 *3130:20 *5186:65 0 +42 *3209:17 *5186:65 0 +43 *3230:27 *5186:65 0 +44 *3262:49 *5186:28 0 +45 *3412:7 *5186:102 0 +46 *3412:30 *5186:65 0 +47 *3413:60 *5186:65 0 +48 *3413:60 *5186:85 0 +49 *4015:55 *5186:65 0 +50 *4315:15 *5186:102 0 +51 *4427:42 *5186:65 0 +52 *4556:45 *5186:28 0 +53 *4755:23 *5186:28 0 +54 *4780:63 *5186:28 0 +55 *5105:39 *5186:102 0 +56 *5179:91 *5186:65 0 +57 *5182:120 *5186:65 0 +58 *5182:142 *5186:102 0 +*RES +1 *41419:X *5186:28 47.8991 +2 *5186:28 *5186:65 34.7909 +3 *5186:65 *5186:85 46.5441 +4 *5186:85 *5186:102 43.8036 +5 *5186:102 *5186:106 49.125 +6 *5186:106 *39032:A 13.8 +7 *5186:65 *7129:DIODE 17.7904 +*END + +*D_NET *5187 0.0190603 +*CONN +*I *9000:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41419:A I *D sky130_fd_sc_hd__buf_4 +*I *41420:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *9000:DIODE 0 +2 *41419:A 0.000286207 +3 *41420:X 5.89896e-05 +4 *5187:47 0.000820484 +5 *5187:39 0.00271184 +6 *5187:32 0.0027999 +7 *5187:9 0.0064731 +8 *5187:8 0.00590976 +9 *7510:DIODE *5187:39 0 +10 *8021:DIODE *5187:39 0 +11 *37686:A *5187:39 0 +12 *39505:A *5187:9 0 +13 *39505:B *5187:9 0 +14 *39529:A *5187:47 0 +15 *40107:A *5187:39 0 +16 *40170:A *5187:39 0 +17 *41420:A *5187:8 0 +18 *281:51 *5187:32 0 +19 *1744:28 *5187:8 0 +20 *1744:28 *5187:9 0 +21 *1845:30 *5187:9 0 +22 *2713:101 *41419:A 0 +23 *2713:106 *41419:A 0 +24 *2969:5 *5187:9 0 +25 *3027:43 *41419:A 0 +26 *3027:43 *5187:47 0 +27 *3042:77 *5187:32 0 +28 *3102:23 *5187:32 0 +29 *3102:52 *5187:32 0 +30 *3113:14 *5187:39 0 +31 *3164:44 *5187:9 0 +32 *3164:45 *5187:39 0 +33 *3199:63 *5187:39 0 +34 *3395:85 *5187:9 0 +35 *3421:25 *5187:39 0 +36 *3471:37 *5187:32 0 +37 *3473:22 *5187:39 0 +38 *3724:78 *5187:9 0 +39 *3724:95 *5187:9 0 +40 *4773:49 *5187:9 0 +41 *4780:63 *41419:A 0 +42 *5183:9 *5187:9 0 +43 *5183:23 *5187:39 0 +*RES +1 *41420:X *5187:8 19.6393 +2 *5187:8 *5187:9 122.107 +3 *5187:9 *5187:32 27.4568 +4 *5187:32 *5187:39 49.9464 +5 *5187:39 *5187:47 16.5714 +6 *5187:47 *41419:A 15.3357 +7 *5187:47 *9000:DIODE 9.3 +*END + +*D_NET *5188 0.0227323 +*CONN +*I *7128:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39031:A I *D sky130_fd_sc_hd__buf_12 +*I *41421:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *7128:DIODE 2.26741e-05 +2 *39031:A 0 +3 *41421:X 0.00316592 +4 *5188:81 0.00172899 +5 *5188:60 0.00297283 +6 *5188:44 0.00311809 +7 *5188:32 0.00520471 +8 *5188:20 0.00651906 +9 *5188:32 *5193:26 0 +10 *5188:81 *5193:83 0 +11 *6741:DIODE *5188:60 0 +12 *6783:DIODE *5188:20 0 +13 *7589:DIODE *5188:32 0 +14 *7607:DIODE *5188:32 0 +15 *8264:DIODE *5188:32 0 +16 *8297:DIODE *5188:32 0 +17 *8870:DIODE *5188:44 0 +18 *38463:A *5188:81 0 +19 *402:35 *5188:60 0 +20 *528:31 *5188:81 0 +21 *1836:26 *5188:20 0 +22 *1884:24 *5188:60 0 +23 *2387:35 *5188:32 0 +24 *2387:63 *5188:32 0 +25 *2805:17 *5188:81 0 +26 *2838:8 *5188:81 0 +27 *2838:9 *5188:81 0 +28 *2972:118 *5188:32 0 +29 *3037:20 *5188:44 0 +30 *3135:59 *7128:DIODE 0 +31 *3135:59 *5188:60 0 +32 *3141:14 *5188:60 0 +33 *3141:30 *5188:81 0 +34 *3188:87 *5188:32 0 +35 *3188:87 *5188:44 0 +36 *3194:125 *5188:32 0 +37 *3276:60 *5188:44 0 +38 *3276:60 *5188:60 0 +39 *3276:74 *5188:44 0 +40 *3281:24 *5188:20 0 +41 *3291:21 *5188:81 0 +42 *3301:42 *5188:44 0 +43 *3407:13 *5188:44 0 +44 *3410:14 *5188:44 0 +45 *3422:67 *5188:32 0 +46 *3430:65 *5188:81 0 +47 *3430:71 *5188:44 0 +48 *3430:71 *5188:60 0 +49 *3430:104 *5188:32 0 +50 *3493:11 *5188:44 0 +51 *3497:59 *5188:32 0 +52 *3536:44 *5188:20 0 +53 *3653:47 *5188:44 0 +54 *4238:98 *5188:32 0 +55 *4251:41 *5188:32 0 +56 *4251:69 *5188:32 0 +57 *4427:42 *5188:20 0 +58 *4427:70 *5188:20 0 +59 *4554:77 *5188:20 0 +60 *4756:42 *5188:81 0 +61 *4780:86 *5188:20 0 +62 *4783:42 *5188:20 0 +63 *5034:16 *5188:32 0 +64 *5169:40 *5188:20 0 +65 *5173:66 *5188:32 0 +66 *5184:29 *5188:60 0 +67 *5186:106 *5188:81 0 +*RES +1 *41421:X *5188:20 47.1278 +2 *5188:20 *5188:32 46.8147 +3 *5188:32 *5188:44 28.9904 +4 *5188:44 *5188:60 45.5 +5 *5188:60 *5188:81 46.0893 +6 *5188:81 *39031:A 9.3 +7 *5188:44 *7128:DIODE 9.83571 +*END + +*D_NET *5189 0.023803 +*CONN +*I *7126:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39029:A I *D sky130_fd_sc_hd__buf_12 +*I *41422:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *7126:DIODE 0 +2 *39029:A 0.000302036 +3 *41422:X 0.00167733 +4 *5189:66 0.00149841 +5 *5189:46 0.00198569 +6 *5189:39 0.0031962 +7 *5189:37 0.00327662 +8 *5189:34 0.00552957 +9 *5189:22 0.00633715 +10 *5189:37 *5193:51 0 +11 *7574:DIODE *5189:34 0 +12 *8207:DIODE *5189:46 0 +13 *8627:DIODE *5189:34 0 +14 *8642:DIODE *5189:34 0 +15 *38461:A *39029:A 0 +16 *41400:A *5189:66 0 +17 *529:8 *5189:66 0 +18 *785:43 *5189:66 0 +19 *1230:39 *5189:46 0 +20 *1840:52 *5189:34 0 +21 *2426:43 *5189:34 0 +22 *2682:61 *5189:34 0 +23 *2713:169 *5189:22 0 +24 *2816:19 *39029:A 0 +25 *3015:12 *5189:66 0 +26 *3031:66 *5189:22 0 +27 *3194:107 *5189:37 0 +28 *3203:71 *5189:39 0 +29 *3203:73 *5189:39 0 +30 *3237:22 *5189:46 0 +31 *3239:9 *5189:39 0 +32 *3251:35 *5189:22 0 +33 *3253:13 *5189:37 0 +34 *3253:15 *5189:37 0 +35 *3253:15 *5189:39 0 +36 *3286:34 *5189:34 0 +37 *3291:37 *5189:66 0 +38 *3292:13 *5189:46 0 +39 *3301:42 *5189:34 0 +40 *3409:26 *5189:34 0 +41 *3409:26 *5189:37 0 +42 *3409:26 *5189:39 0 +43 *3417:7 *39029:A 0 +44 *3417:7 *5189:66 0 +45 *3419:23 *5189:22 0 +46 *3430:71 *5189:39 0 +47 *3477:53 *5189:34 0 +48 *3481:22 *5189:34 0 +49 *3487:45 *5189:34 0 +50 *3506:24 *5189:34 0 +51 *3509:25 *5189:34 0 +52 *3696:62 *5189:34 0 +53 *3696:80 *5189:34 0 +54 *3958:19 *5189:34 0 +55 *3959:54 *5189:34 0 +56 *3972:34 *5189:34 0 +57 *4031:81 *5189:34 0 +58 *4044:25 *5189:34 0 +59 *4044:49 *5189:34 0 +60 *4232:40 *5189:34 0 +61 *4240:21 *5189:34 0 +62 *4270:16 *5189:46 0 +63 *4404:67 *5189:34 0 +64 *4596:48 *5189:34 0 +65 *5097:13 *39029:A 0 +*RES +1 *41422:X *5189:22 48.1703 +2 *5189:22 *5189:34 42.6414 +3 *5189:34 *5189:37 18.2143 +4 *5189:37 *5189:39 50.2321 +5 *5189:39 *5189:46 22.5893 +6 *5189:46 *5189:66 48.2321 +7 *5189:66 *39029:A 15.6036 +8 *5189:46 *7126:DIODE 13.8 +*END + +*D_NET *5190 0.0213387 +*CONN +*I *41422:A I *D sky130_fd_sc_hd__buf_4 +*I *9003:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41423:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *41422:A 0.000166568 +2 *9003:DIODE 0.000598379 +3 *41423:X 0.00706092 +4 *5190:43 0.00360845 +5 *5190:31 0.00990442 +6 *6886:DIODE *5190:31 0 +7 *368:106 *5190:31 0 +8 *1097:8 *5190:43 0 +9 *2994:20 *5190:31 0 +10 *3135:26 *5190:43 0 +11 *3205:21 *5190:31 0 +12 *3205:47 *5190:43 0 +13 *4416:20 *9003:DIODE 0 +14 *4528:22 *5190:31 0 +15 *4532:19 *5190:31 0 +16 *4534:49 *5190:31 0 +17 *4540:18 *5190:31 0 +18 *4540:26 *5190:31 0 +19 *4540:26 *5190:43 0 +20 *4543:20 *5190:31 0 +21 *4544:14 *5190:31 0 +22 *4552:32 *5190:31 0 +23 *4576:23 *5190:31 0 +24 *4578:12 *5190:31 0 +25 *4579:17 *5190:31 0 +26 *4596:28 *5190:31 0 +27 *4757:16 *5190:31 0 +28 *4778:32 *5190:31 0 +29 *5100:45 *9003:DIODE 0 +30 *5100:45 *5190:43 0 +31 *5168:18 *9003:DIODE 0 +32 *5168:18 *5190:31 0 +33 *5168:18 *5190:43 0 +34 *5185:14 *5190:31 0 +35 *5185:14 *5190:43 0 +*RES +1 *41423:X *5190:31 46.9833 +2 *5190:31 *5190:43 18.826 +3 *5190:43 *9003:DIODE 29.7493 +4 *5190:43 *41422:A 20.9064 +*END + +*D_NET *5191 0.0194275 +*CONN +*I *7125:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39028:A I *D sky130_fd_sc_hd__buf_12 +*I *41424:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *7125:DIODE 6.95684e-05 +2 *39028:A 0 +3 *41424:X 0.00313592 +4 *5191:46 0.00145587 +5 *5191:38 0.00316713 +6 *5191:31 0.00481307 +7 *5191:30 0.00341068 +8 *5191:27 0.00337522 +9 *5191:27 *5196:17 0 +10 la_data_in_mprj[112] *7125:DIODE 0 +11 *7508:DIODE *5191:27 0 +12 *40370:A *5191:27 0 +13 *40481:A *5191:27 0 +14 *540:55 *5191:27 0 +15 *781:12 *5191:46 0 +16 *785:7 *7125:DIODE 0 +17 *787:22 *5191:31 0 +18 *1731:67 *5191:31 0 +19 *1829:47 *5191:27 0 +20 *1884:24 *5191:31 0 +21 *1884:24 *5191:38 0 +22 *1884:36 *5191:31 0 +23 *2406:16 *5191:27 0 +24 *2410:24 *5191:31 0 +25 *2960:43 *5191:27 0 +26 *2978:9 *5191:46 0 +27 *2984:58 *5191:27 0 +28 *3018:21 *5191:31 0 +29 *3018:21 *5191:38 0 +30 *3018:46 *5191:31 0 +31 *3034:43 *5191:31 0 +32 *3142:91 *5191:31 0 +33 *3266:46 *5191:27 0 +34 *3413:60 *5191:27 0 +35 *3571:48 *5191:27 0 +36 *4182:68 *5191:46 0 +37 *4540:26 *5191:27 0 +38 *4553:37 *5191:27 0 +39 *5072:32 *5191:27 0 +40 *5102:19 *5191:27 0 +41 *5168:49 *5191:27 0 +42 *5177:23 *5191:31 0 +43 *5184:29 *5191:31 0 +*RES +1 *41424:X *5191:27 45.4705 +2 *5191:27 *5191:30 9.9375 +3 *5191:30 *5191:31 66.25 +4 *5191:31 *5191:38 39.0179 +5 *5191:38 *5191:46 44.0179 +6 *5191:46 *39028:A 9.3 +7 *5191:38 *7125:DIODE 15.4429 +*END + +*D_NET *5192 0.0198437 +*CONN +*I *8031:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *40186:A I *D sky130_fd_sc_hd__clkbuf_2 +*I *38874:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *8031:DIODE 0.00041111 +2 *40186:A 0.000124712 +3 *38874:X 0.00808369 +4 *5192:15 0.00183815 +5 *5192:9 0.00938603 +6 *40522:A *5192:15 0 +7 *483:5 *5192:9 0 +8 *2072:48 *5192:9 0 +9 *2103:49 *5192:15 0 +10 *2115:66 *5192:9 0 +11 *2361:16 *5192:9 0 +12 *2405:40 *5192:9 0 +13 *3173:31 *8031:DIODE 0 +14 *3173:31 *5192:15 0 +15 *3248:46 *5192:9 0 +16 *3319:22 *40186:A 0 +17 *3442:24 *5192:9 0 +18 *3446:28 *8031:DIODE 0 +19 *3511:32 *5192:15 0 +20 *3716:17 *5192:9 0 +21 *3771:17 *5192:9 0 +22 *3794:16 *5192:9 0 +23 *4046:70 *8031:DIODE 0 +24 *4046:70 *5192:15 0 +25 *4083:63 *8031:DIODE 0 +26 *4083:63 *5192:15 0 +27 *4496:33 *5192:9 0 +28 *4502:15 *5192:9 0 +29 *5159:20 *5192:9 0 +*RES +1 *38874:X *5192:9 46.7208 +2 *5192:9 *5192:15 36.9368 +3 *5192:15 *40186:A 21.0857 +4 *5192:15 *8031:DIODE 27.5143 +*END + +*D_NET *5193 0.0220331 +*CONN +*I *7124:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39027:A I *D sky130_fd_sc_hd__buf_12 +*I *41425:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *7124:DIODE 0 +2 *39027:A 0.000258481 +3 *41425:X 0.00259192 +4 *5193:83 0.00206752 +5 *5193:68 0.00259014 +6 *5193:53 0.00432938 +7 *5193:51 0.00455578 +8 *5193:48 0.00202773 +9 *5193:26 0.00361215 +10 *5193:83 *5196:39 0 +11 la_data_in_mprj[108] *39027:A 0 +12 *6594:DIODE *5193:68 0 +13 *6745:DIODE *5193:48 0 +14 *40142:A *5193:26 0 +15 *40233:A *5193:68 0 +16 *400:12 *5193:83 0 +17 *780:8 *39027:A 0 +18 *1435:84 *5193:68 0 +19 *2712:174 *5193:83 0 +20 *2816:19 *5193:83 0 +21 *2829:14 *5193:68 0 +22 *2982:51 *5193:26 0 +23 *3015:44 *5193:51 0 +24 *3018:21 *5193:83 0 +25 *3024:18 *5193:48 0 +26 *3070:32 *5193:26 0 +27 *3092:11 *5193:51 0 +28 *3092:11 *5193:53 0 +29 *3106:96 *5193:68 0 +30 *3106:96 *5193:83 0 +31 *3141:30 *5193:83 0 +32 *3145:74 *5193:83 0 +33 *3150:18 *5193:48 0 +34 *3163:19 *5193:26 0 +35 *3194:107 *5193:51 0 +36 *3211:42 *5193:48 0 +37 *3237:37 *5193:51 0 +38 *3253:13 *5193:51 0 +39 *3253:13 *5193:53 0 +40 *3253:15 *5193:53 0 +41 *3286:11 *5193:51 0 +42 *3291:21 *5193:83 0 +43 *3291:68 *5193:48 0 +44 *3302:28 *5193:68 0 +45 *3430:65 *5193:83 0 +46 *3460:73 *5193:26 0 +47 *3500:44 *39027:A 0 +48 *3500:44 *5193:83 0 +49 *3500:55 *5193:83 0 +50 *4149:121 *5193:48 0 +51 *4238:98 *5193:26 0 +52 *4259:18 *5193:68 0 +53 *4591:11 *5193:26 0 +54 *4754:15 *5193:83 0 +55 *5173:36 *5193:26 0 +56 *5173:66 *5193:26 0 +57 *5188:32 *5193:26 0 +58 *5188:81 *5193:83 0 +59 *5189:37 *5193:51 0 +*RES +1 *41425:X *5193:26 45.8883 +2 *5193:26 *5193:48 36.4643 +3 *5193:48 *5193:51 21.0893 +4 *5193:51 *5193:53 74.0536 +5 *5193:53 *5193:68 22.6964 +6 *5193:68 *5193:83 48.1607 +7 *5193:83 *39027:A 19.6214 +8 *5193:68 *7124:DIODE 13.8 +*END + +*D_NET *5194 0.0198595 +*CONN +*I *7123:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39026:A I *D sky130_fd_sc_hd__buf_12 +*I *41426:X O *D sky130_fd_sc_hd__buf_4 +*CAP +1 *7123:DIODE 0 +2 *39026:A 0.00133915 +3 *41426:X 0.00263496 +4 *5194:29 0.00729481 +5 *5194:22 0.00859062 +6 *5194:22 *5196:17 0 +7 la_data_in_mprj[107] *39026:A 0 +8 la_data_in_mprj[121] *5194:22 0 +9 *7508:DIODE *5194:22 0 +10 *37441:A *39026:A 0 +11 *37441:A *5194:29 0 +12 *38096:C *39026:A 0 +13 *396:10 *39026:A 0 +14 *396:25 *39026:A 0 +15 *398:11 *5194:29 0 +16 *525:10 *39026:A 0 +17 *530:31 *5194:29 0 +18 *537:43 *5194:22 0 +19 *791:50 *5194:22 0 +20 *1006:38 *5194:22 0 +21 *1731:51 *5194:22 0 +22 *1731:67 *5194:22 0 +23 *1862:22 *5194:22 0 +24 *2390:12 *39026:A 0 +25 *2406:16 *5194:29 0 +26 *2445:22 *5194:22 0 +27 *3018:72 *5194:22 0 +28 *3033:16 *5194:22 0 +29 *3041:25 *5194:29 0 +30 *3125:22 *5194:22 0 +31 *3125:46 *5194:29 0 +32 *3135:26 *5194:22 0 +33 *3135:26 *5194:29 0 +34 *3145:74 *39026:A 0 +35 *3413:14 *5194:29 0 +36 *3413:30 *5194:29 0 +37 *3413:60 *5194:29 0 +38 *3430:55 *39026:A 0 +39 *4524:73 *5194:22 0 +40 *4753:30 *39026:A 0 +41 *4754:12 *39026:A 0 +42 *4756:42 *39026:A 0 +*RES +1 *41426:X *5194:22 39.8385 +2 *5194:22 *5194:29 36.6261 +3 *5194:29 *39026:A 46.6929 +4 *5194:29 *7123:DIODE 9.3 +*END + +*D_NET *5195 0.0206986 +*CONN +*I *9006:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41426:A I *D sky130_fd_sc_hd__buf_4 +*I *41427:X O *D sky130_fd_sc_hd__clkbuf_2 +*CAP +1 *9006:DIODE 0.000760652 +2 *41426:A 0.000238948 +3 *41427:X 0.00859846 +4 *5195:27 0.00175083 +5 *5195:21 0.00934968 +6 *8017:DIODE *5195:21 0 +7 *1261:30 *9006:DIODE 0 +8 *1598:21 *5195:21 0 +9 *1836:26 *9006:DIODE 0 +10 *1860:57 *5195:21 0 +11 *1860:57 *5195:27 0 +12 *1861:20 *5195:21 0 +13 *1862:46 *41426:A 0 +14 *1870:18 *5195:21 0 +15 *2373:86 *9006:DIODE 0 +16 *2713:101 *5195:21 0 +17 *2849:48 *5195:21 0 +18 *3017:55 *41426:A 0 +19 *3017:55 *5195:27 0 +20 *3033:16 *5195:21 0 +21 *3033:16 *5195:27 0 +22 *3205:47 *5195:21 0 +23 *3419:23 *9006:DIODE 0 +24 *4532:19 *5195:21 0 +25 *4532:38 *5195:21 0 +26 *4539:22 *5195:21 0 +27 *4550:35 *5195:27 0 +28 *4556:36 *5195:21 0 +29 *4561:49 *41426:A 0 +30 *4579:17 *5195:21 0 +31 *4580:15 *5195:21 0 +32 *4591:11 *9006:DIODE 0 +33 *4598:20 *5195:21 0 +34 *5100:31 *41426:A 0 +35 *5168:18 *5195:27 0 +36 *5168:49 *5195:27 0 +37 *5179:91 *9006:DIODE 0 +38 *5182:28 *5195:21 0 +*RES +1 *41427:X *5195:21 48.6556 +2 *5195:21 *5195:27 9.65253 +3 *5195:27 *41426:A 21.214 +4 *5195:27 *9006:DIODE 33.839 +*END + +*D_NET *5196 0.0202367 +*CONN +*I *7121:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39024:A I *D sky130_fd_sc_hd__buf_12 +*I *41428:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *7121:DIODE 0.000171511 +2 *39024:A 0.000348541 +3 *41428:X 0.0016896 +4 *5196:39 0.00842878 +5 *5196:17 0.00959832 +6 la_data_in_mprj[116] *5196:39 0 +7 *7020:DIODE *5196:39 0 +8 *7540:DIODE *5196:39 0 +9 *38096:C *5196:39 0 +10 *394:7 *7121:DIODE 0 +11 *777:14 *7121:DIODE 0 +12 *780:30 *5196:39 0 +13 *785:43 *5196:39 0 +14 *792:36 *5196:39 0 +15 *2404:10 *7121:DIODE 0 +16 *2404:10 *39024:A 0 +17 *2460:15 *39024:A 0 +18 *2682:21 *5196:39 0 +19 *2793:23 *5196:39 0 +20 *2984:36 *5196:39 0 +21 *2984:58 *5196:17 0 +22 *2984:58 *5196:39 0 +23 *3018:72 *5196:39 0 +24 *3025:21 *39024:A 0 +25 *3025:21 *5196:39 0 +26 *3033:16 *5196:17 0 +27 *3033:16 *5196:39 0 +28 *3034:11 *5196:39 0 +29 *3034:20 *5196:39 0 +30 *3041:11 *5196:39 0 +31 *3041:25 *5196:39 0 +32 *3125:22 *5196:39 0 +33 *3125:46 *39024:A 0 +34 *3125:46 *5196:39 0 +35 *3135:26 *5196:17 0 +36 *3135:39 *5196:39 0 +37 *3145:74 *5196:39 0 +38 *3276:26 *5196:39 0 +39 *3287:66 *5196:39 0 +40 *3402:26 *5196:39 0 +41 *3411:17 *5196:39 0 +42 *3413:30 *5196:39 0 +43 *3413:60 *5196:17 0 +44 *3413:60 *5196:39 0 +45 *3430:53 *39024:A 0 +46 *3500:32 *39024:A 0 +47 *4981:23 *7121:DIODE 0 +48 *5105:7 *7121:DIODE 0 +49 *5191:27 *5196:17 0 +50 *5193:83 *5196:39 0 +51 *5194:22 *5196:17 0 +*RES +1 *41428:X *5196:17 31.9687 +2 *5196:17 *5196:39 46.1395 +3 *5196:39 *39024:A 21.6103 +4 *5196:39 *7121:DIODE 21.0582 +*END + +*D_NET *5197 0.0188063 +*CONN +*I *39094:A I *D sky130_fd_sc_hd__buf_12 +*I *7181:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41429:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *39094:A 0.000325035 +2 *7181:DIODE 0 +3 *41429:X 0.000812477 +4 *5197:33 0.000508091 +5 *5197:27 0.00631533 +6 *5197:26 0.00624473 +7 *5197:23 0.00195033 +8 *5197:13 0.00265035 +9 *6654:DIODE *5197:27 0 +10 *6989:DIODE *39094:A 0 +11 *37363:A *5197:27 0 +12 *37364:A *5197:27 0 +13 *40460:A *5197:27 0 +14 *296:29 *5197:13 0 +15 *301:39 *5197:33 0 +16 *463:5 *39094:A 0 +17 *578:8 *5197:27 0 +18 *586:8 *5197:27 0 +19 *1787:11 *5197:13 0 +20 *1787:15 *5197:13 0 +21 *2007:13 *39094:A 0 +22 *2023:24 *5197:27 0 +23 *3131:35 *5197:13 0 +24 *3339:45 *5197:27 0 +25 *3343:5 *39094:A 0 +26 *3343:5 *5197:27 0 +27 *3343:5 *5197:33 0 +28 *3346:14 *5197:26 0 +29 *3347:28 *5197:23 0 +30 *3349:20 *5197:27 0 +31 *3349:21 *5197:27 0 +32 *3352:27 *5197:27 0 +33 *3366:7 *5197:27 0 +34 *3374:25 *5197:23 0 +35 *3451:23 *5197:27 0 +36 *3461:45 *5197:23 0 +37 *3461:50 *5197:23 0 +38 *3909:37 *5197:23 0 +39 *3925:9 *5197:13 0 +40 *4151:37 *5197:13 0 +41 *4455:65 *5197:27 0 +42 *4793:10 *5197:26 0 +43 *4793:17 *5197:23 0 +44 *4822:33 *5197:27 0 +45 *4835:31 *5197:27 0 +46 *4838:8 *5197:27 0 +47 *4859:21 *5197:27 0 +*RES +1 *41429:X *5197:13 39.8893 +2 *5197:13 *5197:23 48.6071 +3 *5197:23 *5197:26 7.05357 +4 *5197:26 *5197:27 127.857 +5 *5197:27 *5197:33 12.9286 +6 *5197:33 *7181:DIODE 9.3 +7 *5197:33 *39094:A 25.6393 +*END + +*D_NET *5198 0.019255 +*CONN +*I *39093:A I *D sky130_fd_sc_hd__buf_12 +*I *7180:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41430:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *39093:A 0.000395519 +2 *7180:DIODE 0 +3 *41430:X 0.00119531 +4 *5198:39 0.00107735 +5 *5198:33 0.00244068 +6 *5198:27 0.00587784 +7 *5198:26 0.005596 +8 *5198:18 0.00267233 +9 *6987:DIODE *5198:39 0 +10 *39455:B *5198:18 0 +11 *39461:A *5198:18 0 +12 *40541:A *5198:27 0 +13 *328:39 *5198:18 0 +14 *343:13 *5198:18 0 +15 *462:7 *39093:A 0 +16 *1395:23 *5198:27 0 +17 *2000:32 *5198:33 0 +18 *2018:16 *5198:33 0 +19 *2045:45 *5198:33 0 +20 *3241:25 *5198:18 0 +21 *3333:9 *5198:33 0 +22 *3336:31 *5198:33 0 +23 *3336:41 *5198:33 0 +24 *3347:28 *5198:26 0 +25 *3371:12 *5198:18 0 +26 *3393:15 *5198:27 0 +27 *3415:18 *5198:18 0 +28 *3454:5 *39093:A 0 +29 *3454:5 *5198:39 0 +30 *3572:38 *5198:33 0 +31 *3605:33 *39093:A 0 +32 *3605:33 *5198:39 0 +33 *3605:36 *5198:33 0 +34 *3878:31 *5198:26 0 +35 *3901:21 *5198:26 0 +36 *3908:28 *5198:39 0 +37 *3909:20 *5198:33 0 +38 *3913:15 *5198:27 0 +39 *3913:29 *5198:18 0 +40 *3923:31 *5198:18 0 +41 *3974:31 *5198:18 0 +42 *4446:10 *5198:26 0 +43 *4462:48 *5198:39 0 +44 *4464:10 *39093:A 0 +45 *4948:11 *5198:39 0 +46 *5026:13 *39093:A 0 +47 *5070:43 *5198:39 0 +*RES +1 *41430:X *5198:18 49.6214 +2 *5198:18 *5198:26 44.6071 +3 *5198:26 *5198:27 85.9643 +4 *5198:27 *5198:33 45.9643 +5 *5198:33 *5198:39 24 +6 *5198:39 *7180:DIODE 9.3 +7 *5198:39 *39093:A 27.0143 +*END + +*D_NET *5199 0.0179961 +*CONN +*I *39088:A I *D sky130_fd_sc_hd__buf_12 +*I *7177:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41431:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *39088:A 0.000446191 +2 *7177:DIODE 0 +3 *41431:X 5.89896e-05 +4 *5199:27 0.000857864 +5 *5199:21 0.00297449 +6 *5199:19 0.00268304 +7 *5199:9 0.00551837 +8 *5199:8 0.00545713 +9 *5199:9 *5200:13 0 +10 *6803:DIODE *39088:A 0 +11 *584:8 *39088:A 0 +12 *840:5 *39088:A 0 +13 *1790:8 *5199:8 0 +14 *1796:39 *5199:21 0 +15 *1796:43 *5199:21 0 +16 *3146:12 *5199:27 0 +17 *3333:9 *5199:19 0 +18 *3333:9 *5199:21 0 +19 *3343:5 *39088:A 0 +20 *3343:5 *5199:27 0 +21 *3426:20 *5199:9 0 +22 *3426:20 *5199:19 0 +23 *3426:20 *5199:21 0 +24 *3878:31 *5199:9 0 +25 *3878:43 *5199:8 0 +26 *3923:46 *5199:8 0 +27 *4448:8 *5199:27 0 +28 *4835:11 *5199:21 0 +29 *4837:13 *5199:9 0 +30 *5026:32 *39088:A 0 +31 *5048:66 *39088:A 0 +*RES +1 *41431:X *5199:8 19.6393 +2 *5199:8 *5199:9 112.661 +3 *5199:9 *5199:19 11.6429 +4 *5199:19 *5199:21 53.5179 +5 *5199:21 *5199:27 18.2679 +6 *5199:27 *7177:DIODE 9.3 +7 *5199:27 *39088:A 28.2286 +*END + +*D_NET *5200 0.0183124 +*CONN +*I *39086:A I *D sky130_fd_sc_hd__buf_12 +*I *7175:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41432:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *39086:A 0.000215236 +2 *7175:DIODE 0 +3 *41432:X 0.000168835 +4 *5200:25 0.000919523 +5 *5200:21 0.00195707 +6 *5200:13 0.00806785 +7 *5200:12 0.0069839 +8 *9008:DIODE *5200:12 0 +9 *296:43 *5200:12 0 +10 *455:7 *39086:A 0 +11 *1395:23 *5200:21 0 +12 *2048:15 *39086:A 0 +13 *3057:12 *5200:25 0 +14 *3426:20 *5200:13 0 +15 *3583:32 *5200:21 0 +16 *3872:24 *5200:12 0 +17 *3878:30 *5200:21 0 +18 *3923:14 *5200:25 0 +19 *3923:46 *5200:13 0 +20 *4456:14 *39086:A 0 +21 *4456:14 *5200:25 0 +22 *4456:24 *5200:25 0 +23 *4817:29 *5200:13 0 +24 *4825:33 *39086:A 0 +25 *4825:33 *5200:25 0 +26 *4831:14 *5200:21 0 +27 *4831:15 *5200:21 0 +28 *4882:8 *39086:A 0 +29 *5015:41 *5200:21 0 +30 *5048:75 *5200:21 0 +31 *5199:9 *5200:13 0 +*RES +1 *41432:X *5200:12 21.925 +2 *5200:12 *5200:13 142.232 +3 *5200:13 *5200:21 39.8036 +4 *5200:21 *5200:25 20.2679 +5 *5200:25 *7175:DIODE 9.3 +6 *5200:25 *39086:A 23.2107 +*END + +*D_NET *5201 0.0184939 +*CONN +*I *39083:A I *D sky130_fd_sc_hd__buf_12 +*I *7172:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *41433:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *39083:A 0.000159146 +2 *7172:DIODE 0 +3 *41433:X 0.000972461 +4 *5201:16 0.000298362 +5 *5201:11 0.00811536 +6 *5201:10 0.00894861 +7 la_data_in_mprj[25] *5201:10 0 +8 la_data_in_mprj[42] *39083:A 0 +9 la_data_in_mprj[42] *5201:16 0 +10 *5351:DIODE *5201:10 0 +11 *6634:DIODE *5201:10 0 +12 *7156:DIODE *5201:10 0 +13 *37512:B *5201:10 0 +14 *37542:B *5201:10 0 +15 *301:44 *5201:11 0 +16 *816:5 *5201:10 0 +17 *835:5 *5201:16 0 +18 *1985:10 *5201:11 0 +19 *2007:14 *5201:11 0 +20 *2353:11 *5201:11 0 +21 *2357:11 *5201:11 0 +22 *3062:9 *5201:11 0 +23 *3346:9 *5201:11 0 +24 *3377:9 *39083:A 0 +25 *3448:18 *39083:A 0 +26 *3448:18 *5201:16 0 +27 *3903:36 *5201:10 0 +28 *4432:12 *5201:10 0 +29 *4796:21 *5201:11 0 +*RES +1 *41433:X *5201:10 40.2286 +2 *5201:10 *5201:11 166.464 +3 *5201:11 *5201:16 12.1607 +4 *5201:16 *7172:DIODE 9.3 +5 *5201:16 *39083:A 12.6214 +*END + +*D_NET *5202 0.0180766 +*CONN +*I *7169:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39078:A I *D sky130_fd_sc_hd__buf_12 +*I *41434:X O *D sky130_fd_sc_hd__buf_2 +*CAP +1 *7169:DIODE 0 +2 *39078:A 0.000315791 +3 *41434:X 0.00138652 +4 *5202:23 0.000695047 +5 *5202:19 0.00296325 +6 *5202:17 0.00260453 +7 *5202:15 0.00416668 +8 *5202:14 0.00435222 +9 *5202:9 0.00159259 +10 *6634:DIODE *5202:15 0 +11 *6651:DIODE *39078:A 0 +12 *6779:DIODE *5202:15 0 +13 *6781:DIODE *5202:15 0 +14 *6782:DIODE *5202:15 0 +15 *6954:DIODE *5202:15 0 +16 *6963:DIODE *5202:15 0 +17 *6966:DIODE *5202:19 0 +18 *6971:DIODE *5202:19 0 +19 *6972:DIODE *39078:A 0 +20 *7163:DIODE *5202:15 0 +21 *37512:A *5202:15 0 +22 *37512:B *5202:15 0 +23 *38501:A *5202:15 0 +24 *38642:A *5202:15 0 +25 *39065:A *5202:15 0 +26 *39066:A *5202:15 0 +27 *39073:A *5202:19 0 +28 *39077:A *5202:19 0 +29 *39509:B *5202:15 0 +30 *387:45 *39078:A 0 +31 *387:45 *5202:23 0 +32 *447:5 *39078:A 0 +33 *563:12 *5202:15 0 +34 *817:8 *5202:15 0 +35 *2351:21 *5202:19 0 +36 *2359:19 *5202:15 0 +37 *3068:24 *5202:9 0 +38 *3069:7 *5202:9 0 +39 *3171:14 *5202:9 0 +40 *3337:9 *5202:15 0 +41 *3376:20 *5202:9 0 +42 *3378:20 *5202:9 0 +43 *3454:41 *39078:A 0 +44 *3466:5 *5202:9 0 +45 *3466:19 *5202:9 0 +46 *3911:18 *5202:9 0 +47 *4615:17 *5202:14 0 +48 *4771:25 *39078:A 0 +49 *4802:29 *5202:15 0 +50 *4809:9 *5202:15 0 +51 *4809:18 *5202:15 0 +52 *4820:18 *5202:15 0 +53 *4820:21 *5202:15 0 +54 *4820:23 *5202:19 0 +55 *4820:23 *5202:23 0 +56 *4822:20 *5202:23 0 +57 *4904:46 *39078:A 0 +58 *4959:44 *39078:A 0 +*RES +1 *41434:X *5202:9 47.6393 +2 *5202:9 *5202:14 13.6786 +3 *5202:14 *5202:15 86.375 +4 *5202:15 *5202:17 0.428571 +5 *5202:17 *5202:19 53.9286 +6 *5202:19 *5202:23 7.94643 +7 *5202:23 *39078:A 25.4071 +8 *5202:23 *7169:DIODE 9.3 +*END + +*D_NET *5203 0.00502424 +*CONN +*I *37436:A I *D sky130_fd_sc_hd__inv_2 +*I *39390:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37436:A 0.000567708 +2 *39390:Y 0.00194441 +3 *5203:5 0.00251212 +4 *39390:A *5203:5 0 +5 *1118:16 *5203:5 0 +*RES +1 *39390:Y *5203:5 49.8804 +2 *5203:5 *37436:A 21.1482 +*END + +*D_NET *5204 0.00573303 +*CONN +*I *37437:A I *D sky130_fd_sc_hd__inv_2 +*I *39391:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37437:A 8.57316e-05 +2 *39391:Y 0 +3 *5204:5 0.00286652 +4 *5204:4 0.00278078 +5 *39391:A *5204:5 0 +6 *275:17 *37437:A 0 +*RES +1 *39391:Y *5204:4 9.3 +2 *5204:4 *5204:5 58.0357 +3 *5204:5 *37437:A 20.2464 +*END + +*D_NET *5205 0.00762376 +*CONN +*I *37438:A I *D sky130_fd_sc_hd__inv_2 +*I *39392:Y O *D sky130_fd_sc_hd__nand2_1 +*CAP +1 *37438:A 0.000125844 +2 *39392:Y 0 +3 *5205:5 0.00381188 +4 *5205:4 0.00368604 +5 *37726:A *5205:5 0 +6 *37726:B *5205:5 0 +7 *377:57 *37438:A 0 +8 *1594:8 *37438:A 0 +9 *4605:11 *5205:5 0 +*RES +1 *39392:Y *5205:4 9.3 +2 *5205:4 *5205:5 76.9286 +3 *5205:5 *37438:A 21.1571 +*END + +*D_NET *5206 0.000650672 +*CONN +*I *39390:B I *D sky130_fd_sc_hd__nand2_1 +*I *37727:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39390:B 0.000325336 +2 *37727:X 0.000325336 +3 *278:17 *39390:B 0 +4 *288:11 *39390:B 0 +*RES +1 *37727:X *39390:B 34.9393 +*END + +*D_NET *5207 0.000471295 +*CONN +*I *39391:B I *D sky130_fd_sc_hd__nand2_1 +*I *37729:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39391:B 0.000235647 +2 *37729:X 0.000235647 +*RES +1 *37729:X *39391:B 32.6536 +*END + +*D_NET *5208 0.00627231 +*CONN +*I *39392:B I *D sky130_fd_sc_hd__nand2_1 +*I *37731:X O *D sky130_fd_sc_hd__clkbuf_1 +*CAP +1 *39392:B 0.000453767 +2 *37731:X 0 +3 *5208:5 0.00313615 +4 *5208:4 0.00268239 +5 *270:17 *39392:B 0 +6 *286:8 *5208:5 0 +7 *2456:6 *39392:B 0 +8 *4621:6 *39392:B 0 +*RES +1 *37731:X *5208:4 9.3 +2 *5208:4 *5208:5 55.9821 +3 *5208:5 *39392:B 28.05 +*END + +*D_NET *5209 0.0187955 +*CONN +*I *7545:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39546:B I *D sky130_fd_sc_hd__nand2_1 +*I *7531:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39539:B I *D sky130_fd_sc_hd__nand2_1 +*I *7539:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39543:B I *D sky130_fd_sc_hd__nand2_1 +*I *7533:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39540:B I *D sky130_fd_sc_hd__nand2_1 +*I *7527:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *7507:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *7523:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39535:B I *D sky130_fd_sc_hd__nand2_1 +*I *7525:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *38875:A I *D sky130_fd_sc_hd__buf_4 +*I *39536:B I *D sky130_fd_sc_hd__nand2_1 +*I *39538:B I *D sky130_fd_sc_hd__nand2_1 +*I *7529:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *7013:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39527:B I *D sky130_fd_sc_hd__nand2_1 +*I *39537:B I *D sky130_fd_sc_hd__nand2_1 +*I *7543:DIODE I *D sky130_fd_sc_hd__diode_2 +*I *39545:B I *D sky130_fd_sc_hd__nand2_1 +*I *37733:X O *D sky130_fd_sc_hd__buf_6 +*CAP +1 *7545:DIODE 0.000134635 +2 *39546:B 0 +3 *7531:DIODE 0 +4 *39539:B 0.000219402 +5 *7539:DIODE 0 +6 *39543:B 0 +7 *7533:DIODE 0.000245137 +8 *39540:B 0.000139097 +9 *7527:DIODE 0 +10 *7507:DIODE 0 +11 *7523:DIODE 6.50276e-05 +12 *39535:B 3.88938e-05 +13 *7525:DIODE 0.000154951 +14 *38875:A 0 +15 *39536:B 0.000269671 +16 *39538:B 0.000245584 +17 *7529:DIODE 2.56688e-05 +18 *7013:DIODE 0 +19 *39527:B 0.000103961 +20 *39537:B 0.000111381 +21 *7543:DIODE 0.000281501 +22 *39545:B 0 +23 *37733:X 0.000138142 +24 *5209:194 0.000467213 +25 *5209:144 0.000460916 +26 *5209:129 0.000541181 +27 *5209:120 0.000408528 +28 *5209:117 0.000543138 +29 *5209:110 0.000858023 +30 *5209:105 0.000992716 +31 *5209:93 0.000372363 +32 *5209:91 0.000298388 +33 *5209:86 0.000468624 +34 *5209:74 0.000526482 +35 *5209:69 0.00165665 +36 *5209:55 0.00260968 +37 *5209:54 0.00113768 +38 *5209:47 0.00100972 +39 *5209:35 0.00110108 +40 *5209:33 0.000537333 +41 *5209:32 0.000514659 +42 *5209:30 0.000887041 +43 *5209:15 0.0010634 +44 *5209:8 0.000167637 +45 *5280:DIODE *5209:69 0 +46 *5469:DIODE *39538:B 0 +47 *5484:DIODE *5209:30 0 +48 *6874:DIODE *39538:B 0 +49 *6879:DIODE *5209:86 0 +50 *6879:DIODE *5209:91 0 +51 *6893:DIODE *5209:30 0 +52 *6909:DIODE *39538:B 0 +53 *6912:DIODE *7525:DIODE 0 +54 *6912:DIODE *5209:117 0 +55 *6922:DIODE *7533:DIODE 0 +56 *6925:DIODE *5209:55 0 +57 *6930:DIODE *5209:30 0 +58 *7292:DIODE *5209:91 0 +59 *37468:A *5209:8 0 +60 *37469:A *5209:8 0 +61 *37649:A *5209:129 0 +62 *37732:A *5209:8 0 +63 *37732:A *5209:15 0 +64 *37732:A *5209:30 0 +65 *37733:A *5209:8 0 +66 *39322:A *5209:69 0 +67 *39536:A *5209:144 0 +68 *39537:A *39537:B 0 +69 *39537:A *5209:74 0 +70 *39545:A *5209:8 0 +71 *39545:A *5209:15 0 +72 *40219:A *7543:DIODE 0 +73 *40318:A *7525:DIODE 0 +74 *40318:A *5209:110 0 +75 *40318:A *5209:117 0 +76 *1017:93 *39539:B 0 +77 *1018:73 *5209:194 0 +78 *1021:19 *5209:47 0 +79 *1024:137 *7545:DIODE 0 +80 *1046:8 *7533:DIODE 0 +81 *1720:34 *5209:55 0 +82 *1720:34 *5209:69 0 +83 *1728:17 *5209:194 0 +84 *1735:16 *7545:DIODE 0 +85 *1735:16 *5209:30 0 +86 *1755:29 *39537:B 0 +87 *1755:29 *5209:74 0 +88 *1848:15 *39527:B 0 +89 *1867:17 *39537:B 0 +90 *2714:9 *7533:DIODE 0 +91 *2714:9 *39527:B 0 +92 *2964:5 *39538:B 0 +93 *2964:5 *5209:30 0 +94 *2964:5 *5209:55 0 +95 *2964:5 *5209:69 0 +96 *2966:14 *5209:110 0 +97 *2967:33 *5209:47 0 +98 *2967:38 *5209:33 0 +99 *2967:38 *5209:47 0 +100 *2976:14 *5209:69 0 +101 *2994:20 *5209:30 0 +102 *2994:20 *5209:105 0 +103 *2994:20 *5209:110 0 +104 *3089:14 *5209:69 0 +105 *3095:8 *5209:47 0 +106 *3189:10 *5209:54 0 +107 *3212:26 *5209:129 0 +108 *3695:23 *7525:DIODE 0 +109 *3695:31 *7545:DIODE 0 +110 *4534:15 *39536:B 0 +111 *4544:14 *7533:DIODE 0 +112 *4544:17 *5209:69 0 +113 *4544:17 *5209:74 0 +114 *4573:19 *7525:DIODE 0 +115 *4573:19 *5209:105 0 +116 *4573:19 *5209:110 0 +117 *4575:14 *5209:69 0 +118 *4581:31 *39536:B 0 +119 *4583:12 *39539:B 0 +120 *4585:13 *5209:30 0 +121 *4755:10 *39527:B 0 +122 *4766:13 *5209:8 0 +123 *4776:9 *5209:33 0 +124 *4776:9 *5209:47 0 +125 *4781:11 *7533:DIODE 0 +126 *5095:22 *5209:47 0 +127 *5178:14 *39539:B 0 +128 *5178:15 *5209:47 0 +129 *5178:15 *5209:54 0 +130 *5178:15 *5209:69 0 +131 *5178:15 *5209:74 0 +132 *5178:15 *5209:86 0 +133 *5178:33 *7529:DIODE 0 +134 *5178:33 *5209:86 0 +135 *5178:33 *5209:91 0 +136 *5178:33 *5209:120 0 +*RES +1 *37733:X *5209:8 16.8893 +2 *5209:8 *39545:B 13.8 +3 *5209:8 *5209:15 0.732143 +4 *5209:15 *7543:DIODE 19.675 +5 *5209:15 *5209:30 25.125 +6 *5209:30 *5209:32 4.5 +7 *5209:32 *5209:33 10.8036 +8 *5209:33 *5209:35 0.535714 +9 *5209:35 *5209:47 27.1607 +10 *5209:47 *5209:54 12.3036 +11 *5209:54 *5209:55 20.6607 +12 *5209:55 *5209:69 43.8925 +13 *5209:69 *5209:74 7 +14 *5209:74 *39537:B 16.2821 +15 *5209:74 *5209:86 10.7857 +16 *5209:86 *5209:91 8.21429 +17 *5209:91 *5209:93 1.49107 +18 *5209:93 *39527:B 16.0411 +19 *5209:93 *5209:105 4.17767 +20 *5209:105 *5209:110 6.86326 +21 *5209:110 *7013:DIODE 13.8 +22 *5209:110 *5209:117 2.55357 +23 *5209:117 *5209:120 10.375 +24 *5209:120 *7529:DIODE 9.83571 +25 *5209:120 *5209:129 7.05357 +26 *5209:129 *39538:B 19.3 +27 *5209:129 *5209:144 8.875 +28 *5209:144 *39536:B 24.0857 +29 *5209:144 *38875:A 9.3 +30 *5209:117 *7525:DIODE 17.3 +31 *5209:105 *39535:B 18.0939 +32 *5209:91 *7523:DIODE 15.1571 +33 *5209:86 *7507:DIODE 9.3 +34 *5209:69 *7527:DIODE 9.3 +35 *5209:55 *5209:194 6.44643 +36 *5209:194 *39540:B 16.9607 +37 *5209:194 *7533:DIODE 18.8536 +38 *5209:54 *39543:B 9.3 +39 *5209:47 *7539:DIODE 9.3 +40 *5209:35 *39539:B 23.2821 +41 *5209:33 *7531:DIODE 9.3 +42 *5209:32 *39546:B 9.3 +43 *5209:30 *7545:DIODE 16.8 +*END diff --git a/spef/mgmt_protect_hv/mgmt_protect_hv.nom.spef b/spef/mgmt_protect_hv/mgmt_protect_hv.nom.spef new file mode 100644 index 00000000..70d04910 --- /dev/null +++ b/spef/mgmt_protect_hv/mgmt_protect_hv.nom.spef @@ -0,0 +1,204 @@ +*SPEF "ieee 1481-1999" +*DESIGN "mgmt_protect_hv" +*DATE "11:11:11 Fri 11 11, 1111" +*VENDOR "OpenRCX" +*PROGRAM "Parallel Extraction" +*VERSION "1.0" +*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE" +*DIVIDER / +*DELIMITER : +*BUS_DELIMITER [] +*T_UNIT 1 NS +*C_UNIT 1 PF +*R_UNIT 1 OHM +*L_UNIT 1 HENRY + +*NAME_MAP +*1 mprj2_vdd_logic1 +*2 mprj_vdd_logic1 +*9 mprj2_vdd_logic1_h +*10 mprj_vdd_logic1_h +*11 mprj2_logic_high_hvl +*12 mprj2_logic_high_lv +*13 mprj_logic_high_hvl +*14 mprj_logic_high_lv +*15 FILLER_0_0 +*16 FILLER_0_8 +*17 FILLER_0_16 +*18 FILLER_0_24 +*19 FILLER_0_32 +*20 FILLER_0_40 +*21 FILLER_0_48 +*22 FILLER_0_56 +*23 FILLER_0_64 +*24 FILLER_0_72 +*25 FILLER_0_80 +*26 FILLER_0_88 +*27 FILLER_0_96 +*28 FILLER_0_104 +*29 FILLER_0_112 +*30 FILLER_0_120 +*31 FILLER_0_128 +*32 FILLER_0_136 +*33 FILLER_0_144 +*34 FILLER_0_152 +*35 FILLER_0_160 +*36 FILLER_0_168 +*37 FILLER_0_176 +*38 FILLER_0_184 +*39 FILLER_0_192 +*40 FILLER_0_200 +*41 FILLER_0_208 +*42 FILLER_0_216 +*43 FILLER_0_224 +*44 FILLER_0_232 +*45 FILLER_0_240 +*46 FILLER_0_248 +*47 FILLER_0_256 +*48 FILLER_0_264 +*49 FILLER_0_272 +*50 FILLER_0_280 +*51 FILLER_0_288 +*52 FILLER_0_296 +*53 FILLER_0_300 +*54 FILLER_1_0 +*55 FILLER_1_8 +*56 FILLER_1_16 +*57 FILLER_1_24 +*58 FILLER_1_32 +*59 FILLER_1_40 +*60 FILLER_1_48 +*61 FILLER_1_56 +*62 FILLER_1_64 +*63 FILLER_1_72 +*64 FILLER_1_80 +*65 FILLER_1_88 +*66 FILLER_1_92 +*67 FILLER_1_94 +*68 FILLER_1_117 +*69 FILLER_1_125 +*70 FILLER_1_133 +*71 FILLER_1_141 +*72 FILLER_1_149 +*73 FILLER_1_157 +*74 FILLER_1_165 +*75 FILLER_1_189 +*76 FILLER_1_197 +*77 FILLER_1_205 +*78 FILLER_1_213 +*79 FILLER_1_221 +*80 FILLER_1_229 +*81 FILLER_1_237 +*82 FILLER_1_245 +*83 FILLER_1_253 +*84 FILLER_1_261 +*85 FILLER_1_269 +*86 FILLER_1_277 +*87 FILLER_1_285 +*88 FILLER_1_293 +*89 FILLER_1_301 +*90 FILLER_2_0 +*91 FILLER_2_8 +*92 FILLER_2_16 +*93 FILLER_2_24 +*94 FILLER_2_32 +*95 FILLER_2_40 +*96 FILLER_2_48 +*97 FILLER_2_56 +*98 FILLER_2_64 +*99 FILLER_2_72 +*100 FILLER_2_80 +*101 FILLER_2_88 +*102 FILLER_2_96 +*103 FILLER_2_117 +*104 FILLER_2_125 +*105 FILLER_2_133 +*106 FILLER_2_141 +*107 FILLER_2_149 +*108 FILLER_2_157 +*109 FILLER_2_165 +*110 FILLER_2_169 +*111 FILLER_2_171 +*112 FILLER_2_189 +*113 FILLER_2_197 +*114 FILLER_2_205 +*115 FILLER_2_213 +*116 FILLER_2_221 +*117 FILLER_2_229 +*118 FILLER_2_237 +*119 FILLER_2_245 +*120 FILLER_2_253 +*121 FILLER_2_261 +*122 FILLER_2_269 +*123 FILLER_2_277 +*124 FILLER_2_285 +*125 FILLER_2_293 +*126 FILLER_2_301 + +*PORTS +mprj2_vdd_logic1 O +mprj_vdd_logic1 O + +*D_NET *1 0.00894054 +*CONN +*P mprj2_vdd_logic1 O +*I *12:X O *D sky130_fd_sc_hvl__lsbufhv2lv_1 +*CAP +1 mprj2_vdd_logic1 0.000164685 +2 *12:X 0.000136495 +3 *1:9 0.00433377 +4 *1:8 0.00430558 +5 *1:9 *2:5 0 +*RES +1 *12:X *1:8 21.1315 +2 *1:8 *1:9 104.917 +3 *1:9 mprj2_vdd_logic1 10.6698 +*END + +*D_NET *2 0.005901 +*CONN +*P mprj_vdd_logic1 O +*I *14:X O *D sky130_fd_sc_hvl__lsbufhv2lv_1 +*CAP +1 mprj_vdd_logic1 0.000279428 +2 *14:X 0 +3 *2:5 0.00291451 +4 *2:4 0.00263509 +5 *2:5 *9:7 7.19686e-05 +6 *1:9 *2:5 0 +*RES +1 *14:X *2:4 9.24915 +2 *2:4 *2:5 63.2489 +3 *2:5 mprj_vdd_logic1 14.285 +*END + +*D_NET *9 0.00401189 +*CONN +*I *12:A I *D sky130_fd_sc_hvl__lsbufhv2lv_1 +*I *11:HI O *D sky130_fd_sc_hvl__conb_1 +*CAP +1 *12:A 0.000185088 +2 *11:HI 0 +3 *9:7 0.00194467 +4 *9:4 0.00175958 +5 *12:A *10:8 5.05783e-05 +6 *2:5 *9:7 7.19686e-05 +*RES +1 *11:HI *9:4 9.24915 +2 *9:4 *9:7 47.4938 +3 *9:7 *12:A 17.9577 +*END + +*D_NET *10 0.00370034 +*CONN +*I *14:A I *D sky130_fd_sc_hvl__lsbufhv2lv_1 +*I *13:HI O *D sky130_fd_sc_hvl__conb_1 +*CAP +1 *14:A 0.00172779 +2 *13:HI 9.70922e-05 +3 *10:8 0.00182488 +4 *12:A *10:8 5.05783e-05 +*RES +1 *13:HI *10:8 20.6796 +2 *10:8 *14:A 48.192 +*END diff --git a/spef/mprj2_logic_high/mprj2_logic_high.nom.spef b/spef/mprj2_logic_high/mprj2_logic_high.nom.spef new file mode 100644 index 00000000..2993c00c --- /dev/null +++ b/spef/mprj2_logic_high/mprj2_logic_high.nom.spef @@ -0,0 +1,100 @@ +*SPEF "ieee 1481-1999" +*DESIGN "mprj2_logic_high" +*DATE "11:11:11 Fri 11 11, 1111" +*VENDOR "OpenRCX" +*PROGRAM "Parallel Extraction" +*VERSION "1.0" +*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE" +*DIVIDER / +*DELIMITER : +*BUS_DELIMITER [] +*T_UNIT 1 NS +*C_UNIT 1 PF +*R_UNIT 1 OHM +*L_UNIT 1 HENRY + +*NAME_MAP +*1 HI +*2 FILLER_0_109 +*3 FILLER_0_113 +*4 FILLER_0_125 +*5 FILLER_0_137 +*6 FILLER_0_141 +*7 FILLER_0_15 +*8 FILLER_0_153 +*9 FILLER_0_165 +*10 FILLER_0_169 +*11 FILLER_0_181 +*12 FILLER_0_193 +*13 FILLER_0_197 +*14 FILLER_0_209 +*15 FILLER_0_213 +*16 FILLER_0_27 +*17 FILLER_0_29 +*18 FILLER_0_3 +*19 FILLER_0_41 +*20 FILLER_0_53 +*21 FILLER_0_57 +*22 FILLER_0_69 +*23 FILLER_0_81 +*24 FILLER_0_85 +*25 FILLER_0_97 +*26 FILLER_1_107 +*27 FILLER_1_111 +*28 FILLER_1_113 +*29 FILLER_1_125 +*30 FILLER_1_137 +*31 FILLER_1_141 +*32 FILLER_1_15 +*33 FILLER_1_153 +*34 FILLER_1_165 +*35 FILLER_1_169 +*36 FILLER_1_181 +*37 FILLER_1_193 +*38 FILLER_1_197 +*39 FILLER_1_209 +*40 FILLER_1_213 +*41 FILLER_1_27 +*42 FILLER_1_29 +*43 FILLER_1_3 +*44 FILLER_1_41 +*45 FILLER_1_53 +*46 FILLER_1_57 +*47 FILLER_1_69 +*48 FILLER_1_81 +*49 FILLER_1_85 +*50 FILLER_1_91 +*51 FILLER_1_95 +*52 PHY_0 +*53 PHY_1 +*54 PHY_2 +*55 PHY_3 +*56 TAP_10 +*57 TAP_11 +*58 TAP_12 +*59 TAP_13 +*60 TAP_14 +*61 TAP_15 +*62 TAP_16 +*63 TAP_17 +*64 TAP_4 +*65 TAP_5 +*66 TAP_6 +*67 TAP_7 +*68 TAP_8 +*69 TAP_9 +*70 inst + +*PORTS +HI O + +*D_NET *1 0.00667596 +*CONN +*P HI O +*I *70:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI 0.00333798 +2 *70:HI 0.00333798 +*RES +1 *70:HI HI 24.0614 +*END diff --git a/spef/mprj_logic_high/mprj_logic_high.nom.spef b/spef/mprj_logic_high/mprj_logic_high.nom.spef new file mode 100644 index 00000000..b09553c0 --- /dev/null +++ b/spef/mprj_logic_high/mprj_logic_high.nom.spef @@ -0,0 +1,8669 @@ +*SPEF "ieee 1481-1999" +*DESIGN "mprj_logic_high" +*DATE "11:11:11 Fri 11 11, 1111" +*VENDOR "OpenRCX" +*PROGRAM "Parallel Extraction" +*VERSION "1.0" +*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE" +*DIVIDER / +*DELIMITER : +*BUS_DELIMITER [] +*T_UNIT 1 NS +*C_UNIT 1 PF +*R_UNIT 1 OHM +*L_UNIT 1 HENRY + +*NAME_MAP +*1 HI[0] +*2 HI[100] +*3 HI[101] +*4 HI[102] +*5 HI[103] +*6 HI[104] +*7 HI[105] +*8 HI[106] +*9 HI[107] +*10 HI[108] +*11 HI[109] +*12 HI[10] +*13 HI[110] +*14 HI[111] +*15 HI[112] +*16 HI[113] +*17 HI[114] +*18 HI[115] +*19 HI[116] +*20 HI[117] +*21 HI[118] +*22 HI[119] +*23 HI[11] +*24 HI[120] +*25 HI[121] +*26 HI[122] +*27 HI[123] +*28 HI[124] +*29 HI[125] +*30 HI[126] +*31 HI[127] +*32 HI[128] +*33 HI[129] +*34 HI[12] +*35 HI[130] +*36 HI[131] +*37 HI[132] +*38 HI[133] +*39 HI[134] +*40 HI[135] +*41 HI[136] +*42 HI[137] +*43 HI[138] +*44 HI[139] +*45 HI[13] +*46 HI[140] +*47 HI[141] +*48 HI[142] +*49 HI[143] +*50 HI[144] +*51 HI[145] +*52 HI[146] +*53 HI[147] +*54 HI[148] +*55 HI[149] +*56 HI[14] +*57 HI[150] +*58 HI[151] +*59 HI[152] +*60 HI[153] +*61 HI[154] +*62 HI[155] +*63 HI[156] +*64 HI[157] +*65 HI[158] +*66 HI[159] +*67 HI[15] +*68 HI[160] +*69 HI[161] +*70 HI[162] +*71 HI[163] +*72 HI[164] +*73 HI[165] +*74 HI[166] +*75 HI[167] +*76 HI[168] +*77 HI[169] +*78 HI[16] +*79 HI[170] +*80 HI[171] +*81 HI[172] +*82 HI[173] +*83 HI[174] +*84 HI[175] +*85 HI[176] +*86 HI[177] +*87 HI[178] +*88 HI[179] +*89 HI[17] +*90 HI[180] +*91 HI[181] +*92 HI[182] +*93 HI[183] +*94 HI[184] +*95 HI[185] +*96 HI[186] +*97 HI[187] +*98 HI[188] +*99 HI[189] +*100 HI[18] +*101 HI[190] +*102 HI[191] +*103 HI[192] +*104 HI[193] +*105 HI[194] +*106 HI[195] +*107 HI[196] +*108 HI[197] +*109 HI[198] +*110 HI[199] +*111 HI[19] +*112 HI[1] +*113 HI[200] +*114 HI[201] +*115 HI[202] +*116 HI[203] +*117 HI[204] +*118 HI[205] +*119 HI[206] +*120 HI[207] +*121 HI[208] +*122 HI[209] +*123 HI[20] +*124 HI[210] +*125 HI[211] +*126 HI[212] +*127 HI[213] +*128 HI[214] +*129 HI[215] +*130 HI[216] +*131 HI[217] +*132 HI[218] +*133 HI[219] +*134 HI[21] +*135 HI[220] +*136 HI[221] +*137 HI[222] +*138 HI[223] +*139 HI[224] +*140 HI[225] +*141 HI[226] +*142 HI[227] +*143 HI[228] +*144 HI[229] +*145 HI[22] +*146 HI[230] +*147 HI[231] +*148 HI[232] +*149 HI[233] +*150 HI[234] +*151 HI[235] +*152 HI[236] +*153 HI[237] +*154 HI[238] +*155 HI[239] +*156 HI[23] +*157 HI[240] +*158 HI[241] +*159 HI[242] +*160 HI[243] +*161 HI[244] +*162 HI[245] +*163 HI[246] +*164 HI[247] +*165 HI[248] +*166 HI[249] +*167 HI[24] +*168 HI[250] +*169 HI[251] +*170 HI[252] +*171 HI[253] +*172 HI[254] +*173 HI[255] +*174 HI[256] +*175 HI[257] +*176 HI[258] +*177 HI[259] +*178 HI[25] +*179 HI[260] +*180 HI[261] +*181 HI[262] +*182 HI[263] +*183 HI[264] +*184 HI[265] +*185 HI[266] +*186 HI[267] +*187 HI[268] +*188 HI[269] +*189 HI[26] +*190 HI[270] +*191 HI[271] +*192 HI[272] +*193 HI[273] +*194 HI[274] +*195 HI[275] +*196 HI[276] +*197 HI[277] +*198 HI[278] +*199 HI[279] +*200 HI[27] +*201 HI[280] +*202 HI[281] +*203 HI[282] +*204 HI[283] +*205 HI[284] +*206 HI[285] +*207 HI[286] +*208 HI[287] +*209 HI[288] +*210 HI[289] +*211 HI[28] +*212 HI[290] +*213 HI[291] +*214 HI[292] +*215 HI[293] +*216 HI[294] +*217 HI[295] +*218 HI[296] +*219 HI[297] +*220 HI[298] +*221 HI[299] +*222 HI[29] +*223 HI[2] +*224 HI[300] +*225 HI[301] +*226 HI[302] +*227 HI[303] +*228 HI[304] +*229 HI[305] +*230 HI[306] +*231 HI[307] +*232 HI[308] +*233 HI[309] +*234 HI[30] +*235 HI[310] +*236 HI[311] +*237 HI[312] +*238 HI[313] +*239 HI[314] +*240 HI[315] +*241 HI[316] +*242 HI[317] +*243 HI[318] +*244 HI[319] +*245 HI[31] +*246 HI[320] +*247 HI[321] +*248 HI[322] +*249 HI[323] +*250 HI[324] +*251 HI[325] +*252 HI[326] +*253 HI[327] +*254 HI[328] +*255 HI[329] +*256 HI[32] +*257 HI[330] +*258 HI[331] +*259 HI[332] +*260 HI[333] +*261 HI[334] +*262 HI[335] +*263 HI[336] +*264 HI[337] +*265 HI[338] +*266 HI[339] +*267 HI[33] +*268 HI[340] +*269 HI[341] +*270 HI[342] +*271 HI[343] +*272 HI[344] +*273 HI[345] +*274 HI[346] +*275 HI[347] +*276 HI[348] +*277 HI[349] +*278 HI[34] +*279 HI[350] +*280 HI[351] +*281 HI[352] +*282 HI[353] +*283 HI[354] +*284 HI[355] +*285 HI[356] +*286 HI[357] +*287 HI[358] +*288 HI[359] +*289 HI[35] +*290 HI[360] +*291 HI[361] +*292 HI[362] +*293 HI[363] +*294 HI[364] +*295 HI[365] +*296 HI[366] +*297 HI[367] +*298 HI[368] +*299 HI[369] +*300 HI[36] +*301 HI[370] +*302 HI[371] +*303 HI[372] +*304 HI[373] +*305 HI[374] +*306 HI[375] +*307 HI[376] +*308 HI[377] +*309 HI[378] +*310 HI[379] +*311 HI[37] +*312 HI[380] +*313 HI[381] +*314 HI[382] +*315 HI[383] +*316 HI[384] +*317 HI[385] +*318 HI[386] +*319 HI[387] +*320 HI[388] +*321 HI[389] +*322 HI[38] +*323 HI[390] +*324 HI[391] +*325 HI[392] +*326 HI[393] +*327 HI[394] +*328 HI[395] +*329 HI[396] +*330 HI[397] +*331 HI[398] +*332 HI[399] +*333 HI[39] +*334 HI[3] +*335 HI[400] +*336 HI[401] +*337 HI[402] +*338 HI[403] +*339 HI[404] +*340 HI[405] +*341 HI[406] +*342 HI[407] +*343 HI[408] +*344 HI[409] +*345 HI[40] +*346 HI[410] +*347 HI[411] +*348 HI[412] +*349 HI[413] +*350 HI[414] +*351 HI[415] +*352 HI[416] +*353 HI[417] +*354 HI[418] +*355 HI[419] +*356 HI[41] +*357 HI[420] +*358 HI[421] +*359 HI[422] +*360 HI[423] +*361 HI[424] +*362 HI[425] +*363 HI[426] +*364 HI[427] +*365 HI[428] +*366 HI[429] +*367 HI[42] +*368 HI[430] +*369 HI[431] +*370 HI[432] +*371 HI[433] +*372 HI[434] +*373 HI[435] +*374 HI[436] +*375 HI[437] +*376 HI[438] +*377 HI[439] +*378 HI[43] +*379 HI[440] +*380 HI[441] +*381 HI[442] +*382 HI[443] +*383 HI[444] +*384 HI[445] +*385 HI[446] +*386 HI[447] +*387 HI[448] +*388 HI[449] +*389 HI[44] +*390 HI[450] +*391 HI[451] +*392 HI[452] +*393 HI[453] +*394 HI[454] +*395 HI[455] +*396 HI[456] +*397 HI[457] +*398 HI[458] +*399 HI[459] +*400 HI[45] +*401 HI[460] +*402 HI[461] +*403 HI[462] +*404 HI[46] +*405 HI[47] +*406 HI[48] +*407 HI[49] +*408 HI[4] +*409 HI[50] +*410 HI[51] +*411 HI[52] +*412 HI[53] +*413 HI[54] +*414 HI[55] +*415 HI[56] +*416 HI[57] +*417 HI[58] +*418 HI[59] +*419 HI[5] +*420 HI[60] +*421 HI[61] +*422 HI[62] +*423 HI[63] +*424 HI[64] +*425 HI[65] +*426 HI[66] +*427 HI[67] +*428 HI[68] +*429 HI[69] +*430 HI[6] +*431 HI[70] +*432 HI[71] +*433 HI[72] +*434 HI[73] +*435 HI[74] +*436 HI[75] +*437 HI[76] +*438 HI[77] +*439 HI[78] +*440 HI[79] +*441 HI[7] +*442 HI[80] +*443 HI[81] +*444 HI[82] +*445 HI[83] +*446 HI[84] +*447 HI[85] +*448 HI[86] +*449 HI[87] +*450 HI[88] +*451 HI[89] +*452 HI[8] +*453 HI[90] +*454 HI[91] +*455 HI[92] +*456 HI[93] +*457 HI[94] +*458 HI[95] +*459 HI[96] +*460 HI[97] +*461 HI[98] +*462 HI[99] +*463 HI[9] +*464 FILLER_0_111 +*465 FILLER_0_119 +*466 FILLER_0_139 +*467 FILLER_0_141 +*468 FILLER_0_166 +*469 FILLER_0_172 +*470 FILLER_0_194 +*471 FILLER_0_228 +*472 FILLER_0_245 +*473 FILLER_0_259 +*474 FILLER_0_279 +*475 FILLER_0_284 +*476 FILLER_0_29 +*477 FILLER_0_3 +*478 FILLER_0_306 +*479 FILLER_0_309 +*480 FILLER_0_334 +*481 FILLER_0_421 +*482 FILLER_0_446 +*483 FILLER_0_458 +*484 FILLER_0_474 +*485 FILLER_0_483 +*486 FILLER_0_502 +*487 FILLER_0_55 +*488 FILLER_0_57 +*489 FILLER_0_601 +*490 FILLER_0_613 +*491 FILLER_0_617 +*492 FILLER_0_629 +*493 FILLER_0_641 +*494 FILLER_0_645 +*495 FILLER_0_657 +*496 FILLER_0_669 +*497 FILLER_0_67 +*498 FILLER_0_673 +*499 FILLER_0_685 +*500 FILLER_0_697 +*501 FILLER_0_701 +*502 FILLER_0_713 +*503 FILLER_0_725 +*504 FILLER_0_729 +*505 FILLER_0_77 +*506 FILLER_0_94 +*507 FILLER_1_108 +*508 FILLER_1_113 +*509 FILLER_1_117 +*510 FILLER_1_121 +*511 FILLER_1_133 +*512 FILLER_1_142 +*513 FILLER_1_154 +*514 FILLER_1_166 +*515 FILLER_1_172 +*516 FILLER_1_184 +*517 FILLER_1_196 +*518 FILLER_1_204 +*519 FILLER_1_209 +*520 FILLER_1_221 +*521 FILLER_1_225 +*522 FILLER_1_230 +*523 FILLER_1_242 +*524 FILLER_1_254 +*525 FILLER_1_261 +*526 FILLER_1_273 +*527 FILLER_1_279 +*528 FILLER_1_281 +*529 FILLER_1_293 +*530 FILLER_1_3 +*531 FILLER_1_301 +*532 FILLER_1_307 +*533 FILLER_1_319 +*534 FILLER_1_327 +*535 FILLER_1_331 +*536 FILLER_1_335 +*537 FILLER_1_337 +*538 FILLER_1_343 +*539 FILLER_1_355 +*540 FILLER_1_367 +*541 FILLER_1_379 +*542 FILLER_1_391 +*543 FILLER_1_393 +*544 FILLER_1_405 +*545 FILLER_1_417 +*546 FILLER_1_429 +*547 FILLER_1_441 +*548 FILLER_1_447 +*549 FILLER_1_449 +*550 FILLER_1_461 +*551 FILLER_1_467 +*552 FILLER_1_471 +*553 FILLER_1_479 +*554 FILLER_1_484 +*555 FILLER_1_490 +*556 FILLER_1_502 +*557 FILLER_1_505 +*558 FILLER_1_517 +*559 FILLER_1_529 +*560 FILLER_1_541 +*561 FILLER_1_55 +*562 FILLER_1_553 +*563 FILLER_1_557 +*564 FILLER_1_561 +*565 FILLER_1_573 +*566 FILLER_1_585 +*567 FILLER_1_597 +*568 FILLER_1_609 +*569 FILLER_1_615 +*570 FILLER_1_617 +*571 FILLER_1_629 +*572 FILLER_1_63 +*573 FILLER_1_641 +*574 FILLER_1_653 +*575 FILLER_1_665 +*576 FILLER_1_671 +*577 FILLER_1_673 +*578 FILLER_1_68 +*579 FILLER_1_685 +*580 FILLER_1_697 +*581 FILLER_1_709 +*582 FILLER_1_721 +*583 FILLER_1_727 +*584 FILLER_1_729 +*585 FILLER_1_80 +*586 FILLER_1_92 +*587 FILLER_1_96 +*588 FILLER_2_109 +*589 FILLER_2_11 +*590 FILLER_2_118 +*591 FILLER_2_130 +*592 FILLER_2_138 +*593 FILLER_2_141 +*594 FILLER_2_153 +*595 FILLER_2_165 +*596 FILLER_2_177 +*597 FILLER_2_189 +*598 FILLER_2_195 +*599 FILLER_2_197 +*600 FILLER_2_209 +*601 FILLER_2_221 +*602 FILLER_2_233 +*603 FILLER_2_245 +*604 FILLER_2_251 +*605 FILLER_2_253 +*606 FILLER_2_265 +*607 FILLER_2_277 +*608 FILLER_2_289 +*609 FILLER_2_29 +*610 FILLER_2_297 +*611 FILLER_2_3 +*612 FILLER_2_302 +*613 FILLER_2_309 +*614 FILLER_2_321 +*615 FILLER_2_333 +*616 FILLER_2_345 +*617 FILLER_2_357 +*618 FILLER_2_363 +*619 FILLER_2_365 +*620 FILLER_2_377 +*621 FILLER_2_386 +*622 FILLER_2_398 +*623 FILLER_2_410 +*624 FILLER_2_418 +*625 FILLER_2_421 +*626 FILLER_2_433 +*627 FILLER_2_442 +*628 FILLER_2_454 +*629 FILLER_2_466 +*630 FILLER_2_470 +*631 FILLER_2_477 +*632 FILLER_2_502 +*633 FILLER_2_514 +*634 FILLER_2_52 +*635 FILLER_2_526 +*636 FILLER_2_533 +*637 FILLER_2_545 +*638 FILLER_2_557 +*639 FILLER_2_569 +*640 FILLER_2_581 +*641 FILLER_2_587 +*642 FILLER_2_589 +*643 FILLER_2_60 +*644 FILLER_2_601 +*645 FILLER_2_613 +*646 FILLER_2_625 +*647 FILLER_2_637 +*648 FILLER_2_643 +*649 FILLER_2_645 +*650 FILLER_2_657 +*651 FILLER_2_669 +*652 FILLER_2_681 +*653 FILLER_2_689 +*654 FILLER_2_695 +*655 FILLER_2_710 +*656 FILLER_2_72 +*657 FILLER_2_722 +*658 FILLER_2_730 +*659 FILLER_2_85 +*660 FILLER_2_97 +*661 FILLER_3_116 +*662 FILLER_3_139 +*663 FILLER_3_141 +*664 FILLER_3_166 +*665 FILLER_3_29 +*666 FILLER_3_3 +*667 FILLER_3_40 +*668 FILLER_3_486 +*669 FILLER_3_498 +*670 FILLER_3_50 +*671 FILLER_3_511 +*672 FILLER_3_530 +*673 FILLER_3_54 +*674 FILLER_3_722 +*675 FILLER_3_729 +*676 FILLER_3_9 +*677 PHY_0 +*678 PHY_1 +*679 PHY_2 +*680 PHY_3 +*681 PHY_4 +*682 PHY_5 +*683 PHY_6 +*684 PHY_7 +*685 TAP_10 +*686 TAP_11 +*687 TAP_12 +*688 TAP_13 +*689 TAP_14 +*690 TAP_15 +*691 TAP_16 +*692 TAP_17 +*693 TAP_18 +*694 TAP_19 +*695 TAP_20 +*696 TAP_21 +*697 TAP_22 +*698 TAP_23 +*699 TAP_24 +*700 TAP_25 +*701 TAP_26 +*702 TAP_27 +*703 TAP_28 +*704 TAP_29 +*705 TAP_30 +*706 TAP_31 +*707 TAP_32 +*708 TAP_33 +*709 TAP_34 +*710 TAP_35 +*711 TAP_36 +*712 TAP_37 +*713 TAP_38 +*714 TAP_39 +*715 TAP_40 +*716 TAP_41 +*717 TAP_42 +*718 TAP_43 +*719 TAP_44 +*720 TAP_45 +*721 TAP_46 +*722 TAP_47 +*723 TAP_48 +*724 TAP_49 +*725 TAP_50 +*726 TAP_51 +*727 TAP_52 +*728 TAP_53 +*729 TAP_54 +*730 TAP_55 +*731 TAP_56 +*732 TAP_57 +*733 TAP_58 +*734 TAP_59 +*735 TAP_60 +*736 TAP_61 +*737 TAP_62 +*738 TAP_63 +*739 TAP_64 +*740 TAP_65 +*741 TAP_66 +*742 TAP_67 +*743 TAP_68 +*744 TAP_69 +*745 TAP_70 +*746 TAP_71 +*747 TAP_72 +*748 TAP_73 +*749 TAP_74 +*750 TAP_75 +*751 TAP_76 +*752 TAP_77 +*753 TAP_78 +*754 TAP_79 +*755 TAP_8 +*756 TAP_80 +*757 TAP_81 +*758 TAP_82 +*759 TAP_83 +*760 TAP_84 +*761 TAP_85 +*762 TAP_9 +*763 insts\[0\] +*764 insts\[100\] +*765 insts\[101\] +*766 insts\[102\] +*767 insts\[103\] +*768 insts\[104\] +*769 insts\[105\] +*770 insts\[106\] +*771 insts\[107\] +*772 insts\[108\] +*773 insts\[109\] +*774 insts\[10\] +*775 insts\[110\] +*776 insts\[111\] +*777 insts\[112\] +*778 insts\[113\] +*779 insts\[114\] +*780 insts\[115\] +*781 insts\[116\] +*782 insts\[117\] +*783 insts\[118\] +*784 insts\[119\] +*785 insts\[11\] +*786 insts\[120\] +*787 insts\[121\] +*788 insts\[122\] +*789 insts\[123\] +*790 insts\[124\] +*791 insts\[125\] +*792 insts\[126\] +*793 insts\[127\] +*794 insts\[128\] +*795 insts\[129\] +*796 insts\[12\] +*797 insts\[130\] +*798 insts\[131\] +*799 insts\[132\] +*800 insts\[133\] +*801 insts\[134\] +*802 insts\[135\] +*803 insts\[136\] +*804 insts\[137\] +*805 insts\[138\] +*806 insts\[139\] +*807 insts\[13\] +*808 insts\[140\] +*809 insts\[141\] +*810 insts\[142\] +*811 insts\[143\] +*812 insts\[144\] +*813 insts\[145\] +*814 insts\[146\] +*815 insts\[147\] +*816 insts\[148\] +*817 insts\[149\] +*818 insts\[14\] +*819 insts\[150\] +*820 insts\[151\] +*821 insts\[152\] +*822 insts\[153\] +*823 insts\[154\] +*824 insts\[155\] +*825 insts\[156\] +*826 insts\[157\] +*827 insts\[158\] +*828 insts\[159\] +*829 insts\[15\] +*830 insts\[160\] +*831 insts\[161\] +*832 insts\[162\] +*833 insts\[163\] +*834 insts\[164\] +*835 insts\[165\] +*836 insts\[166\] +*837 insts\[167\] +*838 insts\[168\] +*839 insts\[169\] +*840 insts\[16\] +*841 insts\[170\] +*842 insts\[171\] +*843 insts\[172\] +*844 insts\[173\] +*845 insts\[174\] +*846 insts\[175\] +*847 insts\[176\] +*848 insts\[177\] +*849 insts\[178\] +*850 insts\[179\] +*851 insts\[17\] +*852 insts\[180\] +*853 insts\[181\] +*854 insts\[182\] +*855 insts\[183\] +*856 insts\[184\] +*857 insts\[185\] +*858 insts\[186\] +*859 insts\[187\] +*860 insts\[188\] +*861 insts\[189\] +*862 insts\[18\] +*863 insts\[190\] +*864 insts\[191\] +*865 insts\[192\] +*866 insts\[193\] +*867 insts\[194\] +*868 insts\[195\] +*869 insts\[196\] +*870 insts\[197\] +*871 insts\[198\] +*872 insts\[199\] +*873 insts\[19\] +*874 insts\[1\] +*875 insts\[200\] +*876 insts\[201\] +*877 insts\[202\] +*878 insts\[203\] +*879 insts\[204\] +*880 insts\[205\] +*881 insts\[206\] +*882 insts\[207\] +*883 insts\[208\] +*884 insts\[209\] +*885 insts\[20\] +*886 insts\[210\] +*887 insts\[211\] +*888 insts\[212\] +*889 insts\[213\] +*890 insts\[214\] +*891 insts\[215\] +*892 insts\[216\] +*893 insts\[217\] +*894 insts\[218\] +*895 insts\[219\] +*896 insts\[21\] +*897 insts\[220\] +*898 insts\[221\] +*899 insts\[222\] +*900 insts\[223\] +*901 insts\[224\] +*902 insts\[225\] +*903 insts\[226\] +*904 insts\[227\] +*905 insts\[228\] +*906 insts\[229\] +*907 insts\[22\] +*908 insts\[230\] +*909 insts\[231\] +*910 insts\[232\] +*911 insts\[233\] +*912 insts\[234\] +*913 insts\[235\] +*914 insts\[236\] +*915 insts\[237\] +*916 insts\[238\] +*917 insts\[239\] +*918 insts\[23\] +*919 insts\[240\] +*920 insts\[241\] +*921 insts\[242\] +*922 insts\[243\] +*923 insts\[244\] +*924 insts\[245\] +*925 insts\[246\] +*926 insts\[247\] +*927 insts\[248\] +*928 insts\[249\] +*929 insts\[24\] +*930 insts\[250\] +*931 insts\[251\] +*932 insts\[252\] +*933 insts\[253\] +*934 insts\[254\] +*935 insts\[255\] +*936 insts\[256\] +*937 insts\[257\] +*938 insts\[258\] +*939 insts\[259\] +*940 insts\[25\] +*941 insts\[260\] +*942 insts\[261\] +*943 insts\[262\] +*944 insts\[263\] +*945 insts\[264\] +*946 insts\[265\] +*947 insts\[266\] +*948 insts\[267\] +*949 insts\[268\] +*950 insts\[269\] +*951 insts\[26\] +*952 insts\[270\] +*953 insts\[271\] +*954 insts\[272\] +*955 insts\[273\] +*956 insts\[274\] +*957 insts\[275\] +*958 insts\[276\] +*959 insts\[277\] +*960 insts\[278\] +*961 insts\[279\] +*962 insts\[27\] +*963 insts\[280\] +*964 insts\[281\] +*965 insts\[282\] +*966 insts\[283\] +*967 insts\[284\] +*968 insts\[285\] +*969 insts\[286\] +*970 insts\[287\] +*971 insts\[288\] +*972 insts\[289\] +*973 insts\[28\] +*974 insts\[290\] +*975 insts\[291\] +*976 insts\[292\] +*977 insts\[293\] +*978 insts\[294\] +*979 insts\[295\] +*980 insts\[296\] +*981 insts\[297\] +*982 insts\[298\] +*983 insts\[299\] +*984 insts\[29\] +*985 insts\[2\] +*986 insts\[300\] +*987 insts\[301\] +*988 insts\[302\] +*989 insts\[303\] +*990 insts\[304\] +*991 insts\[305\] +*992 insts\[306\] +*993 insts\[307\] +*994 insts\[308\] +*995 insts\[309\] +*996 insts\[30\] +*997 insts\[310\] +*998 insts\[311\] +*999 insts\[312\] +*1000 insts\[313\] +*1001 insts\[314\] +*1002 insts\[315\] +*1003 insts\[316\] +*1004 insts\[317\] +*1005 insts\[318\] +*1006 insts\[319\] +*1007 insts\[31\] +*1008 insts\[320\] +*1009 insts\[321\] +*1010 insts\[322\] +*1011 insts\[323\] +*1012 insts\[324\] +*1013 insts\[325\] +*1014 insts\[326\] +*1015 insts\[327\] +*1016 insts\[328\] +*1017 insts\[329\] +*1018 insts\[32\] +*1019 insts\[330\] +*1020 insts\[331\] +*1021 insts\[332\] +*1022 insts\[333\] +*1023 insts\[334\] +*1024 insts\[335\] +*1025 insts\[336\] +*1026 insts\[337\] +*1027 insts\[338\] +*1028 insts\[339\] +*1029 insts\[33\] +*1030 insts\[340\] +*1031 insts\[341\] +*1032 insts\[342\] +*1033 insts\[343\] +*1034 insts\[344\] +*1035 insts\[345\] +*1036 insts\[346\] +*1037 insts\[347\] +*1038 insts\[348\] +*1039 insts\[349\] +*1040 insts\[34\] +*1041 insts\[350\] +*1042 insts\[351\] +*1043 insts\[352\] +*1044 insts\[353\] +*1045 insts\[354\] +*1046 insts\[355\] +*1047 insts\[356\] +*1048 insts\[357\] +*1049 insts\[358\] +*1050 insts\[359\] +*1051 insts\[35\] +*1052 insts\[360\] +*1053 insts\[361\] +*1054 insts\[362\] +*1055 insts\[363\] +*1056 insts\[364\] +*1057 insts\[365\] +*1058 insts\[366\] +*1059 insts\[367\] +*1060 insts\[368\] +*1061 insts\[369\] +*1062 insts\[36\] +*1063 insts\[370\] +*1064 insts\[371\] +*1065 insts\[372\] +*1066 insts\[373\] +*1067 insts\[374\] +*1068 insts\[375\] +*1069 insts\[376\] +*1070 insts\[377\] +*1071 insts\[378\] +*1072 insts\[379\] +*1073 insts\[37\] +*1074 insts\[380\] +*1075 insts\[381\] +*1076 insts\[382\] +*1077 insts\[383\] +*1078 insts\[384\] +*1079 insts\[385\] +*1080 insts\[386\] +*1081 insts\[387\] +*1082 insts\[388\] +*1083 insts\[389\] +*1084 insts\[38\] +*1085 insts\[390\] +*1086 insts\[391\] +*1087 insts\[392\] +*1088 insts\[393\] +*1089 insts\[394\] +*1090 insts\[395\] +*1091 insts\[396\] +*1092 insts\[397\] +*1093 insts\[398\] +*1094 insts\[399\] +*1095 insts\[39\] +*1096 insts\[3\] +*1097 insts\[400\] +*1098 insts\[401\] +*1099 insts\[402\] +*1100 insts\[403\] +*1101 insts\[404\] +*1102 insts\[405\] +*1103 insts\[406\] +*1104 insts\[407\] +*1105 insts\[408\] +*1106 insts\[409\] +*1107 insts\[40\] +*1108 insts\[410\] +*1109 insts\[411\] +*1110 insts\[412\] +*1111 insts\[413\] +*1112 insts\[414\] +*1113 insts\[415\] +*1114 insts\[416\] +*1115 insts\[417\] +*1116 insts\[418\] +*1117 insts\[419\] +*1118 insts\[41\] +*1119 insts\[420\] +*1120 insts\[421\] +*1121 insts\[422\] +*1122 insts\[423\] +*1123 insts\[424\] +*1124 insts\[425\] +*1125 insts\[426\] +*1126 insts\[427\] +*1127 insts\[428\] +*1128 insts\[429\] +*1129 insts\[42\] +*1130 insts\[430\] +*1131 insts\[431\] +*1132 insts\[432\] +*1133 insts\[433\] +*1134 insts\[434\] +*1135 insts\[435\] +*1136 insts\[436\] +*1137 insts\[437\] +*1138 insts\[438\] +*1139 insts\[439\] +*1140 insts\[43\] +*1141 insts\[440\] +*1142 insts\[441\] +*1143 insts\[442\] +*1144 insts\[443\] +*1145 insts\[444\] +*1146 insts\[445\] +*1147 insts\[446\] +*1148 insts\[447\] +*1149 insts\[448\] +*1150 insts\[449\] +*1151 insts\[44\] +*1152 insts\[450\] +*1153 insts\[451\] +*1154 insts\[452\] +*1155 insts\[453\] +*1156 insts\[454\] +*1157 insts\[455\] +*1158 insts\[456\] +*1159 insts\[457\] +*1160 insts\[458\] +*1161 insts\[459\] +*1162 insts\[45\] +*1163 insts\[460\] +*1164 insts\[461\] +*1165 insts\[462\] +*1166 insts\[46\] +*1167 insts\[47\] +*1168 insts\[48\] +*1169 insts\[49\] +*1170 insts\[4\] +*1171 insts\[50\] +*1172 insts\[51\] +*1173 insts\[52\] +*1174 insts\[53\] +*1175 insts\[54\] +*1176 insts\[55\] +*1177 insts\[56\] +*1178 insts\[57\] +*1179 insts\[58\] +*1180 insts\[59\] +*1181 insts\[5\] +*1182 insts\[60\] +*1183 insts\[61\] +*1184 insts\[62\] +*1185 insts\[63\] +*1186 insts\[64\] +*1187 insts\[65\] +*1188 insts\[66\] +*1189 insts\[67\] +*1190 insts\[68\] +*1191 insts\[69\] +*1192 insts\[6\] +*1193 insts\[70\] +*1194 insts\[71\] +*1195 insts\[72\] +*1196 insts\[73\] +*1197 insts\[74\] +*1198 insts\[75\] +*1199 insts\[76\] +*1200 insts\[77\] +*1201 insts\[78\] +*1202 insts\[79\] +*1203 insts\[7\] +*1204 insts\[80\] +*1205 insts\[81\] +*1206 insts\[82\] +*1207 insts\[83\] +*1208 insts\[84\] +*1209 insts\[85\] +*1210 insts\[86\] +*1211 insts\[87\] +*1212 insts\[88\] +*1213 insts\[89\] +*1214 insts\[8\] +*1215 insts\[90\] +*1216 insts\[91\] +*1217 insts\[92\] +*1218 insts\[93\] +*1219 insts\[94\] +*1220 insts\[95\] +*1221 insts\[96\] +*1222 insts\[97\] +*1223 insts\[98\] +*1224 insts\[99\] +*1225 insts\[9\] + +*PORTS +HI[0] O +HI[100] O +HI[101] O +HI[102] O +HI[103] O +HI[104] O +HI[105] O +HI[106] O +HI[107] O +HI[108] O +HI[109] O +HI[10] O +HI[110] O +HI[111] O +HI[112] O +HI[113] O +HI[114] O +HI[115] O +HI[116] O +HI[117] O +HI[118] O +HI[119] O +HI[11] O +HI[120] O +HI[121] O +HI[122] O +HI[123] O +HI[124] O +HI[125] O +HI[126] O +HI[127] O +HI[128] O +HI[129] O +HI[12] O +HI[130] O +HI[131] O +HI[132] O +HI[133] O +HI[134] O +HI[135] O +HI[136] O +HI[137] O +HI[138] O +HI[139] O +HI[13] O +HI[140] O +HI[141] O +HI[142] O +HI[143] O +HI[144] O +HI[145] O +HI[146] O +HI[147] O +HI[148] O +HI[149] O +HI[14] O +HI[150] O +HI[151] O +HI[152] O +HI[153] O +HI[154] O +HI[155] O +HI[156] O +HI[157] O +HI[158] O +HI[159] O +HI[15] O +HI[160] O +HI[161] O +HI[162] O +HI[163] O +HI[164] O +HI[165] O +HI[166] O +HI[167] O +HI[168] O +HI[169] O +HI[16] O +HI[170] O +HI[171] O +HI[172] O +HI[173] O +HI[174] O +HI[175] O +HI[176] O +HI[177] O +HI[178] O +HI[179] O +HI[17] O +HI[180] O +HI[181] O +HI[182] O +HI[183] O +HI[184] O +HI[185] O +HI[186] O +HI[187] O +HI[188] O +HI[189] O +HI[18] O +HI[190] O +HI[191] O +HI[192] O +HI[193] O +HI[194] O +HI[195] O +HI[196] O +HI[197] O +HI[198] O +HI[199] O +HI[19] O +HI[1] O +HI[200] O +HI[201] O +HI[202] O +HI[203] O +HI[204] O +HI[205] O +HI[206] O +HI[207] O +HI[208] O +HI[209] O +HI[20] O +HI[210] O +HI[211] O +HI[212] O +HI[213] O +HI[214] O +HI[215] O +HI[216] O +HI[217] O +HI[218] O +HI[219] O +HI[21] O +HI[220] O +HI[221] O +HI[222] O +HI[223] O +HI[224] O +HI[225] O +HI[226] O +HI[227] O +HI[228] O +HI[229] O +HI[22] O +HI[230] O +HI[231] O +HI[232] O +HI[233] O +HI[234] O +HI[235] O +HI[236] O +HI[237] O +HI[238] O +HI[239] O +HI[23] O +HI[240] O +HI[241] O +HI[242] O +HI[243] O +HI[244] O +HI[245] O +HI[246] O +HI[247] O +HI[248] O +HI[249] O +HI[24] O +HI[250] O +HI[251] O +HI[252] O +HI[253] O +HI[254] O +HI[255] O +HI[256] O +HI[257] O +HI[258] O +HI[259] O +HI[25] O +HI[260] O +HI[261] O +HI[262] O +HI[263] O +HI[264] O +HI[265] O +HI[266] O +HI[267] O +HI[268] O +HI[269] O +HI[26] O +HI[270] O +HI[271] O +HI[272] O +HI[273] O +HI[274] O +HI[275] O +HI[276] O +HI[277] O +HI[278] O +HI[279] O +HI[27] O +HI[280] O +HI[281] O +HI[282] O +HI[283] O +HI[284] O +HI[285] O +HI[286] O +HI[287] O +HI[288] O +HI[289] O +HI[28] O +HI[290] O +HI[291] O +HI[292] O +HI[293] O +HI[294] O +HI[295] O +HI[296] O +HI[297] O +HI[298] O +HI[299] O +HI[29] O +HI[2] O +HI[300] O +HI[301] O +HI[302] O +HI[303] O +HI[304] O +HI[305] O +HI[306] O +HI[307] O +HI[308] O +HI[309] O +HI[30] O +HI[310] O +HI[311] O +HI[312] O +HI[313] O +HI[314] O +HI[315] O +HI[316] O +HI[317] O +HI[318] O +HI[319] O +HI[31] O +HI[320] O +HI[321] O +HI[322] O +HI[323] O +HI[324] O +HI[325] O +HI[326] O +HI[327] O +HI[328] O +HI[329] O +HI[32] O +HI[330] O +HI[331] O +HI[332] O +HI[333] O +HI[334] O +HI[335] O +HI[336] O +HI[337] O +HI[338] O +HI[339] O +HI[33] O +HI[340] O +HI[341] O +HI[342] O +HI[343] O +HI[344] O +HI[345] O +HI[346] O +HI[347] O +HI[348] O +HI[349] O +HI[34] O +HI[350] O +HI[351] O +HI[352] O +HI[353] O +HI[354] O +HI[355] O +HI[356] O +HI[357] O +HI[358] O +HI[359] O +HI[35] O +HI[360] O +HI[361] O +HI[362] O +HI[363] O +HI[364] O +HI[365] O +HI[366] O +HI[367] O +HI[368] O +HI[369] O +HI[36] O +HI[370] O +HI[371] O +HI[372] O +HI[373] O +HI[374] O +HI[375] O +HI[376] O +HI[377] O +HI[378] O +HI[379] O +HI[37] O +HI[380] O +HI[381] O +HI[382] O +HI[383] O +HI[384] O +HI[385] O +HI[386] O +HI[387] O +HI[388] O +HI[389] O +HI[38] O +HI[390] O +HI[391] O +HI[392] O +HI[393] O +HI[394] O +HI[395] O +HI[396] O +HI[397] O +HI[398] O +HI[399] O +HI[39] O +HI[3] O +HI[400] O +HI[401] O +HI[402] O +HI[403] O +HI[404] O +HI[405] O +HI[406] O +HI[407] O +HI[408] O +HI[409] O +HI[40] O +HI[410] O +HI[411] O +HI[412] O +HI[413] O +HI[414] O +HI[415] O +HI[416] O +HI[417] O +HI[418] O +HI[419] O +HI[41] O +HI[420] O +HI[421] O +HI[422] O +HI[423] O +HI[424] O +HI[425] O +HI[426] O +HI[427] O +HI[428] O +HI[429] O +HI[42] O +HI[430] O +HI[431] O +HI[432] O +HI[433] O +HI[434] O +HI[435] O +HI[436] O +HI[437] O +HI[438] O +HI[439] O +HI[43] O +HI[440] O +HI[441] O +HI[442] O +HI[443] O +HI[444] O +HI[445] O +HI[446] O +HI[447] O +HI[448] O +HI[449] O +HI[44] O +HI[450] O +HI[451] O +HI[452] O +HI[453] O +HI[454] O +HI[455] O +HI[456] O +HI[457] O +HI[458] O +HI[459] O +HI[45] O +HI[460] O +HI[461] O +HI[462] O +HI[46] O +HI[47] O +HI[48] O +HI[49] O +HI[4] O +HI[50] O +HI[51] O +HI[52] O +HI[53] O +HI[54] O +HI[55] O +HI[56] O +HI[57] O +HI[58] O +HI[59] O +HI[5] O +HI[60] O +HI[61] O +HI[62] O +HI[63] O +HI[64] O +HI[65] O +HI[66] O +HI[67] O +HI[68] O +HI[69] O +HI[6] O +HI[70] O +HI[71] O +HI[72] O +HI[73] O +HI[74] O +HI[75] O +HI[76] O +HI[77] O +HI[78] O +HI[79] O +HI[7] O +HI[80] O +HI[81] O +HI[82] O +HI[83] O +HI[84] O +HI[85] O +HI[86] O +HI[87] O +HI[88] O +HI[89] O +HI[8] O +HI[90] O +HI[91] O +HI[92] O +HI[93] O +HI[94] O +HI[95] O +HI[96] O +HI[97] O +HI[98] O +HI[99] O +HI[9] O + +*D_NET *1 0.00407013 +*CONN +*P HI[0] O +*I *763:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[0] 0.000930449 +2 *763:HI 0.000930449 +3 HI[0] HI[100] 0.000721069 +4 HI[0] HI[102] 5.99867e-05 +5 HI[0] HI[10] 0.000637792 +6 HI[0] HI[151] 0.000211464 +7 HI[0] HI[155] 0.000364565 +8 HI[0] HI[156] 0.00015647 +9 HI[0] HI[157] 2.4968e-05 +10 HI[0] HI[158] 3.29217e-05 +*RES +1 *763:HI HI[0] 34.8545 +*END + +*D_NET *2 0.00455231 +*CONN +*P HI[100] O +*I *764:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[100] 0.000796149 +2 *764:HI 0.000796149 +3 HI[100] HI[101] 0.00103184 +4 HI[100] HI[102] 0.000270135 +5 HI[100] HI[103] 8.49713e-06 +6 HI[100] HI[104] 5.44715e-05 +7 HI[100] HI[10] 0.00050485 +8 HI[100] HI[14] 0 +9 HI[100] HI[151] 0.000359922 +10 HI[100] HI[152] 9.2346e-06 +11 HI[0] HI[100] 0.000721069 +*RES +1 *764:HI HI[100] 30.8827 +*END + +*D_NET *3 0.00490638 +*CONN +*P HI[101] O +*I *765:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[101] 0.00127677 +2 *765:HI 0.00127677 +3 HI[101] HI[102] 0.00121528 +4 HI[101] HI[146] 5.65354e-05 +5 HI[101] HI[151] 4.91795e-05 +6 HI[101] HI[155] 0 +7 HI[100] HI[101] 0.00103184 +*RES +1 *765:HI HI[101] 33.0674 +*END + +*D_NET *4 0.0047888 +*CONN +*P HI[102] O +*I *766:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[102] 0.000965734 +2 *766:HI 0.000965734 +3 HI[102] HI[103] 0.000799508 +4 HI[102] HI[14] 0.000101642 +5 HI[102] HI[150] 0.000164325 +6 HI[102] HI[151] 0.000246455 +7 HI[0] HI[102] 5.99867e-05 +8 HI[100] HI[102] 0.000270135 +9 HI[101] HI[102] 0.00121528 +*RES +1 *766:HI HI[102] 32.1768 +*END + +*D_NET *5 0.00408324 +*CONN +*P HI[103] O +*I *767:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[103] 0.00107335 +2 *767:HI 0.00107335 +3 HI[103] HI[104] 0.00101092 +4 HI[103] HI[106] 6.92705e-05 +5 HI[103] HI[10] 0 +6 HI[103] HI[14] 4.83428e-05 +7 HI[103] HI[150] 0 +8 HI[100] HI[103] 8.49713e-06 +9 HI[102] HI[103] 0.000799508 +*RES +1 *767:HI HI[103] 25.5717 +*END + +*D_NET *6 0.00369069 +*CONN +*P HI[104] O +*I *768:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[104] 0.00120675 +2 *768:HI 0.00120675 +3 HI[104] HI[105] 0.000199124 +4 HI[104] HI[14] 1.26746e-05 +5 HI[100] HI[104] 5.44715e-05 +6 HI[103] HI[104] 0.00101092 +*RES +1 *768:HI HI[104] 23.7672 +*END + +*D_NET *7 0.00363035 +*CONN +*P HI[105] O +*I *769:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[105] 0.00105522 +2 *769:HI 0.00105522 +3 HI[105] HI[106] 0.00124961 +4 HI[105] HI[107] 1.34854e-05 +5 HI[105] HI[108] 7.49735e-06 +6 HI[105] HI[156] 5.01835e-05 +7 HI[104] HI[105] 0.000199124 +*RES +1 *769:HI HI[105] 21.2037 +*END + +*D_NET *8 0.0044833 +*CONN +*P HI[106] O +*I *770:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[106] 0.000535732 +2 *770:HI 0.000535732 +3 HI[106] HI[107] 0.00147199 +4 HI[106] HI[155] 0.000481241 +5 HI[106] HI[284] 1.61631e-05 +6 HI[106] HI[28] 4.89898e-06 +7 HI[106] HI[327] 0.000118663 +8 HI[103] HI[106] 6.92705e-05 +9 HI[105] HI[106] 0.00124961 +*RES +1 *770:HI HI[106] 29.6236 +*END + +*D_NET *9 0.00380777 +*CONN +*P HI[107] O +*I *771:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[107] 0.000573093 +2 *771:HI 0.000573093 +3 HI[107] HI[108] 0.0010797 +4 HI[107] HI[156] 6.50727e-05 +5 HI[107] HI[280] 1.2693e-05 +6 HI[107] HI[28] 7.92757e-06 +7 HI[107] HI[291] 5.04829e-06 +8 HI[107] HI[327] 5.66868e-06 +9 HI[105] HI[107] 1.34854e-05 +10 HI[106] HI[107] 0.00147199 +*RES +1 *771:HI HI[107] 32.0551 +*END + +*D_NET *10 0.00421466 +*CONN +*P HI[108] O +*I *772:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[108] 0.00108845 +2 *772:HI 0.00108845 +3 HI[108] HI[109] 0.000196797 +4 HI[108] HI[156] 6.92705e-05 +5 HI[108] HI[280] 0.000130414 +6 HI[108] HI[28] 0.000525911 +7 HI[108] HI[291] 2.81717e-05 +8 HI[105] HI[108] 7.49735e-06 +9 HI[107] HI[108] 0.0010797 +*RES +1 *772:HI HI[108] 34.65 +*END + +*D_NET *11 0.00443572 +*CONN +*P HI[109] O +*I *773:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[109] 0.00108406 +2 *773:HI 0.00108406 +3 HI[109] HI[110] 0.00194557 +4 HI[109] HI[111] 7.50471e-05 +5 HI[109] HI[327] 5.01835e-05 +6 HI[108] HI[109] 0.000196797 +*RES +1 *773:HI HI[109] 24.222 +*END + +*D_NET *12 0.00344742 +*CONN +*P HI[10] O +*I *774:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[10] 0.000997403 +2 *774:HI 0.000997403 +3 HI[10] HI[12] 2.41916e-05 +4 HI[10] HI[151] 1.72594e-05 +5 HI[10] HI[152] 0.000268518 +6 HI[0] HI[10] 0.000637792 +7 HI[100] HI[10] 0.00050485 +8 HI[103] HI[10] 0 +*RES +1 *774:HI HI[10] 26.6911 +*END + +*D_NET *13 0.00502511 +*CONN +*P HI[110] O +*I *775:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[110] 0.000777737 +2 *775:HI 0.000777737 +3 HI[110] HI[111] 0.000371852 +4 HI[110] HI[112] 0.0001126 +5 HI[110] HI[113] 0.000911818 +6 HI[110] HI[288] 3.3239e-06 +7 HI[110] HI[327] 0.000124472 +8 HI[109] HI[110] 0.00194557 +*RES +1 *775:HI HI[110] 27.875 +*END + +*D_NET *14 0.00394072 +*CONN +*P HI[111] O +*I *776:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[111] 0.000634957 +2 *776:HI 0.000634957 +3 HI[111] HI[112] 0.000983852 +4 HI[111] HI[113] 0.000843196 +5 HI[111] HI[214] 0.000110598 +6 HI[111] HI[28] 0.000221547 +7 HI[111] HI[327] 6.47133e-05 +8 HI[109] HI[111] 7.50471e-05 +9 HI[110] HI[111] 0.000371852 +*RES +1 *776:HI HI[111] 30.5066 +*END + +*D_NET *15 0.00396885 +*CONN +*P HI[112] O +*I *777:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[112] 0.00120657 +2 *777:HI 0.00120657 +3 HI[112] HI[214] 0.00025906 +4 HI[112] HI[28] 6.96396e-05 +5 HI[112] HI[2] 0.000130551 +6 HI[110] HI[112] 0.0001126 +7 HI[111] HI[112] 0.000983852 +*RES +1 *777:HI HI[112] 30.4881 +*END + +*D_NET *16 0.00313646 +*CONN +*P HI[113] O +*I *778:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[113] 0.00059781 +2 *778:HI 0.00059781 +3 HI[113] HI[17] 0.000121426 +4 HI[113] HI[288] 2.22159e-05 +5 HI[113] HI[327] 4.21839e-05 +6 HI[110] HI[113] 0.000911818 +7 HI[111] HI[113] 0.000843196 +*RES +1 *778:HI HI[113] 24.6074 +*END + +*D_NET *17 0.000648811 +*CONN +*P HI[114] O +*I *779:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[114] 0.000210794 +2 *779:HI 0.000210794 +3 HI[114] HI[116] 0.000139089 +4 HI[114] HI[13] 8.8134e-05 +*RES +1 *779:HI HI[114] 20.0274 +*END + +*D_NET *18 0.000652399 +*CONN +*P HI[115] O +*I *780:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[115] 0.000251055 +2 *780:HI 0.000251055 +3 HI[115] HI[119] 5.98545e-05 +4 HI[115] HI[121] 9.04351e-05 +*RES +1 *780:HI HI[115] 20.0274 +*END + +*D_NET *19 0.00105651 +*CONN +*P HI[116] O +*I *781:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[116] 0.000332025 +2 *781:HI 0.000332025 +3 HI[116] HI[117] 7.78831e-05 +4 HI[116] HI[13] 0.000175485 +5 HI[114] HI[116] 0.000139089 +*RES +1 *781:HI HI[116] 24.9499 +*END + +*D_NET *20 0.000555987 +*CONN +*P HI[117] O +*I *782:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[117] 0.000160396 +2 *782:HI 0.000160396 +3 HI[117] HI[119] 0.000157312 +4 HI[116] HI[117] 7.78831e-05 +*RES +1 *782:HI HI[117] 20.0512 +*END + +*D_NET *21 0.000505184 +*CONN +*P HI[118] O +*I *783:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[118] 0.000172108 +2 *783:HI 0.000172108 +3 HI[118] HI[126] 7.78831e-05 +4 HI[118] HI[134] 8.30857e-05 +*RES +1 *783:HI HI[118] 20.0512 +*END + +*D_NET *22 0.000624033 +*CONN +*P HI[119] O +*I *784:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[119] 0.000203433 +2 *784:HI 0.000203433 +3 HI[115] HI[119] 5.98545e-05 +4 HI[117] HI[119] 0.000157312 +*RES +1 *784:HI HI[119] 20.3838 +*END + +*D_NET *23 0.000634534 +*CONN +*P HI[11] O +*I *785:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[11] 0.000231657 +2 *785:HI 0.000231657 +3 HI[11] HI[121] 8.8134e-05 +4 HI[11] HI[123] 8.30857e-05 +*RES +1 *785:HI HI[11] 20.0274 +*END + +*D_NET *24 0.0006655 +*CONN +*P HI[120] O +*I *786:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[120] 0.000240515 +2 *786:HI 0.000240515 +3 HI[120] HI[125] 9.63365e-05 +4 HI[120] HI[127] 8.8134e-05 +5 HI[120] HI[128] 0 +*RES +1 *786:HI HI[120] 21.4125 +*END + +*D_NET *25 0.000603117 +*CONN +*P HI[121] O +*I *787:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[121] 0.000212274 +2 *787:HI 0.000212274 +3 HI[121] HI[123] 0 +4 HI[115] HI[121] 9.04351e-05 +5 HI[11] HI[121] 8.8134e-05 +*RES +1 *787:HI HI[121] 20.8579 +*END + +*D_NET *26 0.000560218 +*CONN +*P HI[122] O +*I *788:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[122] 0.000199995 +2 *788:HI 0.000199995 +3 HI[122] HI[143] 7.84852e-05 +4 HI[122] HI[145] 8.17422e-05 +*RES +1 *788:HI HI[122] 19.4728 +*END + +*D_NET *27 0.000572236 +*CONN +*P HI[123] O +*I *789:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[123] 0.000203032 +2 *789:HI 0.000203032 +3 HI[123] HI[124] 8.30857e-05 +4 HI[123] HI[125] 0 +5 HI[11] HI[123] 8.30857e-05 +6 HI[121] HI[123] 0 +*RES +1 *789:HI HI[123] 20.8817 +*END + +*D_NET *28 0.000625466 +*CONN +*P HI[124] O +*I *790:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[124] 0.000228019 +2 *790:HI 0.000228019 +3 HI[124] HI[125] 8.63427e-05 +4 HI[123] HI[124] 8.30857e-05 +*RES +1 *790:HI HI[124] 20.0274 +*END + +*D_NET *29 0.000875792 +*CONN +*P HI[125] O +*I *791:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[125] 0.000346557 +2 *791:HI 0.000346557 +3 HI[120] HI[125] 9.63365e-05 +4 HI[123] HI[125] 0 +5 HI[124] HI[125] 8.63427e-05 +*RES +1 *791:HI HI[125] 24.1799 +*END + +*D_NET *30 0.000633404 +*CONN +*P HI[126] O +*I *792:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[126] 0.00022994 +2 *792:HI 0.00022994 +3 HI[126] HI[131] 9.56413e-05 +4 HI[118] HI[126] 7.78831e-05 +*RES +1 *792:HI HI[126] 20.3766 +*END + +*D_NET *31 0.000637518 +*CONN +*P HI[127] O +*I *793:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[127] 0.000228674 +2 *793:HI 0.000228674 +3 HI[127] HI[128] 9.20351e-05 +4 HI[120] HI[127] 8.8134e-05 +*RES +1 *793:HI HI[127] 20.0274 +*END + +*D_NET *32 0.000581722 +*CONN +*P HI[128] O +*I *794:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[128] 0.0002033 +2 *794:HI 0.0002033 +3 HI[128] HI[129] 8.30857e-05 +4 HI[128] HI[130] 0 +5 HI[120] HI[128] 0 +6 HI[127] HI[128] 9.20351e-05 +*RES +1 *794:HI HI[128] 21.2237 +*END + +*D_NET *33 0.000636538 +*CONN +*P HI[129] O +*I *795:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[129] 0.000225473 +2 *795:HI 0.000225473 +3 HI[129] HI[130] 0.000102506 +4 HI[128] HI[129] 8.30857e-05 +*RES +1 *795:HI HI[129] 20.0274 +*END + +*D_NET *34 0.00534733 +*CONN +*P HI[12] O +*I *796:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[12] 0.000573256 +2 *796:HI 0.000573256 +3 HI[12] HI[144] 0.00169358 +4 HI[12] HI[149] 0.000110165 +5 HI[12] HI[152] 5.59375e-05 +6 HI[12] HI[162] 4.50659e-05 +7 HI[12] HI[209] 0.00208197 +8 HI[12] HI[31] 0.000189913 +9 HI[10] HI[12] 2.41916e-05 +*RES +1 *796:HI HI[12] 45.5605 +*END + +*D_NET *35 0.000633743 +*CONN +*P HI[130] O +*I *797:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[130] 0.000216617 +2 *797:HI 0.000216617 +3 HI[130] HI[131] 9.80037e-05 +4 HI[128] HI[130] 0 +5 HI[129] HI[130] 0.000102506 +*RES +1 *797:HI HI[130] 21.0214 +*END + +*D_NET *36 0.00064851 +*CONN +*P HI[131] O +*I *798:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[131] 0.000227432 +2 *798:HI 0.000227432 +3 HI[131] HI[134] 0 +4 HI[126] HI[131] 9.56413e-05 +5 HI[130] HI[131] 9.80037e-05 +*RES +1 *798:HI HI[131] 21.2731 +*END + +*D_NET *37 0.000552556 +*CONN +*P HI[132] O +*I *799:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[132] 0.000193192 +2 *799:HI 0.000193192 +3 HI[132] HI[138] 8.30857e-05 +4 HI[132] HI[140] 8.30857e-05 +*RES +1 *799:HI HI[132] 19.4728 +*END + +*D_NET *38 0.000496753 +*CONN +*P HI[133] O +*I *800:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[133] 0.000170525 +2 *800:HI 0.000170525 +3 HI[133] HI[148] 7.38575e-05 +4 HI[133] HI[150] 7.71145e-05 +5 HI[133] HI[209] 4.73037e-06 +*RES +1 *800:HI HI[133] 18.9182 +*END + +*D_NET *39 0.000606322 +*CONN +*P HI[134] O +*I *801:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[134] 0.000217551 +2 *801:HI 0.000217551 +3 HI[134] HI[135] 8.8134e-05 +4 HI[118] HI[134] 8.30857e-05 +5 HI[131] HI[134] 0 +*RES +1 *801:HI HI[134] 20.8579 +*END + +*D_NET *40 0.000634534 +*CONN +*P HI[135] O +*I *802:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[135] 0.000231657 +2 *802:HI 0.000231657 +3 HI[135] HI[136] 8.30857e-05 +4 HI[134] HI[135] 8.8134e-05 +*RES +1 *802:HI HI[135] 20.0274 +*END + +*D_NET *41 0.000552556 +*CONN +*P HI[136] O +*I *803:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[136] 0.000193192 +2 *803:HI 0.000193192 +3 HI[136] HI[137] 8.30857e-05 +4 HI[135] HI[136] 8.30857e-05 +*RES +1 *803:HI HI[136] 19.4728 +*END + +*D_NET *42 0.000552556 +*CONN +*P HI[137] O +*I *804:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[137] 0.000193192 +2 *804:HI 0.000193192 +3 HI[137] HI[138] 8.30857e-05 +4 HI[136] HI[137] 8.30857e-05 +*RES +1 *804:HI HI[137] 19.4728 +*END + +*D_NET *43 0.000552556 +*CONN +*P HI[138] O +*I *805:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[138] 0.000193192 +2 *805:HI 0.000193192 +3 HI[132] HI[138] 8.30857e-05 +4 HI[137] HI[138] 8.30857e-05 +*RES +1 *805:HI HI[138] 19.4728 +*END + +*D_NET *44 0.000622304 +*CONN +*P HI[139] O +*I *806:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[139] 0.000208912 +2 *806:HI 0.000208912 +3 HI[139] HI[145] 5.91473e-05 +4 HI[139] HI[147] 7.38575e-05 +5 HI[139] *181:10 7.14746e-05 +*RES +1 *806:HI HI[139] 19.4728 +*END + +*D_NET *45 0.000868285 +*CONN +*P HI[13] O +*I *807:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[13] 0.000220893 +2 *807:HI 0.000220893 +3 HI[13] HI[15] 0.00016288 +4 HI[13] HI[344] 0 +5 HI[114] HI[13] 8.8134e-05 +6 HI[116] HI[13] 0.000175485 +*RES +1 *807:HI HI[13] 22.5217 +*END + +*D_NET *46 0.000599345 +*CONN +*P HI[140] O +*I *808:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[140] 0.000177675 +2 *808:HI 0.000177675 +3 HI[140] HI[141] 0.00016091 +4 HI[132] HI[140] 8.30857e-05 +*RES +1 *808:HI HI[140] 19.4728 +*END + +*D_NET *47 0.000544241 +*CONN +*P HI[141] O +*I *809:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[141] 0.000161738 +2 *809:HI 0.000161738 +3 HI[141] HI[142] 5.98545e-05 +4 HI[140] HI[141] 0.00016091 +*RES +1 *809:HI HI[141] 19.6604 +*END + +*D_NET *48 0.000601757 +*CONN +*P HI[142] O +*I *810:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[142] 0.000194766 +2 *810:HI 0.000194766 +3 HI[142] HI[143] 5.48357e-05 +4 HI[142] *245:8 9.75356e-05 +5 HI[141] HI[142] 5.98545e-05 +*RES +1 *810:HI HI[142] 19.4728 +*END + +*D_NET *49 0.00058063 +*CONN +*P HI[143] O +*I *811:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[143] 0.000204041 +2 *811:HI 0.000204041 +3 HI[143] *245:8 3.92275e-05 +4 HI[122] HI[143] 7.84852e-05 +5 HI[142] HI[143] 5.48357e-05 +*RES +1 *811:HI HI[143] 19.4728 +*END + +*D_NET *50 0.00441823 +*CONN +*P HI[144] O +*I *812:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[144] 0.000467358 +2 *812:HI 0.000467358 +3 HI[144] HI[149] 0.00164765 +4 HI[144] HI[152] 0.000142276 +5 HI[12] HI[144] 0.00169358 +*RES +1 *812:HI HI[144] 41.2389 +*END + +*D_NET *51 0.00095043 +*CONN +*P HI[145] O +*I *813:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[145] 0.000276384 +2 *813:HI 0.000276384 +3 HI[145] HI[330] 0.000114595 +4 HI[145] *181:10 0.000142178 +5 HI[145] *245:8 0 +6 HI[122] HI[145] 8.17422e-05 +7 HI[139] HI[145] 5.91473e-05 +*RES +1 *813:HI HI[145] 23.6253 +*END + +*D_NET *52 0.000617547 +*CONN +*P HI[146] O +*I *814:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[146] 0.00019183 +2 *814:HI 0.00019183 +3 HI[146] HI[151] 4.51067e-05 +4 HI[146] HI[152] 6.92705e-05 +5 HI[146] HI[153] 6.29746e-05 +6 HI[101] HI[146] 5.65354e-05 +*RES +1 *814:HI HI[146] 18.9182 +*END + +*D_NET *53 0.000508152 +*CONN +*P HI[147] O +*I *815:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[147] 0.000178576 +2 *815:HI 0.000178576 +3 HI[147] HI[148] 7.38575e-05 +4 HI[147] HI[209] 3.28416e-06 +5 HI[139] HI[147] 7.38575e-05 +*RES +1 *815:HI HI[147] 18.9182 +*END + +*D_NET *54 0.000500952 +*CONN +*P HI[148] O +*I *816:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[148] 0.000174253 +2 *816:HI 0.000174253 +3 HI[148] HI[209] 4.73037e-06 +4 HI[133] HI[148] 7.38575e-05 +5 HI[147] HI[148] 7.38575e-05 +*RES +1 *816:HI HI[148] 18.9182 +*END + +*D_NET *55 0.00302604 +*CONN +*P HI[149] O +*I *817:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[149] 0.000474001 +2 *817:HI 0.000474001 +3 HI[149] HI[152] 6.08467e-05 +4 HI[149] HI[153] 6.22703e-05 +5 HI[149] HI[160] 0.000197103 +6 HI[149] *245:11 0 +7 HI[12] HI[149] 0.000110165 +8 HI[144] HI[149] 0.00164765 +*RES +1 *817:HI HI[149] 35.8322 +*END + +*D_NET *56 0.00152644 +*CONN +*P HI[14] O +*I *818:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[14] 0.000417012 +2 *818:HI 0.000417012 +3 HI[14] HI[150] 0.000451591 +4 HI[14] HI[152] 7.81691e-05 +5 HI[100] HI[14] 0 +6 HI[102] HI[14] 0.000101642 +7 HI[103] HI[14] 4.83428e-05 +8 HI[104] HI[14] 1.26746e-05 +*RES +1 *818:HI HI[14] 27.5075 +*END + +*D_NET *57 0.00160823 +*CONN +*P HI[150] O +*I *819:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[150] 0.000457601 +2 *819:HI 0.000457601 +3 HI[150] *122:10 0 +4 HI[102] HI[150] 0.000164325 +5 HI[103] HI[150] 0 +6 HI[133] HI[150] 7.71145e-05 +7 HI[14] HI[150] 0.000451591 +*RES +1 *819:HI HI[150] 27.9228 +*END + +*D_NET *58 0.00156287 +*CONN +*P HI[151] O +*I *820:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[151] 0.000308994 +2 *820:HI 0.000308994 +3 HI[151] HI[152] 1.308e-05 +4 HI[151] HI[156] 2.41274e-06 +5 HI[0] HI[151] 0.000211464 +6 HI[100] HI[151] 0.000359922 +7 HI[101] HI[151] 4.91795e-05 +8 HI[102] HI[151] 0.000246455 +9 HI[10] HI[151] 1.72594e-05 +10 HI[146] HI[151] 4.51067e-05 +*RES +1 *820:HI HI[151] 24.7345 +*END + +*D_NET *59 0.00158705 +*CONN +*P HI[152] O +*I *821:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[152] 0.000335889 +2 *821:HI 0.000335889 +3 HI[152] HI[153] 0.000217937 +4 HI[100] HI[152] 9.2346e-06 +5 HI[10] HI[152] 0.000268518 +6 HI[12] HI[152] 5.59375e-05 +7 HI[144] HI[152] 0.000142276 +8 HI[146] HI[152] 6.92705e-05 +9 HI[149] HI[152] 6.08467e-05 +10 HI[14] HI[152] 7.81691e-05 +11 HI[151] HI[152] 1.308e-05 +*RES +1 *821:HI HI[152] 25.9887 +*END + +*D_NET *60 0.00119658 +*CONN +*P HI[153] O +*I *822:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[153] 0.000395211 +2 *822:HI 0.000395211 +3 HI[153] HI[155] 6.29746e-05 +4 HI[146] HI[153] 6.29746e-05 +5 HI[149] HI[153] 6.22703e-05 +6 HI[152] HI[153] 0.000217937 +*RES +1 *822:HI HI[153] 22.2458 +*END + +*D_NET *61 0.00743728 +*CONN +*P HI[154] O +*I *823:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[154] 0.000376091 +2 *823:HI 0.000267351 +3 *61:16 0.00141277 +4 *61:10 0.00130403 +5 HI[154] HI[160] 7.24381e-05 +6 HI[154] HI[162] 9.30231e-05 +7 HI[154] HI[209] 0.000109365 +8 HI[154] HI[262] 0 +9 *61:10 HI[222] 4.63842e-05 +10 *61:10 HI[330] 0.000446941 +11 *61:10 *122:10 0.000321923 +12 *61:16 HI[17] 0.000124853 +13 *61:16 HI[18] 0.000208676 +14 *61:16 HI[209] 1.38511e-05 +15 *61:16 HI[228] 0.000876098 +16 *61:16 HI[262] 0 +17 *61:16 HI[284] 0.000132425 +18 *61:16 HI[2] 0.00125578 +19 *61:16 HI[321] 0.000369173 +20 *61:16 *69:11 6.11359e-06 +*RES +1 *823:HI *61:10 27.4486 +2 *61:10 *61:16 40.0151 +3 *61:16 HI[154] 10.8821 +*END + +*D_NET *62 0.00246787 +*CONN +*P HI[155] O +*I *824:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[155] 0.000758703 +2 *824:HI 0.000758703 +3 HI[155] HI[156] 2.33072e-05 +4 HI[155] HI[158] 1.12792e-05 +5 HI[155] HI[327] 7.09666e-06 +6 HI[0] HI[155] 0.000364565 +7 HI[101] HI[155] 0 +8 HI[106] HI[155] 0.000481241 +9 HI[153] HI[155] 6.29746e-05 +*RES +1 *824:HI HI[155] 29.999 +*END + +*D_NET *63 0.0024714 +*CONN +*P HI[156] O +*I *825:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[156] 0.000822546 +2 *825:HI 0.000822546 +3 HI[156] HI[157] 0.000109528 +4 HI[156] HI[158] 0.000350062 +5 HI[0] HI[156] 0.00015647 +6 HI[105] HI[156] 5.01835e-05 +7 HI[107] HI[156] 6.50727e-05 +8 HI[108] HI[156] 6.92705e-05 +9 HI[151] HI[156] 2.41274e-06 +10 HI[155] HI[156] 2.33072e-05 +*RES +1 *825:HI HI[156] 40.5846 +*END + +*D_NET *64 0.00158772 +*CONN +*P HI[157] O +*I *826:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[157] 0.00043883 +2 *826:HI 0.00043883 +3 HI[157] HI[158] 0.000415231 +4 HI[157] HI[159] 0.000160328 +5 HI[0] HI[157] 2.4968e-05 +6 HI[156] HI[157] 0.000109528 +*RES +1 *826:HI HI[157] 26.259 +*END + +*D_NET *65 0.00254296 +*CONN +*P HI[158] O +*I *827:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[158] 0.000693345 +2 *827:HI 0.000693345 +3 HI[158] HI[159] 0.000164113 +4 HI[158] HI[162] 0.000164325 +5 HI[158] HI[327] 1.83332e-05 +6 HI[0] HI[158] 3.29217e-05 +7 HI[155] HI[158] 1.12792e-05 +8 HI[156] HI[158] 0.000350062 +9 HI[157] HI[158] 0.000415231 +*RES +1 *827:HI HI[158] 42.0796 +*END + +*D_NET *66 0.00153766 +*CONN +*P HI[159] O +*I *828:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[159] 0.000512 +2 *828:HI 0.000512 +3 HI[159] HI[161] 0.000151756 +4 HI[159] HI[162] 3.74657e-05 +5 HI[157] HI[159] 0.000160328 +6 HI[158] HI[159] 0.000164113 +*RES +1 *828:HI HI[159] 27.5075 +*END + +*D_NET *67 0.000895955 +*CONN +*P HI[15] O +*I *829:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[15] 0.000322471 +2 *829:HI 0.000322471 +3 HI[15] HI[344] 8.8134e-05 +4 HI[13] HI[15] 0.00016288 +*RES +1 *829:HI HI[15] 21.6912 +*END + +*D_NET *68 0.00123936 +*CONN +*P HI[160] O +*I *830:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[160] 0.000456437 +2 *830:HI 0.000456437 +3 HI[160] HI[161] 4.33419e-05 +4 HI[160] HI[262] 1.36007e-05 +5 HI[149] HI[160] 0.000197103 +6 HI[154] HI[160] 7.24381e-05 +*RES +1 *830:HI HI[160] 23.355 +*END + +*D_NET *69 0.00636278 +*CONN +*P HI[161] O +*I *831:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[161] 0.000718931 +2 *831:HI 0.000923477 +3 *69:11 0.00164241 +4 HI[161] HI[260] 4.55889e-05 +5 HI[161] HI[262] 0.000833598 +6 HI[161] HI[2] 6.56365e-05 +7 *69:11 HI[17] 0.000227892 +8 *69:11 HI[18] 0.00141598 +9 *69:11 HI[206] 1.80717e-05 +10 *69:11 HI[277] 0.000255584 +11 *69:11 HI[291] 1.43983e-05 +12 HI[159] HI[161] 0.000151756 +13 HI[160] HI[161] 4.33419e-05 +14 *61:16 *69:11 6.11359e-06 +*RES +1 *831:HI *69:11 47.3072 +2 *69:11 HI[161] 25.2138 +*END + +*D_NET *70 0.00251398 +*CONN +*P HI[162] O +*I *832:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[162] 0.000902181 +2 *832:HI 0.000902181 +3 HI[162] HI[209] 0.000198504 +4 HI[162] HI[31] 0.000168899 +5 HI[162] HI[321] 2.3329e-06 +6 HI[12] HI[162] 4.50659e-05 +7 HI[154] HI[162] 9.30231e-05 +8 HI[158] HI[162] 0.000164325 +9 HI[159] HI[162] 3.74657e-05 +*RES +1 *832:HI HI[162] 33.7447 +*END + +*D_NET *71 0.00090708 +*CONN +*P HI[163] O +*I *833:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[163] 0.000257699 +2 *833:HI 0.000257699 +3 HI[163] HI[193] 6.28701e-05 +4 HI[163] HI[241] 0.000244293 +5 HI[163] HI[340] 8.45193e-05 +*RES +1 *833:HI HI[163] 22.1065 +*END + +*D_NET *72 0.00138047 +*CONN +*P HI[164] O +*I *834:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[164] 0.000264899 +2 *834:HI 0.000264899 +3 HI[164] HI[16] 0.000160328 +4 HI[164] HI[227] 0.000535741 +5 HI[164] HI[279] 4.20032e-05 +6 HI[164] HI[334] 0.0001126 +*RES +1 *834:HI HI[164] 23.7703 +*END + +*D_NET *73 0.00115836 +*CONN +*P HI[165] O +*I *835:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[165] 0.000255843 +2 *835:HI 0.000255843 +3 HI[165] HI[267] 0.000294709 +4 HI[165] HI[270] 0.000289093 +5 HI[165] HI[339] 6.28701e-05 +*RES +1 *835:HI HI[165] 22.6611 +*END + +*D_NET *74 0.00110177 +*CONN +*P HI[166] O +*I *836:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[166] 0.000372011 +2 *836:HI 0.000372011 +3 HI[166] HI[171] 0.000192692 +4 HI[166] HI[193] 0.000165058 +*RES +1 *836:HI HI[166] 22.2458 +*END + +*D_NET *75 0.00172219 +*CONN +*P HI[167] O +*I *837:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[167] 0.0003255 +2 *837:HI 0.0003255 +3 HI[167] HI[170] 0.000303159 +4 HI[167] HI[315] 0.000309518 +5 HI[167] HI[322] 0.000309518 +6 HI[167] HI[326] 7.03668e-05 +7 HI[167] HI[342] 7.86286e-05 +*RES +1 *837:HI HI[167] 25.8493 +*END + +*D_NET *76 0.000748573 +*CONN +*P HI[168] O +*I *838:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[168] 0.000228176 +2 *838:HI 0.000228176 +3 HI[168] HI[221] 0.000147389 +4 HI[168] HI[329] 0.000144833 +*RES +1 *838:HI HI[168] 20.9972 +*END + +*D_NET *77 0.00109179 +*CONN +*P HI[169] O +*I *839:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[169] 0.000328336 +2 *839:HI 0.000328336 +3 HI[169] HI[179] 0.000192692 +4 HI[169] HI[195] 0.000242422 +*RES +1 *839:HI HI[169] 21.6912 +*END + +*D_NET *78 0.00124662 +*CONN +*P HI[16] O +*I *840:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[16] 0.000346193 +2 *840:HI 0.000346193 +3 HI[16] HI[172] 0.000341834 +4 HI[16] HI[227] 1.33298e-05 +5 HI[16] HI[279] 3.87462e-05 +6 HI[164] HI[16] 0.000160328 +*RES +1 *840:HI HI[16] 24.1855 +*END + +*D_NET *79 0.0018415 +*CONN +*P HI[170] O +*I *841:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[170] 0.000375095 +2 *841:HI 0.000375095 +3 HI[170] HI[180] 0.000256729 +4 HI[170] HI[187] 0.000114595 +5 HI[170] HI[315] 0.000160328 +6 HI[170] HI[322] 8.88212e-05 +7 HI[170] HI[326] 0.00016768 +8 HI[167] HI[170] 0.000303159 +*RES +1 *841:HI HI[170] 25.7663 +*END + +*D_NET *80 0.000860781 +*CONN +*P HI[171] O +*I *842:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[171] 0.000237699 +2 *842:HI 0.000237699 +3 HI[171] HI[273] 0.000192692 +4 HI[166] HI[171] 0.000192692 +*RES +1 *842:HI HI[171] 21.5518 +*END + +*D_NET *81 0.00132883 +*CONN +*P HI[172] O +*I *843:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[172] 0.000339496 +2 *843:HI 0.000339496 +3 HI[172] HI[178] 0.000160328 +4 HI[172] HI[227] 0.000114595 +5 HI[172] HI[289] 3.30775e-05 +6 HI[16] HI[172] 0.000341834 +*RES +1 *843:HI HI[172] 23.9485 +*END + +*D_NET *82 0.00173053 +*CONN +*P HI[173] O +*I *844:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[173] 0.000363125 +2 *844:HI 0.000363125 +3 HI[173] HI[184] 0.000583875 +4 HI[173] HI[194] 0.000114595 +5 HI[173] HI[301] 6.28701e-05 +6 HI[173] HI[314] 0.000109519 +7 HI[173] HI[323] 5.99867e-05 +8 HI[173] HI[337] 7.34339e-05 +*RES +1 *844:HI HI[173] 25.9887 +*END + +*D_NET *83 0.000854954 +*CONN +*P HI[174] O +*I *845:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[174] 0.000234785 +2 *845:HI 0.000234785 +3 HI[174] HI[273] 0.000192692 +4 HI[174] HI[335] 0.000192692 +*RES +1 *845:HI HI[174] 21.5518 +*END + +*D_NET *84 0.00158665 +*CONN +*P HI[175] O +*I *846:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[175] 0.000399146 +2 *846:HI 0.000399146 +3 HI[175] HI[239] 0.000526042 +4 HI[175] HI[307] 0.000115588 +5 HI[175] HI[308] 3.41321e-05 +6 HI[175] HI[331] 0.0001126 +7 HI[175] HI[341] 0 +*RES +1 *846:HI HI[175] 25.8493 +*END + +*D_NET *85 0.00110126 +*CONN +*P HI[176] O +*I *847:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[176] 0.00034429 +2 *847:HI 0.00034429 +3 HI[176] HI[217] 0.000203896 +4 HI[176] HI[278] 0.00017896 +5 HI[176] HI[332] 2.98205e-05 +*RES +1 *847:HI HI[176] 22.6611 +*END + +*D_NET *86 0.000788069 +*CONN +*P HI[177] O +*I *848:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[177] 0.000216925 +2 *848:HI 0.000216925 +3 HI[177] HI[185] 0.000274127 +4 HI[177] HI[26] 8.00918e-05 +*RES +1 *848:HI HI[177] 20.0274 +*END + +*D_NET *87 0.00140472 +*CONN +*P HI[178] O +*I *849:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[178] 0.000405961 +2 *849:HI 0.000405961 +3 HI[178] HI[183] 0.000160328 +4 HI[178] HI[279] 6.08467e-05 +5 HI[178] HI[289] 0.000140419 +6 HI[178] HI[296] 7.08773e-05 +7 HI[172] HI[178] 0.000160328 +*RES +1 *849:HI HI[178] 24.1025 +*END + +*D_NET *88 0.000858331 +*CONN +*P HI[179] O +*I *850:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[179] 0.000236473 +2 *850:HI 0.000236473 +3 HI[179] HI[329] 0.000192692 +4 HI[169] HI[179] 0.000192692 +*RES +1 *850:HI HI[179] 21.5518 +*END + +*D_NET *89 0.00341696 +*CONN +*P HI[17] O +*I *851:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[17] 0.000517522 +2 *851:HI 0.000517522 +3 HI[17] HI[18] 0.000317721 +4 HI[17] HI[228] 0.00142986 +5 HI[17] HI[288] 0.000121426 +6 HI[17] HI[31] 3.87462e-05 +7 HI[113] HI[17] 0.000121426 +8 *61:16 HI[17] 0.000124853 +9 *69:11 HI[17] 0.000227892 +*RES +1 *851:HI HI[17] 45.8365 +*END + +*D_NET *90 0.00182139 +*CONN +*P HI[180] O +*I *852:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[180] 0.000436742 +2 *852:HI 0.000436742 +3 HI[180] HI[187] 0.000360431 +4 HI[180] HI[191] 0.000164325 +5 HI[180] HI[322] 1.9689e-05 +6 HI[180] HI[32] 0.000146731 +7 HI[170] HI[180] 0.000256729 +*RES +1 *852:HI HI[180] 26.1155 +*END + +*D_NET *91 0.00136642 +*CONN +*P HI[181] O +*I *853:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[181] 0.000260414 +2 *853:HI 0.000260414 +3 HI[181] HI[219] 0.000344439 +4 HI[181] HI[224] 0.000388553 +5 HI[181] HI[302] 0.0001126 +*RES +1 *853:HI HI[181] 23.7703 +*END + +*D_NET *92 0.000982706 +*CONN +*P HI[182] O +*I *854:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[182] 0.000246783 +2 *854:HI 0.000246783 +3 HI[182] HI[188] 0.000246849 +4 HI[182] HI[264] 0.000242291 +*RES +1 *854:HI HI[182] 22.1065 +*END + +*D_NET *93 0.0012918 +*CONN +*P HI[183] O +*I *855:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[183] 0.000330013 +2 *855:HI 0.000330013 +3 HI[183] HI[186] 6.63458e-05 +4 HI[183] HI[279] 0.000143306 +5 HI[183] HI[289] 0.000222457 +6 HI[183] HI[296] 3.93408e-05 +7 HI[178] HI[183] 0.000160328 +*RES +1 *855:HI HI[183] 24.1855 +*END + +*D_NET *94 0.00198827 +*CONN +*P HI[184] O +*I *856:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[184] 0.000337424 +2 *856:HI 0.000337424 +3 HI[184] HI[194] 4.13639e-05 +4 HI[184] HI[1] 0.000159249 +5 HI[184] HI[306] 0.00016768 +6 HI[184] HI[323] 0.00036125 +7 HI[173] HI[184] 0.000583875 +*RES +1 *856:HI HI[184] 26.9585 +*END + +*D_NET *95 0.00115673 +*CONN +*P HI[185] O +*I *857:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[185] 0.000351294 +2 *857:HI 0.000351294 +3 HI[185] HI[26] 0.000160328 +4 HI[185] HI[330] 1.9689e-05 +5 HI[177] HI[185] 0.000274127 +*RES +1 *857:HI HI[185] 24.1194 +*END + +*D_NET *96 0.00147319 +*CONN +*P HI[186] O +*I *858:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[186] 0.000590352 +2 *858:HI 0.000590352 +3 HI[186] HI[189] 6.58075e-05 +4 HI[186] HI[286] 0.000160328 +5 HI[186] HI[289] 0 +6 HI[186] HI[30] 0 +7 HI[183] HI[186] 6.63458e-05 +*RES +1 *858:HI HI[186] 25.5606 +*END + +*D_NET *97 0.0020424 +*CONN +*P HI[187] O +*I *859:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[187] 0.000369705 +2 *859:HI 0.000369705 +3 HI[187] HI[191] 9.34106e-05 +4 HI[187] HI[199] 0.000258709 +5 HI[187] HI[203] 0.00021206 +6 HI[187] HI[322] 0.000263786 +7 HI[170] HI[187] 0.000114595 +8 HI[180] HI[187] 0.000360431 +*RES +1 *859:HI HI[187] 27.6913 +*END + +*D_NET *98 0.000913557 +*CONN +*P HI[188] O +*I *860:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[188] 0.000286908 +2 *860:HI 0.000286908 +3 HI[188] HI[243] 5.04829e-06 +4 HI[188] HI[303] 8.78432e-05 +5 HI[182] HI[188] 0.000246849 +*RES +1 *860:HI HI[188] 22.5217 +*END + +*D_NET *99 0.0013137 +*CONN +*P HI[189] O +*I *861:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[189] 0.000432637 +2 *861:HI 0.000432637 +3 HI[189] HI[197] 0.000169352 +4 HI[189] HI[19] 7.28451e-05 +5 HI[189] HI[286] 0.000110598 +6 HI[189] HI[30] 2.98205e-05 +7 HI[186] HI[189] 6.58075e-05 +*RES +1 *861:HI HI[189] 34.3586 +*END + +*D_NET *100 0.00322543 +*CONN +*P HI[18] O +*I *862:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[18] 0.00048743 +2 *862:HI 0.00048743 +3 HI[18] HI[206] 0.00016427 +4 HI[18] HI[214] 0.000109519 +5 HI[18] HI[280] 1.97467e-05 +6 HI[18] HI[297] 1.46543e-05 +7 HI[17] HI[18] 0.000317721 +8 *61:16 HI[18] 0.000208676 +9 *69:11 HI[18] 0.00141598 +*RES +1 *862:HI HI[18] 42.6777 +*END + +*D_NET *101 0.00105342 +*CONN +*P HI[190] O +*I *863:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[190] 0.000290368 +2 *863:HI 0.000290368 +3 HI[190] HI[25] 0.000294709 +4 HI[190] HI[263] 0.000115099 +5 HI[190] HI[339] 6.28701e-05 +*RES +1 *863:HI HI[190] 23.0763 +*END + +*D_NET *102 0.00207444 +*CONN +*P HI[191] O +*I *864:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[191] 0.000689403 +2 *864:HI 0.000689403 +3 HI[191] HI[199] 0.000437896 +4 HI[180] HI[191] 0.000164325 +5 HI[187] HI[191] 9.34106e-05 +*RES +1 *864:HI HI[191] 26.8752 +*END + +*D_NET *103 0.00117789 +*CONN +*P HI[192] O +*I *865:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[192] 0.00023324 +2 *865:HI 0.00023324 +3 HI[192] HI[198] 6.28701e-05 +4 HI[192] HI[236] 6.28701e-05 +5 HI[192] HI[244] 0.000294709 +6 HI[192] HI[285] 0.000290963 +*RES +1 *865:HI HI[192] 22.6611 +*END + +*D_NET *104 0.000939005 +*CONN +*P HI[193] O +*I *866:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[193] 0.000311617 +2 *866:HI 0.000311617 +3 HI[193] HI[340] 8.78432e-05 +4 HI[163] HI[193] 6.28701e-05 +5 HI[166] HI[193] 0.000165058 +*RES +1 *866:HI HI[193] 23.0763 +*END + +*D_NET *105 0.0019325 +*CONN +*P HI[194] O +*I *867:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[194] 0.000643504 +2 *867:HI 0.000643504 +3 HI[194] HI[1] 0.000338457 +4 HI[194] HI[306] 7.77309e-06 +5 HI[194] HI[314] 0.000143306 +6 HI[173] HI[194] 0.000114595 +7 HI[184] HI[194] 4.13639e-05 +*RES +1 *867:HI HI[194] 26.3351 +*END + +*D_NET *106 0.000936689 +*CONN +*P HI[195] O +*I *868:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[195] 0.00028199 +2 *868:HI 0.00028199 +3 HI[195] HI[196] 0.000130287 +4 HI[169] HI[195] 0.000242422 +*RES +1 *868:HI HI[195] 22.1065 +*END + +*D_NET *107 0.000969753 +*CONN +*P HI[196] O +*I *869:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[196] 0.000361531 +2 *869:HI 0.000361531 +3 HI[196] HI[293] 5.04829e-06 +4 HI[196] HI[336] 0.000111357 +5 HI[195] HI[196] 0.000130287 +*RES +1 *869:HI HI[196] 22.0404 +*END + +*D_NET *108 0.00133748 +*CONN +*P HI[197] O +*I *870:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[197] 0.000313299 +2 *870:HI 0.000313299 +3 HI[197] HI[19] 4.1375e-05 +4 HI[197] HI[201] 0.000112593 +5 HI[197] HI[286] 0.000387566 +6 HI[189] HI[197] 0.000169352 +*RES +1 *870:HI HI[197] 24.1855 +*END + +*D_NET *109 0.00121151 +*CONN +*P HI[198] O +*I *871:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[198] 0.000407935 +2 *871:HI 0.000407935 +3 HI[198] HI[202] 0.0001126 +4 HI[198] HI[244] 0.000140079 +5 HI[198] HI[292] 8.00918e-05 +6 HI[192] HI[198] 6.28701e-05 +*RES +1 *871:HI HI[198] 22.8004 +*END + +*D_NET *110 0.00195537 +*CONN +*P HI[199] O +*I *872:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[199] 0.000320772 +2 *872:HI 0.000320772 +3 HI[199] HI[203] 0.000502628 +4 HI[199] HI[207] 0.000114595 +5 HI[187] HI[199] 0.000258709 +6 HI[191] HI[199] 0.000437896 +*RES +1 *872:HI HI[199] 27.4687 +*END + +*D_NET *111 0.00144759 +*CONN +*P HI[19] O +*I *873:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[19] 0.00044098 +2 *873:HI 0.00044098 +3 HI[19] HI[201] 0.000338816 +4 HI[19] HI[286] 0.000112593 +5 HI[189] HI[19] 7.28451e-05 +6 HI[197] HI[19] 4.1375e-05 +*RES +1 *873:HI HI[19] 23.5332 +*END + +*D_NET *112 0.00178745 +*CONN +*P HI[1] O +*I *874:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[1] 0.000329783 +2 *874:HI 0.000329783 +3 HI[1] HI[225] 6.16269e-05 +4 HI[1] HI[238] 0.000453954 +5 HI[1] HI[249] 0.000114595 +6 HI[184] HI[1] 0.000159249 +7 HI[194] HI[1] 0.000338457 +*RES +1 *874:HI HI[1] 26.9213 +*END + +*D_NET *113 0.00103624 +*CONN +*P HI[200] O +*I *875:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[200] 0.000317924 +2 *875:HI 0.000317924 +3 HI[200] HI[230] 0.00018972 +4 HI[200] HI[243] 4.13245e-05 +5 HI[200] HI[310] 0.000169352 +*RES +1 *875:HI HI[200] 22.5217 +*END + +*D_NET *114 0.00145807 +*CONN +*P HI[201] O +*I *876:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[201] 0.000274816 +2 *876:HI 0.000274816 +3 HI[201] HI[204] 0.000344432 +4 HI[201] HI[24] 0.000112593 +5 HI[197] HI[201] 0.000112593 +6 HI[19] HI[201] 0.000338816 +*RES +1 *876:HI HI[201] 23.9485 +*END + +*D_NET *115 0.00106411 +*CONN +*P HI[202] O +*I *877:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[202] 0.000257514 +2 *877:HI 0.000257514 +3 HI[202] HI[208] 6.28701e-05 +4 HI[202] HI[252] 0.000289093 +5 HI[202] HI[292] 8.45193e-05 +6 HI[198] HI[202] 0.0001126 +*RES +1 *877:HI HI[202] 22.6611 +*END + +*D_NET *116 0.0019296 +*CONN +*P HI[203] O +*I *878:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[203] 0.000432029 +2 *878:HI 0.000432029 +3 HI[203] HI[207] 0.000241336 +4 HI[203] HI[216] 0.000109519 +5 HI[187] HI[203] 0.00021206 +6 HI[199] HI[203] 0.000502628 +*RES +1 *878:HI HI[203] 29.0323 +*END + +*D_NET *117 0.00124776 +*CONN +*P HI[204] O +*I *879:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[204] 0.000348656 +2 *879:HI 0.000348656 +3 HI[204] HI[24] 9.34217e-05 +4 HI[204] HI[299] 0.000112593 +5 HI[201] HI[204] 0.000344432 +*RES +1 *879:HI HI[204] 24.3637 +*END + +*D_NET *118 0.00113378 +*CONN +*P HI[205] O +*I *880:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[205] 0.000308581 +2 *880:HI 0.000308581 +3 HI[205] HI[22] 0.000373213 +4 HI[205] HI[235] 0.0001434 +*RES +1 *880:HI HI[205] 23.6309 +*END + +*D_NET *119 0.00115698 +*CONN +*P HI[206] O +*I *881:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[206] 0.000290363 +2 *881:HI 0.000290363 +3 HI[206] HI[214] 0.000303399 +4 HI[206] HI[277] 1.91195e-05 +5 HI[206] HI[291] 7.139e-05 +6 HI[18] HI[206] 0.00016427 +7 *69:11 HI[206] 1.80717e-05 +*RES +1 *881:HI HI[206] 22.5217 +*END + +*D_NET *120 0.00209827 +*CONN +*P HI[207] O +*I *882:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[207] 0.000726276 +2 *882:HI 0.000726276 +3 HI[207] HI[211] 3.30775e-05 +4 HI[207] HI[216] 0.000256707 +5 HI[199] HI[207] 0.000114595 +6 HI[203] HI[207] 0.000241336 +*RES +1 *882:HI HI[207] 26.9041 +*END + +*D_NET *121 0.00103766 +*CONN +*P HI[208] O +*I *883:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[208] 0.000294126 +2 *883:HI 0.000294126 +3 HI[208] HI[213] 6.28701e-05 +4 HI[208] HI[252] 0.000115099 +5 HI[208] HI[29] 0.000208567 +6 HI[202] HI[208] 6.28701e-05 +*RES +1 *883:HI HI[208] 23.0763 +*END + +*D_NET *122 0.00825687 +*CONN +*P HI[209] O +*I *884:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[209] 0.00205153 +2 *884:HI 0.000322273 +3 *122:10 0.00237381 +4 HI[209] HI[31] 0.000145923 +5 HI[209] HI[321] 0.000217193 +6 *122:10 HI[214] 0.000109513 +7 *122:10 HI[222] 0.000241022 +8 *122:10 HI[291] 0 +9 *122:10 HI[330] 1.72594e-05 +10 *122:10 *181:10 3.99892e-05 +11 HI[12] HI[209] 0.00208197 +12 HI[133] HI[209] 4.73037e-06 +13 HI[147] HI[209] 3.28416e-06 +14 HI[148] HI[209] 4.73037e-06 +15 HI[150] *122:10 0 +16 HI[154] HI[209] 0.000109365 +17 HI[162] HI[209] 0.000198504 +18 *61:10 *122:10 0.000321923 +19 *61:16 HI[209] 1.38511e-05 +*RES +1 *884:HI *122:10 28.8337 +2 *122:10 HI[209] 48.2691 +*END + +*D_NET *123 0.00156218 +*CONN +*P HI[20] O +*I *885:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[20] 0.000401114 +2 *885:HI 0.000401114 +3 HI[20] HI[239] 0 +4 HI[20] HI[307] 6.49003e-05 +5 HI[20] HI[308] 0.000661974 +6 HI[20] HI[341] 3.30775e-05 +*RES +1 *885:HI HI[20] 35.8536 +*END + +*D_NET *124 0.00140359 +*CONN +*P HI[210] O +*I *886:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[210] 0.00044865 +2 *886:HI 0.00044865 +3 HI[210] HI[260] 6.96673e-05 +4 HI[210] HI[288] 0.00024243 +5 HI[210] HI[297] 0.00019419 +*RES +1 *886:HI HI[210] 25.3483 +*END + +*D_NET *125 0.00141875 +*CONN +*P HI[211] O +*I *887:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[211] 0.000492221 +2 *887:HI 0.000492221 +3 HI[211] HI[216] 2.98205e-05 +4 HI[211] HI[233] 0.000319954 +5 HI[211] HI[265] 4.28321e-05 +6 HI[211] HI[275] 8.62625e-06 +7 HI[207] HI[211] 3.30775e-05 +*RES +1 *887:HI HI[211] 35.8536 +*END + +*D_NET *126 0.00132221 +*CONN +*P HI[212] O +*I *888:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[212] 0.000360014 +2 *888:HI 0.000360014 +3 HI[212] HI[215] 9.34217e-05 +4 HI[212] HI[218] 0.000164325 +5 HI[212] HI[299] 0.000344432 +*RES +1 *888:HI HI[212] 24.9183 +*END + +*D_NET *127 0.00112915 +*CONN +*P HI[213] O +*I *889:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[213] 0.000260066 +2 *889:HI 0.000260066 +3 HI[213] HI[219] 6.28701e-05 +4 HI[213] HI[29] 0.000291649 +5 HI[213] HI[302] 0.000191628 +6 HI[208] HI[213] 6.28701e-05 +*RES +1 *889:HI HI[213] 22.6611 +*END + +*D_NET *128 0.00210252 +*CONN +*P HI[214] O +*I *890:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[214] 0.000544958 +2 *890:HI 0.000544958 +3 HI[214] HI[291] 0.000101775 +4 HI[214] HI[2] 1.8739e-05 +5 HI[111] HI[214] 0.000110598 +6 HI[112] HI[214] 0.00025906 +7 HI[18] HI[214] 0.000109519 +8 HI[206] HI[214] 0.000303399 +9 *122:10 HI[214] 0.000109513 +*RES +1 *890:HI HI[214] 29.1109 +*END + +*D_NET *129 0.00148311 +*CONN +*P HI[215] O +*I *891:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[215] 0.000469786 +2 *891:HI 0.000469786 +3 HI[215] HI[218] 0.000337525 +4 HI[215] HI[299] 0.000112593 +5 HI[212] HI[215] 9.34217e-05 +*RES +1 *891:HI HI[215] 24.0878 +*END + +*D_NET *130 0.0019101 +*CONN +*P HI[216] O +*I *892:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[216] 0.000431033 +2 *892:HI 0.000431033 +3 HI[216] HI[21] 0.000651989 +4 HI[203] HI[216] 0.000109519 +5 HI[207] HI[216] 0.000256707 +6 HI[211] HI[216] 2.98205e-05 +*RES +1 *892:HI HI[216] 27.4687 +*END + +*D_NET *131 0.0013583 +*CONN +*P HI[217] O +*I *893:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[217] 0.000520617 +2 *893:HI 0.000520617 +3 HI[217] HI[270] 8.00918e-05 +4 HI[217] HI[332] 3.30775e-05 +5 HI[176] HI[217] 0.000203896 +*RES +1 *893:HI HI[217] 24.1166 +*END + +*D_NET *132 0.00134185 +*CONN +*P HI[218] O +*I *894:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[218] 0.000367561 +2 *894:HI 0.000367561 +3 HI[218] HI[220] 4.20032e-05 +4 HI[218] HI[226] 6.28701e-05 +5 HI[212] HI[218] 0.000164325 +6 HI[215] HI[218] 0.000337525 +*RES +1 *894:HI HI[218] 25.2506 +*END + +*D_NET *133 0.0011362 +*CONN +*P HI[219] O +*I *895:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[219] 0.000306894 +2 *895:HI 0.000306894 +3 HI[219] HI[302] 0.000115099 +4 HI[181] HI[219] 0.000344439 +5 HI[213] HI[219] 6.28701e-05 +*RES +1 *895:HI HI[219] 23.6309 +*END + +*D_NET *134 0.00211185 +*CONN +*P HI[21] O +*I *896:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[21] 0.00040296 +2 *896:HI 0.00040296 +3 HI[21] HI[233] 8.6772e-05 +4 HI[21] HI[265] 0.00045457 +5 HI[21] HI[275] 0.0001126 +6 HI[216] HI[21] 0.000651989 +*RES +1 *896:HI HI[21] 28.0549 +*END + +*D_NET *135 0.00128549 +*CONN +*P HI[220] O +*I *897:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[220] 0.000273061 +2 *897:HI 0.000273061 +3 HI[220] HI[223] 0.000550637 +4 HI[220] HI[226] 0 +5 HI[220] HI[229] 0.000146731 +6 HI[218] HI[220] 4.20032e-05 +*RES +1 *897:HI HI[220] 33.0806 +*END + +*D_NET *136 0.000861703 +*CONN +*P HI[221] O +*I *898:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[221] 0.000230659 +2 *898:HI 0.000230659 +3 HI[221] HI[271] 0.000252996 +4 HI[168] HI[221] 0.000147389 +*RES +1 *898:HI HI[221] 21.4125 +*END + +*D_NET *137 0.00140911 +*CONN +*P HI[222] O +*I *899:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[222] 0.000280981 +2 *899:HI 0.000280981 +3 HI[222] HI[277] 0.000350778 +4 HI[222] HI[291] 0.000154145 +5 HI[222] HI[330] 5.48222e-05 +6 *61:10 HI[222] 4.63842e-05 +7 *122:10 HI[222] 0.000241022 +*RES +1 *899:HI HI[222] 25.0104 +*END + +*D_NET *138 0.00148195 +*CONN +*P HI[223] O +*I *900:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[223] 0.000307426 +2 *900:HI 0.000307426 +3 HI[223] HI[226] 0.00013934 +4 HI[223] HI[229] 7.77309e-06 +5 HI[223] HI[231] 0.000169352 +6 HI[220] HI[223] 0.000550637 +*RES +1 *900:HI HI[223] 33.2494 +*END + +*D_NET *139 0.00143571 +*CONN +*P HI[224] O +*I *901:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[224] 0.000428235 +2 *901:HI 0.000428235 +3 HI[224] HI[22] 0.000110598 +4 HI[224] HI[274] 8.00918e-05 +5 HI[181] HI[224] 0.000388553 +*RES +1 *901:HI HI[224] 23.355 +*END + +*D_NET *140 0.00126065 +*CONN +*P HI[225] O +*I *902:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[225] 0.000452556 +2 *902:HI 0.000452556 +3 HI[225] HI[238] 1.96655e-05 +4 HI[225] HI[307] 3.9504e-05 +5 HI[225] HI[331] 0.00010245 +6 HI[225] HI[341] 0.000132291 +7 HI[1] HI[225] 6.16269e-05 +*RES +1 *902:HI HI[225] 36.0634 +*END + +*D_NET *141 0.00144107 +*CONN +*P HI[226] O +*I *903:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[226] 0.00034653 +2 *903:HI 0.00034653 +3 HI[226] HI[229] 0.000434263 +4 HI[226] HI[231] 0.000111536 +5 HI[218] HI[226] 6.28701e-05 +6 HI[220] HI[226] 0 +7 HI[223] HI[226] 0.00013934 +*RES +1 *903:HI HI[226] 24.3249 +*END + +*D_NET *142 0.00218593 +*CONN +*P HI[227] O +*I *904:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[227] 0.00059776 +2 *904:HI 0.00059776 +3 HI[227] HI[266] 0.0001126 +4 HI[227] HI[328] 0.000172777 +5 HI[227] HI[334] 1.33188e-05 +6 HI[227] HI[33] 2.80451e-05 +7 HI[164] HI[227] 0.000535741 +8 HI[16] HI[227] 1.33298e-05 +9 HI[172] HI[227] 0.000114595 +*RES +1 *904:HI HI[227] 26.6826 +*END + +*D_NET *143 0.00338135 +*CONN +*P HI[228] O +*I *905:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[228] 0.000529847 +2 *905:HI 0.000529847 +3 HI[228] HI[280] 1.57066e-05 +4 HI[228] HI[31] 0 +5 HI[17] HI[228] 0.00142986 +6 *61:16 HI[228] 0.000876098 +*RES +1 *905:HI HI[228] 44.1727 +*END + +*D_NET *144 0.0014094 +*CONN +*P HI[229] O +*I *906:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[229] 0.00033298 +2 *906:HI 0.00033298 +3 HI[229] HI[231] 4.3133e-05 +4 HI[229] HI[234] 0.000111536 +5 HI[220] HI[229] 0.000146731 +6 HI[223] HI[229] 7.77309e-06 +7 HI[226] HI[229] 0.000434263 +*RES +1 *906:HI HI[229] 24.7401 +*END + +*D_NET *145 0.00122176 +*CONN +*P HI[22] O +*I *907:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[22] 0.00030538 +2 *907:HI 0.00030538 +3 HI[22] HI[235] 0.000107496 +4 HI[22] HI[274] 1.9689e-05 +5 HI[205] HI[22] 0.000373213 +6 HI[224] HI[22] 0.000110598 +*RES +1 *907:HI HI[22] 23.5648 +*END + +*D_NET *146 0.000973734 +*CONN +*P HI[230] O +*I *908:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[230] 0.000310251 +2 *908:HI 0.000310251 +3 HI[230] HI[241] 0 +4 HI[230] HI[310] 0.000163512 +5 HI[200] HI[230] 0.00018972 +*RES +1 *908:HI HI[230] 22.2846 +*END + +*D_NET *147 0.00160132 +*CONN +*P HI[231] O +*I *909:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[231] 0.000469771 +2 *909:HI 0.000469771 +3 HI[231] HI[234] 0.000337758 +4 HI[223] HI[231] 0.000169352 +5 HI[226] HI[231] 0.000111536 +6 HI[229] HI[231] 4.3133e-05 +*RES +1 *909:HI HI[231] 24.095 +*END + +*D_NET *148 0.00147225 +*CONN +*P HI[232] O +*I *910:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[232] 0.000284191 +2 *910:HI 0.000284191 +3 HI[232] HI[256] 0.0001126 +4 HI[232] HI[261] 0.000139905 +5 HI[232] HI[269] 0.000439298 +6 HI[232] HI[272] 0.00021206 +*RES +1 *910:HI HI[232] 24.3249 +*END + +*D_NET *149 0.00156574 +*CONN +*P HI[233] O +*I *911:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[233] 0.000385369 +2 *911:HI 0.000385369 +3 HI[233] HI[265] 3.41321e-05 +4 HI[233] HI[281] 6.06823e-05 +5 HI[233] HI[295] 0.000146731 +6 HI[233] HI[301] 0.000146731 +7 HI[211] HI[233] 0.000319954 +8 HI[21] HI[233] 8.6772e-05 +*RES +1 *911:HI HI[233] 35.8536 +*END + +*D_NET *150 0.00153892 +*CONN +*P HI[234] O +*I *912:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[234] 0.000314296 +2 *912:HI 0.000314296 +3 HI[234] HI[237] 0.000346434 +4 HI[234] HI[23] 0.000114595 +5 HI[229] HI[234] 0.000111536 +6 HI[231] HI[234] 0.000337758 +*RES +1 *912:HI HI[234] 24.5103 +*END + +*D_NET *151 0.00125853 +*CONN +*P HI[235] O +*I *913:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[235] 0.000430978 +2 *913:HI 0.000430978 +3 HI[235] HI[240] 0.0001126 +4 HI[235] HI[317] 3.30775e-05 +5 HI[205] HI[235] 0.0001434 +6 HI[22] HI[235] 0.000107496 +*RES +1 *913:HI HI[235] 22.8004 +*END + +*D_NET *152 0.00112993 +*CONN +*P HI[236] O +*I *914:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[236] 0.000276784 +2 *914:HI 0.000276784 +3 HI[236] HI[285] 4.79648e-05 +4 HI[236] HI[318] 0.000292746 +5 HI[236] HI[332] 0.000172777 +6 HI[192] HI[236] 6.28701e-05 +*RES +1 *914:HI HI[236] 23.0763 +*END + +*D_NET *153 0.00138604 +*CONN +*P HI[237] O +*I *915:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[237] 0.000426088 +2 *915:HI 0.000426088 +3 HI[237] HI[23] 0.000126585 +4 HI[237] HI[242] 6.08467e-05 +5 HI[234] HI[237] 0.000346434 +*RES +1 *915:HI HI[237] 25.4801 +*END + +*D_NET *154 0.00176849 +*CONN +*P HI[238] O +*I *916:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[238] 0.000471022 +2 *916:HI 0.000471022 +3 HI[238] HI[249] 0.000352825 +4 HI[1] HI[238] 0.000453954 +5 HI[225] HI[238] 1.96655e-05 +*RES +1 *916:HI HI[238] 27.1511 +*END + +*D_NET *155 0.00146172 +*CONN +*P HI[239] O +*I *917:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[239] 0.000449237 +2 *917:HI 0.000449237 +3 HI[239] HI[341] 3.72076e-05 +4 HI[175] HI[239] 0.000526042 +5 HI[20] HI[239] 0 +*RES +1 *917:HI HI[239] 25.4341 +*END + +*D_NET *156 0.00158475 +*CONN +*P HI[23] O +*I *918:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[23] 0.000550068 +2 *918:HI 0.000550068 +3 HI[23] HI[242] 0.000243439 +4 HI[234] HI[23] 0.000114595 +5 HI[237] HI[23] 0.000126585 +*RES +1 *918:HI HI[23] 24.6496 +*END + +*D_NET *157 0.00121884 +*CONN +*P HI[240] O +*I *919:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[240] 0.00031199 +2 *919:HI 0.00031199 +3 HI[240] HI[246] 0.0001126 +4 HI[240] HI[317] 2.98205e-05 +5 HI[240] HI[320] 0.000339838 +6 HI[235] HI[240] 0.0001126 +*RES +1 *919:HI HI[240] 23.2157 +*END + +*D_NET *158 0.000999885 +*CONN +*P HI[241] O +*I *920:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[241] 0.000361257 +2 *920:HI 0.000361257 +3 HI[241] HI[310] 3.30775e-05 +4 HI[163] HI[241] 0.000244293 +5 HI[230] HI[241] 0 +*RES +1 *920:HI HI[241] 21.6912 +*END + +*D_NET *159 0.00155846 +*CONN +*P HI[242] O +*I *921:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[242] 0.00032756 +2 *921:HI 0.00032756 +3 HI[242] HI[245] 0.00048752 +4 HI[242] HI[248] 0.000111536 +5 HI[237] HI[242] 6.08467e-05 +6 HI[23] HI[242] 0.000243439 +*RES +1 *921:HI HI[242] 25.2503 +*END + +*D_NET *160 0.000951855 +*CONN +*P HI[243] O +*I *922:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[243] 0.000338733 +2 *922:HI 0.000338733 +3 HI[243] HI[303] 0.000228017 +4 HI[188] HI[243] 5.04829e-06 +5 HI[200] HI[243] 4.13245e-05 +*RES +1 *922:HI HI[243] 22.0476 +*END + +*D_NET *161 0.00103231 +*CONN +*P HI[244] O +*I *923:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[244] 0.000298761 +2 *923:HI 0.000298761 +3 HI[192] HI[244] 0.000294709 +4 HI[198] HI[244] 0.000140079 +*RES +1 *923:HI HI[244] 23.0763 +*END + +*D_NET *162 0.00152153 +*CONN +*P HI[245] O +*I *924:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[245] 0.000337045 +2 *924:HI 0.000337045 +3 HI[245] HI[248] 0.000248389 +4 HI[245] HI[250] 0.000111536 +5 HI[242] HI[245] 0.00048752 +*RES +1 *924:HI HI[245] 25.8293 +*END + +*D_NET *163 0.00155718 +*CONN +*P HI[246] O +*I *925:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[246] 0.000442259 +2 *925:HI 0.000442259 +3 HI[246] HI[251] 0.00021206 +4 HI[246] HI[317] 0.000172777 +5 HI[246] HI[320] 8.80318e-05 +6 HI[246] HI[324] 8.71967e-05 +7 HI[240] HI[246] 0.0001126 +*RES +1 *925:HI HI[246] 23.9096 +*END + +*D_NET *164 0.000929504 +*CONN +*P HI[247] O +*I *926:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[247] 0.000302265 +2 *926:HI 0.000302265 +3 HI[247] HI[255] 0.000130287 +4 HI[247] HI[264] 0.000194687 +*RES +1 *926:HI HI[247] 22.2846 +*END + +*D_NET *165 0.00170079 +*CONN +*P HI[248] O +*I *927:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[248] 0.000531754 +2 *927:HI 0.000531754 +3 HI[248] HI[250] 0.000277355 +4 HI[242] HI[248] 0.000111536 +5 HI[245] HI[248] 0.000248389 +*RES +1 *927:HI HI[248] 24.6568 +*END + +*D_NET *166 0.0018424 +*CONN +*P HI[249] O +*I *928:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[249] 0.000533431 +2 *928:HI 0.000533431 +3 HI[249] HI[307] 4.63284e-05 +4 HI[249] HI[331] 0.00026179 +5 HI[1] HI[249] 0.000114595 +6 HI[238] HI[249] 0.000352825 +*RES +1 *928:HI HI[249] 27.0681 +*END + +*D_NET *167 0.00142699 +*CONN +*P HI[24] O +*I *929:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[24] 0.000441077 +2 *929:HI 0.000441077 +3 HI[24] HI[299] 0.000338816 +4 HI[201] HI[24] 0.000112593 +5 HI[204] HI[24] 9.34217e-05 +*RES +1 *929:HI HI[24] 23.5332 +*END + +*D_NET *168 0.00157582 +*CONN +*P HI[250] O +*I *930:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[250] 0.000362176 +2 *930:HI 0.000362176 +3 HI[250] HI[253] 0.000347985 +4 HI[250] HI[256] 0.000114595 +5 HI[245] HI[250] 0.000111536 +6 HI[248] HI[250] 0.000277355 +*RES +1 *930:HI HI[250] 25.4141 +*END + +*D_NET *169 0.00112874 +*CONN +*P HI[251] O +*I *931:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[251] 0.000280714 +2 *931:HI 0.000280714 +3 HI[251] HI[257] 0.0001126 +4 HI[251] HI[324] 0.000209572 +5 HI[251] HI[328] 3.30775e-05 +6 HI[246] HI[251] 0.00021206 +*RES +1 *931:HI HI[251] 23.2157 +*END + +*D_NET *170 0.00118621 +*CONN +*P HI[252] O +*I *932:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[252] 0.000359572 +2 *932:HI 0.000359572 +3 HI[252] HI[29] 6.28701e-05 +4 HI[202] HI[252] 0.000289093 +5 HI[208] HI[252] 0.000115099 +*RES +1 *932:HI HI[252] 22.2458 +*END + +*D_NET *171 0.00140284 +*CONN +*P HI[253] O +*I *933:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[253] 0.000382814 +2 *933:HI 0.000382814 +3 HI[253] HI[256] 0.000176628 +4 HI[253] HI[261] 0.0001126 +5 HI[250] HI[253] 0.000347985 +*RES +1 *933:HI HI[253] 25.8293 +*END + +*D_NET *172 0.000872803 +*CONN +*P HI[254] O +*I *934:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[254] 0.000379889 +2 *934:HI 0.000379889 +3 HI[254] HI[312] 1.41976e-05 +4 HI[254] HI[316] 7.91375e-05 +5 HI[254] HI[325] 1.9689e-05 +*RES +1 *934:HI HI[254] 22.5217 +*END + +*D_NET *173 0.0010415 +*CONN +*P HI[255] O +*I *935:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[255] 0.000342629 +2 *935:HI 0.000342629 +3 HI[255] HI[312] 0.000225952 +4 HI[247] HI[255] 0.000130287 +*RES +1 *935:HI HI[255] 22.0404 +*END + +*D_NET *174 0.00151965 +*CONN +*P HI[256] O +*I *936:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[256] 0.00053782 +2 *936:HI 0.00053782 +3 HI[256] HI[259] 3.30775e-05 +4 HI[256] HI[261] 7.10483e-06 +5 HI[232] HI[256] 0.0001126 +6 HI[250] HI[256] 0.000114595 +7 HI[253] HI[256] 0.000176628 +*RES +1 *936:HI HI[256] 24.6568 +*END + +*D_NET *175 0.00135516 +*CONN +*P HI[257] O +*I *937:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[257] 0.000277859 +2 *937:HI 0.000277859 +3 HI[257] HI[305] 0.000282634 +4 HI[257] HI[324] 0.00026179 +5 HI[257] HI[328] 2.98205e-05 +6 HI[257] HI[334] 0.0001126 +7 HI[251] HI[257] 0.0001126 +*RES +1 *937:HI HI[257] 23.6309 +*END + +*D_NET *176 0.00100867 +*CONN +*P HI[258] O +*I *938:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[258] 0.000326738 +2 *938:HI 0.000326738 +3 HI[258] HI[25] 0.000271685 +4 HI[258] HI[325] 8.35139e-05 +*RES +1 *938:HI HI[258] 22.0404 +*END + +*D_NET *177 0.00105695 +*CONN +*P HI[259] O +*I *939:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[259] 0.000372996 +2 *939:HI 0.000372996 +3 HI[259] HI[261] 2.98205e-05 +4 HI[259] HI[269] 7.52867e-05 +5 HI[259] HI[272] 0.000172777 +6 HI[256] HI[259] 3.30775e-05 +*RES +1 *939:HI HI[259] 33.6352 +*END + +*D_NET *178 0.00113176 +*CONN +*P HI[25] O +*I *940:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[25] 0.000251247 +2 *940:HI 0.000251247 +3 HI[25] HI[263] 6.28701e-05 +4 HI[190] HI[25] 0.000294709 +5 HI[258] HI[25] 0.000271685 +*RES +1 *940:HI HI[25] 22.6611 +*END + +*D_NET *179 0.00108897 +*CONN +*P HI[260] O +*I *941:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[260] 0.000336838 +2 *941:HI 0.000336838 +3 HI[260] HI[262] 1.56778e-05 +4 HI[260] HI[288] 6.28701e-05 +5 HI[260] HI[297] 0.000159264 +6 HI[260] HI[2] 6.22259e-05 +7 HI[161] HI[260] 4.55889e-05 +8 HI[210] HI[260] 6.96673e-05 +*RES +1 *941:HI HI[260] 22.1065 +*END + +*D_NET *180 0.00178184 +*CONN +*P HI[261] O +*I *942:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[261] 0.000490987 +2 *942:HI 0.000490987 +3 HI[261] HI[269] 0.000510441 +4 HI[232] HI[261] 0.000139905 +5 HI[253] HI[261] 0.0001126 +6 HI[256] HI[261] 7.10483e-06 +7 HI[259] HI[261] 2.98205e-05 +*RES +1 *942:HI HI[261] 28.483 +*END + +*D_NET *181 0.00890089 +*CONN +*P HI[262] O +*I *943:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[262] 0.00210168 +2 *943:HI 0.000396511 +3 *181:10 0.00249819 +4 HI[262] HI[284] 9.8207e-05 +5 HI[262] *245:11 0.00232171 +6 *181:10 HI[277] 0.000200794 +7 *181:10 HI[330] 0.000167279 +8 *181:10 *245:8 0 +9 HI[139] *181:10 7.14746e-05 +10 HI[145] *181:10 0.000142178 +11 HI[154] HI[262] 0 +12 HI[160] HI[262] 1.36007e-05 +13 HI[161] HI[262] 0.000833598 +14 HI[260] HI[262] 1.56778e-05 +15 *61:16 HI[262] 0 +16 *122:10 *181:10 3.99892e-05 +*RES +1 *943:HI *181:10 29.9429 +2 *181:10 HI[262] 47.9143 +*END + +*D_NET *182 0.00118621 +*CONN +*P HI[263] O +*I *944:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[263] 0.000359572 +2 *944:HI 0.000359572 +3 HI[263] HI[339] 0.000289093 +4 HI[190] HI[263] 0.000115099 +5 HI[25] HI[263] 6.28701e-05 +*RES +1 *944:HI HI[263] 22.2458 +*END + +*D_NET *183 0.00108627 +*CONN +*P HI[264] O +*I *945:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[264] 0.000324648 +2 *945:HI 0.000324648 +3 HI[182] HI[264] 0.000242291 +4 HI[247] HI[264] 0.000194687 +*RES +1 *945:HI HI[264] 21.6912 +*END + +*D_NET *184 0.00211796 +*CONN +*P HI[265] O +*I *946:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[265] 0.0004897 +2 *946:HI 0.0004897 +3 HI[265] HI[275] 0.000345233 +4 HI[265] HI[295] 0.00026179 +5 HI[211] HI[265] 4.28321e-05 +6 HI[21] HI[265] 0.00045457 +7 HI[233] HI[265] 3.41321e-05 +*RES +1 *946:HI HI[265] 29.2305 +*END + +*D_NET *185 0.00132451 +*CONN +*P HI[266] O +*I *947:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[266] 0.000267644 +2 *947:HI 0.000267644 +3 HI[266] HI[305] 0.0001126 +4 HI[266] HI[334] 0.000485969 +5 HI[266] HI[33] 7.8048e-05 +6 HI[227] HI[266] 0.0001126 +*RES +1 *947:HI HI[266] 23.2157 +*END + +*D_NET *186 0.00108238 +*CONN +*P HI[267] O +*I *948:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[267] 0.000289553 +2 *948:HI 0.000289553 +3 HI[267] HI[339] 0.000208567 +4 HI[165] HI[267] 0.000294709 +*RES +1 *948:HI HI[267] 23.0763 +*END + +*D_NET *187 0.00162008 +*CONN +*P HI[268] O +*I *949:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[268] 0.00037414 +2 *949:HI 0.00037414 +3 HI[268] HI[290] 0.000136538 +4 HI[268] HI[294] 0.00021206 +5 HI[268] HI[298] 0.000211289 +6 HI[268] HI[304] 4.27553e-05 +7 HI[268] HI[309] 0.000249467 +8 HI[268] HI[311] 1.9689e-05 +*RES +1 *949:HI HI[268] 24.8795 +*END + +*D_NET *188 0.0019282 +*CONN +*P HI[269] O +*I *950:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[269] 0.00029966 +2 *950:HI 0.00029966 +3 HI[269] HI[272] 0.000303859 +4 HI[232] HI[269] 0.000439298 +5 HI[259] HI[269] 7.52867e-05 +6 HI[261] HI[269] 0.000510441 +*RES +1 *950:HI HI[269] 26.4039 +*END + +*D_NET *189 0.000939318 +*CONN +*P HI[26] O +*I *951:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[26] 0.000306847 +2 *951:HI 0.000306847 +3 HI[26] HI[282] 8.52051e-05 +4 HI[177] HI[26] 8.00918e-05 +5 HI[185] HI[26] 0.000160328 +*RES +1 *951:HI HI[26] 22.5217 +*END + +*D_NET *190 0.00112174 +*CONN +*P HI[270] O +*I *952:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[270] 0.00037628 +2 *952:HI 0.00037628 +3 HI[165] HI[270] 0.000289093 +4 HI[217] HI[270] 8.00918e-05 +*RES +1 *952:HI HI[270] 22.2458 +*END + +*D_NET *191 0.00081176 +*CONN +*P HI[271] O +*I *953:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[271] 0.000269537 +2 *953:HI 0.000269537 +3 HI[271] HI[282] 1.9689e-05 +4 HI[221] HI[271] 0.000252996 +*RES +1 *953:HI HI[271] 20.9384 +*END + +*D_NET *192 0.00192574 +*CONN +*P HI[272] O +*I *954:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[272] 0.000417383 +2 *954:HI 0.000417383 +3 HI[272] HI[276] 3.30775e-05 +4 HI[272] HI[27] 0.000257666 +5 HI[272] HI[283] 0.000111536 +6 HI[232] HI[272] 0.00021206 +7 HI[259] HI[272] 0.000172777 +8 HI[269] HI[272] 0.000303859 +*RES +1 *954:HI HI[272] 26.3209 +*END + +*D_NET *193 0.000978717 +*CONN +*P HI[273] O +*I *955:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[273] 0.000296667 +2 *955:HI 0.000296667 +3 HI[171] HI[273] 0.000192692 +4 HI[174] HI[273] 0.000192692 +*RES +1 *955:HI HI[273] 21.1366 +*END + +*D_NET *194 0.00115463 +*CONN +*P HI[274] O +*I *956:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[274] 0.000527425 +2 *956:HI 0.000527425 +3 HI[224] HI[274] 8.00918e-05 +4 HI[22] HI[274] 1.9689e-05 +*RES +1 *956:HI HI[274] 26.9529 +*END + +*D_NET *195 0.0019034 +*CONN +*P HI[275] O +*I *957:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[275] 0.000480298 +2 *957:HI 0.000480298 +3 HI[275] HI[281] 6.31782e-05 +4 HI[275] HI[295] 0.000101642 +5 HI[275] HI[301] 0.00031152 +6 HI[211] HI[275] 8.62625e-06 +7 HI[21] HI[275] 0.0001126 +8 HI[265] HI[275] 0.000345233 +*RES +1 *957:HI HI[275] 28.3653 +*END + +*D_NET *196 0.00114118 +*CONN +*P HI[276] O +*I *958:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[276] 0.000455961 +2 *958:HI 0.000455961 +3 HI[276] HI[27] 2.98205e-05 +4 HI[276] HI[287] 9.12416e-06 +5 HI[276] HI[290] 9.04224e-05 +6 HI[276] HI[294] 6.68134e-05 +7 HI[272] HI[276] 3.30775e-05 +*RES +1 *958:HI HI[276] 34.7444 +*END + +*D_NET *197 0.001749 +*CONN +*P HI[277] O +*I *959:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[277] 0.000409851 +2 *959:HI 0.000409851 +3 HI[277] HI[291] 0.000103022 +4 HI[206] HI[277] 1.91195e-05 +5 HI[222] HI[277] 0.000350778 +6 *69:11 HI[277] 0.000255584 +7 *181:10 HI[277] 0.000200794 +*RES +1 *959:HI HI[277] 28.272 +*END + +*D_NET *198 0.00107311 +*CONN +*P HI[278] O +*I *960:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[278] 0.000357265 +2 *960:HI 0.000357265 +3 HI[278] HI[318] 6.28701e-05 +4 HI[278] HI[338] 0.00011675 +5 HI[176] HI[278] 0.00017896 +*RES +1 *960:HI HI[278] 23.4255 +*END + +*D_NET *199 0.00176307 +*CONN +*P HI[279] O +*I *961:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[279] 0.000497041 +2 *961:HI 0.000497041 +3 HI[279] HI[289] 0.000423936 +4 HI[279] HI[296] 6.01521e-05 +5 HI[164] HI[279] 4.20032e-05 +6 HI[16] HI[279] 3.87462e-05 +7 HI[178] HI[279] 6.08467e-05 +8 HI[183] HI[279] 0.000143306 +*RES +1 *961:HI HI[279] 37.6862 +*END + +*D_NET *200 0.00183724 +*CONN +*P HI[27] O +*I *962:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[27] 0.000545069 +2 *962:HI 0.000545069 +3 HI[27] HI[283] 0.000350095 +4 HI[27] HI[287] 0.000109519 +5 HI[272] HI[27] 0.000257666 +6 HI[276] HI[27] 2.98205e-05 +*RES +1 *962:HI HI[27] 26.5063 +*END + +*D_NET *201 0.0010969 +*CONN +*P HI[280] O +*I *963:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[280] 0.000334344 +2 *963:HI 0.000334344 +3 HI[280] HI[288] 7.6978e-05 +4 HI[280] HI[297] 0 +5 HI[280] HI[327] 0.000172676 +6 HI[107] HI[280] 1.2693e-05 +7 HI[108] HI[280] 0.000130414 +8 HI[18] HI[280] 1.97467e-05 +9 HI[228] HI[280] 1.57066e-05 +*RES +1 *963:HI HI[280] 22.3473 +*END + +*D_NET *202 0.00146876 +*CONN +*P HI[281] O +*I *964:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[281] 0.000434132 +2 *964:HI 0.000434132 +3 HI[281] HI[295] 1.96655e-05 +4 HI[281] HI[306] 0.000151436 +5 HI[281] HI[323] 0.000214691 +6 HI[281] HI[337] 9.08473e-05 +7 HI[233] HI[281] 6.06823e-05 +8 HI[275] HI[281] 6.31782e-05 +*RES +1 *964:HI HI[281] 37.1727 +*END + +*D_NET *203 0.00101141 +*CONN +*P HI[282] O +*I *965:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[282] 0.00045326 +2 *965:HI 0.00045326 +3 HI[26] HI[282] 8.52051e-05 +4 HI[271] HI[282] 1.9689e-05 +*RES +1 *965:HI HI[282] 24.7345 +*END + +*D_NET *204 0.00165507 +*CONN +*P HI[283] O +*I *966:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[283] 0.000418492 +2 *966:HI 0.000418492 +3 HI[283] HI[287] 0.000144393 +4 HI[283] HI[294] 0.00021206 +5 HI[272] HI[283] 0.000111536 +6 HI[27] HI[283] 0.000350095 +*RES +1 *966:HI HI[283] 26.5893 +*END + +*D_NET *205 0.00184675 +*CONN +*P HI[284] O +*I *967:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[284] 0.00060072 +2 *967:HI 0.00060072 +3 HI[284] HI[28] 2.93844e-05 +4 HI[284] HI[321] 0.00024137 +5 HI[284] HI[327] 0.000127763 +6 HI[106] HI[284] 1.61631e-05 +7 HI[262] HI[284] 9.8207e-05 +8 *61:16 HI[284] 0.000132425 +*RES +1 *967:HI HI[284] 32.1561 +*END + +*D_NET *206 0.00114358 +*CONN +*P HI[285] O +*I *968:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[285] 0.000364681 +2 *968:HI 0.000364681 +3 HI[285] HI[332] 7.52867e-05 +4 HI[192] HI[285] 0.000290963 +5 HI[236] HI[285] 4.79648e-05 +*RES +1 *968:HI HI[285] 22.4312 +*END + +*D_NET *207 0.00139474 +*CONN +*P HI[286] O +*I *969:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[286] 0.000271865 +2 *969:HI 0.000271865 +3 HI[286] HI[30] 7.99188e-05 +4 HI[186] HI[286] 0.000160328 +5 HI[189] HI[286] 0.000110598 +6 HI[197] HI[286] 0.000387566 +7 HI[19] HI[286] 0.000112593 +*RES +1 *969:HI HI[286] 23.7703 +*END + +*D_NET *208 0.00171266 +*CONN +*P HI[287] O +*I *970:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[287] 0.000664903 +2 *970:HI 0.000664903 +3 HI[287] HI[290] 3.74027e-05 +4 HI[287] HI[294] 8.24148e-05 +5 HI[276] HI[287] 9.12416e-06 +6 HI[27] HI[287] 0.000109519 +7 HI[283] HI[287] 0.000144393 +*RES +1 *970:HI HI[287] 27.1972 +*END + +*D_NET *209 0.0015438 +*CONN +*P HI[288] O +*I *971:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[288] 0.00048826 +2 *971:HI 0.00048826 +3 HI[288] HI[327] 3.8037e-05 +4 HI[110] HI[288] 3.3239e-06 +5 HI[113] HI[288] 2.22159e-05 +6 HI[17] HI[288] 0.000121426 +7 HI[210] HI[288] 0.00024243 +8 HI[260] HI[288] 6.28701e-05 +9 HI[280] HI[288] 7.6978e-05 +*RES +1 *971:HI HI[288] 25.4216 +*END + +*D_NET *210 0.00181988 +*CONN +*P HI[289] O +*I *972:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[289] 0.000499993 +2 *972:HI 0.000499993 +3 HI[172] HI[289] 3.30775e-05 +4 HI[178] HI[289] 0.000140419 +5 HI[183] HI[289] 0.000222457 +6 HI[186] HI[289] 0 +7 HI[279] HI[289] 0.000423936 +*RES +1 *972:HI HI[289] 36.0224 +*END + +*D_NET *211 0.00240511 +*CONN +*P HI[28] O +*I *973:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[28] 0.000757537 +2 *973:HI 0.000757537 +3 HI[28] HI[291] 0 +4 HI[28] HI[2] 1.3069e-05 +5 HI[28] HI[327] 1.7658e-05 +6 HI[106] HI[28] 4.89898e-06 +7 HI[107] HI[28] 7.92757e-06 +8 HI[108] HI[28] 0.000525911 +9 HI[111] HI[28] 0.000221547 +10 HI[112] HI[28] 6.96396e-05 +11 HI[284] HI[28] 2.93844e-05 +*RES +1 *973:HI HI[28] 29.8841 +*END + +*D_NET *212 0.00194128 +*CONN +*P HI[290] O +*I *974:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[290] 0.000352903 +2 *974:HI 0.000352903 +3 HI[290] HI[294] 2.80451e-05 +4 HI[290] HI[298] 0.000576461 +5 HI[290] HI[300] 0.000366603 +6 HI[268] HI[290] 0.000136538 +7 HI[276] HI[290] 9.04224e-05 +8 HI[287] HI[290] 3.74027e-05 +*RES +1 *974:HI HI[290] 36.4082 +*END + +*D_NET *213 0.00272815 +*CONN +*P HI[291] O +*I *975:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[291] 0.0011251 +2 *975:HI 0.0011251 +3 HI[107] HI[291] 5.04829e-06 +4 HI[108] HI[291] 2.81717e-05 +5 HI[206] HI[291] 7.139e-05 +6 HI[214] HI[291] 0.000101775 +7 HI[222] HI[291] 0.000154145 +8 HI[277] HI[291] 0.000103022 +9 HI[28] HI[291] 0 +10 *69:11 HI[291] 1.43983e-05 +11 *122:10 HI[291] 0 +*RES +1 *975:HI HI[291] 34.8539 +*END + +*D_NET *214 0.00112165 +*CONN +*P HI[292] O +*I *976:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[292] 0.00047852 +2 *976:HI 0.00047852 +3 HI[198] HI[292] 8.00918e-05 +4 HI[202] HI[292] 8.45193e-05 +*RES +1 *976:HI HI[292] 26.3983 +*END + +*D_NET *215 0.000777181 +*CONN +*P HI[293] O +*I *977:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[293] 0.000279148 +2 *977:HI 0.000279148 +3 HI[293] HI[335] 0.00012676 +4 HI[293] HI[336] 8.70759e-05 +5 HI[196] HI[293] 5.04829e-06 +*RES +1 *977:HI HI[293] 21.9671 +*END + +*D_NET *216 0.00171435 +*CONN +*P HI[294] O +*I *978:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[294] 0.000539941 +2 *978:HI 0.000539941 +3 HI[294] HI[298] 3.30775e-05 +4 HI[294] HI[300] 0 +5 HI[294] HI[304] 0 +6 HI[268] HI[294] 0.00021206 +7 HI[276] HI[294] 6.68134e-05 +8 HI[283] HI[294] 0.00021206 +9 HI[287] HI[294] 8.24148e-05 +10 HI[290] HI[294] 2.80451e-05 +*RES +1 *978:HI HI[294] 29.2305 +*END + +*D_NET *217 0.00205194 +*CONN +*P HI[295] O +*I *979:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[295] 0.000511 +2 *979:HI 0.000511 +3 HI[295] HI[301] 0.000291112 +4 HI[295] HI[323] 0.000209001 +5 HI[233] HI[295] 0.000146731 +6 HI[265] HI[295] 0.00026179 +7 HI[275] HI[295] 0.000101642 +8 HI[281] HI[295] 1.96655e-05 +*RES +1 *979:HI HI[295] 29.5869 +*END + +*D_NET *218 0.00129265 +*CONN +*P HI[296] O +*I *980:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[296] 0.000561142 +2 *980:HI 0.000561142 +3 HI[178] HI[296] 7.08773e-05 +4 HI[183] HI[296] 3.93408e-05 +5 HI[279] HI[296] 6.01521e-05 +*RES +1 *980:HI HI[296] 27.5075 +*END + +*D_NET *219 0.0010616 +*CONN +*P HI[297] O +*I *981:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[297] 0.000346743 +2 *981:HI 0.000346743 +3 HI[18] HI[297] 1.46543e-05 +4 HI[210] HI[297] 0.00019419 +5 HI[260] HI[297] 0.000159264 +6 HI[280] HI[297] 0 +*RES +1 *981:HI HI[297] 21.7617 +*END + +*D_NET *220 0.00188984 +*CONN +*P HI[298] O +*I *982:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[298] 0.000478799 +2 *982:HI 0.000478799 +3 HI[298] HI[300] 7.3186e-05 +4 HI[298] HI[309] 3.82316e-05 +5 HI[268] HI[298] 0.000211289 +6 HI[290] HI[298] 0.000576461 +7 HI[294] HI[298] 3.30775e-05 +*RES +1 *982:HI HI[298] 36.577 +*END + +*D_NET *221 0.00145807 +*CONN +*P HI[299] O +*I *983:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[299] 0.000274816 +2 *983:HI 0.000274816 +3 HI[204] HI[299] 0.000112593 +4 HI[212] HI[299] 0.000344432 +5 HI[215] HI[299] 0.000112593 +6 HI[24] HI[299] 0.000338816 +*RES +1 *983:HI HI[299] 23.9485 +*END + +*D_NET *222 0.00129779 +*CONN +*P HI[29] O +*I *984:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[29] 0.000367354 +2 *984:HI 0.000367354 +3 HI[208] HI[29] 0.000208567 +4 HI[213] HI[29] 0.000291649 +5 HI[252] HI[29] 6.28701e-05 +*RES +1 *984:HI HI[29] 23.4086 +*END + +*D_NET *223 0.0034724 +*CONN +*P HI[2] O +*I *985:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[2] 0.0009632 +2 *985:HI 0.0009632 +3 HI[112] HI[2] 0.000130551 +4 HI[161] HI[2] 6.56365e-05 +5 HI[214] HI[2] 1.8739e-05 +6 HI[260] HI[2] 6.22259e-05 +7 HI[28] HI[2] 1.3069e-05 +8 *61:16 HI[2] 0.00125578 +*RES +1 *985:HI HI[2] 41.3997 +*END + +*D_NET *224 0.00229259 +*CONN +*P HI[300] O +*I *986:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[300] 0.000375972 +2 *986:HI 0.000375972 +3 HI[300] HI[304] 0.000932884 +4 HI[300] HI[311] 0.000122996 +5 HI[300] HI[313] 4.49807e-05 +6 HI[290] HI[300] 0.000366603 +7 HI[294] HI[300] 0 +8 HI[298] HI[300] 7.3186e-05 +*RES +1 *986:HI HI[300] 38.6266 +*END + +*D_NET *225 0.002184 +*CONN +*P HI[301] O +*I *987:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[301] 0.000658279 +2 *987:HI 0.000658279 +3 HI[301] HI[306] 3.56172e-05 +4 HI[301] HI[314] 0 +5 HI[301] HI[323] 1.95934e-05 +6 HI[173] HI[301] 6.28701e-05 +7 HI[233] HI[301] 0.000146731 +8 HI[275] HI[301] 0.00031152 +9 HI[295] HI[301] 0.000291112 +*RES +1 *987:HI HI[301] 29.0814 +*END + +*D_NET *226 0.00121634 +*CONN +*P HI[302] O +*I *988:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[302] 0.000398507 +2 *988:HI 0.000398507 +3 HI[181] HI[302] 0.0001126 +4 HI[213] HI[302] 0.000191628 +5 HI[219] HI[302] 0.000115099 +*RES +1 *988:HI HI[302] 22.9858 +*END + +*D_NET *227 0.00118648 +*CONN +*P HI[303] O +*I *989:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[303] 0.000435311 +2 *989:HI 0.000435311 +3 HI[188] HI[303] 8.78432e-05 +4 HI[243] HI[303] 0.000228017 +*RES +1 *989:HI HI[303] 25.8437 +*END + +*D_NET *228 0.00216018 +*CONN +*P HI[304] O +*I *990:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[304] 0.000575557 +2 *990:HI 0.000575557 +3 HI[304] HI[311] 4.89898e-06 +4 HI[304] HI[326] 2.85274e-05 +5 HI[304] HI[333] 0 +6 HI[268] HI[304] 4.27553e-05 +7 HI[294] HI[304] 0 +8 HI[300] HI[304] 0.000932884 +*RES +1 *990:HI HI[304] 38.6266 +*END + +*D_NET *229 0.00129698 +*CONN +*P HI[305] O +*I *991:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[305] 0.000406977 +2 *991:HI 0.000406977 +3 HI[305] HI[334] 8.77909e-05 +4 HI[257] HI[305] 0.000282634 +5 HI[266] HI[305] 0.0001126 +*RES +1 *991:HI HI[305] 23.9632 +*END + +*D_NET *230 0.00187865 +*CONN +*P HI[306] O +*I *992:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[306] 0.000339147 +2 *992:HI 0.000339147 +3 HI[306] HI[314] 0.000837851 +4 HI[184] HI[306] 0.00016768 +5 HI[194] HI[306] 7.77309e-06 +6 HI[281] HI[306] 0.000151436 +7 HI[301] HI[306] 3.56172e-05 +*RES +1 *992:HI HI[306] 36.4082 +*END + +*D_NET *231 0.00157816 +*CONN +*P HI[307] O +*I *993:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[307] 0.000358989 +2 *993:HI 0.000358989 +3 HI[307] HI[308] 0.00011818 +4 HI[307] HI[331] 4.30714e-05 +5 HI[307] HI[341] 0.000432613 +6 HI[175] HI[307] 0.000115588 +7 HI[20] HI[307] 6.49003e-05 +8 HI[225] HI[307] 3.9504e-05 +9 HI[249] HI[307] 4.63284e-05 +*RES +1 *993:HI HI[307] 35.299 +*END + +*D_NET *232 0.00191 +*CONN +*P HI[308] O +*I *994:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[308] 0.000482955 +2 *994:HI 0.000482955 +3 HI[308] HI[341] 0.000129801 +4 HI[175] HI[308] 3.41321e-05 +5 HI[20] HI[308] 0.000661974 +6 HI[307] HI[308] 0.00011818 +*RES +1 *994:HI HI[308] 39.1812 +*END + +*D_NET *233 0.00172198 +*CONN +*P HI[309] O +*I *995:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[309] 0.000476981 +2 *995:HI 0.000476981 +3 HI[309] HI[311] 2.41139e-05 +4 HI[309] HI[313] 0.00041098 +5 HI[309] HI[319] 4.52272e-05 +6 HI[268] HI[309] 0.000249467 +7 HI[298] HI[309] 3.82316e-05 +*RES +1 *995:HI HI[309] 26.9585 +*END + +*D_NET *234 0.00121582 +*CONN +*P HI[30] O +*I *996:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[30] 0.000553041 +2 *996:HI 0.000553041 +3 HI[186] HI[30] 0 +4 HI[189] HI[30] 2.98205e-05 +5 HI[286] HI[30] 7.99188e-05 +*RES +1 *996:HI HI[30] 27.5075 +*END + +*D_NET *235 0.000930647 +*CONN +*P HI[310] O +*I *997:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[310] 0.000282353 +2 *997:HI 0.000282353 +3 HI[200] HI[310] 0.000169352 +4 HI[230] HI[310] 0.000163512 +5 HI[241] HI[310] 3.30775e-05 +*RES +1 *997:HI HI[310] 31.031 +*END + +*D_NET *236 0.0018366 +*CONN +*P HI[311] O +*I *998:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[311] 0.000489203 +2 *998:HI 0.000489203 +3 HI[311] HI[315] 0.00018863 +4 HI[311] HI[326] 0.000419724 +5 HI[311] HI[333] 7.81399e-05 +6 HI[268] HI[311] 1.9689e-05 +7 HI[300] HI[311] 0.000122996 +8 HI[304] HI[311] 4.89898e-06 +9 HI[309] HI[311] 2.41139e-05 +*RES +1 *998:HI HI[311] 38.9758 +*END + +*D_NET *237 0.000945297 +*CONN +*P HI[312] O +*I *999:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[312] 0.000336035 +2 *999:HI 0.000336035 +3 HI[312] HI[316] 3.30775e-05 +4 HI[254] HI[312] 1.41976e-05 +5 HI[255] HI[312] 0.000225952 +*RES +1 *999:HI HI[312] 22.8634 +*END + +*D_NET *238 0.00191271 +*CONN +*P HI[313] O +*I *1000:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[313] 0.000539423 +2 *1000:HI 0.000539423 +3 HI[313] HI[315] 0.000258731 +4 HI[313] HI[319] 9.06935e-05 +5 HI[313] HI[326] 2.8477e-05 +6 HI[313] HI[32] 0 +7 HI[313] HI[333] 0 +8 HI[300] HI[313] 4.49807e-05 +9 HI[309] HI[313] 0.00041098 +*RES +1 *1000:HI HI[313] 28.9546 +*END + +*D_NET *239 0.00200817 +*CONN +*P HI[314] O +*I *1001:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[314] 0.000443981 +2 *1001:HI 0.000443981 +3 HI[314] HI[323] 2.95316e-05 +4 HI[173] HI[314] 0.000109519 +5 HI[194] HI[314] 0.000143306 +6 HI[301] HI[314] 0 +7 HI[306] HI[314] 0.000837851 +*RES +1 *1001:HI HI[314] 36.577 +*END + +*D_NET *240 0.00171558 +*CONN +*P HI[315] O +*I *1002:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[315] 0.000349927 +2 *1002:HI 0.000349927 +3 HI[315] HI[333] 2.24434e-05 +4 HI[315] HI[342] 7.6072e-05 +5 HI[167] HI[315] 0.000309518 +6 HI[170] HI[315] 0.000160328 +7 HI[311] HI[315] 0.00018863 +8 HI[313] HI[315] 0.000258731 +*RES +1 *1002:HI HI[315] 25.4341 +*END + +*D_NET *241 0.000796738 +*CONN +*P HI[316] O +*I *1003:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[316] 0.000255873 +2 *1003:HI 0.000255873 +3 HI[316] HI[325] 0.000172777 +4 HI[254] HI[316] 7.91375e-05 +5 HI[312] HI[316] 3.30775e-05 +*RES +1 *1003:HI HI[316] 30.8622 +*END + +*D_NET *242 0.000914616 +*CONN +*P HI[317] O +*I *1004:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[317] 0.000301827 +2 *1004:HI 0.000301827 +3 HI[317] HI[320] 7.52867e-05 +4 HI[235] HI[317] 3.30775e-05 +5 HI[240] HI[317] 2.98205e-05 +6 HI[246] HI[317] 0.000172777 +*RES +1 *1004:HI HI[317] 31.9714 +*END + +*D_NET *243 0.00104679 +*CONN +*P HI[318] O +*I *1005:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[318] 0.000305246 +2 *1005:HI 0.000305246 +3 HI[318] HI[338] 8.06861e-05 +4 HI[236] HI[318] 0.000292746 +5 HI[278] HI[318] 6.28701e-05 +*RES +1 *1005:HI HI[318] 22.6611 +*END + +*D_NET *244 0.00141653 +*CONN +*P HI[319] O +*I *1006:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[319] 0.000640306 +2 *1006:HI 0.000640306 +3 HI[309] HI[319] 4.52272e-05 +4 HI[313] HI[319] 9.06935e-05 +*RES +1 *1006:HI HI[319] 28.9659 +*END + +*D_NET *245 0.00748583 +*CONN +*P HI[31] O +*I *1007:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[31] 0.000618716 +2 *1007:HI 0.000339631 +3 *245:11 0.00190231 +4 *245:8 0.00162322 +5 HI[12] HI[31] 0.000189913 +6 HI[142] *245:8 9.75356e-05 +7 HI[143] *245:8 3.92275e-05 +8 HI[145] *245:8 0 +9 HI[149] *245:11 0 +10 HI[162] HI[31] 0.000168899 +11 HI[17] HI[31] 3.87462e-05 +12 HI[209] HI[31] 0.000145923 +13 HI[228] HI[31] 0 +14 HI[262] *245:11 0.00232171 +15 *181:10 *245:8 0 +*RES +1 *1007:HI *245:8 26.7252 +2 *245:8 *245:11 41.8272 +3 *245:11 HI[31] 19.0527 +*END + +*D_NET *246 0.00120474 +*CONN +*P HI[320] O +*I *1008:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[320] 0.000294492 +2 *1008:HI 0.000294492 +3 HI[320] HI[324] 0.0001126 +4 HI[240] HI[320] 0.000339838 +5 HI[246] HI[320] 8.80318e-05 +6 HI[317] HI[320] 7.52867e-05 +*RES +1 *1008:HI HI[320] 23.6309 +*END + +*D_NET *247 0.00174511 +*CONN +*P HI[321] O +*I *1009:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[321] 0.000441773 +2 *1009:HI 0.000441773 +3 HI[321] HI[327] 3.14978e-05 +4 HI[162] HI[321] 2.3329e-06 +5 HI[209] HI[321] 0.000217193 +6 HI[284] HI[321] 0.00024137 +7 *61:16 HI[321] 0.000369173 +*RES +1 *1009:HI HI[321] 29.5866 +*END + +*D_NET *248 0.00156203 +*CONN +*P HI[322] O +*I *1010:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[322] 0.000420846 +2 *1010:HI 0.000420846 +3 HI[322] HI[32] 3.85205e-05 +4 HI[322] HI[342] 0 +5 HI[167] HI[322] 0.000309518 +6 HI[170] HI[322] 8.88212e-05 +7 HI[180] HI[322] 1.9689e-05 +8 HI[187] HI[322] 0.000263786 +*RES +1 *1010:HI HI[322] 26.2646 +*END + +*D_NET *249 0.00192094 +*CONN +*P HI[323] O +*I *1011:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[323] 0.000503501 +2 *1011:HI 0.000503501 +3 HI[323] HI[337] 1.98885e-05 +4 HI[173] HI[323] 5.99867e-05 +5 HI[184] HI[323] 0.00036125 +6 HI[281] HI[323] 0.000214691 +7 HI[295] HI[323] 0.000209001 +8 HI[301] HI[323] 1.95934e-05 +9 HI[314] HI[323] 2.95316e-05 +*RES +1 *1011:HI HI[323] 28.483 +*END + +*D_NET *250 0.00153639 +*CONN +*P HI[324] O +*I *1012:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[324] 0.000432615 +2 *1012:HI 0.000432615 +3 HI[246] HI[324] 8.71967e-05 +4 HI[251] HI[324] 0.000209572 +5 HI[257] HI[324] 0.00026179 +6 HI[320] HI[324] 0.0001126 +*RES +1 *1012:HI HI[324] 27.3738 +*END + +*D_NET *251 0.00129216 +*CONN +*P HI[325] O +*I *1013:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[325] 0.000508088 +2 *1013:HI 0.000508088 +3 HI[254] HI[325] 1.9689e-05 +4 HI[258] HI[325] 8.35139e-05 +5 HI[316] HI[325] 0.000172777 +*RES +1 *1013:HI HI[325] 27.8567 +*END + +*D_NET *252 0.00211714 +*CONN +*P HI[326] O +*I *1014:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[326] 0.000329067 +2 *1014:HI 0.000329067 +3 HI[326] HI[32] 0.000744228 +4 HI[167] HI[326] 7.03668e-05 +5 HI[170] HI[326] 0.00016768 +6 HI[304] HI[326] 2.85274e-05 +7 HI[311] HI[326] 0.000419724 +8 HI[313] HI[326] 2.8477e-05 +*RES +1 *1014:HI HI[326] 36.9628 +*END + +*D_NET *253 0.0025489 +*CONN +*P HI[327] O +*I *1015:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[327] 0.000864976 +2 *1015:HI 0.000864976 +3 HI[106] HI[327] 0.000118663 +4 HI[107] HI[327] 5.66868e-06 +5 HI[109] HI[327] 5.01835e-05 +6 HI[110] HI[327] 0.000124472 +7 HI[111] HI[327] 6.47133e-05 +8 HI[113] HI[327] 4.21839e-05 +9 HI[155] HI[327] 7.09666e-06 +10 HI[158] HI[327] 1.83332e-05 +11 HI[280] HI[327] 0.000172676 +12 HI[284] HI[327] 0.000127763 +13 HI[288] HI[327] 3.8037e-05 +14 HI[28] HI[327] 1.7658e-05 +15 HI[321] HI[327] 3.14978e-05 +*RES +1 *1015:HI HI[327] 42.3317 +*END + +*D_NET *254 0.00134163 +*CONN +*P HI[328] O +*I *1016:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[328] 0.000515333 +2 *1016:HI 0.000515333 +3 HI[328] HI[33] 7.52867e-05 +4 HI[227] HI[328] 0.000172777 +5 HI[251] HI[328] 3.30775e-05 +6 HI[257] HI[328] 2.98205e-05 +*RES +1 *1016:HI HI[328] 36.9628 +*END + +*D_NET *255 0.000938382 +*CONN +*P HI[329] O +*I *1017:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[329] 0.000300428 +2 *1017:HI 0.000300428 +3 HI[168] HI[329] 0.000144833 +4 HI[179] HI[329] 0.000192692 +*RES +1 *1017:HI HI[329] 21.1366 +*END + +*D_NET *256 0.00204533 +*CONN +*P HI[32] O +*I *1018:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[32] 0.000543164 +2 *1018:HI 0.000543164 +3 HI[32] HI[333] 2.9518e-05 +4 HI[180] HI[32] 0.000146731 +5 HI[313] HI[32] 0 +6 HI[322] HI[32] 3.85205e-05 +7 HI[326] HI[32] 0.000744228 +*RES +1 *1018:HI HI[32] 39.1812 +*END + +*D_NET *257 0.00192174 +*CONN +*P HI[330] O +*I *1019:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[330] 0.000550577 +2 *1019:HI 0.000550577 +3 HI[145] HI[330] 0.000114595 +4 HI[185] HI[330] 1.9689e-05 +5 HI[222] HI[330] 5.48222e-05 +6 *61:10 HI[330] 0.000446941 +7 *122:10 HI[330] 1.72594e-05 +8 *181:10 HI[330] 0.000167279 +*RES +1 *1019:HI HI[330] 30.2749 +*END + +*D_NET *258 0.00192697 +*CONN +*P HI[331] O +*I *1020:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[331] 0.00069843 +2 *1020:HI 0.00069843 +3 HI[331] HI[341] 1.01938e-05 +4 HI[175] HI[331] 0.0001126 +5 HI[225] HI[331] 0.00010245 +6 HI[249] HI[331] 0.00026179 +7 HI[307] HI[331] 4.30714e-05 +*RES +1 *1020:HI HI[331] 28.4849 +*END + +*D_NET *259 0.00130172 +*CONN +*P HI[332] O +*I *1021:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[332] 0.000495381 +2 *1021:HI 0.000495381 +3 HI[176] HI[332] 2.98205e-05 +4 HI[217] HI[332] 3.30775e-05 +5 HI[236] HI[332] 0.000172777 +6 HI[285] HI[332] 7.52867e-05 +*RES +1 *1021:HI HI[332] 36.4082 +*END + +*D_NET *260 0.0016618 +*CONN +*P HI[333] O +*I *1022:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[333] 0.000600544 +2 *1022:HI 0.000600544 +3 HI[333] HI[342] 0.00033061 +4 HI[304] HI[333] 0 +5 HI[311] HI[333] 7.81399e-05 +6 HI[313] HI[333] 0 +7 HI[315] HI[333] 2.24434e-05 +8 HI[32] HI[333] 2.9518e-05 +*RES +1 *1022:HI HI[333] 29.1713 +*END + +*D_NET *261 0.00176568 +*CONN +*P HI[334] O +*I *1023:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[334] 0.000476699 +2 *1023:HI 0.000476699 +3 HI[334] HI[33] 0 +4 HI[164] HI[334] 0.0001126 +5 HI[227] HI[334] 1.33188e-05 +6 HI[257] HI[334] 0.0001126 +7 HI[266] HI[334] 0.000485969 +8 HI[305] HI[334] 8.77909e-05 +*RES +1 *1023:HI HI[334] 28.1213 +*END + +*D_NET *262 0.000921094 +*CONN +*P HI[335] O +*I *1024:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[335] 0.000300821 +2 *1024:HI 0.000300821 +3 HI[174] HI[335] 0.000192692 +4 HI[293] HI[335] 0.00012676 +*RES +1 *1024:HI HI[335] 21.1366 +*END + +*D_NET *263 0.00104203 +*CONN +*P HI[336] O +*I *1025:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[336] 0.000421799 +2 *1025:HI 0.000421799 +3 HI[196] HI[336] 0.000111357 +4 HI[293] HI[336] 8.70759e-05 +*RES +1 *1025:HI HI[336] 25.2891 +*END + +*D_NET *264 0.0014756 +*CONN +*P HI[337] O +*I *1026:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[337] 0.000645715 +2 *1026:HI 0.000645715 +3 HI[173] HI[337] 7.34339e-05 +4 HI[281] HI[337] 9.08473e-05 +5 HI[323] HI[337] 1.98885e-05 +*RES +1 *1026:HI HI[337] 29.7259 +*END + +*D_NET *265 0.00115175 +*CONN +*P HI[338] O +*I *1027:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[338] 0.000477156 +2 *1027:HI 0.000477156 +3 HI[278] HI[338] 0.00011675 +4 HI[318] HI[338] 8.06861e-05 +*RES +1 *1027:HI HI[338] 26.3983 +*END + +*D_NET *266 0.001243 +*CONN +*P HI[339] O +*I *1028:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[339] 0.000309801 +2 *1028:HI 0.000309801 +3 HI[165] HI[339] 6.28701e-05 +4 HI[190] HI[339] 6.28701e-05 +5 HI[263] HI[339] 0.000289093 +6 HI[267] HI[339] 0.000208567 +*RES +1 *1028:HI HI[339] 23.4086 +*END + +*D_NET *267 0.00125948 +*CONN +*P HI[33] O +*I *1029:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[33] 0.000539048 +2 *1029:HI 0.000539048 +3 HI[227] HI[33] 2.80451e-05 +4 HI[266] HI[33] 7.8048e-05 +5 HI[328] HI[33] 7.52867e-05 +6 HI[334] HI[33] 0 +*RES +1 *1029:HI HI[33] 27.5075 +*END + +*D_NET *268 0.00106883 +*CONN +*P HI[340] O +*I *1030:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[340] 0.000448233 +2 *1030:HI 0.000448233 +3 HI[163] HI[340] 8.45193e-05 +4 HI[193] HI[340] 8.78432e-05 +*RES +1 *1030:HI HI[340] 25.8437 +*END + +*D_NET *269 0.00161876 +*CONN +*P HI[341] O +*I *1031:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[341] 0.000421791 +2 *1031:HI 0.000421791 +3 HI[175] HI[341] 0 +4 HI[20] HI[341] 3.30775e-05 +5 HI[225] HI[341] 0.000132291 +6 HI[239] HI[341] 3.72076e-05 +7 HI[307] HI[341] 0.000432613 +8 HI[308] HI[341] 0.000129801 +9 HI[331] HI[341] 1.01938e-05 +*RES +1 *1031:HI HI[341] 35.299 +*END + +*D_NET *270 0.00162282 +*CONN +*P HI[342] O +*I *1032:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[342] 0.000568753 +2 *1032:HI 0.000568753 +3 HI[167] HI[342] 7.86286e-05 +4 HI[315] HI[342] 7.6072e-05 +5 HI[322] HI[342] 0 +6 HI[333] HI[342] 0.00033061 +*RES +1 *1032:HI HI[342] 29.5866 +*END + +*D_NET *271 0.00155285 +*CONN +*P HI[343] O +*I *1033:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[343] 0.00063677 +2 *1033:HI 0.00063677 +3 HI[343] HI[91] 6.50727e-05 +4 HI[343] HI[93] 6.49003e-05 +5 HI[343] HI[96] 3.14978e-05 +6 HI[343] HI[99] 3.14978e-05 +7 HI[343] HI[9] 8.63427e-05 +*RES +1 *1033:HI HI[343] 30.8408 +*END + +*D_NET *272 0.000787864 +*CONN +*P HI[344] O +*I *1034:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[344] 0.000275625 +2 *1034:HI 0.000275625 +3 HI[344] HI[345] 0.000143586 +4 HI[344] HI[346] 4.89469e-06 +5 HI[13] HI[344] 0 +6 HI[15] HI[344] 8.8134e-05 +*RES +1 *1034:HI HI[344] 22.8853 +*END + +*D_NET *273 0.00089858 +*CONN +*P HI[345] O +*I *1035:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[345] 0.000310597 +2 *1035:HI 0.000310597 +3 HI[345] HI[346] 0.000133801 +4 HI[344] HI[345] 0.000143586 +*RES +1 *1035:HI HI[345] 21.8694 +*END + +*D_NET *274 0.000891656 +*CONN +*P HI[346] O +*I *1036:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[346] 0.000238994 +2 *1036:HI 0.000238994 +3 HI[346] HI[347] 0.000267624 +4 HI[346] HI[348] 7.34948e-06 +5 HI[344] HI[346] 4.89469e-06 +6 HI[345] HI[346] 0.000133801 +*RES +1 *1036:HI HI[346] 22.6999 +*END + +*D_NET *275 0.000904907 +*CONN +*P HI[347] O +*I *1037:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[347] 0.000255905 +2 *1037:HI 0.000255905 +3 HI[347] HI[348] 0.000125473 +4 HI[347] HI[349] 0 +5 HI[347] HI[34] 0 +6 HI[346] HI[347] 0.000267624 +*RES +1 *1037:HI HI[347] 22.937 +*END + +*D_NET *276 0.00122695 +*CONN +*P HI[348] O +*I *1038:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[348] 0.000421002 +2 *1038:HI 0.000421002 +3 HI[348] HI[349] 0.000252127 +4 HI[346] HI[348] 7.34948e-06 +5 HI[347] HI[348] 0.000125473 +*RES +1 *1038:HI HI[348] 23.355 +*END + +*D_NET *277 0.00124097 +*CONN +*P HI[349] O +*I *1039:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[349] 0.000251231 +2 *1039:HI 0.000251231 +3 HI[349] HI[34] 0.000368218 +4 HI[349] HI[350] 0.000118166 +5 HI[347] HI[349] 0 +6 HI[348] HI[349] 0.000252127 +*RES +1 *1039:HI HI[349] 23.9629 +*END + +*D_NET *278 0.00115574 +*CONN +*P HI[34] O +*I *1040:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[34] 0.000283157 +2 *1040:HI 0.000283157 +3 HI[34] HI[350] 0.000103041 +4 HI[34] HI[351] 0.000118166 +5 HI[347] HI[34] 0 +6 HI[349] HI[34] 0.000368218 +*RES +1 *1040:HI HI[34] 24.5347 +*END + +*D_NET *279 0.00137341 +*CONN +*P HI[350] O +*I *1041:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[350] 0.000396929 +2 *1041:HI 0.000396929 +3 HI[350] HI[351] 0.000358342 +4 HI[349] HI[350] 0.000118166 +5 HI[34] HI[350] 0.000103041 +*RES +1 *1041:HI HI[350] 23.5332 +*END + +*D_NET *280 0.00138528 +*CONN +*P HI[351] O +*I *1042:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[351] 0.000212861 +2 *1042:HI 0.000212861 +3 HI[351] HI[352] 0.000364886 +4 HI[351] HI[353] 0.000118166 +5 HI[34] HI[351] 0.000118166 +6 HI[350] HI[351] 0.000358342 +*RES +1 *1042:HI HI[351] 23.9485 +*END + +*D_NET *281 0.00121641 +*CONN +*P HI[352] O +*I *1043:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[352] 0.000263658 +2 *1043:HI 0.000263658 +3 HI[352] HI[353] 0.000152917 +4 HI[352] HI[354] 0.000171288 +5 HI[351] HI[352] 0.000364886 +*RES +1 *1043:HI HI[352] 24.3637 +*END + +*D_NET *282 0.00128863 +*CONN +*P HI[353] O +*I *1044:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[353] 0.000401126 +2 *1044:HI 0.000401126 +3 HI[353] HI[354] 9.29146e-05 +4 HI[353] HI[355] 0.000122378 +5 HI[351] HI[353] 0.000118166 +6 HI[352] HI[353] 0.000152917 +*RES +1 *1044:HI HI[353] 23.5332 +*END + +*D_NET *283 0.00108992 +*CONN +*P HI[354] O +*I *1045:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[354] 0.000305115 +2 *1045:HI 0.000305115 +3 HI[354] HI[355] 0.000215488 +4 HI[352] HI[354] 0.000171288 +5 HI[353] HI[354] 9.29146e-05 +*RES +1 *1045:HI HI[354] 24.6008 +*END + +*D_NET *284 0.00123873 +*CONN +*P HI[355] O +*I *1046:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[355] 0.000214275 +2 *1046:HI 0.000214275 +3 HI[355] HI[356] 0.00035413 +4 HI[355] HI[357] 0.00011818 +5 HI[353] HI[355] 0.000122378 +6 HI[354] HI[355] 0.000215488 +*RES +1 *1046:HI HI[355] 23.9485 +*END + +*D_NET *285 0.0014889 +*CONN +*P HI[356] O +*I *1047:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[356] 0.000436152 +2 *1047:HI 0.000436152 +3 HI[356] HI[357] 9.98845e-05 +4 HI[356] HI[358] 0.000162583 +5 HI[355] HI[356] 0.00035413 +*RES +1 *1047:HI HI[356] 24.0878 +*END + +*D_NET *286 0.00130895 +*CONN +*P HI[357] O +*I *1048:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[357] 0.000311477 +2 *1048:HI 0.000311477 +3 HI[357] HI[358] 0.000402858 +4 HI[357] HI[35] 6.50727e-05 +5 HI[355] HI[357] 0.00011818 +6 HI[356] HI[357] 9.98845e-05 +*RES +1 *1048:HI HI[357] 25.0893 +*END + +*D_NET *287 0.00149106 +*CONN +*P HI[358] O +*I *1049:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[358] 0.000247698 +2 *1049:HI 0.000247698 +3 HI[358] HI[359] 0.000324203 +4 HI[358] HI[35] 4.09471e-05 +5 HI[358] HI[360] 6.50727e-05 +6 HI[356] HI[358] 0.000162583 +7 HI[357] HI[358] 0.000402858 +*RES +1 *1049:HI HI[358] 24.5031 +*END + +*D_NET *288 0.0014223 +*CONN +*P HI[359] O +*I *1050:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[359] 0.000413102 +2 *1050:HI 0.000413102 +3 HI[359] HI[35] 0.000165873 +4 HI[359] HI[360] 4.09471e-05 +5 HI[359] HI[361] 6.50727e-05 +6 HI[358] HI[359] 0.000324203 +*RES +1 *1050:HI HI[359] 24.266 +*END + +*D_NET *289 0.00134096 +*CONN +*P HI[35] O +*I *1051:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[35] 0.000294723 +2 *1051:HI 0.000294723 +3 HI[35] HI[360] 0.000479624 +4 HI[357] HI[35] 6.50727e-05 +5 HI[358] HI[35] 4.09471e-05 +6 HI[359] HI[35] 0.000165873 +*RES +1 *1051:HI HI[35] 25.1554 +*END + +*D_NET *290 0.00152152 +*CONN +*P HI[360] O +*I *1052:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[360] 0.000200967 +2 *1052:HI 0.000200967 +3 HI[360] HI[361] 0.000468868 +4 HI[360] HI[363] 6.50727e-05 +5 HI[358] HI[360] 6.50727e-05 +6 HI[359] HI[360] 4.09471e-05 +7 HI[35] HI[360] 0.000479624 +*RES +1 *1052:HI HI[360] 24.7401 +*END + +*D_NET *291 0.00149421 +*CONN +*P HI[361] O +*I *1053:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[361] 0.000198067 +2 *1053:HI 0.000198067 +3 HI[361] HI[362] 0.000458112 +4 HI[361] HI[363] 4.09471e-05 +5 HI[361] HI[364] 6.50727e-05 +6 HI[359] HI[361] 6.50727e-05 +7 HI[360] HI[361] 0.000468868 +*RES +1 *1053:HI HI[361] 24.3249 +*END + +*D_NET *292 0.00149972 +*CONN +*P HI[362] O +*I *1054:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[362] 0.000426249 +2 *1054:HI 0.000426249 +3 HI[362] HI[363] 8.30857e-05 +4 HI[362] HI[364] 4.09471e-05 +5 HI[362] HI[365] 6.50727e-05 +6 HI[361] HI[362] 0.000458112 +*RES +1 *1054:HI HI[362] 23.9096 +*END + +*D_NET *293 0.0012896 +*CONN +*P HI[363] O +*I *1055:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[363] 0.000310437 +2 *1055:HI 0.000310437 +3 HI[363] HI[364] 0.000479624 +4 HI[360] HI[363] 6.50727e-05 +5 HI[361] HI[363] 4.09471e-05 +6 HI[362] HI[363] 8.30857e-05 +*RES +1 *1055:HI HI[363] 25.1554 +*END + +*D_NET *294 0.00155137 +*CONN +*P HI[364] O +*I *1056:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[364] 0.000218652 +2 *1056:HI 0.000218652 +3 HI[364] HI[365] 0.00052842 +4 HI[361] HI[364] 6.50727e-05 +5 HI[362] HI[364] 4.09471e-05 +6 HI[363] HI[364] 0.000479624 +*RES +1 *1056:HI HI[364] 25.2947 +*END + +*D_NET *295 0.00162685 +*CONN +*P HI[365] O +*I *1057:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[365] 0.000205207 +2 *1057:HI 0.000205207 +3 HI[365] HI[366] 0.00051122 +4 HI[365] HI[367] 0.000111722 +5 HI[362] HI[365] 6.50727e-05 +6 HI[364] HI[365] 0.00052842 +*RES +1 *1057:HI HI[365] 24.8795 +*END + +*D_NET *296 0.00164058 +*CONN +*P HI[366] O +*I *1058:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[366] 0.000455649 +2 *1058:HI 0.000455649 +3 HI[366] HI[367] 9.98845e-05 +4 HI[366] HI[369] 0.00011818 +5 HI[365] HI[366] 0.00051122 +*RES +1 *1058:HI HI[366] 24.4642 +*END + +*D_NET *297 0.00158587 +*CONN +*P HI[367] O +*I *1059:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[367] 0.00029844 +2 *1059:HI 0.00029844 +3 HI[367] HI[368] 0.000495689 +4 HI[367] HI[369] 0.0002817 +5 HI[365] HI[367] 0.000111722 +6 HI[366] HI[367] 9.98845e-05 +*RES +1 *1059:HI HI[367] 25.6583 +*END + +*D_NET *298 0.00139569 +*CONN +*P HI[368] O +*I *1060:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[368] 0.000334271 +2 *1060:HI 0.000334271 +3 HI[368] HI[369] 0.000109083 +4 HI[368] HI[370] 0.000122378 +5 HI[367] HI[368] 0.000495689 +*RES +1 *1060:HI HI[368] 26.0592 +*END + +*D_NET *299 0.00165956 +*CONN +*P HI[369] O +*I *1061:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[369] 0.00017982 +2 *1061:HI 0.00017982 +3 HI[369] HI[36] 0.000513452 +4 HI[369] HI[370] 0.000277502 +5 HI[366] HI[369] 0.00011818 +6 HI[367] HI[369] 0.0002817 +7 HI[368] HI[369] 0.000109083 +*RES +1 *1061:HI HI[369] 24.8795 +*END + +*D_NET *300 0.00164058 +*CONN +*P HI[36] O +*I *1062:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[36] 0.000455656 +2 *1062:HI 0.000455656 +3 HI[36] HI[370] 9.98845e-05 +4 HI[36] HI[371] 0.000115934 +5 HI[369] HI[36] 0.000513452 +*RES +1 *1062:HI HI[36] 24.4642 +*END + +*D_NET *301 0.00155534 +*CONN +*P HI[370] O +*I *1063:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[370] 0.000236419 +2 *1063:HI 0.000236419 +3 HI[370] HI[371] 0.000358342 +4 HI[370] HI[372] 0.000224395 +5 HI[368] HI[370] 0.000122378 +6 HI[369] HI[370] 0.000277502 +7 HI[36] HI[370] 9.98845e-05 +*RES +1 *1063:HI HI[370] 25.2947 +*END + +*D_NET *302 0.00153618 +*CONN +*P HI[371] O +*I *1064:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[371] 0.000274988 +2 *1064:HI 0.000274988 +3 HI[371] HI[372] 0.000118488 +4 HI[371] HI[373] 0.000275256 +5 HI[371] HI[374] 0.00011818 +6 HI[36] HI[371] 0.000115934 +7 HI[370] HI[371] 0.000358342 +*RES +1 *1064:HI HI[371] 25.4141 +*END + +*D_NET *303 0.00147123 +*CONN +*P HI[372] O +*I *1065:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[372] 0.000353649 +2 *1065:HI 0.000353649 +3 HI[372] HI[373] 8.62417e-05 +4 HI[372] HI[374] 0.000334808 +5 HI[370] HI[372] 0.000224395 +6 HI[371] HI[372] 0.000118488 +*RES +1 *1065:HI HI[372] 26.6138 +*END + +*D_NET *304 0.00175236 +*CONN +*P HI[373] O +*I *1066:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[373] 0.000483405 +2 *1066:HI 0.000483405 +3 HI[373] HI[374] 9.98845e-05 +4 HI[373] HI[375] 0.000324166 +5 HI[371] HI[373] 0.000275256 +6 HI[372] HI[373] 8.62417e-05 +*RES +1 *1066:HI HI[373] 25.0188 +*END + +*D_NET *305 0.00173721 +*CONN +*P HI[374] O +*I *1067:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[374] 0.000218985 +2 *1067:HI 0.000218985 +3 HI[374] HI[375] 0.000575083 +4 HI[374] HI[376] 0.000171288 +5 HI[371] HI[374] 0.00011818 +6 HI[372] HI[374] 0.000334808 +7 HI[373] HI[374] 9.98845e-05 +*RES +1 *1067:HI HI[374] 25.8493 +*END + +*D_NET *306 0.00167232 +*CONN +*P HI[375] O +*I *1068:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[375] 0.00023499 +2 *1068:HI 0.00023499 +3 HI[375] HI[376] 0.000144736 +4 HI[375] HI[378] 0.000158357 +5 HI[373] HI[375] 0.000324166 +6 HI[374] HI[375] 0.000575083 +*RES +1 *1068:HI HI[375] 25.4341 +*END + +*D_NET *307 0.00142692 +*CONN +*P HI[376] O +*I *1069:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[376] 0.000457059 +2 *1069:HI 0.000457059 +3 HI[376] HI[377] 6.87802e-05 +4 HI[376] HI[378] 9.82896e-06 +5 HI[376] HI[379] 0.000118166 +6 HI[374] HI[376] 0.000171288 +7 HI[375] HI[376] 0.000144736 +*RES +1 *1069:HI HI[376] 26.6282 +*END + +*D_NET *308 0.00164176 +*CONN +*P HI[377] O +*I *1070:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[377] 0.00061115 +2 *1070:HI 0.00061115 +3 HI[377] HI[378] 6.55368e-05 +4 HI[377] HI[379] 6.50727e-05 +5 HI[377] HI[37] 0.000124044 +6 HI[377] HI[380] 9.60216e-05 +7 HI[377] HI[382] 0 +8 HI[376] HI[377] 6.87802e-05 +*RES +1 *1070:HI HI[377] 35.6044 +*END + +*D_NET *309 0.00166551 +*CONN +*P HI[378] O +*I *1071:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[378] 0.00054339 +2 *1071:HI 0.00054339 +3 HI[378] HI[379] 0.000173725 +4 HI[378] HI[380] 0.000171288 +5 HI[375] HI[378] 0.000158357 +6 HI[376] HI[378] 9.82896e-06 +7 HI[377] HI[378] 6.55368e-05 +*RES +1 *1071:HI HI[378] 25.0188 +*END + +*D_NET *310 0.0016074 +*CONN +*P HI[379] O +*I *1072:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[379] 0.000275489 +2 *1072:HI 0.000275489 +3 HI[379] HI[37] 0.000364646 +4 HI[379] HI[380] 0.000334808 +5 HI[376] HI[379] 0.000118166 +6 HI[377] HI[379] 6.50727e-05 +7 HI[378] HI[379] 0.000173725 +*RES +1 *1072:HI HI[379] 26.0275 +*END + +*D_NET *311 0.00149217 +*CONN +*P HI[37] O +*I *1073:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[37] 0.000402378 +2 *1073:HI 0.000402378 +3 HI[37] HI[380] 8.05602e-05 +4 HI[37] HI[382] 0.000118166 +5 HI[377] HI[37] 0.000124044 +6 HI[379] HI[37] 0.000364646 +*RES +1 *1073:HI HI[37] 27.7765 +*END + +*D_NET *312 0.0019309 +*CONN +*P HI[380] O +*I *1074:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[380] 0.000180941 +2 *1074:HI 0.000180941 +3 HI[380] HI[381] 0.000562171 +4 HI[380] HI[382] 0.000324166 +5 HI[377] HI[380] 9.60216e-05 +6 HI[378] HI[380] 0.000171288 +7 HI[379] HI[380] 0.000334808 +8 HI[37] HI[380] 8.05602e-05 +*RES +1 *1074:HI HI[380] 25.9887 +*END + +*D_NET *313 0.00183656 +*CONN +*P HI[381] O +*I *1075:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[381] 0.000526586 +2 *1075:HI 0.000526586 +3 HI[381] HI[382] 0.000103041 +4 HI[381] HI[384] 0.00011818 +5 HI[380] HI[381] 0.000562171 +*RES +1 *1075:HI HI[381] 25.9226 +*END + +*D_NET *314 0.00188952 +*CONN +*P HI[382] O +*I *1076:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[382] 0.000236728 +2 *1076:HI 0.000236728 +3 HI[382] HI[383] 0.000588995 +4 HI[382] HI[384] 0.0002817 +5 HI[377] HI[382] 0 +6 HI[37] HI[382] 0.000118166 +7 HI[380] HI[382] 0.000324166 +8 HI[381] HI[382] 0.000103041 +*RES +1 *1076:HI HI[382] 26.7531 +*END + +*D_NET *315 0.0015522 +*CONN +*P HI[383] O +*I *1077:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[383] 0.000374769 +2 *1077:HI 0.000374769 +3 HI[383] HI[384] 9.77287e-05 +4 HI[383] HI[386] 0.000115934 +5 HI[382] HI[383] 0.000588995 +*RES +1 *1077:HI HI[383] 26.9974 +*END + +*D_NET *316 0.00180118 +*CONN +*P HI[384] O +*I *1078:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[384] 0.000291312 +2 *1078:HI 0.000291312 +3 HI[384] HI[385] 0.000456349 +4 HI[384] HI[386] 0.0002646 +5 HI[381] HI[384] 0.00011818 +6 HI[382] HI[384] 0.0002817 +7 HI[383] HI[384] 9.77287e-05 +*RES +1 *1078:HI HI[384] 26.3451 +*END + +*D_NET *317 0.0016994 +*CONN +*P HI[385] O +*I *1079:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[385] 0.000545884 +2 *1079:HI 0.000545884 +3 HI[385] HI[386] 0.000151285 +4 HI[384] HI[385] 0.000456349 +*RES +1 *1079:HI HI[385] 25.937 +*END + +*D_NET *318 0.00176563 +*CONN +*P HI[386] O +*I *1080:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[386] 0.000300322 +2 *1080:HI 0.000300322 +3 HI[386] HI[387] 0.000601665 +4 HI[386] HI[38] 3.14978e-05 +5 HI[383] HI[386] 0.000115934 +6 HI[384] HI[386] 0.0002646 +7 HI[385] HI[386] 0.000151285 +*RES +1 *1080:HI HI[386] 26.7603 +*END + +*D_NET *319 0.00186059 +*CONN +*P HI[387] O +*I *1081:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[387] 0.000285429 +2 *1081:HI 0.000285429 +3 HI[387] HI[388] 0.000632616 +4 HI[387] HI[38] 2.39581e-05 +5 HI[387] HI[390] 3.14978e-05 +6 HI[386] HI[387] 0.000601665 +*RES +1 *1081:HI HI[387] 27.0046 +*END + +*D_NET *320 0.00165182 +*CONN +*P HI[388] O +*I *1082:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[388] 0.00043171 +2 *1082:HI 0.00043171 +3 HI[388] HI[389] 0.000100332 +4 HI[388] HI[390] 2.39581e-05 +5 HI[388] HI[391] 3.14978e-05 +6 HI[387] HI[388] 0.000632616 +*RES +1 *1082:HI HI[388] 27.8132 +*END + +*D_NET *321 0.000962293 +*CONN +*P HI[389] O +*I *1083:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[389] 0.000389438 +2 *1083:HI 0.000389438 +3 HI[389] HI[38] 8.30857e-05 +4 HI[389] HI[390] 0 +5 HI[389] HI[391] 0 +6 HI[388] HI[389] 0.000100332 +*RES +1 *1083:HI HI[389] 24.7345 +*END + +*D_NET *322 0.00156824 +*CONN +*P HI[38] O +*I *1084:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[38] 0.000461337 +2 *1084:HI 0.000461337 +3 HI[38] HI[390] 0.000507022 +4 HI[386] HI[38] 3.14978e-05 +5 HI[387] HI[38] 2.39581e-05 +6 HI[389] HI[38] 8.30857e-05 +*RES +1 *1084:HI HI[38] 24.4642 +*END + +*D_NET *323 0.00158465 +*CONN +*P HI[390] O +*I *1085:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[390] 0.00019101 +2 *1085:HI 0.00019101 +3 HI[390] HI[391] 0.000517778 +4 HI[390] HI[393] 0.000122378 +5 HI[387] HI[390] 3.14978e-05 +6 HI[388] HI[390] 2.39581e-05 +7 HI[389] HI[390] 0 +8 HI[38] HI[390] 0.000507022 +*RES +1 *1085:HI HI[390] 24.8795 +*END + +*D_NET *324 0.00162366 +*CONN +*P HI[391] O +*I *1086:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[391] 0.000203335 +2 *1086:HI 0.000203335 +3 HI[391] HI[392] 0.000528533 +4 HI[391] HI[393] 1.67988e-05 +5 HI[391] HI[394] 0.000122378 +6 HI[388] HI[391] 3.14978e-05 +7 HI[389] HI[391] 0 +8 HI[390] HI[391] 0.000517778 +*RES +1 *1086:HI HI[391] 25.2947 +*END + +*D_NET *325 0.00140095 +*CONN +*P HI[392] O +*I *1087:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[392] 0.000325076 +2 *1087:HI 0.000325076 +3 HI[392] HI[393] 8.30857e-05 +4 HI[392] HI[394] 1.67988e-05 +5 HI[392] HI[395] 0.000122378 +6 HI[391] HI[392] 0.000528533 +*RES +1 *1087:HI HI[392] 25.71 +*END + +*D_NET *326 0.00163877 +*CONN +*P HI[393] O +*I *1088:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[393] 0.000454743 +2 *1088:HI 0.000454743 +3 HI[393] HI[394] 0.000507022 +4 HI[390] HI[393] 0.000122378 +5 HI[391] HI[393] 1.67988e-05 +6 HI[392] HI[393] 8.30857e-05 +*RES +1 *1088:HI HI[393] 24.4642 +*END + +*D_NET *327 0.00166001 +*CONN +*P HI[394] O +*I *1089:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[394] 0.000186828 +2 *1089:HI 0.000186828 +3 HI[394] HI[395] 0.000517778 +4 HI[394] HI[397] 0.000122378 +5 HI[391] HI[394] 0.000122378 +6 HI[392] HI[394] 1.67988e-05 +7 HI[393] HI[394] 0.000507022 +*RES +1 *1089:HI HI[394] 24.8795 +*END + +*D_NET *328 0.00164977 +*CONN +*P HI[395] O +*I *1090:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[395] 0.000218322 +2 *1090:HI 0.000218322 +3 HI[395] HI[396] 0.000515631 +4 HI[395] HI[397] 5.73392e-05 +5 HI[392] HI[395] 0.000122378 +6 HI[394] HI[395] 0.000517778 +*RES +1 *1090:HI HI[395] 25.2947 +*END + +*D_NET *329 0.00133971 +*CONN +*P HI[396] O +*I *1091:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[396] 0.000349302 +2 *1091:HI 0.000349302 +3 HI[396] HI[397] 0.000125473 +4 HI[395] HI[396] 0.000515631 +*RES +1 *1091:HI HI[396] 25.71 +*END + +*D_NET *330 0.00146765 +*CONN +*P HI[397] O +*I *1092:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[397] 0.000490979 +2 *1092:HI 0.000490979 +3 HI[397] HI[398] 0.000180502 +4 HI[394] HI[397] 0.000122378 +5 HI[395] HI[397] 5.73392e-05 +6 HI[396] HI[397] 0.000125473 +*RES +1 *1092:HI HI[397] 24.4642 +*END + +*D_NET *331 0.0014564 +*CONN +*P HI[398] O +*I *1093:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[398] 0.000291171 +2 *1093:HI 0.000291171 +3 HI[398] HI[399] 0.000528729 +4 HI[398] HI[39] 0.000164829 +5 HI[397] HI[398] 0.000180502 +*RES +1 *1093:HI HI[398] 25.8193 +*END + +*D_NET *332 0.00157172 +*CONN +*P HI[399] O +*I *1094:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[399] 0.000250573 +2 *1094:HI 0.000250573 +3 HI[399] HI[39] 0.000133345 +4 HI[399] HI[3] 0.000286126 +5 HI[399] HI[400] 0.000122378 +6 HI[398] HI[399] 0.000528729 +*RES +1 *1094:HI HI[399] 26.2201 +*END + +*D_NET *333 0.00165038 +*CONN +*P HI[39] O +*I *1095:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[39] 0.00044201 +2 *1095:HI 0.00044201 +3 HI[39] HI[3] 0.000192928 +4 HI[39] HI[400] 0.000275256 +5 HI[398] HI[39] 0.000164829 +6 HI[399] HI[39] 0.000133345 +*RES +1 *1095:HI HI[39] 25.3824 +*END + +*D_NET *334 0.00155451 +*CONN +*P HI[3] O +*I *1096:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[3] 0.000326677 +2 *1096:HI 0.000326677 +3 HI[3] HI[400] 0.000140405 +4 HI[3] HI[401] 0.0002817 +5 HI[399] HI[3] 0.000286126 +6 HI[39] HI[3] 0.000192928 +*RES +1 *1096:HI HI[3] 26.6138 +*END + +*D_NET *335 0.00171094 +*CONN +*P HI[400] O +*I *1097:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[400] 0.000245147 +2 *1097:HI 0.000245147 +3 HI[400] HI[401] 0.000517778 +4 HI[400] HI[402] 0.000164829 +5 HI[399] HI[400] 0.000122378 +6 HI[39] HI[400] 0.000275256 +7 HI[3] HI[400] 0.000140405 +*RES +1 *1097:HI HI[400] 25.6123 +*END + +*D_NET *336 0.00177462 +*CONN +*P HI[401] O +*I *1098:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[401] 0.000243076 +2 *1098:HI 0.000243076 +3 HI[401] HI[402] 8.91055e-05 +4 HI[401] HI[403] 0.000277502 +5 HI[401] HI[404] 0.000122378 +6 HI[3] HI[401] 0.0002817 +7 HI[400] HI[401] 0.000517778 +*RES +1 *1098:HI HI[401] 26.1985 +*END + +*D_NET *337 0.00166617 +*CONN +*P HI[402] O +*I *1099:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[402] 0.000507221 +2 *1099:HI 0.000507221 +3 HI[402] HI[403] 0.000122537 +4 HI[402] HI[404] 0.000275256 +5 HI[400] HI[402] 0.000164829 +6 HI[401] HI[402] 8.91055e-05 +*RES +1 *1099:HI HI[402] 25.3824 +*END + +*D_NET *338 0.00149233 +*CONN +*P HI[403] O +*I *1100:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[403] 0.000344733 +2 *1100:HI 0.000344733 +3 HI[403] HI[404] 0.000125335 +4 HI[403] HI[405] 0.000277488 +5 HI[401] HI[403] 0.000277502 +6 HI[402] HI[403] 0.000122537 +*RES +1 *1100:HI HI[403] 26.6138 +*END + +*D_NET *339 0.00175344 +*CONN +*P HI[404] O +*I *1101:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[404] 0.000220826 +2 *1101:HI 0.000220826 +3 HI[404] HI[405] 0.000517778 +4 HI[404] HI[406] 0.000271044 +5 HI[401] HI[404] 0.000122378 +6 HI[402] HI[404] 0.000275256 +7 HI[403] HI[404] 0.000125335 +*RES +1 *1101:HI HI[404] 25.6123 +*END + +*D_NET *340 0.0017738 +*CONN +*P HI[405] O +*I *1102:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[405] 0.000274317 +2 *1102:HI 0.000274317 +3 HI[405] HI[406] 0.000138481 +4 HI[405] HI[407] 0.000222149 +5 HI[405] HI[409] 6.92705e-05 +6 HI[403] HI[405] 0.000277488 +7 HI[404] HI[405] 0.000517778 +*RES +1 *1102:HI HI[405] 26.7531 +*END + +*D_NET *341 0.00179242 +*CONN +*P HI[406] O +*I *1103:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[406] 0.000539349 +2 *1103:HI 0.000539349 +3 HI[406] HI[407] 8.62417e-05 +4 HI[406] HI[409] 0.000217951 +5 HI[404] HI[406] 0.000271044 +6 HI[405] HI[406] 0.000138481 +*RES +1 *1103:HI HI[406] 25.9226 +*END + +*D_NET *342 0.00178788 +*CONN +*P HI[407] O +*I *1104:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[407] 0.000310339 +2 *1104:HI 0.000310339 +3 HI[407] HI[408] 0.000589271 +4 HI[407] HI[409] 4.09471e-05 +5 HI[407] HI[40] 0.000228593 +6 HI[405] HI[407] 0.000222149 +7 HI[406] HI[407] 8.62417e-05 +*RES +1 *1104:HI HI[407] 27.0118 +*END + +*D_NET *343 0.00164812 +*CONN +*P HI[408] O +*I *1105:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[408] 0.000449502 +2 *1105:HI 0.000449502 +3 HI[408] HI[409] 8.73973e-05 +4 HI[408] HI[40] 7.24449e-05 +5 HI[407] HI[408] 0.000589271 +*RES +1 *1105:HI HI[408] 28.1623 +*END + +*D_NET *344 0.00183471 +*CONN +*P HI[409] O +*I *1106:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[409] 0.000212168 +2 *1106:HI 0.000212168 +3 HI[409] HI[40] 0.000619781 +4 HI[409] HI[410] 0.000375027 +5 HI[405] HI[409] 6.92705e-05 +6 HI[406] HI[409] 0.000217951 +7 HI[407] HI[409] 4.09471e-05 +8 HI[408] HI[409] 8.73973e-05 +*RES +1 *1106:HI HI[409] 25.9887 +*END + +*D_NET *345 0.00175288 +*CONN +*P HI[40] O +*I *1107:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[40] 0.000235493 +2 *1107:HI 0.000235493 +3 HI[40] HI[410] 0.000145372 +4 HI[40] HI[411] 0.000215704 +5 HI[407] HI[40] 0.000228593 +6 HI[408] HI[40] 7.24449e-05 +7 HI[409] HI[40] 0.000619781 +*RES +1 *1107:HI HI[40] 26.4039 +*END + +*D_NET *346 0.00176311 +*CONN +*P HI[410] O +*I *1108:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[410] 0.000530288 +2 *1108:HI 0.000530288 +3 HI[410] HI[411] 0.000117063 +4 HI[410] HI[414] 6.50727e-05 +5 HI[409] HI[410] 0.000375027 +6 HI[40] HI[410] 0.000145372 +*RES +1 *1108:HI HI[410] 25.5734 +*END + +*D_NET *347 0.00172439 +*CONN +*P HI[411] O +*I *1109:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[411] 0.000362497 +2 *1109:HI 0.000362497 +3 HI[411] HI[412] 0.000438031 +4 HI[411] HI[414] 0.000228593 +5 HI[40] HI[411] 0.000215704 +6 HI[410] HI[411] 0.000117063 +*RES +1 *1109:HI HI[411] 27.7593 +*END + +*D_NET *348 0.00152279 +*CONN +*P HI[412] O +*I *1110:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[412] 0.000459447 +2 *1110:HI 0.000459447 +3 HI[412] HI[413] 0.000110411 +4 HI[412] HI[414] 2.39581e-05 +5 HI[412] HI[416] 3.14978e-05 +6 HI[411] HI[412] 0.000438031 +*RES +1 *1110:HI HI[412] 28.1623 +*END + +*D_NET *349 0.000980142 +*CONN +*P HI[413] O +*I *1111:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[413] 0.000389539 +2 *1111:HI 0.000389539 +3 HI[413] HI[414] 9.06543e-05 +4 HI[413] HI[416] 0 +5 HI[412] HI[413] 0.000110411 +*RES +1 *1111:HI HI[413] 24.7345 +*END + +*D_NET *350 0.00160067 +*CONN +*P HI[414] O +*I *1112:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[414] 0.000217972 +2 *1112:HI 0.000217972 +3 HI[414] HI[415] 0.000498303 +4 HI[414] HI[416] 0.000258142 +5 HI[410] HI[414] 6.50727e-05 +6 HI[411] HI[414] 0.000228593 +7 HI[412] HI[414] 2.39581e-05 +8 HI[413] HI[414] 9.06543e-05 +*RES +1 *1112:HI HI[414] 24.8795 +*END + +*D_NET *351 0.00164602 +*CONN +*P HI[415] O +*I *1113:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[415] 0.000464825 +2 *1113:HI 0.000464825 +3 HI[415] HI[416] 9.98845e-05 +4 HI[415] HI[418] 0.00011818 +5 HI[414] HI[415] 0.000498303 +*RES +1 *1113:HI HI[415] 24.4642 +*END + +*D_NET *352 0.00161162 +*CONN +*P HI[416] O +*I *1114:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[416] 0.000250643 +2 *1114:HI 0.000250643 +3 HI[416] HI[417] 0.000439109 +4 HI[416] HI[418] 0.0002817 +5 HI[412] HI[416] 3.14978e-05 +6 HI[413] HI[416] 0 +7 HI[414] HI[416] 0.000258142 +8 HI[415] HI[416] 9.98845e-05 +*RES +1 *1114:HI HI[416] 25.2947 +*END + +*D_NET *353 0.0014729 +*CONN +*P HI[417] O +*I *1115:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[417] 0.000393041 +2 *1115:HI 0.000393041 +3 HI[417] HI[418] 0.000125335 +4 HI[417] HI[41] 0.000122378 +5 HI[416] HI[417] 0.000439109 +*RES +1 *1115:HI HI[417] 26.0592 +*END + +*D_NET *354 0.00167128 +*CONN +*P HI[418] O +*I *1116:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[418] 0.000181893 +2 *1116:HI 0.000181893 +3 HI[418] HI[419] 0.00051122 +4 HI[418] HI[41] 0.000271058 +5 HI[415] HI[418] 0.00011818 +6 HI[416] HI[418] 0.0002817 +7 HI[417] HI[418] 0.000125335 +*RES +1 *1116:HI HI[418] 24.8795 +*END + +*D_NET *355 0.00163877 +*CONN +*P HI[419] O +*I *1117:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[419] 0.000454743 +2 *1117:HI 0.000454743 +3 HI[419] HI[41] 9.98845e-05 +4 HI[419] HI[421] 0.00011818 +5 HI[418] HI[419] 0.00051122 +*RES +1 *1117:HI HI[419] 24.4642 +*END + +*D_NET *356 0.00169447 +*CONN +*P HI[41] O +*I *1118:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[41] 0.000195458 +2 *1118:HI 0.000195458 +3 HI[41] HI[420] 0.000528533 +4 HI[41] HI[421] 0.0002817 +5 HI[417] HI[41] 0.000122378 +6 HI[418] HI[41] 0.000271058 +7 HI[419] HI[41] 9.98845e-05 +*RES +1 *1118:HI HI[41] 25.2947 +*END + +*D_NET *357 0.00139725 +*CONN +*P HI[420] O +*I *1119:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[420] 0.000321073 +2 *1119:HI 0.000321073 +3 HI[420] HI[421] 0.000104196 +4 HI[420] HI[423] 0.000122378 +5 HI[41] HI[420] 0.000528533 +*RES +1 *1119:HI HI[420] 25.71 +*END + +*D_NET *358 0.00166001 +*CONN +*P HI[421] O +*I *1120:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[421] 0.000186828 +2 *1120:HI 0.000186828 +3 HI[421] HI[422] 0.00051122 +4 HI[421] HI[423] 0.000271058 +5 HI[419] HI[421] 0.00011818 +6 HI[41] HI[421] 0.0002817 +7 HI[420] HI[421] 0.000104196 +*RES +1 *1120:HI HI[421] 24.8795 +*END + +*D_NET *359 0.00175226 +*CONN +*P HI[422] O +*I *1121:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[422] 0.000484934 +2 *1121:HI 0.000484934 +3 HI[422] HI[423] 9.98845e-05 +4 HI[422] HI[425] 0.000171288 +5 HI[421] HI[422] 0.00051122 +*RES +1 *1121:HI HI[422] 25.0188 +*END + +*D_NET *360 0.00180678 +*CONN +*P HI[423] O +*I *1122:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[423] 0.000198505 +2 *1122:HI 0.000198505 +3 HI[423] HI[424] 0.000581641 +4 HI[423] HI[425] 0.000334808 +5 HI[420] HI[423] 0.000122378 +6 HI[421] HI[423] 0.000271058 +7 HI[422] HI[423] 9.98845e-05 +*RES +1 *1122:HI HI[423] 25.8493 +*END + +*D_NET *361 0.00147875 +*CONN +*P HI[424] O +*I *1123:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[424] 0.000337372 +2 *1123:HI 0.000337372 +3 HI[424] HI[425] 0.000104196 +4 HI[424] HI[427] 0.000118166 +5 HI[423] HI[424] 0.000581641 +*RES +1 *1123:HI HI[424] 26.2646 +*END + +*D_NET *362 0.00177468 +*CONN +*P HI[425] O +*I *1124:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[425] 0.000195268 +2 *1124:HI 0.000195268 +3 HI[425] HI[426] 0.000507008 +4 HI[425] HI[427] 0.000266846 +5 HI[422] HI[425] 0.000171288 +6 HI[423] HI[425] 0.000334808 +7 HI[424] HI[425] 0.000104196 +*RES +1 *1124:HI HI[425] 25.4341 +*END + +*D_NET *363 0.00166217 +*CONN +*P HI[426] O +*I *1125:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[426] 0.000501123 +2 *1125:HI 0.000501123 +3 HI[426] HI[427] 0.000152917 +4 HI[425] HI[426] 0.000507008 +*RES +1 *1125:HI HI[426] 25.197 +*END + +*D_NET *364 0.00164296 +*CONN +*P HI[427] O +*I *1126:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[427] 0.000264544 +2 *1126:HI 0.000264544 +3 HI[427] HI[428] 0.000525231 +4 HI[427] HI[42] 5.0715e-05 +5 HI[424] HI[427] 0.000118166 +6 HI[425] HI[427] 0.000266846 +7 HI[426] HI[427] 0.000152917 +*RES +1 *1126:HI HI[427] 26.1985 +*END + +*D_NET *365 0.00174307 +*CONN +*P HI[428] O +*I *1127:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[428] 0.000273623 +2 *1127:HI 0.000273623 +3 HI[428] HI[429] 0.000579508 +4 HI[428] HI[42] 9.10851e-05 +5 HI[427] HI[428] 0.000525231 +*RES +1 *1127:HI HI[428] 26.4428 +*END + +*D_NET *366 0.0015728 +*CONN +*P HI[429] O +*I *1128:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[429] 0.000418882 +2 *1128:HI 0.000418882 +3 HI[429] HI[42] 0.000155531 +4 HI[428] HI[429] 0.000579508 +*RES +1 *1128:HI HI[429] 27.2585 +*END + +*D_NET *367 0.00139489 +*CONN +*P HI[42] O +*I *1129:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[42] 0.000383272 +2 *1129:HI 0.000383272 +3 HI[42] HI[430] 8.30857e-05 +4 HI[42] HI[431] 7.24449e-05 +5 HI[42] HI[432] 0.000175485 +6 HI[427] HI[42] 5.0715e-05 +7 HI[428] HI[42] 9.10851e-05 +8 HI[429] HI[42] 0.000155531 +*RES +1 *1129:HI HI[42] 26.3545 +*END + +*D_NET *368 0.000934922 +*CONN +*P HI[430] O +*I *1130:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[430] 0.000384375 +2 *1130:HI 0.000384375 +3 HI[430] HI[431] 8.30857e-05 +4 HI[430] HI[432] 0 +5 HI[430] HI[433] 0 +6 HI[42] HI[430] 8.30857e-05 +*RES +1 *1130:HI HI[430] 24.1799 +*END + +*D_NET *369 0.00146926 +*CONN +*P HI[431] O +*I *1131:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[431] 0.000426684 +2 *1131:HI 0.000426684 +3 HI[431] HI[432] 0.000460359 +4 HI[42] HI[431] 7.24449e-05 +5 HI[430] HI[431] 8.30857e-05 +*RES +1 *1131:HI HI[431] 23.9096 +*END + +*D_NET *370 0.00150846 +*CONN +*P HI[432] O +*I *1132:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[432] 0.000196882 +2 *1132:HI 0.000196882 +3 HI[432] HI[433] 0.000413795 +4 HI[432] HI[434] 6.50586e-05 +5 HI[42] HI[432] 0.000175485 +6 HI[430] HI[432] 0 +7 HI[431] HI[432] 0.000460359 +*RES +1 *1132:HI HI[432] 24.3249 +*END + +*D_NET *371 0.00133781 +*CONN +*P HI[433] O +*I *1133:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[433] 0.00028523 +2 *1133:HI 0.00028523 +3 HI[433] HI[434] 0.000121419 +4 HI[433] HI[435] 0.000167076 +5 HI[433] HI[436] 6.50586e-05 +6 HI[430] HI[433] 0 +7 HI[432] HI[433] 0.000413795 +*RES +1 *1133:HI HI[433] 25.0893 +*END + +*D_NET *372 0.00136178 +*CONN +*P HI[434] O +*I *1134:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[434] 0.000446201 +2 *1134:HI 0.000446201 +3 HI[434] HI[435] 7.78831e-05 +4 HI[434] HI[436] 0.00020502 +5 HI[432] HI[434] 6.50586e-05 +6 HI[433] HI[434] 0.000121419 +*RES +1 *1134:HI HI[434] 24.1022 +*END + +*D_NET *373 0.00125933 +*CONN +*P HI[435] O +*I *1135:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[435] 0.000374221 +2 *1135:HI 0.000374221 +3 HI[435] HI[436] 0.000196658 +4 HI[435] HI[438] 6.92705e-05 +5 HI[433] HI[435] 0.000167076 +6 HI[434] HI[435] 7.78831e-05 +*RES +1 *1135:HI HI[435] 25.5046 +*END + +*D_NET *374 0.00147564 +*CONN +*P HI[436] O +*I *1136:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[436] 0.000233375 +2 *1136:HI 0.000233375 +3 HI[436] HI[437] 0.000324203 +4 HI[436] HI[438] 0.000217951 +5 HI[433] HI[436] 6.50586e-05 +6 HI[434] HI[436] 0.00020502 +7 HI[435] HI[436] 0.000196658 +*RES +1 *1136:HI HI[436] 24.3249 +*END + +*D_NET *375 0.00139216 +*CONN +*P HI[437] O +*I *1137:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[437] 0.00041859 +2 *1137:HI 0.00041859 +3 HI[437] HI[438] 0.000230773 +4 HI[436] HI[437] 0.000324203 +*RES +1 *1137:HI HI[437] 24.266 +*END + +*D_NET *376 0.00145591 +*CONN +*P HI[438] O +*I *1138:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[438] 0.000218718 +2 *1138:HI 0.000218718 +3 HI[438] HI[439] 0.000468982 +4 HI[438] HI[440] 3.14978e-05 +5 HI[435] HI[438] 6.92705e-05 +6 HI[436] HI[438] 0.000217951 +7 HI[437] HI[438] 0.000230773 +*RES +1 *1138:HI HI[438] 24.7401 +*END + +*D_NET *377 0.00125576 +*CONN +*P HI[439] O +*I *1139:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[439] 0.00031765 +2 *1139:HI 0.00031765 +3 HI[439] HI[43] 9.60205e-05 +4 HI[439] HI[440] 2.39581e-05 +5 HI[439] HI[441] 3.14978e-05 +6 HI[438] HI[439] 0.000468982 +*RES +1 *1139:HI HI[439] 25.1554 +*END + +*D_NET *378 0.000805766 +*CONN +*P HI[43] O +*I *1140:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[43] 0.00031333 +2 *1140:HI 0.00031333 +3 HI[43] HI[440] 8.30857e-05 +4 HI[43] HI[441] 0 +5 HI[43] HI[442] 0 +6 HI[439] HI[43] 9.60205e-05 +*RES +1 *1140:HI HI[43] 23.0707 +*END + +*D_NET *379 0.0012277 +*CONN +*P HI[440] O +*I *1141:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[440] 0.000367506 +2 *1141:HI 0.000367506 +3 HI[440] HI[441] 0.000354144 +4 HI[438] HI[440] 3.14978e-05 +5 HI[439] HI[440] 2.39581e-05 +6 HI[43] HI[440] 8.30857e-05 +*RES +1 *1141:HI HI[440] 22.8004 +*END + +*D_NET *380 0.0011839 +*CONN +*P HI[441] O +*I *1142:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[441] 0.000219901 +2 *1142:HI 0.000219901 +3 HI[441] HI[442] 0.000358455 +4 HI[439] HI[441] 3.14978e-05 +5 HI[43] HI[441] 0 +6 HI[440] HI[441] 0.000354144 +*RES +1 *1142:HI HI[441] 23.2157 +*END + +*D_NET *381 0.00104295 +*CONN +*P HI[442] O +*I *1143:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[442] 0.000296393 +2 *1143:HI 0.000296393 +3 HI[442] HI[443] 9.17089e-05 +4 HI[43] HI[442] 0 +5 HI[441] HI[442] 0.000358455 +*RES +1 *1143:HI HI[442] 23.6309 +*END + +*D_NET *382 0.000733301 +*CONN +*P HI[443] O +*I *1144:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[443] 0.000242211 +2 *1144:HI 0.000242211 +3 HI[443] HI[444] 0.00015717 +4 HI[442] HI[443] 9.17089e-05 +*RES +1 *1144:HI HI[443] 22.3473 +*END + +*D_NET *383 0.000731149 +*CONN +*P HI[444] O +*I *1145:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[444] 0.000206097 +2 *1145:HI 0.000206097 +3 HI[444] HI[445] 0.000161784 +4 HI[443] HI[444] 0.00015717 +*RES +1 *1145:HI HI[444] 22.3473 +*END + +*D_NET *384 0.000719272 +*CONN +*P HI[445] O +*I *1146:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[445] 0.000195551 +2 *1146:HI 0.000195551 +3 HI[445] HI[446] 0.000166385 +4 HI[444] HI[445] 0.000161784 +*RES +1 *1146:HI HI[445] 22.3473 +*END + +*D_NET *385 0.000713341 +*CONN +*P HI[446] O +*I *1147:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[446] 0.000190286 +2 *1147:HI 0.000190286 +3 HI[446] HI[447] 0.000166385 +4 HI[445] HI[446] 0.000166385 +*RES +1 *1147:HI HI[446] 22.3473 +*END + +*D_NET *386 0.000713341 +*CONN +*P HI[447] O +*I *1148:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[447] 0.000190286 +2 *1148:HI 0.000190286 +3 HI[447] HI[448] 0.000166385 +4 HI[446] HI[447] 0.000166385 +*RES +1 *1148:HI HI[447] 22.3473 +*END + +*D_NET *387 0.000713341 +*CONN +*P HI[448] O +*I *1149:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[448] 0.000190286 +2 *1149:HI 0.000190286 +3 HI[448] HI[449] 0.000166385 +4 HI[447] HI[448] 0.000166385 +*RES +1 *1149:HI HI[448] 22.3473 +*END + +*D_NET *388 0.000713341 +*CONN +*P HI[449] O +*I *1150:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[449] 0.000190286 +2 *1150:HI 0.000190286 +3 HI[449] HI[44] 0.000166385 +4 HI[448] HI[449] 0.000166385 +*RES +1 *1150:HI HI[449] 22.3473 +*END + +*D_NET *389 0.000700375 +*CONN +*P HI[44] O +*I *1151:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[44] 0.000223824 +2 *1151:HI 0.000223824 +3 HI[44] HI[450] 8.63427e-05 +4 HI[44] HI[451] 0 +5 HI[44] HI[452] 0 +6 HI[449] HI[44] 0.000166385 +*RES +1 *1151:HI HI[44] 22.3473 +*END + +*D_NET *390 0.000630336 +*CONN +*P HI[450] O +*I *1152:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[450] 0.00022793 +2 *1152:HI 0.00022793 +3 HI[450] HI[451] 8.8134e-05 +4 HI[44] HI[450] 8.63427e-05 +*RES +1 *1152:HI HI[450] 20.0274 +*END + +*D_NET *391 0.000613406 +*CONN +*P HI[451] O +*I *1153:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[451] 0.000214468 +2 *1153:HI 0.000214468 +3 HI[451] HI[452] 9.63365e-05 +4 HI[44] HI[451] 0 +5 HI[450] HI[451] 8.8134e-05 +*RES +1 *1153:HI HI[451] 20.8579 +*END + +*D_NET *392 0.000719379 +*CONN +*P HI[452] O +*I *1154:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[452] 0.000230629 +2 *1154:HI 0.000230629 +3 HI[452] HI[453] 0.000161784 +4 HI[44] HI[452] 0 +5 HI[451] HI[452] 9.63365e-05 +*RES +1 *1154:HI HI[452] 22.3473 +*END + +*D_NET *393 0.000706305 +*CONN +*P HI[453] O +*I *1155:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[453] 0.000229089 +2 *1155:HI 0.000229089 +3 HI[453] HI[454] 8.63427e-05 +4 HI[452] HI[453] 0.000161784 +*RES +1 *1155:HI HI[453] 22.3473 +*END + +*D_NET *394 0.000472431 +*CONN +*P HI[454] O +*I *1156:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[454] 0.000151501 +2 *1156:HI 0.000151501 +3 HI[454] HI[455] 8.30857e-05 +4 HI[453] HI[454] 8.63427e-05 +*RES +1 *1156:HI HI[454] 18.9182 +*END + +*D_NET *395 0.000476629 +*CONN +*P HI[455] O +*I *1157:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[455] 0.000155229 +2 *1157:HI 0.000155229 +3 HI[455] HI[456] 8.30857e-05 +4 HI[454] HI[455] 8.30857e-05 +*RES +1 *1157:HI HI[455] 18.9182 +*END + +*D_NET *396 0.00052197 +*CONN +*P HI[456] O +*I *1158:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[456] 0.000183099 +2 *1158:HI 0.000183099 +3 HI[456] HI[457] 7.26871e-05 +4 HI[455] HI[456] 8.30857e-05 +*RES +1 *1158:HI HI[456] 18.9182 +*END + +*D_NET *397 0.000487864 +*CONN +*P HI[457] O +*I *1159:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[457] 0.000166046 +2 *1159:HI 0.000166046 +3 HI[457] HI[458] 8.30857e-05 +4 HI[456] HI[457] 7.26871e-05 +*RES +1 *1159:HI HI[457] 18.9182 +*END + +*D_NET *398 0.000476629 +*CONN +*P HI[458] O +*I *1160:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[458] 0.000155229 +2 *1160:HI 0.000155229 +3 HI[458] HI[459] 8.30857e-05 +4 HI[457] HI[458] 8.30857e-05 +*RES +1 *1160:HI HI[458] 18.9182 +*END + +*D_NET *399 0.000754848 +*CONN +*P HI[459] O +*I *1161:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[459] 0.000261802 +2 *1161:HI 0.000261802 +3 HI[459] HI[45] 0.000148158 +4 HI[458] HI[459] 8.30857e-05 +*RES +1 *1161:HI HI[459] 20.582 +*END + +*D_NET *400 0.000703683 +*CONN +*P HI[45] O +*I *1162:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[45] 0.000201584 +2 *1162:HI 0.000201584 +3 HI[45] HI[460] 0.000152356 +4 HI[459] HI[45] 0.000148158 +*RES +1 *1162:HI HI[45] 20.9972 +*END + +*D_NET *401 0.00075367 +*CONN +*P HI[460] O +*I *1163:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[460] 0.000259114 +2 *1163:HI 0.000259114 +3 HI[460] HI[461] 8.30857e-05 +4 HI[45] HI[460] 0.000152356 +*RES +1 *1163:HI HI[460] 20.582 +*END + +*D_NET *402 0.000627215 +*CONN +*P HI[461] O +*I *1164:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[461] 0.000230599 +2 *1164:HI 0.000230599 +3 HI[461] HI[462] 8.29314e-05 +4 HI[460] HI[461] 8.30857e-05 +*RES +1 *1164:HI HI[461] 20.7746 +*END + +*D_NET *403 0.00070108 +*CONN +*P HI[462] O +*I *1165:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[462] 0.000246711 +2 *1165:HI 0.000246711 +3 HI[462] HI[46] 0.000124726 +4 HI[462] HI[47] 0 +5 HI[461] HI[462] 8.29314e-05 +*RES +1 *1165:HI HI[462] 21.7617 +*END + +*D_NET *404 0.000771783 +*CONN +*P HI[46] O +*I *1166:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[46] 0.000249449 +2 *1166:HI 0.000249449 +3 HI[46] HI[47] 0.000148158 +4 HI[462] HI[46] 0.000124726 +*RES +1 *1166:HI HI[46] 20.582 +*END + +*D_NET *405 0.000698861 +*CONN +*P HI[47] O +*I *1167:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[47] 0.000199173 +2 *1167:HI 0.000199173 +3 HI[47] HI[48] 0.000152356 +4 HI[462] HI[47] 0 +5 HI[46] HI[47] 0.000148158 +*RES +1 *1167:HI HI[47] 20.9972 +*END + +*D_NET *406 0.00075367 +*CONN +*P HI[48] O +*I *1168:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[48] 0.000259114 +2 *1168:HI 0.000259114 +3 HI[48] HI[49] 8.30857e-05 +4 HI[47] HI[48] 0.000152356 +*RES +1 *1168:HI HI[48] 20.582 +*END + +*D_NET *407 0.000627215 +*CONN +*P HI[49] O +*I *1169:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[49] 0.000230599 +2 *1169:HI 0.000230599 +3 HI[49] HI[4] 8.29314e-05 +4 HI[48] HI[49] 8.30857e-05 +*RES +1 *1169:HI HI[49] 20.7746 +*END + +*D_NET *408 0.000752153 +*CONN +*P HI[4] O +*I *1170:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[4] 0.000260173 +2 *1170:HI 0.000260173 +3 HI[4] HI[50] 0.000148875 +4 HI[4] HI[51] 0 +5 HI[49] HI[4] 8.29314e-05 +*RES +1 *1170:HI HI[4] 22.3163 +*END + +*D_NET *409 0.000893724 +*CONN +*P HI[50] O +*I *1171:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[50] 0.000271792 +2 *1171:HI 0.000271792 +3 HI[50] HI[51] 0.000201266 +4 HI[4] HI[50] 0.000148875 +*RES +1 *1171:HI HI[50] 21.1366 +*END + +*D_NET *410 0.000801943 +*CONN +*P HI[51] O +*I *1172:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[51] 0.000197607 +2 *1172:HI 0.000197607 +3 HI[51] HI[52] 0.000205464 +4 HI[4] HI[51] 0 +5 HI[50] HI[51] 0.000201266 +*RES +1 *1172:HI HI[51] 21.5518 +*END + +*D_NET *411 0.000865978 +*CONN +*P HI[52] O +*I *1173:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[52] 0.000288714 +2 *1173:HI 0.000288714 +3 HI[52] HI[53] 8.30857e-05 +4 HI[51] HI[52] 0.000205464 +*RES +1 *1173:HI HI[52] 21.1366 +*END + +*D_NET *412 0.000762771 +*CONN +*P HI[53] O +*I *1174:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[53] 0.000268365 +2 *1174:HI 0.000268365 +3 HI[53] HI[54] 0.000142956 +4 HI[52] HI[53] 8.30857e-05 +*RES +1 *1174:HI HI[53] 21.3292 +*END + +*D_NET *413 0.000813522 +*CONN +*P HI[54] O +*I *1175:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[54] 0.00022748 +2 *1175:HI 0.00022748 +3 HI[54] HI[55] 0.000215607 +4 HI[53] HI[54] 0.000142956 +*RES +1 *1175:HI HI[54] 21.901 +*END + +*D_NET *414 0.000955359 +*CONN +*P HI[55] O +*I *1176:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[55] 0.000269243 +2 *1176:HI 0.000269243 +3 HI[55] HI[56] 0.000201266 +4 HI[54] HI[55] 0.000215607 +*RES +1 *1176:HI HI[55] 21.1366 +*END + +*D_NET *415 0.000806765 +*CONN +*P HI[56] O +*I *1177:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[56] 0.000200018 +2 *1177:HI 0.000200018 +3 HI[56] HI[57] 0.000205464 +4 HI[55] HI[56] 0.000201266 +*RES +1 *1177:HI HI[56] 21.5518 +*END + +*D_NET *416 0.000950991 +*CONN +*P HI[57] O +*I *1178:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[57] 0.000272131 +2 *1178:HI 0.000272131 +3 HI[57] HI[58] 0.000201266 +4 HI[56] HI[57] 0.000205464 +*RES +1 *1178:HI HI[57] 21.1366 +*END + +*D_NET *417 0.000867943 +*CONN +*P HI[58] O +*I *1179:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[58] 0.000270589 +2 *1179:HI 0.000270589 +3 HI[58] HI[59] 0.00012045 +4 HI[58] HI[5] 5.04829e-06 +5 HI[57] HI[58] 0.000201266 +*RES +1 *1179:HI HI[58] 22.1065 +*END + +*D_NET *418 0.00093661 +*CONN +*P HI[59] O +*I *1180:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[59] 0.000304648 +2 *1180:HI 0.000304648 +3 HI[59] HI[5] 0.000201816 +4 HI[59] HI[60] 5.04829e-06 +5 HI[58] HI[59] 0.00012045 +*RES +1 *1180:HI HI[59] 22.0476 +*END + +*D_NET *419 0.000966912 +*CONN +*P HI[5] O +*I *1181:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[5] 0.000244798 +2 *1181:HI 0.000244798 +3 HI[5] HI[60] 0.000270451 +4 HI[58] HI[5] 5.04829e-06 +5 HI[59] HI[5] 0.000201816 +*RES +1 *1181:HI HI[5] 22.937 +*END + +*D_NET *420 0.000878928 +*CONN +*P HI[60] O +*I *1182:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[60] 0.000220274 +2 *1182:HI 0.000220274 +3 HI[60] HI[61] 0.00016288 +4 HI[60] HI[62] 0 +5 HI[59] HI[60] 5.04829e-06 +6 HI[5] HI[60] 0.000270451 +*RES +1 *1182:HI HI[60] 22.5217 +*END + +*D_NET *421 0.000894774 +*CONN +*P HI[61] O +*I *1183:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[61] 0.00032188 +2 *1183:HI 0.00032188 +3 HI[61] HI[62] 8.8134e-05 +4 HI[60] HI[61] 0.00016288 +*RES +1 *1183:HI HI[61] 21.6912 +*END + +*D_NET *422 0.00078404 +*CONN +*P HI[62] O +*I *1184:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[62] 0.00027617 +2 *1184:HI 0.00027617 +3 HI[62] HI[63] 0.000143566 +4 HI[62] HI[64] 0 +5 HI[62] HI[65] 0 +6 HI[60] HI[62] 0 +7 HI[61] HI[62] 8.8134e-05 +*RES +1 *1184:HI HI[62] 22.8853 +*END + +*D_NET *423 0.000957179 +*CONN +*P HI[63] O +*I *1185:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[63] 0.000304603 +2 *1185:HI 0.000304603 +3 HI[63] HI[64] 0.000204408 +4 HI[62] HI[63] 0.000143566 +*RES +1 *1185:HI HI[63] 22.0404 +*END + +*D_NET *424 0.000927685 +*CONN +*P HI[64] O +*I *1186:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[64] 0.000230668 +2 *1186:HI 0.000230668 +3 HI[64] HI[65] 0.000261942 +4 HI[62] HI[64] 0 +5 HI[63] HI[64] 0.000204408 +*RES +1 *1186:HI HI[64] 22.2846 +*END + +*D_NET *425 0.000864116 +*CONN +*P HI[65] O +*I *1187:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[65] 0.000232799 +2 *1187:HI 0.000232799 +3 HI[65] HI[66] 0.000136576 +4 HI[62] HI[65] 0 +5 HI[64] HI[65] 0.000261942 +*RES +1 *1187:HI HI[65] 22.5217 +*END + +*D_NET *426 0.000990811 +*CONN +*P HI[66] O +*I *1188:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[66] 0.000355517 +2 *1188:HI 0.000355517 +3 HI[66] HI[67] 0.000143202 +4 HI[65] HI[66] 0.000136576 +*RES +1 *1188:HI HI[66] 22.595 +*END + +*D_NET *427 0.00102747 +*CONN +*P HI[67] O +*I *1189:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[67] 0.000262179 +2 *1189:HI 0.000262179 +3 HI[67] HI[68] 0.000290635 +4 HI[67] HI[69] 6.92705e-05 +5 HI[66] HI[67] 0.000143202 +*RES +1 *1189:HI HI[67] 23.0247 +*END + +*D_NET *428 0.00100965 +*CONN +*P HI[68] O +*I *1190:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[68] 0.000257782 +2 *1190:HI 0.000257782 +3 HI[68] HI[69] 0.000134176 +4 HI[68] HI[6] 6.92705e-05 +5 HI[67] HI[68] 0.000290635 +*RES +1 *1190:HI HI[68] 23.4255 +*END + +*D_NET *429 0.00116354 +*CONN +*P HI[69] O +*I *1191:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[69] 0.000329531 +2 *1191:HI 0.000329531 +3 HI[69] HI[6] 0.000301036 +4 HI[67] HI[69] 6.92705e-05 +5 HI[68] HI[69] 0.000134176 +*RES +1 *1191:HI HI[69] 22.2458 +*END + +*D_NET *430 0.00113802 +*CONN +*P HI[6] O +*I *1192:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[6] 0.000193328 +2 *1192:HI 0.000193328 +3 HI[6] HI[70] 0.000311792 +4 HI[6] HI[71] 6.92705e-05 +5 HI[68] HI[6] 6.92705e-05 +6 HI[69] HI[6] 0.000301036 +*RES +1 *1192:HI HI[6] 22.6611 +*END + +*D_NET *431 0.000986996 +*CONN +*P HI[70] O +*I *1193:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[70] 0.00024095 +2 *1193:HI 0.00024095 +3 HI[70] HI[71] 0.000124033 +4 HI[70] HI[72] 6.92705e-05 +5 HI[6] HI[70] 0.000311792 +*RES +1 *1193:HI HI[70] 23.0763 +*END + +*D_NET *432 0.00115918 +*CONN +*P HI[71] O +*I *1194:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[71] 0.000332418 +2 *1194:HI 0.000332418 +3 HI[71] HI[72] 0.000301036 +4 HI[6] HI[71] 6.92705e-05 +5 HI[70] HI[71] 0.000124033 +*RES +1 *1194:HI HI[71] 22.2458 +*END + +*D_NET *433 0.00113802 +*CONN +*P HI[72] O +*I *1195:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[72] 0.000193328 +2 *1195:HI 0.000193328 +3 HI[72] HI[73] 0.000311792 +4 HI[72] HI[74] 6.92705e-05 +5 HI[70] HI[72] 6.92705e-05 +6 HI[71] HI[72] 0.000301036 +*RES +1 *1195:HI HI[72] 22.6611 +*END + +*D_NET *434 0.000986996 +*CONN +*P HI[73] O +*I *1196:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[73] 0.00024095 +2 *1196:HI 0.00024095 +3 HI[73] HI[74] 0.000124033 +4 HI[73] HI[75] 6.92705e-05 +5 HI[72] HI[73] 0.000311792 +*RES +1 *1196:HI HI[73] 23.0763 +*END + +*D_NET *435 0.00126787 +*CONN +*P HI[74] O +*I *1197:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[74] 0.000356988 +2 *1197:HI 0.000356988 +3 HI[74] HI[75] 0.000360588 +4 HI[72] HI[74] 6.92705e-05 +5 HI[73] HI[74] 0.000124033 +*RES +1 *1197:HI HI[74] 22.8004 +*END + +*D_NET *436 0.0011323 +*CONN +*P HI[75] O +*I *1198:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[75] 0.000220254 +2 *1198:HI 0.000220254 +3 HI[75] HI[76] 0.000196864 +4 HI[75] HI[77] 6.50727e-05 +5 HI[73] HI[75] 6.92705e-05 +6 HI[74] HI[75] 0.000360588 +*RES +1 *1198:HI HI[75] 23.2157 +*END + +*D_NET *437 0.00117125 +*CONN +*P HI[76] O +*I *1199:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[76] 0.000372609 +2 *1199:HI 0.000372609 +3 HI[76] HI[77] 0.000115197 +4 HI[76] HI[78] 0.000113968 +5 HI[75] HI[76] 0.000196864 +*RES +1 *1199:HI HI[76] 23.164 +*END + +*D_NET *438 0.00113142 +*CONN +*P HI[77] O +*I *1200:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[77] 0.000290674 +2 *1200:HI 0.000290674 +3 HI[77] HI[78] 0.000369799 +4 HI[75] HI[77] 6.50727e-05 +5 HI[76] HI[77] 0.000115197 +*RES +1 *1200:HI HI[77] 23.9801 +*END + +*D_NET *439 0.001306 +*CONN +*P HI[78] O +*I *1201:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[78] 0.000213256 +2 *1201:HI 0.000213256 +3 HI[78] HI[79] 0.00027754 +4 HI[78] HI[7] 0.00011818 +5 HI[76] HI[78] 0.000113968 +6 HI[77] HI[78] 0.000369799 +*RES +1 *1201:HI HI[78] 23.2157 +*END + +*D_NET *440 0.00120775 +*CONN +*P HI[79] O +*I *1202:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[79] 0.0003785 +2 *1202:HI 0.0003785 +3 HI[79] HI[7] 0.000173208 +4 HI[78] HI[79] 0.00027754 +*RES +1 *1202:HI HI[79] 23.1496 +*END + +*D_NET *441 0.000991179 +*CONN +*P HI[7] O +*I *1203:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[7] 0.000262721 +2 *1203:HI 0.000262721 +3 HI[7] HI[80] 0.000105078 +4 HI[7] HI[81] 6.92705e-05 +5 HI[78] HI[7] 0.00011818 +6 HI[79] HI[7] 0.000173208 +*RES +1 *1203:HI HI[7] 23.6309 +*END + +*D_NET *442 0.00113549 +*CONN +*P HI[80] O +*I *1204:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[80] 0.000357032 +2 *1204:HI 0.000357032 +3 HI[80] HI[81] 0.000316349 +4 HI[7] HI[80] 0.000105078 +*RES +1 *1204:HI HI[80] 23.164 +*END + +*D_NET *443 0.00129509 +*CONN +*P HI[81] O +*I *1205:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[81] 0.000204296 +2 *1205:HI 0.000204296 +3 HI[81] HI[82] 0.000378504 +4 HI[81] HI[83] 0.000122378 +5 HI[7] HI[81] 6.92705e-05 +6 HI[80] HI[81] 0.000316349 +*RES +1 *1205:HI HI[81] 23.5648 +*END + +*D_NET *444 0.00117516 +*CONN +*P HI[82] O +*I *1206:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[82] 0.000260641 +2 *1206:HI 0.000260641 +3 HI[82] HI[83] 9.98845e-05 +4 HI[82] HI[84] 0.000175485 +5 HI[81] HI[82] 0.000378504 +*RES +1 *1206:HI HI[82] 24.1855 +*END + +*D_NET *445 0.00140929 +*CONN +*P HI[83] O +*I *1207:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[83] 0.00038877 +2 *1207:HI 0.00038877 +3 HI[83] HI[84] 0.000409483 +4 HI[81] HI[83] 0.000122378 +5 HI[82] HI[83] 9.98845e-05 +*RES +1 *1207:HI HI[83] 23.355 +*END + +*D_NET *446 0.00128157 +*CONN +*P HI[84] O +*I *1208:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[84] 0.000241233 +2 *1208:HI 0.000241233 +3 HI[84] HI[85] 0.000100163 +4 HI[84] HI[86] 0.000113968 +5 HI[82] HI[84] 0.000175485 +6 HI[83] HI[84] 0.000409483 +*RES +1 *1208:HI HI[84] 23.7703 +*END + +*D_NET *447 0.00132337 +*CONN +*P HI[85] O +*I *1209:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[85] 0.000313543 +2 *1209:HI 0.000313543 +3 HI[85] HI[86] 0.00041915 +4 HI[85] HI[87] 0.00017697 +5 HI[84] HI[85] 0.000100163 +*RES +1 *1209:HI HI[85] 24.9644 +*END + +*D_NET *448 0.00134434 +*CONN +*P HI[86] O +*I *1210:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[86] 0.00022479 +2 *1210:HI 0.00022479 +3 HI[86] HI[87] 0.000186154 +4 HI[86] HI[88] 0.000175485 +5 HI[84] HI[86] 0.000113968 +6 HI[85] HI[86] 0.00041915 +*RES +1 *1210:HI HI[86] 24.5347 +*END + +*D_NET *449 0.00120739 +*CONN +*P HI[87] O +*I *1211:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[87] 0.000354927 +2 *1211:HI 0.000354927 +3 HI[87] HI[88] 0.000134412 +4 HI[85] HI[87] 0.00017697 +5 HI[86] HI[87] 0.000186154 +*RES +1 *1211:HI HI[87] 25.5947 +*END + +*D_NET *450 0.00126087 +*CONN +*P HI[88] O +*I *1212:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[88] 0.000213256 +2 *1212:HI 0.000213256 +3 HI[88] HI[89] 0.000410494 +4 HI[88] HI[8] 0.000113968 +5 HI[86] HI[88] 0.000175485 +6 HI[87] HI[88] 0.000134412 +*RES +1 *1212:HI HI[88] 23.7703 +*END + +*D_NET *451 0.00134691 +*CONN +*P HI[89] O +*I *1213:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[89] 0.00039175 +2 *1213:HI 0.00039175 +3 HI[89] HI[8] 0.000152917 +4 HI[88] HI[89] 0.000410494 +*RES +1 *1213:HI HI[89] 23.355 +*END + +*D_NET *452 0.00110475 +*CONN +*P HI[8] O +*I *1214:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[8] 0.000312417 +2 *1214:HI 0.000312417 +3 HI[8] HI[90] 9.48649e-05 +4 HI[8] HI[91] 0.000118166 +5 HI[88] HI[8] 0.000113968 +6 HI[89] HI[8] 0.000152917 +*RES +1 *1214:HI HI[8] 24.5347 +*END + +*D_NET *453 0.000818152 +*CONN +*P HI[90] O +*I *1215:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[90] 0.000321178 +2 *1215:HI 0.000321178 +3 HI[90] HI[91] 8.09299e-05 +4 HI[90] HI[92] 0 +5 HI[8] HI[90] 9.48649e-05 +*RES +1 *1215:HI HI[90] 23.0707 +*END + +*D_NET *454 0.0012089 +*CONN +*P HI[91] O +*I *1216:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[91] 0.000311819 +2 *1216:HI 0.000311819 +3 HI[91] HI[92] 9.89492e-05 +4 HI[91] HI[93] 0.000222149 +5 HI[343] HI[91] 6.50727e-05 +6 HI[8] HI[91] 0.000118166 +7 HI[90] HI[91] 8.09299e-05 +*RES +1 *1216:HI HI[91] 24.674 +*END + +*D_NET *455 0.000724378 +*CONN +*P HI[92] O +*I *1217:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[92] 0.000269543 +2 *1217:HI 0.000269543 +3 HI[92] HI[93] 8.63427e-05 +4 HI[92] HI[94] 0 +5 HI[90] HI[92] 0 +6 HI[91] HI[92] 9.89492e-05 +*RES +1 *1217:HI HI[92] 22.3473 +*END + +*D_NET *456 0.00112527 +*CONN +*P HI[93] O +*I *1218:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[93] 0.000334395 +2 *1218:HI 0.000334395 +3 HI[93] HI[94] 8.30857e-05 +4 HI[343] HI[93] 6.49003e-05 +5 HI[91] HI[93] 0.000222149 +6 HI[92] HI[93] 8.63427e-05 +*RES +1 *1218:HI HI[93] 22.2458 +*END + +*D_NET *457 0.000736058 +*CONN +*P HI[94] O +*I *1219:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[94] 0.000250215 +2 *1219:HI 0.000250215 +3 HI[94] HI[95] 0.000152543 +4 HI[92] HI[94] 0 +5 HI[93] HI[94] 8.30857e-05 +*RES +1 *1219:HI HI[94] 22.3473 +*END + +*D_NET *458 0.000731236 +*CONN +*P HI[95] O +*I *1220:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[95] 0.000247804 +2 *1220:HI 0.000247804 +3 HI[95] HI[96] 8.30857e-05 +4 HI[95] HI[97] 0 +5 HI[94] HI[95] 0.000152543 +*RES +1 *1220:HI HI[95] 22.3473 +*END + +*D_NET *459 0.000489195 +*CONN +*P HI[96] O +*I *1221:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[96] 0.000145763 +2 *1221:HI 0.000145763 +3 HI[96] HI[97] 8.30857e-05 +4 HI[343] HI[96] 3.14978e-05 +5 HI[95] HI[96] 8.30857e-05 +*RES +1 *1221:HI HI[96] 18.9182 +*END + +*D_NET *460 0.000868944 +*CONN +*P HI[97] O +*I *1222:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[97] 0.000349758 +2 *1222:HI 0.000349758 +3 HI[97] HI[98] 8.63427e-05 +4 HI[95] HI[97] 0 +5 HI[96] HI[97] 8.30857e-05 +*RES +1 *1222:HI HI[97] 24.1799 +*END + +*D_NET *461 0.00037827 +*CONN +*P HI[98] O +*I *1223:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[98] 0.00010981 +2 *1223:HI 0.00010981 +3 HI[98] HI[99] 7.23067e-05 +4 HI[97] HI[98] 8.63427e-05 +*RES +1 *1223:HI HI[98] 18.1948 +*END + +*D_NET *462 0.000588348 +*CONN +*P HI[99] O +*I *1224:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[99] 0.000195657 +2 *1224:HI 0.000195657 +3 HI[99] HI[9] 9.32286e-05 +4 HI[343] HI[99] 3.14978e-05 +5 HI[98] HI[99] 7.23067e-05 +*RES +1 *1224:HI HI[99] 19.822 +*END + +*D_NET *463 0.000376587 +*CONN +*P HI[9] O +*I *1225:HI O *D sky130_fd_sc_hd__conb_1 +*CAP +1 HI[9] 9.85079e-05 +2 *1225:HI 9.85079e-05 +3 HI[343] HI[9] 8.63427e-05 +4 HI[99] HI[9] 9.32286e-05 +*RES +1 *1225:HI HI[9] 18.1948 +*END diff --git a/spef/spare_logic_block/spare_logic_block.nom.spef b/spef/spare_logic_block/spare_logic_block.nom.spef new file mode 100644 index 00000000..1f2b9daa --- /dev/null +++ b/spef/spare_logic_block/spare_logic_block.nom.spef @@ -0,0 +1,1192 @@ +*SPEF "ieee 1481-1999" +*DESIGN "spare_logic_block" +*DATE "11:11:11 Fri 11 11, 1111" +*VENDOR "OpenRCX" +*PROGRAM "Parallel Extraction" +*VERSION "1.0" +*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE" +*DIVIDER / +*DELIMITER : +*BUS_DELIMITER [] +*T_UNIT 1 NS +*C_UNIT 1 PF +*R_UNIT 1 OHM +*L_UNIT 1 HENRY + +*NAME_MAP +*1 spare_xfq[0] +*2 spare_xfq[1] +*3 spare_xfqn[0] +*4 spare_xfqn[1] +*5 spare_xi[0] +*6 spare_xi[1] +*7 spare_xi[2] +*8 spare_xi[3] +*9 spare_xib +*10 spare_xmx[0] +*11 spare_xmx[1] +*12 spare_xna[0] +*13 spare_xna[1] +*14 spare_xno[0] +*15 spare_xno[1] +*16 spare_xz[0] +*17 spare_xz[10] +*18 spare_xz[11] +*19 spare_xz[12] +*20 spare_xz[13] +*21 spare_xz[14] +*22 spare_xz[15] +*23 spare_xz[16] +*24 spare_xz[17] +*25 spare_xz[18] +*26 spare_xz[19] +*27 spare_xz[1] +*28 spare_xz[20] +*29 spare_xz[21] +*30 spare_xz[22] +*31 spare_xz[23] +*32 spare_xz[24] +*33 spare_xz[25] +*34 spare_xz[26] +*35 spare_xz[2] +*36 spare_xz[3] +*37 spare_xz[4] +*38 spare_xz[5] +*39 spare_xz[6] +*40 spare_xz[7] +*41 spare_xz[8] +*42 spare_xz[9] +*45 spare_logic1\[0\] +*46 spare_logic1\[10\] +*47 spare_logic1\[11\] +*48 spare_logic1\[12\] +*49 spare_logic1\[13\] +*50 spare_logic1\[14\] +*51 spare_logic1\[15\] +*52 spare_logic1\[16\] +*53 spare_logic1\[17\] +*54 spare_logic1\[18\] +*55 spare_logic1\[19\] +*56 spare_logic1\[1\] +*57 spare_logic1\[20\] +*58 spare_logic1\[21\] +*59 spare_logic1\[22\] +*60 spare_logic1\[23\] +*61 spare_logic1\[24\] +*62 spare_logic1\[25\] +*63 spare_logic1\[26\] +*64 spare_logic1\[2\] +*65 spare_logic1\[3\] +*66 spare_logic1\[4\] +*67 spare_logic1\[5\] +*68 spare_logic1\[6\] +*69 spare_logic1\[7\] +*70 spare_logic1\[8\] +*71 spare_logic1\[9\] +*72 FILLER_0_15 +*73 FILLER_0_24 +*74 FILLER_0_29 +*75 FILLER_0_3 +*76 FILLER_0_34 +*77 FILLER_0_42 +*78 FILLER_0_47 +*79 FILLER_0_55 +*80 FILLER_0_57 +*81 FILLER_0_66 +*82 FILLER_10_14 +*83 FILLER_10_21 +*84 FILLER_10_27 +*85 FILLER_10_3 +*86 FILLER_10_34 +*87 FILLER_10_46 +*88 FILLER_10_52 +*89 FILLER_10_59 +*90 FILLER_10_66 +*91 FILLER_11_15 +*92 FILLER_11_27 +*93 FILLER_11_29 +*94 FILLER_11_3 +*95 FILLER_11_41 +*96 FILLER_11_53 +*97 FILLER_11_57 +*98 FILLER_11_66 +*99 FILLER_1_3 +*100 FILLER_1_38 +*101 FILLER_1_44 +*102 FILLER_1_48 +*103 FILLER_1_62 +*104 FILLER_1_8 +*105 FILLER_2_15 +*106 FILLER_2_22 +*107 FILLER_2_29 +*108 FILLER_2_3 +*109 FILLER_2_41 +*110 FILLER_2_47 +*111 FILLER_2_54 +*112 FILLER_2_62 +*113 FILLER_2_66 +*114 FILLER_2_8 +*115 FILLER_3_3 +*116 FILLER_3_35 +*117 FILLER_3_47 +*118 FILLER_3_55 +*119 FILLER_3_57 +*120 FILLER_3_66 +*121 FILLER_4_20 +*122 FILLER_4_29 +*123 FILLER_4_3 +*124 FILLER_4_41 +*125 FILLER_4_53 +*126 FILLER_4_57 +*127 FILLER_4_61 +*128 FILLER_4_69 +*129 FILLER_4_8 +*130 FILLER_5_12 +*131 FILLER_5_19 +*132 FILLER_5_31 +*133 FILLER_5_43 +*134 FILLER_5_52 +*135 FILLER_5_57 +*136 FILLER_5_66 +*137 FILLER_6_10 +*138 FILLER_6_17 +*139 FILLER_6_25 +*140 FILLER_6_29 +*141 FILLER_6_3 +*142 FILLER_6_41 +*143 FILLER_6_53 +*144 FILLER_6_59 +*145 FILLER_6_66 +*146 FILLER_7_15 +*147 FILLER_7_27 +*148 FILLER_7_3 +*149 FILLER_7_39 +*150 FILLER_7_51 +*151 FILLER_7_55 +*152 FILLER_7_57 +*153 FILLER_7_61 +*154 FILLER_7_69 +*155 FILLER_8_20 +*156 FILLER_8_29 +*157 FILLER_8_3 +*158 FILLER_8_41 +*159 FILLER_8_53 +*160 FILLER_8_66 +*161 FILLER_8_8 +*162 FILLER_9_16 +*163 FILLER_9_20 +*164 FILLER_9_24 +*165 FILLER_9_3 +*166 FILLER_9_36 +*167 FILLER_9_48 +*168 FILLER_9_57 +*169 FILLER_9_63 +*170 FILLER_9_69 +*171 FILLER_9_9 +*172 PHY_0 +*173 PHY_1 +*174 PHY_10 +*175 PHY_11 +*176 PHY_12 +*177 PHY_13 +*178 PHY_14 +*179 PHY_15 +*180 PHY_16 +*181 PHY_17 +*182 PHY_18 +*183 PHY_19 +*184 PHY_2 +*185 PHY_20 +*186 PHY_21 +*187 PHY_22 +*188 PHY_23 +*189 PHY_3 +*190 PHY_4 +*191 PHY_5 +*192 PHY_6 +*193 PHY_7 +*194 PHY_8 +*195 PHY_9 +*196 TAP_24 +*197 TAP_25 +*198 TAP_26 +*199 TAP_27 +*200 TAP_28 +*201 TAP_29 +*202 TAP_30 +*203 TAP_31 +*204 TAP_32 +*205 TAP_33 +*206 TAP_34 +*207 TAP_35 +*208 TAP_36 +*209 TAP_37 +*210 spare_logic_biginv +*211 spare_logic_const\[0\] +*212 spare_logic_const\[10\] +*213 spare_logic_const\[11\] +*214 spare_logic_const\[12\] +*215 spare_logic_const\[13\] +*216 spare_logic_const\[14\] +*217 spare_logic_const\[15\] +*218 spare_logic_const\[16\] +*219 spare_logic_const\[17\] +*220 spare_logic_const\[18\] +*221 spare_logic_const\[19\] +*222 spare_logic_const\[1\] +*223 spare_logic_const\[20\] +*224 spare_logic_const\[21\] +*225 spare_logic_const\[22\] +*226 spare_logic_const\[23\] +*227 spare_logic_const\[24\] +*228 spare_logic_const\[25\] +*229 spare_logic_const\[26\] +*230 spare_logic_const\[2\] +*231 spare_logic_const\[3\] +*232 spare_logic_const\[4\] +*233 spare_logic_const\[5\] +*234 spare_logic_const\[6\] +*235 spare_logic_const\[7\] +*236 spare_logic_const\[8\] +*237 spare_logic_const\[9\] +*238 spare_logic_flop\[0\] +*239 spare_logic_flop\[1\] +*240 spare_logic_inv\[0\] +*241 spare_logic_inv\[1\] +*242 spare_logic_inv\[2\] +*243 spare_logic_inv\[3\] +*244 spare_logic_mux\[0\] +*245 spare_logic_mux\[1\] +*246 spare_logic_nand\[0\] +*247 spare_logic_nand\[1\] +*248 spare_logic_nor\[0\] +*249 spare_logic_nor\[1\] + +*PORTS +spare_xfq[0] O +spare_xfq[1] O +spare_xfqn[0] O +spare_xfqn[1] O +spare_xi[0] O +spare_xi[1] O +spare_xi[2] O +spare_xi[3] O +spare_xib O +spare_xmx[0] O +spare_xmx[1] O +spare_xna[0] O +spare_xna[1] O +spare_xno[0] O +spare_xno[1] O +spare_xz[0] O +spare_xz[10] O +spare_xz[11] O +spare_xz[12] O +spare_xz[13] O +spare_xz[14] O +spare_xz[15] O +spare_xz[16] O +spare_xz[17] O +spare_xz[18] O +spare_xz[19] O +spare_xz[1] O +spare_xz[20] O +spare_xz[21] O +spare_xz[22] O +spare_xz[23] O +spare_xz[24] O +spare_xz[25] O +spare_xz[26] O +spare_xz[2] O +spare_xz[3] O +spare_xz[4] O +spare_xz[5] O +spare_xz[6] O +spare_xz[7] O +spare_xz[8] O +spare_xz[9] O + +*D_NET *1 0.00318743 +*CONN +*P spare_xfq[0] O +*I *238:Q O *D sky130_fd_sc_hd__dfbbp_1 +*CAP +1 spare_xfq[0] 0.00143288 +2 *238:Q 0.00143288 +3 spare_xfq[0] spare_xz[16] 0.000152331 +4 spare_xfq[0] spare_xz[21] 4.61962e-05 +5 spare_xfq[0] spare_xz[7] 0 +6 spare_xfq[0] *238:RESET_B 1.43832e-05 +7 spare_xfq[0] *4:13 0.000108765 +*RES +1 *238:Q spare_xfq[0] 27.0419 +*END + +*D_NET *2 0.00194441 +*CONN +*P spare_xfq[1] O +*I *239:Q O *D sky130_fd_sc_hd__dfbbp_1 +*CAP +1 spare_xfq[1] 0.000660358 +2 *239:Q 0.000660358 +3 spare_xfq[1] spare_xna[1] 3.72267e-05 +4 spare_xfq[1] *247:A 1.00766e-05 +5 spare_xfq[1] *247:B 0.000362285 +6 spare_xfq[1] *28:8 0.000214105 +*RES +1 *239:Q spare_xfq[1] 32.0865 +*END + +*D_NET *3 0.00387873 +*CONN +*P spare_xfqn[0] O +*I *238:Q_N O *D sky130_fd_sc_hd__dfbbp_1 +*CAP +1 spare_xfqn[0] 0.00103147 +2 *238:Q_N 0.00103147 +3 spare_xfqn[0] spare_xz[10] 0.000226853 +4 spare_xfqn[0] *238:RESET_B 0.000132467 +5 spare_xfqn[0] *28:26 0 +6 spare_xfqn[0] *41:25 0.00145648 +*RES +1 *238:Q_N spare_xfqn[0] 48.2673 +*END + +*D_NET *4 0.00595762 +*CONN +*P spare_xfqn[1] O +*I *239:Q_N O *D sky130_fd_sc_hd__dfbbp_1 +*CAP +1 spare_xfqn[1] 0.000900678 +2 *239:Q_N 0.00101053 +3 *4:13 0.00191121 +4 spare_xfqn[1] spare_xib 5.57117e-05 +5 spare_xfqn[1] spare_xno[1] 5.13168e-05 +6 *4:13 spare_xna[0] 0.000155415 +7 *4:13 spare_xz[0] 2.86353e-06 +8 *4:13 spare_xz[21] 4.15201e-05 +9 *4:13 *239:D 9.43222e-06 +10 *4:13 *239:RESET_B 0 +11 *4:13 *246:B 0 +12 *4:13 *41:8 5.71992e-05 +13 *4:13 *41:25 0.00165298 +14 spare_xfq[0] *4:13 0.000108765 +*RES +1 *239:Q_N *4:13 48.6751 +2 *4:13 spare_xfqn[1] 2.77859 +*END + +*D_NET *5 0.00172942 +*CONN +*P spare_xi[0] O +*I *240:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 spare_xi[0] 0.00086471 +2 *240:Y 0.00086471 +3 spare_xi[0] spare_xz[1] 0 +4 spare_xi[0] *241:A 0 +5 spare_xi[0] *6:7 0 +*RES +1 *240:Y spare_xi[0] 31.6657 +*END + +*D_NET *6 0.00214346 +*CONN +*P spare_xi[1] O +*I *241:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 spare_xi[1] 0.000126267 +2 *241:Y 0.00034142 +3 *6:8 0.00068171 +4 *6:7 0.000896863 +5 spare_xi[1] spare_xna[1] 0 +6 *6:7 *241:A 9.72058e-05 +7 spare_xi[0] *6:7 0 +*RES +1 *241:Y *6:7 24.2131 +2 *6:7 *6:8 938.708 +3 *6:8 spare_xi[1] 17.8657 +*END + +*D_NET *7 0.00175983 +*CONN +*P spare_xi[2] O +*I *242:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 spare_xi[2] 0.00010657 +2 *242:Y 0.000332308 +3 *7:8 0.0004609 +4 *7:7 0.000686638 +5 spare_xi[2] spare_xna[0] 0 +6 spare_xi[2] spare_xz[11] 7.64213e-06 +7 spare_xi[2] spare_xz[17] 3.4849e-05 +8 *7:7 spare_xz[3] 0 +9 *7:7 *35:17 0.00013092 +*RES +1 *242:Y *7:7 24.2131 +2 *7:7 *7:8 591.038 +3 *7:8 spare_xi[2] 17.8657 +*END + +*D_NET *8 0.00661909 +*CONN +*P spare_xi[3] O +*I *243:Y O *D sky130_fd_sc_hd__inv_2 +*CAP +1 spare_xi[3] 0.000628529 +2 *243:Y 0.000229523 +3 *8:11 0.00284899 +4 *8:8 0.00244999 +5 spare_xi[3] spare_xz[18] 0 +6 *8:8 spare_xz[10] 0 +7 *8:8 spare_xz[20] 0.000462058 +*RES +1 *243:Y *8:8 26.3099 +2 *8:8 *8:11 39.0542 +3 *8:11 spare_xi[3] 17.7337 +*END + +*D_NET *9 0.000952727 +*CONN +*P spare_xib O +*I *210:Y O *D sky130_fd_sc_hd__inv_8 +*CAP +1 spare_xib 0.000448508 +2 *210:Y 0.000448508 +3 spare_xib spare_xz[14] 0 +4 spare_xib spare_xz[18] 0 +5 spare_xib spare_xz[8] 0 +6 spare_xfqn[1] spare_xib 5.57117e-05 +*RES +1 *210:Y spare_xib 22.4772 +*END + +*D_NET *10 0.00144434 +*CONN +*P spare_xmx[0] O +*I *244:X O *D sky130_fd_sc_hd__mux2_2 +*CAP +1 spare_xmx[0] 0.000563694 +2 *244:X 0.000563694 +3 spare_xmx[0] spare_xz[11] 0 +4 spare_xmx[0] spare_xz[13] 8.55049e-05 +5 spare_xmx[0] *244:A0 7.82128e-05 +6 spare_xmx[0] *36:11 0.00015324 +*RES +1 *244:X spare_xmx[0] 29.0646 +*END + +*D_NET *11 0.00591971 +*CONN +*P spare_xmx[1] O +*I *245:X O *D sky130_fd_sc_hd__mux2_2 +*CAP +1 spare_xmx[1] 0.000166659 +2 *245:X 0.00157429 +3 *11:10 0.00174095 +4 spare_xmx[1] spare_xz[6] 0 +5 *11:10 *238:RESET_B 3.27828e-05 +6 *11:10 *14:7 0.00158784 +7 *11:10 *28:8 7.45478e-05 +8 *11:10 *28:26 0.00063379 +9 *11:10 *33:8 0.000108858 +10 *11:10 *39:6 0 +*RES +1 *245:X *11:10 48.2876 +2 *11:10 spare_xmx[1] 4.23802 +*END + +*D_NET *12 0.00290785 +*CONN +*P spare_xna[0] O +*I *246:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 spare_xna[0] 0.00131754 +2 *246:Y 0.00131754 +3 spare_xna[0] spare_xz[13] 0.000112597 +4 spare_xna[0] *41:25 4.75721e-06 +5 spare_xi[2] spare_xna[0] 0 +6 *4:13 spare_xna[0] 0.000155415 +*RES +1 *246:Y spare_xna[0] 21.0018 +*END + +*D_NET *13 0.00129207 +*CONN +*P spare_xna[1] O +*I *247:Y O *D sky130_fd_sc_hd__nand2_2 +*CAP +1 spare_xna[1] 0.00060201 +2 *247:Y 0.00060201 +3 spare_xna[1] spare_xz[21] 5.08239e-05 +4 spare_xfq[1] spare_xna[1] 3.72267e-05 +5 spare_xi[1] spare_xna[1] 0 +*RES +1 *247:Y spare_xna[1] 21.3516 +*END + +*D_NET *14 0.00648411 +*CONN +*P spare_xno[0] O +*I *248:Y O *D sky130_fd_sc_hd__nor2_2 +*CAP +1 spare_xno[0] 0.000840131 +2 *248:Y 0.000933231 +3 *14:7 0.00177336 +4 spare_xno[0] spare_xz[19] 1.53148e-05 +5 spare_xno[0] spare_xz[22] 0 +6 spare_xno[0] *238:D 0 +7 *14:7 spare_xz[0] 0.000241006 +8 *14:7 spare_xz[18] 6.92597e-05 +9 *14:7 *245:A0 5.31465e-05 +10 *14:7 *245:A1 0.000122661 +11 *14:7 *246:B 0.000244519 +12 *14:7 *22:13 0 +13 *14:7 *40:5 4.89251e-05 +14 *14:7 *42:7 0.000554715 +15 *11:10 *14:7 0.00158784 +*RES +1 *248:Y *14:7 48.858 +2 *14:7 spare_xno[0] 23.8404 +*END + +*D_NET *15 0.000582291 +*CONN +*P spare_xno[1] O +*I *249:Y O *D sky130_fd_sc_hd__nor2_2 +*CAP +1 spare_xno[1] 0.000255385 +2 *249:Y 0.000255385 +3 spare_xno[1] spare_xz[12] 0 +4 spare_xno[1] *249:B 2.02035e-05 +5 spare_xfqn[1] spare_xno[1] 5.13168e-05 +*RES +1 *249:Y spare_xno[1] 18.2481 +*END + +*D_NET *16 0.00553956 +*CONN +*P spare_xz[0] O +*I *240:A I *D sky130_fd_sc_hd__inv_2 +*I *211:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 spare_xz[0] 0.00167052 +2 *240:A 0.000445172 +3 *211:LO 0 +4 *16:5 0.00211569 +5 spare_xz[0] spare_xz[12] 3.24342e-05 +6 spare_xz[0] spare_xz[7] 0.000128216 +7 spare_xz[0] spare_xz[9] 0 +8 spare_xz[0] *246:B 4.20184e-06 +9 spare_xz[0] *22:10 0.000154469 +10 spare_xz[0] *28:26 0.00053002 +11 spare_xz[0] *42:7 0.000157767 +12 *240:A *22:10 5.71992e-05 +13 *4:13 spare_xz[0] 2.86353e-06 +14 *14:7 spare_xz[0] 0.000241006 +*RES +1 *211:LO *16:5 13.7491 +2 *16:5 *240:A 24.7517 +3 *16:5 spare_xz[0] 33.307 +*END + +*D_NET *17 0.00292957 +*CONN +*P spare_xz[10] O +*I *249:A I *D sky130_fd_sc_hd__nor2_2 +*I *212:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 spare_xz[10] 0.00114028 +2 *249:A 0.000151684 +3 *212:LO 0 +4 *17:4 0.00129196 +5 spare_xz[10] spare_xz[20] 0 +6 spare_xz[10] *38:8 0.000118792 +7 spare_xz[10] *41:25 0 +8 spare_xfqn[0] spare_xz[10] 0.000226853 +9 *8:8 spare_xz[10] 0 +*RES +1 *212:LO *17:4 9.24915 +2 *17:4 *249:A 12.7697 +3 *17:4 spare_xz[10] 36.5707 +*END + +*D_NET *18 0.00320194 +*CONN +*P spare_xz[11] O +*I *248:B I *D sky130_fd_sc_hd__nor2_2 +*I *213:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 spare_xz[11] 0.00136943 +2 *248:B 0.000206687 +3 *213:LO 0 +4 *18:4 0.00157612 +5 spare_xz[11] spare_xz[2] 8.95978e-06 +6 spare_xz[11] spare_xz[3] 0 +7 *248:B *22:10 0 +8 *248:B *42:7 3.30997e-05 +9 spare_xi[2] spare_xz[11] 7.64213e-06 +10 spare_xmx[0] spare_xz[11] 0 +*RES +1 *213:LO *18:4 9.24915 +2 *18:4 *248:B 23.4595 +3 *18:4 spare_xz[11] 30.716 +*END + +*D_NET *19 0.00145546 +*CONN +*P spare_xz[12] O +*I *249:B I *D sky130_fd_sc_hd__nor2_2 +*I *214:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 spare_xz[12] 0.000559557 +2 *249:B 0.000141856 +3 *214:LO 0 +4 *19:5 0.000701413 +5 spare_xz[12] spare_xz[14] 0 +6 spare_xz[12] spare_xz[18] 0 +7 *249:B spare_xz[14] 0 +8 *249:B spare_xz[18] 0 +9 spare_xno[1] spare_xz[12] 0 +10 spare_xno[1] *249:B 2.02035e-05 +11 spare_xz[0] spare_xz[12] 3.24342e-05 +*RES +1 *214:LO *19:5 13.7491 +2 *19:5 spare_xz[12] 8.22342 +3 *19:5 *249:B 17.6574 +*END + +*D_NET *20 0.0024305 +*CONN +*P spare_xz[13] O +*I *244:A0 I *D sky130_fd_sc_hd__mux2_2 +*I *215:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 spare_xz[13] 0.000636979 +2 *244:A0 0.000281296 +3 *215:LO 2.36273e-05 +4 *20:7 0.000941902 +5 spare_xz[13] spare_xz[2] 3.59102e-05 +6 spare_xz[13] *35:9 0 +7 *244:A0 *36:11 0.00015324 +8 *20:7 spare_xz[3] 1.61631e-05 +9 *20:7 *243:A 6.50727e-05 +10 spare_xmx[0] spare_xz[13] 8.55049e-05 +11 spare_xmx[0] *244:A0 7.82128e-05 +12 spare_xna[0] spare_xz[13] 0.000112597 +*RES +1 *215:LO *20:7 14.4725 +2 *20:7 *244:A0 21.7028 +3 *20:7 spare_xz[13] 8.56929 +*END + +*D_NET *21 0.00253156 +*CONN +*P spare_xz[14] O +*I *245:A0 I *D sky130_fd_sc_hd__mux2_2 +*I *216:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 spare_xz[14] 0.000871457 +2 *245:A0 0.000212984 +3 *216:LO 8.14274e-05 +4 *21:7 0.00116587 +5 spare_xz[14] spare_xz[4] 0 +6 *245:A0 *245:A1 0.000118166 +7 *245:A0 *25:10 0 +8 *21:7 *25:10 2.85139e-05 +9 spare_xib spare_xz[14] 0 +10 spare_xz[12] spare_xz[14] 0 +11 *249:B spare_xz[14] 0 +12 *14:7 *245:A0 5.31465e-05 +*RES +1 *216:LO *21:7 15.0271 +2 *21:7 *245:A0 19.3507 +3 *21:7 spare_xz[14] 21.0557 +*END + +*D_NET *22 0.00676107 +*CONN +*P spare_xz[15] O +*I *244:A1 I *D sky130_fd_sc_hd__mux2_2 +*I *217:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 spare_xz[15] 0.000613944 +2 *244:A1 0.000194599 +3 *217:LO 5.81894e-05 +4 *22:13 0.00215195 +5 *22:10 0.00185674 +6 *22:7 0.000571521 +7 spare_xz[15] spare_xz[22] 0 +8 *244:A1 *244:S 0.000194976 +9 *22:10 spare_xz[20] 0 +10 *22:10 *244:S 7.26606e-05 +11 *22:13 spare_xz[18] 0 +12 *22:13 spare_xz[7] 0.000130331 +13 *22:13 *23:7 0.000704491 +14 spare_xz[0] *22:10 0.000154469 +15 *240:A *22:10 5.71992e-05 +16 *248:B *22:10 0 +17 *14:7 *22:13 0 +*RES +1 *217:LO *22:7 14.4725 +2 *22:7 *22:10 13.3913 +3 *22:10 *22:13 42.9364 +4 *22:13 spare_xz[15] 17.3185 +5 *22:7 *244:A1 18.5201 +*END + +*D_NET *23 0.00526193 +*CONN +*P spare_xz[16] O +*I *245:A1 I *D sky130_fd_sc_hd__mux2_2 +*I *218:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 spare_xz[16] 0.00100688 +2 *245:A1 0.000443703 +3 *218:LO 0.000226484 +4 *23:7 0.00167706 +5 spare_xz[16] spare_xz[19] 6.85342e-05 +6 spare_xz[16] spare_xz[23] 0.000104356 +7 spare_xz[16] spare_xz[24] 0 +8 spare_xz[16] spare_xz[7] 1.66626e-05 +9 spare_xz[16] *238:SET_B 0.000247459 +10 spare_xz[16] *239:SET_B 0 +11 *245:A1 spare_xz[7] 0.000194131 +12 *245:A1 *246:B 6.08467e-05 +13 *245:A1 *40:5 0.000118166 +14 spare_xfq[0] spare_xz[16] 0.000152331 +15 *245:A0 *245:A1 0.000118166 +16 *14:7 *245:A1 0.000122661 +17 *22:13 *23:7 0.000704491 +*RES +1 *218:LO *23:7 21.6824 +2 *23:7 spare_xz[16] 18.1039 +3 *23:7 *245:A1 26.0059 +*END + +*D_NET *24 0.00178624 +*CONN +*P spare_xz[17] O +*I *244:S I *D sky130_fd_sc_hd__mux2_2 +*I *219:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 spare_xz[17] 0.000530869 +2 *244:S 0.000170836 +3 *219:LO 0 +4 *24:5 0.000701704 +5 spare_xz[17] spare_xz[9] 8.03443e-05 +6 spare_xi[2] spare_xz[17] 3.4849e-05 +7 *244:A1 *244:S 0.000194976 +8 *22:10 *244:S 7.26606e-05 +*RES +1 *219:LO *24:5 13.7491 +2 *24:5 *244:S 18.9032 +3 *24:5 spare_xz[17] 4.96178 +*END + +*D_NET *25 0.00290952 +*CONN +*P spare_xz[18] O +*I *245:S I *D sky130_fd_sc_hd__mux2_2 +*I *220:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 spare_xz[18] 0.00110135 +2 *245:S 0 +3 *220:LO 0.000304519 +4 *25:10 0.00140587 +5 spare_xi[3] spare_xz[18] 0 +6 spare_xib spare_xz[18] 0 +7 spare_xz[12] spare_xz[18] 0 +8 *245:A0 *25:10 0 +9 *249:B spare_xz[18] 0 +10 *14:7 spare_xz[18] 6.92597e-05 +11 *21:7 *25:10 2.85139e-05 +12 *22:13 spare_xz[18] 0 +*RES +1 *220:LO *25:10 26.6265 +2 *25:10 spare_xz[18] 34.4532 +3 *25:10 *245:S 9.24915 +*END + +*D_NET *26 0.00215586 +*CONN +*P spare_xz[19] O +*I *238:D I *D sky130_fd_sc_hd__dfbbp_1 +*I *221:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 spare_xz[19] 0.000688947 +2 *238:D 0.000347059 +3 *221:LO 0 +4 *26:5 0.00103601 +5 *238:D *238:CLK 0 +6 spare_xno[0] spare_xz[19] 1.53148e-05 +7 spare_xno[0] *238:D 0 +8 spare_xz[16] spare_xz[19] 6.85342e-05 +*RES +1 *221:LO *26:5 13.7491 +2 *26:5 spare_xz[19] 14.5415 +3 *26:5 *238:D 22.263 +*END + +*D_NET *27 0.00217484 +*CONN +*P spare_xz[1] O +*I *241:A I *D sky130_fd_sc_hd__inv_2 +*I *222:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 spare_xz[1] 0.000202374 +2 *241:A 0.000660238 +3 *222:LO 0.000176204 +4 *27:7 0.00103882 +5 spare_xi[0] spare_xz[1] 0 +6 spare_xi[0] *241:A 0 +7 *6:7 *241:A 9.72058e-05 +*RES +1 *222:LO *27:7 17.8002 +2 *27:7 spare_xz[1] 4.03039 +3 *27:7 *241:A 27.9372 +*END + +*D_NET *28 0.00795948 +*CONN +*P spare_xz[20] O +*I *239:D I *D sky130_fd_sc_hd__dfbbp_1 +*I *223:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 spare_xz[20] 0.000874268 +2 *239:D 0.00112387 +3 *223:LO 8.24806e-05 +4 *28:26 0.0016239 +5 *28:8 0.00195598 +6 spare_xz[20] *35:9 0 +7 *239:D *239:RESET_B 4.89392e-05 +8 *239:D *247:B 0.000113909 +9 *28:8 *247:B 0.00021218 +10 *28:8 *41:25 0 +11 *28:26 *41:25 0 +12 spare_xfq[1] *28:8 0.000214105 +13 spare_xfqn[0] *28:26 0 +14 spare_xz[0] *28:26 0.00053002 +15 spare_xz[10] spare_xz[20] 0 +16 *4:13 *239:D 9.43222e-06 +17 *8:8 spare_xz[20] 0.000462058 +18 *11:10 *28:8 7.45478e-05 +19 *11:10 *28:26 0.00063379 +20 *22:10 spare_xz[20] 0 +*RES +1 *223:LO *28:8 17.5531 +2 *28:8 *239:D 32.2681 +3 *28:8 *28:26 28.1739 +4 *28:26 spare_xz[20] 24.3777 +*END + +*D_NET *29 0.00445567 +*CONN +*P spare_xz[21] O +*I *238:CLK I *D sky130_fd_sc_hd__dfbbp_1 +*I *224:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 spare_xz[21] 0.00176019 +2 *238:CLK 0.000205011 +3 *224:LO 0 +4 *29:4 0.0019652 +5 spare_xz[21] spare_xz[25] 0.00032588 +6 spare_xz[21] *31:7 6.08467e-05 +7 *238:CLK *238:SET_B 0 +8 spare_xfq[0] spare_xz[21] 4.61962e-05 +9 spare_xna[1] spare_xz[21] 5.08239e-05 +10 *238:D *238:CLK 0 +11 *4:13 spare_xz[21] 4.15201e-05 +*RES +1 *224:LO *29:4 9.24915 +2 *29:4 *238:CLK 23.1595 +3 *29:4 spare_xz[21] 24.7874 +*END + +*D_NET *30 0.00152135 +*CONN +*P spare_xz[22] O +*I *239:CLK I *D sky130_fd_sc_hd__dfbbp_1 +*I *225:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 spare_xz[22] 0.000552761 +2 *239:CLK 0.000207913 +3 *225:LO 0 +4 *30:4 0.000760674 +5 spare_xno[0] spare_xz[22] 0 +6 spare_xz[15] spare_xz[22] 0 +*RES +1 *225:LO *30:4 9.24915 +2 *30:4 spare_xz[22] 16.3215 +3 *30:4 *239:CLK 14.2888 +*END + +*D_NET *31 0.00165982 +*CONN +*P spare_xz[23] O +*I *238:SET_B I *D sky130_fd_sc_hd__dfbbp_1 +*I *226:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 spare_xz[23] 0.000475193 +2 *238:SET_B 0.000122507 +3 *226:LO 2.58786e-05 +4 *31:7 0.000623578 +5 spare_xz[23] spare_xz[24] 0 +6 spare_xz[16] spare_xz[23] 0.000104356 +7 spare_xz[16] *238:SET_B 0.000247459 +8 spare_xz[21] *31:7 6.08467e-05 +9 *238:CLK *238:SET_B 0 +*RES +1 *226:LO *31:7 14.4725 +2 *31:7 spare_xz[23] 11.7248 +3 *31:7 *238:SET_B 8.82351 +*END + +*D_NET *32 0.000791716 +*CONN +*P spare_xz[24] O +*I *239:SET_B I *D sky130_fd_sc_hd__dfbbp_1 +*I *227:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 spare_xz[24] 0.000266224 +2 *239:SET_B 0.000129634 +3 *227:LO 0 +4 *32:5 0.000395858 +5 *239:SET_B *239:RESET_B 0 +6 spare_xz[16] spare_xz[24] 0 +7 spare_xz[16] *239:SET_B 0 +8 spare_xz[23] spare_xz[24] 0 +*RES +1 *227:LO *32:5 13.7491 +2 *32:5 spare_xz[24] 7.79209 +3 *32:5 *239:SET_B 7.57775 +*END + +*D_NET *33 0.00349298 +*CONN +*P spare_xz[25] O +*I *238:RESET_B I *D sky130_fd_sc_hd__dfbbp_1 +*I *228:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 spare_xz[25] 0.000974188 +2 *238:RESET_B 0.000344518 +3 *228:LO 0.000120598 +4 *33:8 0.0014393 +5 spare_xz[25] spare_xz[9] 0 +6 spare_xfq[0] *238:RESET_B 1.43832e-05 +7 spare_xfqn[0] *238:RESET_B 0.000132467 +8 spare_xz[21] spare_xz[25] 0.00032588 +9 *11:10 *238:RESET_B 3.27828e-05 +10 *11:10 *33:8 0.000108858 +*RES +1 *228:LO *33:8 16.5122 +2 *33:8 spare_xz[25] 6.32197 +3 *33:8 *238:RESET_B 22.4763 +*END + +*D_NET *34 0.00082149 +*CONN +*P spare_xz[26] O +*I *239:RESET_B I *D sky130_fd_sc_hd__dfbbp_1 +*I *229:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 spare_xz[26] 0.000161141 +2 *239:RESET_B 0.000189177 +3 *229:LO 3.59575e-05 +4 *34:7 0.000386275 +5 *239:D *239:RESET_B 4.89392e-05 +6 *239:SET_B *239:RESET_B 0 +7 *4:13 *239:RESET_B 0 +*RES +1 *229:LO *34:7 14.4725 +2 *34:7 spare_xz[26] 4.03039 +3 *34:7 *239:RESET_B 18.5201 +*END + +*D_NET *35 0.00166436 +*CONN +*P spare_xz[2] O +*I *242:A I *D sky130_fd_sc_hd__inv_2 +*I *230:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 spare_xz[2] 0.000100952 +2 *242:A 0 +3 *230:LO 0.000246177 +4 *35:18 0.00027452 +5 *35:17 0.000397154 +6 *35:9 0.000469763 +7 spare_xz[11] spare_xz[2] 8.95978e-06 +8 spare_xz[13] spare_xz[2] 3.59102e-05 +9 spare_xz[13] *35:9 0 +10 spare_xz[20] *35:9 0 +11 *7:7 *35:17 0.00013092 +*RES +1 *230:LO *35:9 23.8535 +2 *35:9 *242:A 9.24915 +3 *35:9 *35:17 15.5186 +4 *35:17 *35:18 289.725 +5 *35:18 spare_xz[2] 17.8657 +*END + +*D_NET *36 0.00274261 +*CONN +*P spare_xz[3] O +*I *243:A I *D sky130_fd_sc_hd__inv_2 +*I *231:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 spare_xz[3] 0.000736551 +2 *243:A 0.000286999 +3 *231:LO 0.000153899 +4 *36:11 0.00117745 +5 spare_xmx[0] *36:11 0.00015324 +6 spare_xz[11] spare_xz[3] 0 +7 *244:A0 *36:11 0.00015324 +8 *7:7 spare_xz[3] 0 +9 *20:7 spare_xz[3] 1.61631e-05 +10 *20:7 *243:A 6.50727e-05 +*RES +1 *231:LO *36:11 23.323 +2 *36:11 *243:A 16.0732 +3 *36:11 spare_xz[3] 22.5559 +*END + +*D_NET *37 0.00101959 +*CONN +*P spare_xz[4] O +*I *210:A I *D sky130_fd_sc_hd__inv_8 +*I *232:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 spare_xz[4] 0.000355719 +2 *210:A 0.000101794 +3 *232:LO 5.22831e-05 +4 *37:5 0.000509796 +5 spare_xz[4] spare_xz[5] 0 +6 spare_xz[4] *38:8 0 +7 spare_xz[14] spare_xz[4] 0 +*RES +1 *232:LO *37:5 10.5271 +2 *37:5 *210:A 11.6364 +3 *37:5 spare_xz[4] 13.5134 +*END + +*D_NET *38 0.00180297 +*CONN +*P spare_xz[5] O +*I *246:A I *D sky130_fd_sc_hd__nand2_2 +*I *233:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 spare_xz[5] 0.000383078 +2 *246:A 0.000272832 +3 *233:LO 0.000186181 +4 *38:8 0.000842091 +5 *38:8 *246:B 0 +6 spare_xz[10] *38:8 0.000118792 +7 spare_xz[4] spare_xz[5] 0 +8 spare_xz[4] *38:8 0 +*RES +1 *233:LO *38:8 18.5201 +2 *38:8 *246:A 20.0427 +3 *38:8 spare_xz[5] 10.0638 +*END + +*D_NET *39 0.00160108 +*CONN +*P spare_xz[6] O +*I *247:A I *D sky130_fd_sc_hd__nand2_2 +*I *234:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 spare_xz[6] 0.00033343 +2 *247:A 0.000190423 +3 *234:LO 0.000116286 +4 *39:6 0.000640138 +5 *247:A *247:B 0.000310724 +6 spare_xfq[1] *247:A 1.00766e-05 +7 spare_xmx[1] spare_xz[6] 0 +8 *11:10 *39:6 0 +*RES +1 *234:LO *39:6 16.4116 +2 *39:6 spare_xz[6] 7.76766 +3 *39:6 *247:A 18.9335 +*END + +*D_NET *40 0.00429755 +*CONN +*P spare_xz[7] O +*I *246:B I *D sky130_fd_sc_hd__nand2_2 +*I *235:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 spare_xz[7] 0.000798526 +2 *246:B 0.000859253 +3 *235:LO 1.79978e-05 +4 *40:5 0.00167578 +5 *246:B *41:25 0 +6 spare_xfq[0] spare_xz[7] 0 +7 spare_xz[0] spare_xz[7] 0.000128216 +8 spare_xz[0] *246:B 4.20184e-06 +9 spare_xz[16] spare_xz[7] 1.66626e-05 +10 *245:A1 spare_xz[7] 0.000194131 +11 *245:A1 *246:B 6.08467e-05 +12 *245:A1 *40:5 0.000118166 +13 *4:13 *246:B 0 +14 *14:7 *246:B 0.000244519 +15 *14:7 *40:5 4.89251e-05 +16 *22:13 spare_xz[7] 0.000130331 +17 *38:8 *246:B 0 +*RES +1 *235:LO *40:5 10.5271 +2 *40:5 spare_xz[7] 13.8071 +3 *40:5 *246:B 40.8844 +*END + +*D_NET *41 0.00933692 +*CONN +*P spare_xz[8] O +*I *247:B I *D sky130_fd_sc_hd__nand2_2 +*I *236:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 spare_xz[8] 0.000801575 +2 *247:B 0.000263815 +3 *236:LO 0.000425929 +4 *41:25 0.00189346 +5 *41:8 0.00178163 +6 spare_xfq[1] *247:B 0.000362285 +7 spare_xfqn[0] *41:25 0.00145648 +8 spare_xib spare_xz[8] 0 +9 spare_xna[0] *41:25 4.75721e-06 +10 spare_xz[10] *41:25 0 +11 *239:D *247:B 0.000113909 +12 *246:B *41:25 0 +13 *247:A *247:B 0.000310724 +14 *4:13 *41:8 5.71992e-05 +15 *4:13 *41:25 0.00165298 +16 *28:8 *247:B 0.00021218 +17 *28:8 *41:25 0 +18 *28:26 *41:25 0 +*RES +1 *236:LO *41:8 21.0173 +2 *41:8 *247:B 25.5646 +3 *41:8 *41:25 48.8633 +4 *41:25 spare_xz[8] 2.89332 +*END + +*D_NET *42 0.00200529 +*CONN +*P spare_xz[9] O +*I *248:A I *D sky130_fd_sc_hd__nor2_2 +*I *237:LO O *D sky130_fd_sc_hd__conb_1 +*CAP +1 spare_xz[9] 0.000387918 +2 *248:A 0 +3 *237:LO 0.000201766 +4 *42:7 0.000589684 +5 spare_xz[0] spare_xz[9] 0 +6 spare_xz[0] *42:7 0.000157767 +7 spare_xz[17] spare_xz[9] 8.03443e-05 +8 spare_xz[25] spare_xz[9] 0 +9 *248:B *42:7 3.30997e-05 +10 *14:7 *42:7 0.000554715 +*RES +1 *237:LO *42:7 17.7611 +2 *42:7 spare_xz[9] 9.30496 +3 *42:7 *248:A 9.24915 +*END diff --git a/spef/xres_buf/xres_buf.nom.spef b/spef/xres_buf/xres_buf.nom.spef new file mode 100644 index 00000000..4c3e67e3 --- /dev/null +++ b/spef/xres_buf/xres_buf.nom.spef @@ -0,0 +1,65 @@ +*SPEF "ieee 1481-1999" +*DESIGN "xres_buf" +*DATE "11:11:11 Fri 11 11, 1111" +*VENDOR "OpenRCX" +*PROGRAM "Parallel Extraction" +*VERSION "1.0" +*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE" +*DIVIDER / +*DELIMITER : +*BUS_DELIMITER [] +*T_UNIT 1 NS +*C_UNIT 1 PF +*R_UNIT 1 OHM +*L_UNIT 1 HENRY + +*NAME_MAP +*1 A +*2 X +*3 lvlshiftdown +*4 ANTENNA_lvlshiftdown_A +*5 FILLER_0_0 +*6 FILLER_0_8 +*7 FILLER_0_16 +*8 FILLER_0_24 +*9 FILLER_0_28 +*10 FILLER_0_30 +*11 FILLER_1_0 +*12 FILLER_1_8 +*13 FILLER_1_12 +*14 FILLER_1_30 +*15 FILLER_2_0 +*16 FILLER_2_8 +*17 FILLER_2_10 +*18 FILLER_2_30 + +*PORTS +A I +X O + +*D_NET *1 0.000990495 +*CONN +*P A I +*I *3:A I *D sky130_fd_sc_hvl__lsbufhv2lv_1 +*I *4:DIODE I *D sky130_fd_sc_hvl__diode_2 +*CAP +1 A 0.000411399 +2 *3:A 0 +3 *4:DIODE 8.38483e-05 +4 *1:12 0.000495247 +*RES +1 A *1:12 14.3355 +2 *1:12 *4:DIODE 11.1541 +3 *1:12 *3:A 9.24915 +*END + +*D_NET *2 0.00147572 +*CONN +*P X O +*I *3:X O *D sky130_fd_sc_hvl__lsbufhv2lv_1 +*CAP +1 X 0.00073786 +2 *3:X 0.00073786 +*RES +1 *3:X X 32.9072 +*END